@@.center
<br>
<h2><i class="fas fa-comment-dots"></i> Your comment has been sent!</h2>
<br>
Thank you very much for your helpful participation!
<br>
Your comment will be processed in the next few days.
@@
This tiddler is use to add a "— Please select an item —" entry in drop lists.<br>
Combine the list filter with filter [has[pselect]] to add this entry to the list.
/*\
title: $:/.tb/modules/startup/hide-sidebar.js
type: application/javascript
module-type: startup
created: 20151010151732122
creator: Tobias Beer
modified: 20151010151750739
Hides the sidebar on startup when the config tiddler [[$:/config/hide-sidebar-on-startup]] contains "yes"
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
// Export name and synchronous status
exports.name = "hide-sidebar-on-startup";
exports.platforms = ["browser"];
exports.after = ["startup"];
exports.synchronous = true;
exports.startup = function() {
var conf = $tw.wiki.getTiddler("$:/config/HideSidebarOnStartup"),
value = (conf ? conf.getFieldString("text") : "").toLowerCase(),
state = value == "yes" ? "no" : "yes";
$tw.wiki.setText("$:/state/sidebar", "text", undefined, state);
};
})();
$:/core/ui/MoreSideBar/All
[is[tiddler]!is[tiddler]]
{
"tiddlers": {
"$:/Acknowledgements": {
"title": "$:/Acknowledgements",
"text": "TiddlyWiki incorporates code from these fine OpenSource projects:\n\n* [[The Stanford Javascript Crypto Library|http://bitwiseshiftleft.github.io/sjcl/]]\n* [[The Jasmine JavaScript Test Framework|http://pivotal.github.io/jasmine/]]\n* [[Normalize.css by Nicolas Gallagher|http://necolas.github.io/normalize.css/]]\n\nAnd media from these projects:\n\n* World flag icons from [[Wikipedia|http://commons.wikimedia.org/wiki/Category:SVG_flags_by_country]]\n"
},
"$:/core/copyright.txt": {
"title": "$:/core/copyright.txt",
"type": "text/plain",
"text": "TiddlyWiki created by Jeremy Ruston, (jeremy [at] jermolene [dot] com)\n\nCopyright (c) 2004-2007, Jeremy Ruston\nCopyright (c) 2007-2018, UnaMesa Association\nAll rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are met:\n\n* Redistributions of source code must retain the above copyright notice, this\n list of conditions and the following disclaimer.\n\n* Redistributions in binary form must reproduce the above copyright notice,\n this list of conditions and the following disclaimer in the documentation\n and/or other materials provided with the distribution.\n\n* Neither the name of the copyright holder nor the names of its\n contributors may be used to endorse or promote products derived from\n this software without specific prior written permission.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS 'AS IS'\nAND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\nIMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE\nDISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE\nFOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL\nDAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR\nSERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER\nCAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,\nOR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE\nOF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE."
},
"$:/core/icon": {
"title": "$:/core/icon",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\"><path d=\"M64 0l54.56 32v64L64 128 9.44 96V32L64 0zm21.127 95.408c-3.578-.103-5.15-.094-6.974-3.152l-1.42.042c-1.653-.075-.964-.04-2.067-.097-1.844-.07-1.548-1.86-1.873-2.8-.52-3.202.687-6.43.65-9.632-.014-1.14-1.593-5.17-2.157-6.61-1.768.34-3.546.406-5.34.497-4.134-.01-8.24-.527-12.317-1.183-.8 3.35-3.16 8.036-1.21 11.44 2.37 3.52 4.03 4.495 6.61 4.707 2.572.212 3.16 3.18 2.53 4.242-.55.73-1.52.864-2.346 1.04l-1.65.08c-1.296-.046-2.455-.404-3.61-.955-1.93-1.097-3.925-3.383-5.406-5.024.345.658.55 1.938.24 2.53-.878 1.27-4.665 1.26-6.4.47-1.97-.89-6.73-7.162-7.468-11.86 1.96-3.78 4.812-7.07 6.255-11.186-3.146-2.05-4.83-5.384-4.61-9.16l.08-.44c-3.097.59-1.49.37-4.82.628-10.608-.032-19.935-7.37-14.68-18.774.34-.673.664-1.287 1.243-.994.466.237.4 1.18.166 2.227-3.005 13.627 11.67 13.732 20.69 11.21.89-.25 2.67-1.936 3.905-2.495 2.016-.91 4.205-1.282 6.376-1.55 5.4-.63 11.893 2.276 15.19 2.37 3.3.096 7.99-.805 10.87-.615 2.09.098 4.143.483 6.16 1.03 1.306-6.49 1.4-11.27 4.492-12.38 1.814.293 3.213 2.818 4.25 4.167 2.112-.086 4.12.46 6.115 1.066 3.61-.522 6.642-2.593 9.833-4.203-3.234 2.69-3.673 7.075-3.303 11.127.138 2.103-.444 4.386-1.164 6.54-1.348 3.507-3.95 7.204-6.97 7.014-1.14-.036-1.805-.695-2.653-1.4-.164 1.427-.81 2.7-1.434 3.96-1.44 2.797-5.203 4.03-8.687 7.016-3.484 2.985 1.114 13.65 2.23 15.594 1.114 1.94 4.226 2.652 3.02 4.406-.37.58-.936.785-1.54 1.01l-.82.11zm-40.097-8.85l.553.14c.694-.27 2.09.15 2.83.353-1.363-1.31-3.417-3.24-4.897-4.46-.485-1.47-.278-2.96-.174-4.46l.02-.123c-.582 1.205-1.322 2.376-1.72 3.645-.465 1.71 2.07 3.557 3.052 4.615l.336.3z\" fill-rule=\"evenodd\"/></svg>"
},
"$:/core/images/advanced-search-button": {
"title": "$:/core/images/advanced-search-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-advanced-search-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M74.5651535,87.9848361 C66.9581537,93.0488876 57.8237115,96 48,96 C21.490332,96 0,74.509668 0,48 C0,21.490332 21.490332,0 48,0 C74.509668,0 96,21.490332 96,48 C96,57.8541369 93.0305793,67.0147285 87.9377231,74.6357895 L122.284919,108.982985 C125.978897,112.676963 125.973757,118.65366 122.284271,122.343146 C118.593975,126.033442 112.613238,126.032921 108.92411,122.343793 L74.5651535,87.9848361 Z M48,80 C65.673112,80 80,65.673112 80,48 C80,30.326888 65.673112,16 48,16 C30.326888,16 16,30.326888 16,48 C16,65.673112 30.326888,80 48,80 Z\"></path>\n <circle cx=\"48\" cy=\"48\" r=\"8\"></circle>\n <circle cx=\"28\" cy=\"48\" r=\"8\"></circle>\n <circle cx=\"68\" cy=\"48\" r=\"8\"></circle>\n </g>\n</svg>"
},
"$:/core/images/auto-height": {
"title": "$:/core/images/auto-height",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-auto-height tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <path d=\"M67.9867828,114.356363 L67.9579626,99.8785426 C67.9550688,98.4248183 67.1636987,97.087107 65.8909901,96.3845863 L49.9251455,87.5716209 L47.992126,95.0735397 L79.8995411,95.0735397 C84.1215894,95.0735397 85.4638131,89.3810359 81.686497,87.4948823 L49.7971476,71.5713518 L48.0101917,79.1500092 L79.992126,79.1500092 C84.2093753,79.1500092 85.5558421,73.4676733 81.7869993,71.5753162 L49.805065,55.517008 L48.0101916,63.0917009 L79.9921259,63.0917015 C84.2035118,63.0917016 85.5551434,57.4217887 81.7966702,55.5218807 L65.7625147,47.4166161 L67.9579705,50.9864368 L67.9579705,35.6148245 L77.1715737,44.8284272 C78.7336709,46.3905243 81.2663308,46.3905243 82.8284279,44.8284271 C84.390525,43.2663299 84.390525,40.7336699 82.8284278,39.1715728 L66.8284271,23.1715728 C65.2663299,21.6094757 62.73367,21.6094757 61.1715729,23.1715729 L45.1715729,39.1715729 C43.6094757,40.73367 43.6094757,43.26633 45.1715729,44.8284271 C46.73367,46.3905243 49.26633,46.3905243 50.8284271,44.8284271 L59.9579705,35.6988837 L59.9579705,50.9864368 C59.9579705,52.495201 60.806922,53.8755997 62.1534263,54.5562576 L78.1875818,62.6615223 L79.9921261,55.0917015 L48.0101917,55.0917009 C43.7929424,55.0917008 42.4464755,60.7740368 46.2153183,62.6663939 L78.1972526,78.7247021 L79.992126,71.1500092 L48.0101917,71.1500092 C43.7881433,71.1500092 42.4459197,76.842513 46.2232358,78.7286665 L78.1125852,94.6521971 L79.8995411,87.0735397 L47.992126,87.0735397 C43.8588276,87.0735397 42.4404876,92.5780219 46.0591064,94.5754586 L62.024951,103.388424 L59.9579785,99.8944677 L59.9867142,114.32986 L50.8284271,105.171573 C49.26633,103.609476 46.73367,103.609476 45.1715729,105.171573 C43.6094757,106.73367 43.6094757,109.26633 45.1715729,110.828427 L61.1715729,126.828427 C62.73367,128.390524 65.2663299,128.390524 66.8284271,126.828427 L82.8284278,110.828427 C84.390525,109.26633 84.390525,106.73367 82.8284279,105.171573 C81.2663308,103.609476 78.7336709,103.609476 77.1715737,105.171573 L67.9867828,114.356363 L67.9867828,114.356363 Z M16,20 L112,20 C114.209139,20 116,18.209139 116,16 C116,13.790861 114.209139,12 112,12 L16,12 C13.790861,12 12,13.790861 12,16 C12,18.209139 13.790861,20 16,20 L16,20 Z\"></path>\n</svg>"
},
"$:/core/images/blank": {
"title": "$:/core/images/blank",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-blank tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\"></svg>"
},
"$:/core/images/bold": {
"title": "$:/core/images/bold",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-bold tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M41.1456583,51.8095238 L41.1456583,21.8711485 L67.4985994,21.8711485 C70.0084159,21.8711485 72.4285598,22.0802967 74.7591036,22.4985994 C77.0896475,22.9169022 79.1512515,23.6638602 80.9439776,24.7394958 C82.7367036,25.8151314 84.170863,27.3090474 85.2464986,29.2212885 C86.3221342,31.1335296 86.859944,33.5835518 86.859944,36.5714286 C86.859944,41.9496067 85.2465147,45.8337882 82.0196078,48.2240896 C78.792701,50.614391 74.6694929,51.8095238 69.6498599,51.8095238 L41.1456583,51.8095238 Z M13,0 L13,128 L75.0280112,128 C80.7647346,128 86.3519803,127.28292 91.789916,125.848739 C97.2278517,124.414559 102.068139,122.203563 106.310924,119.215686 C110.553709,116.22781 113.929959,112.373506 116.439776,107.652661 C118.949592,102.931816 120.204482,97.3445701 120.204482,90.8907563 C120.204482,82.8832466 118.262391,76.0411115 114.378151,70.3641457 C110.493911,64.6871798 104.607883,60.7133634 96.719888,58.442577 C102.456611,55.6937304 106.788968,52.1680887 109.717087,47.8655462 C112.645206,43.5630037 114.109244,38.1849062 114.109244,31.7310924 C114.109244,25.7553389 113.123259,20.7357813 111.151261,16.6722689 C109.179262,12.6087565 106.400578,9.35201972 102.815126,6.90196078 C99.2296739,4.45190185 94.927196,2.68908101 89.907563,1.61344538 C84.8879301,0.537809748 79.3305627,0 73.2352941,0 L13,0 Z M41.1456583,106.128852 L41.1456583,70.9915966 L71.8011204,70.9915966 C77.896389,70.9915966 82.7964334,72.3958776 86.5014006,75.2044818 C90.2063677,78.0130859 92.0588235,82.7039821 92.0588235,89.2773109 C92.0588235,92.6237329 91.4911355,95.3725383 90.3557423,97.5238095 C89.2203491,99.6750808 87.6965548,101.378145 85.7843137,102.633053 C83.8720726,103.887961 81.661077,104.784311 79.1512605,105.322129 C76.641444,105.859947 74.0121519,106.128852 71.2633053,106.128852 L41.1456583,106.128852 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/cancel-button": {
"title": "$:/core/images/cancel-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-cancel-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n\t<g fill-rule=\"evenodd\">\n\t <path d=\"M64,76.3137085 L47.0294734,93.2842351 C43.9038742,96.4098343 38.8399231,96.4084656 35.7157288,93.2842712 C32.5978915,90.166434 32.5915506,85.0947409 35.7157649,81.9705266 L52.6862915,65 L35.7157649,48.0294734 C32.5901657,44.9038742 32.5915344,39.8399231 35.7157288,36.7157288 C38.833566,33.5978915 43.9052591,33.5915506 47.0294734,36.7157649 L64,53.6862915 L80.9705266,36.7157649 C84.0961258,33.5901657 89.1600769,33.5915344 92.2842712,36.7157288 C95.4021085,39.833566 95.4084494,44.9052591 92.2842351,48.0294734 L75.3137085,65 L92.2842351,81.9705266 C95.4098343,85.0961258 95.4084656,90.1600769 92.2842712,93.2842712 C89.166434,96.4021085 84.0947409,96.4084494 80.9705266,93.2842351 L64,76.3137085 Z M64,129 C99.346224,129 128,100.346224 128,65 C128,29.653776 99.346224,1 64,1 C28.653776,1 1.13686838e-13,29.653776 1.13686838e-13,65 C1.13686838e-13,100.346224 28.653776,129 64,129 Z M64,113 C90.509668,113 112,91.509668 112,65 C112,38.490332 90.509668,17 64,17 C37.490332,17 16,38.490332 16,65 C16,91.509668 37.490332,113 64,113 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/chevron-down": {
"title": "$:/core/images/chevron-down",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-chevron-down tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n\t<g fill-rule=\"evenodd\" transform=\"translate(64.000000, 40.500000) rotate(-270.000000) translate(-64.000000, -40.500000) translate(-22.500000, -26.500000)\">\n <path d=\"M112.743107,112.12741 C111.310627,113.561013 109.331747,114.449239 107.145951,114.449239 L27.9777917,114.449239 C23.6126002,114.449239 20.0618714,110.904826 20.0618714,106.532572 C20.0618714,102.169214 23.6059497,98.6159054 27.9777917,98.6159054 L99.2285381,98.6159054 L99.2285381,27.365159 C99.2285381,22.9999675 102.77295,19.4492387 107.145205,19.4492387 C111.508562,19.4492387 115.061871,22.993317 115.061871,27.365159 L115.061871,106.533318 C115.061871,108.71579 114.175869,110.694669 112.743378,112.127981 Z\" transform=\"translate(67.561871, 66.949239) rotate(-45.000000) translate(-67.561871, -66.949239) \"></path>\n <path d=\"M151.35638,112.12741 C149.923899,113.561013 147.94502,114.449239 145.759224,114.449239 L66.5910645,114.449239 C62.225873,114.449239 58.6751442,110.904826 58.6751442,106.532572 C58.6751442,102.169214 62.2192225,98.6159054 66.5910645,98.6159054 L137.841811,98.6159054 L137.841811,27.365159 C137.841811,22.9999675 141.386223,19.4492387 145.758478,19.4492387 C150.121835,19.4492387 153.675144,22.993317 153.675144,27.365159 L153.675144,106.533318 C153.675144,108.71579 152.789142,110.694669 151.356651,112.127981 Z\" transform=\"translate(106.175144, 66.949239) rotate(-45.000000) translate(-106.175144, -66.949239) \"></path>\n\t</g>\n</svg>"
},
"$:/core/images/chevron-left": {
"title": "$:/core/images/chevron-left",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-chevron-left tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\" version=\"1.1\">\n <g fill-rule=\"evenodd\" transform=\"translate(92.500000, 64.000000) rotate(-180.000000) translate(-92.500000, -64.000000) translate(6.000000, -3.000000)\">\n <path d=\"M112.743107,112.12741 C111.310627,113.561013 109.331747,114.449239 107.145951,114.449239 L27.9777917,114.449239 C23.6126002,114.449239 20.0618714,110.904826 20.0618714,106.532572 C20.0618714,102.169214 23.6059497,98.6159054 27.9777917,98.6159054 L99.2285381,98.6159054 L99.2285381,27.365159 C99.2285381,22.9999675 102.77295,19.4492387 107.145205,19.4492387 C111.508562,19.4492387 115.061871,22.993317 115.061871,27.365159 L115.061871,106.533318 C115.061871,108.71579 114.175869,110.694669 112.743378,112.127981 Z\" transform=\"translate(67.561871, 66.949239) rotate(-45.000000) translate(-67.561871, -66.949239) \"></path>\n <path d=\"M151.35638,112.12741 C149.923899,113.561013 147.94502,114.449239 145.759224,114.449239 L66.5910645,114.449239 C62.225873,114.449239 58.6751442,110.904826 58.6751442,106.532572 C58.6751442,102.169214 62.2192225,98.6159054 66.5910645,98.6159054 L137.841811,98.6159054 L137.841811,27.365159 C137.841811,22.9999675 141.386223,19.4492387 145.758478,19.4492387 C150.121835,19.4492387 153.675144,22.993317 153.675144,27.365159 L153.675144,106.533318 C153.675144,108.71579 152.789142,110.694669 151.356651,112.127981 Z\" transform=\"translate(106.175144, 66.949239) rotate(-45.000000) translate(-106.175144, -66.949239) \"></path>\n </g>\n</svg>"
},
"$:/core/images/chevron-right": {
"title": "$:/core/images/chevron-right",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-chevron-right tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\" transform=\"translate(-48.000000, -3.000000)\">\n <path d=\"M112.743107,112.12741 C111.310627,113.561013 109.331747,114.449239 107.145951,114.449239 L27.9777917,114.449239 C23.6126002,114.449239 20.0618714,110.904826 20.0618714,106.532572 C20.0618714,102.169214 23.6059497,98.6159054 27.9777917,98.6159054 L99.2285381,98.6159054 L99.2285381,27.365159 C99.2285381,22.9999675 102.77295,19.4492387 107.145205,19.4492387 C111.508562,19.4492387 115.061871,22.993317 115.061871,27.365159 L115.061871,106.533318 C115.061871,108.71579 114.175869,110.694669 112.743378,112.127981 Z\" transform=\"translate(67.561871, 66.949239) rotate(-45.000000) translate(-67.561871, -66.949239) \"></path>\n <path d=\"M151.35638,112.12741 C149.923899,113.561013 147.94502,114.449239 145.759224,114.449239 L66.5910645,114.449239 C62.225873,114.449239 58.6751442,110.904826 58.6751442,106.532572 C58.6751442,102.169214 62.2192225,98.6159054 66.5910645,98.6159054 L137.841811,98.6159054 L137.841811,27.365159 C137.841811,22.9999675 141.386223,19.4492387 145.758478,19.4492387 C150.121835,19.4492387 153.675144,22.993317 153.675144,27.365159 L153.675144,106.533318 C153.675144,108.71579 152.789142,110.694669 151.356651,112.127981 Z\" transform=\"translate(106.175144, 66.949239) rotate(-45.000000) translate(-106.175144, -66.949239) \"></path>\n </g>\n</svg>"
},
"$:/core/images/chevron-up": {
"title": "$:/core/images/chevron-up",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-chevron-up tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n\t<g fill-rule=\"evenodd\" transform=\"translate(64.000000, 89.500000) rotate(-90.000000) translate(-64.000000, -89.500000) translate(-22.500000, 22.500000)\">\n <path d=\"M112.743107,112.12741 C111.310627,113.561013 109.331747,114.449239 107.145951,114.449239 L27.9777917,114.449239 C23.6126002,114.449239 20.0618714,110.904826 20.0618714,106.532572 C20.0618714,102.169214 23.6059497,98.6159054 27.9777917,98.6159054 L99.2285381,98.6159054 L99.2285381,27.365159 C99.2285381,22.9999675 102.77295,19.4492387 107.145205,19.4492387 C111.508562,19.4492387 115.061871,22.993317 115.061871,27.365159 L115.061871,106.533318 C115.061871,108.71579 114.175869,110.694669 112.743378,112.127981 Z\" transform=\"translate(67.561871, 66.949239) rotate(-45.000000) translate(-67.561871, -66.949239) \"></path>\n <path d=\"M151.35638,112.12741 C149.923899,113.561013 147.94502,114.449239 145.759224,114.449239 L66.5910645,114.449239 C62.225873,114.449239 58.6751442,110.904826 58.6751442,106.532572 C58.6751442,102.169214 62.2192225,98.6159054 66.5910645,98.6159054 L137.841811,98.6159054 L137.841811,27.365159 C137.841811,22.9999675 141.386223,19.4492387 145.758478,19.4492387 C150.121835,19.4492387 153.675144,22.993317 153.675144,27.365159 L153.675144,106.533318 C153.675144,108.71579 152.789142,110.694669 151.356651,112.127981 Z\" transform=\"translate(106.175144, 66.949239) rotate(-45.000000) translate(-106.175144, -66.949239) \"></path>\n\t</g>\n</svg>"
},
"$:/core/images/clone-button": {
"title": "$:/core/images/clone-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-clone-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M32.2650915,96 L32.2650915,120.002359 C32.2650915,124.419334 35.8432884,128 40.2627323,128 L120.002359,128 C124.419334,128 128,124.421803 128,120.002359 L128,40.2627323 C128,35.8457573 124.421803,32.2650915 120.002359,32.2650915 L96,32.2650915 L96,48 L108.858899,48 C110.519357,48 111.853018,49.3405131 111.853018,50.9941198 L111.853018,108.858899 C111.853018,110.519357 110.512505,111.853018 108.858899,111.853018 L50.9941198,111.853018 C49.333661,111.853018 48,110.512505 48,108.858899 L48,96 L32.2650915,96 Z\"></path>\n <path d=\"M40,56 L32.0070969,56 C27.5881712,56 24,52.418278 24,48 C24,43.5907123 27.5848994,40 32.0070969,40 L40,40 L40,32.0070969 C40,27.5881712 43.581722,24 48,24 C52.4092877,24 56,27.5848994 56,32.0070969 L56,40 L63.9929031,40 C68.4118288,40 72,43.581722 72,48 C72,52.4092877 68.4151006,56 63.9929031,56 L56,56 L56,63.9929031 C56,68.4118288 52.418278,72 48,72 C43.5907123,72 40,68.4151006 40,63.9929031 L40,56 Z M7.9992458,0 C3.58138434,0 0,3.5881049 0,7.9992458 L0,88.0007542 C0,92.4186157 3.5881049,96 7.9992458,96 L88.0007542,96 C92.4186157,96 96,92.4118951 96,88.0007542 L96,7.9992458 C96,3.58138434 92.4118951,0 88.0007542,0 L7.9992458,0 Z M19.0010118,16 C17.3435988,16 16,17.336731 16,19.0010118 L16,76.9989882 C16,78.6564012 17.336731,80 19.0010118,80 L76.9989882,80 C78.6564012,80 80,78.663269 80,76.9989882 L80,19.0010118 C80,17.3435988 78.663269,16 76.9989882,16 L19.0010118,16 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/close-all-button": {
"title": "$:/core/images/close-all-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-close-all-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\" transform=\"translate(-23.000000, -23.000000)\">\n <path d=\"M43,131 L22.9976794,131 C18.5827987,131 15,127.418278 15,123 C15,118.590712 18.5806831,115 22.9976794,115 L43,115 L43,94.9976794 C43,90.5827987 46.581722,87 51,87 C55.4092877,87 59,90.5806831 59,94.9976794 L59,115 L79.0023206,115 C83.4172013,115 87,118.581722 87,123 C87,127.409288 83.4193169,131 79.0023206,131 L59,131 L59,151.002321 C59,155.417201 55.418278,159 51,159 C46.5907123,159 43,155.419317 43,151.002321 L43,131 Z\" transform=\"translate(51.000000, 123.000000) rotate(-45.000000) translate(-51.000000, -123.000000) \"></path>\n <path d=\"M43,59 L22.9976794,59 C18.5827987,59 15,55.418278 15,51 C15,46.5907123 18.5806831,43 22.9976794,43 L43,43 L43,22.9976794 C43,18.5827987 46.581722,15 51,15 C55.4092877,15 59,18.5806831 59,22.9976794 L59,43 L79.0023206,43 C83.4172013,43 87,46.581722 87,51 C87,55.4092877 83.4193169,59 79.0023206,59 L59,59 L59,79.0023206 C59,83.4172013 55.418278,87 51,87 C46.5907123,87 43,83.4193169 43,79.0023206 L43,59 Z\" transform=\"translate(51.000000, 51.000000) rotate(-45.000000) translate(-51.000000, -51.000000) \"></path>\n <path d=\"M115,59 L94.9976794,59 C90.5827987,59 87,55.418278 87,51 C87,46.5907123 90.5806831,43 94.9976794,43 L115,43 L115,22.9976794 C115,18.5827987 118.581722,15 123,15 C127.409288,15 131,18.5806831 131,22.9976794 L131,43 L151.002321,43 C155.417201,43 159,46.581722 159,51 C159,55.4092877 155.419317,59 151.002321,59 L131,59 L131,79.0023206 C131,83.4172013 127.418278,87 123,87 C118.590712,87 115,83.4193169 115,79.0023206 L115,59 Z\" transform=\"translate(123.000000, 51.000000) rotate(-45.000000) translate(-123.000000, -51.000000) \"></path>\n <path d=\"M115,131 L94.9976794,131 C90.5827987,131 87,127.418278 87,123 C87,118.590712 90.5806831,115 94.9976794,115 L115,115 L115,94.9976794 C115,90.5827987 118.581722,87 123,87 C127.409288,87 131,90.5806831 131,94.9976794 L131,115 L151.002321,115 C155.417201,115 159,118.581722 159,123 C159,127.409288 155.419317,131 151.002321,131 L131,131 L131,151.002321 C131,155.417201 127.418278,159 123,159 C118.590712,159 115,155.419317 115,151.002321 L115,131 Z\" transform=\"translate(123.000000, 123.000000) rotate(-45.000000) translate(-123.000000, -123.000000) \"></path>\n </g>\n</svg>"
},
"$:/core/images/close-button": {
"title": "$:/core/images/close-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-close-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M65.0864256,75.4091629 L14.9727349,125.522854 C11.8515951,128.643993 6.78104858,128.64922 3.65685425,125.525026 C0.539017023,122.407189 0.5336324,117.334539 3.65902635,114.209145 L53.7727171,64.0954544 L3.65902635,13.9817637 C0.537886594,10.8606239 0.532659916,5.79007744 3.65685425,2.6658831 C6.77469148,-0.451954124 11.8473409,-0.457338747 14.9727349,2.66805521 L65.0864256,52.7817459 L115.200116,2.66805521 C118.321256,-0.453084553 123.391803,-0.458311231 126.515997,2.6658831 C129.633834,5.78372033 129.639219,10.8563698 126.513825,13.9817637 L76.4001341,64.0954544 L126.513825,114.209145 C129.634965,117.330285 129.640191,122.400831 126.515997,125.525026 C123.39816,128.642863 118.32551,128.648248 115.200116,125.522854 L65.0864256,75.4091629 L65.0864256,75.4091629 Z\"></path>\n </g>\n</svg>\n"
},
"$:/core/images/close-others-button": {
"title": "$:/core/images/close-others-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-close-others-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M64,128 C99.346224,128 128,99.346224 128,64 C128,28.653776 99.346224,0 64,0 C28.653776,0 0,28.653776 0,64 C0,99.346224 28.653776,128 64,128 Z M64,112 C90.509668,112 112,90.509668 112,64 C112,37.490332 90.509668,16 64,16 C37.490332,16 16,37.490332 16,64 C16,90.509668 37.490332,112 64,112 Z M64,96 C81.673112,96 96,81.673112 96,64 C96,46.326888 81.673112,32 64,32 C46.326888,32 32,46.326888 32,64 C32,81.673112 46.326888,96 64,96 Z M64,80 C72.836556,80 80,72.836556 80,64 C80,55.163444 72.836556,48 64,48 C55.163444,48 48,55.163444 48,64 C48,72.836556 55.163444,80 64,80 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/copy-clipboard": {
"title": "$:/core/images/copy-clipboard",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-copy-clipboard tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n\t<g fill-rule=\"evenodd\">\n\t\t<rect x=\"40\" y=\"40\" width=\"33\" height=\"8\" rx=\"4\"></rect>\n\t\t<rect x=\"40\" y=\"82\" width=\"17\" height=\"8\" rx=\"4\"></rect>\n\t\t<rect x=\"40\" y=\"54\" width=\"17\" height=\"8\" rx=\"4\"></rect>\n\t\t<rect x=\"40\" y=\"96\" width=\"33\" height=\"8\" rx=\"4\"></rect>\n\t\t<rect x=\"40\" y=\"68\" width=\"12\" height=\"8\" rx=\"4\"></rect>\n\t\t<path d=\"M40,16 L23.9992458,16 C19.5813843,16 16,19.5907123 16,24 C16,24.0016363 16.0000005,24.0032725 16.0000015,24.0049086 C16.0000005,24.0065441 16,24.0081803 16,24.0098166 L16,119.990183 C16,119.99182 16.0000005,119.993456 16.0000015,119.995092 C16.0000005,119.996727 16,119.998364 16,120 C16,124.409288 19.5813843,128 23.9992458,128 L104.000754,128 C106.205061,128 108.203844,127.105595 109.652065,125.659342 C111.102424,124.21251 112,122.214511 112,120.007595 L112,103.992405 C112,99.5776607 108.418278,96 104,96 C99.5907123,96 96,99.5783218 96,103.992405 L96,112 L32,112 L32,32 L96,32 L96,40.0075946 C96,44.4223393 99.581722,48 104,48 C108.409288,48 112,44.4216782 112,40.0075946 L112,23.9924054 C112,21.7851587 111.104671,19.7871591 109.657101,18.3409203 C108.203844,16.8944047 106.205061,16 104.000754,16 L88,16 C88,11.5907123 84.4151006,8 79.9929031,8 L48.0070969,8 C43.5881712,8 40,11.581722 40,16 Z M44,14.9958262 C44,12.7889923 45.7964248,11 48.0000255,11 L79.9999745,11 C82.2091276,11 84,12.7965212 84,14.9958262 L84,19.0041738 C84,21.2110077 82.2035752,23 79.9999745,23 L48.0000255,23 C45.7908724,23 44,21.2034788 44,19.0041738 L44,14.9958262 Z\"></path>\n\t\t<rect x=\"62\" y=\"64\" width=\"66\" height=\"16\" rx=\"8\"></rect>\n\t\t<path d=\"M60.6568542,85.6568542 L76.6568542,69.6568543 L65.3431458,69.6568542 L81.3431458,85.6568542 C84.4673401,88.7810486 89.5326599,88.7810486 92.6568542,85.6568542 C95.7810486,82.5326599 95.7810486,77.4673401 92.6568542,74.3431458 L76.6568542,58.3431458 C73.5326599,55.2189514 68.4673401,55.2189514 65.3431458,58.3431457 L49.3431458,74.3431457 C46.2189514,77.4673401 46.2189514,82.5326599 49.3431457,85.6568542 C52.4673401,88.7810486 57.5326599,88.7810486 60.6568542,85.6568542 L60.6568542,85.6568542 Z\" transform=\"translate(71.000000, 72.000000) rotate(-90.000000) translate(-71.000000, -72.000000) \"></path>\n\t</g>\n</svg>"
},
"$:/core/images/delete-button": {
"title": "$:/core/images/delete-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-delete-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\" transform=\"translate(12.000000, 0.000000)\">\n <rect x=\"0\" y=\"11\" width=\"105\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"28\" y=\"0\" width=\"48\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"8\" y=\"16\" width=\"16\" height=\"112\" rx=\"8\"></rect>\n <rect x=\"8\" y=\"112\" width=\"88\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"80\" y=\"16\" width=\"16\" height=\"112\" rx=\"8\"></rect>\n <rect x=\"56\" y=\"16\" width=\"16\" height=\"112\" rx=\"8\"></rect>\n <rect x=\"32\" y=\"16\" width=\"16\" height=\"112\" rx=\"8\"></rect>\n </g>\n</svg>"
},
"$:/core/images/done-button": {
"title": "$:/core/images/done-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-done-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M3.52445141,76.8322939 C2.07397484,75.3828178 1.17514421,73.3795385 1.17514421,71.1666288 L1.17514421,23.1836596 C1.17514421,18.7531992 4.75686621,15.1751442 9.17514421,15.1751442 C13.5844319,15.1751442 17.1751442,18.7606787 17.1751442,23.1836596 L17.1751442,63.1751442 L119.173716,63.1751442 C123.590457,63.1751442 127.175144,66.7568662 127.175144,71.1751442 C127.175144,75.5844319 123.592783,79.1751442 119.173716,79.1751442 L9.17657227,79.1751442 C6.96796403,79.1751442 4.9674142,78.279521 3.51911285,76.8315312 Z\" id=\"Rectangle-285\" transform=\"translate(64.175144, 47.175144) rotate(-45.000000) translate(-64.175144, -47.175144) \"></path>\n </g>\n</svg>"
},
"$:/core/images/down-arrow": {
"title": "$:/core/images/down-arrow",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-down-arrow tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <path d=\"M109.35638,81.3533152 C107.923899,82.7869182 105.94502,83.6751442 103.759224,83.6751442 L24.5910645,83.6751442 C20.225873,83.6751442 16.6751442,80.1307318 16.6751442,75.7584775 C16.6751442,71.3951199 20.2192225,67.8418109 24.5910645,67.8418109 L95.8418109,67.8418109 L95.8418109,-3.40893546 C95.8418109,-7.77412698 99.3862233,-11.3248558 103.758478,-11.3248558 C108.121835,-11.3248558 111.675144,-7.78077754 111.675144,-3.40893546 L111.675144,75.7592239 C111.675144,77.9416955 110.789142,79.9205745 109.356651,81.3538862 Z\" transform=\"translate(64.175144, 36.175144) rotate(45.000000) translate(-64.175144, -36.175144) \"></path>\n</svg>"
},
"$:/core/images/download-button": {
"title": "$:/core/images/download-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-download-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path class=\"tc-image-download-button-ring\" d=\"M64,128 C99.346224,128 128,99.346224 128,64 C128,28.653776 99.346224,0 64,0 C28.653776,0 0,28.653776 0,64 C0,99.346224 28.653776,128 64,128 Z M64,112 C90.509668,112 112,90.509668 112,64 C112,37.490332 90.509668,16 64,16 C37.490332,16 16,37.490332 16,64 C16,90.509668 37.490332,112 64,112 Z\"/><path d=\"M34.3496823,66.4308767 L61.2415823,93.634668 C63.0411536,95.4551107 65.9588502,95.4551107 67.7584215,93.634668 L94.6503215,66.4308767 C96.4498928,64.610434 96.4498928,61.6588981 94.6503215,59.8384554 C93.7861334,58.9642445 92.6140473,58.4731195 91.3919019,58.4731195 L82.9324098,58.4731195 C80.3874318,58.4731195 78.3243078,56.3860674 78.3243078,53.8115729 L78.3243078,38.6615466 C78.3243078,36.0870521 76.2611837,34 73.7162058,34 L55.283798,34 C52.7388201,34 50.675696,36.0870521 50.675696,38.6615466 L50.675696,38.6615466 L50.675696,53.8115729 C50.675696,56.3860674 48.612572,58.4731195 46.0675941,58.4731195 L37.608102,58.4731195 C35.063124,58.4731195 33,60.5601716 33,63.134666 C33,64.3709859 33.4854943,65.5566658 34.3496823,66.4308767 L34.3496823,66.4308767 Z\"/></g></svg>"
},
"$:/core/images/edit-button": {
"title": "$:/core/images/edit-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-edit-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M116.870058,45.3431458 L108.870058,45.3431458 L108.870058,45.3431458 L108.870058,61.3431458 L116.870058,61.3431458 L116.870058,45.3431458 Z M124.870058,45.3431458 L127.649881,45.3431458 C132.066101,45.3431458 135.656854,48.9248678 135.656854,53.3431458 C135.656854,57.7524334 132.07201,61.3431458 127.649881,61.3431458 L124.870058,61.3431458 L124.870058,45.3431458 Z M100.870058,45.3431458 L15.6638275,45.3431458 C15.5064377,45.3431458 15.3501085,45.3476943 15.1949638,45.3566664 L15.1949638,45.3566664 C15.0628002,45.3477039 14.928279,45.3431458 14.7913977,45.3431458 C6.68160973,45.3431458 -8.34314575,53.3431458 -8.34314575,53.3431458 C-8.34314575,53.3431458 6.85614548,61.3431458 14.7913977,61.3431458 C14.9266533,61.3431458 15.0596543,61.3384973 15.190398,61.3293588 C15.3470529,61.3385075 15.5049057,61.3431458 15.6638275,61.3431458 L100.870058,61.3431458 L100.870058,45.3431458 L100.870058,45.3431458 Z\" transform=\"translate(63.656854, 53.343146) rotate(-45.000000) translate(-63.656854, -53.343146) \"></path>\n <path d=\"M35.1714596,124.189544 C41.9594858,123.613403 49.068777,121.917633 58.85987,118.842282 C60.6854386,118.268877 62.4306907,117.705515 65.1957709,116.802278 C81.1962861,111.575575 87.0734839,109.994907 93.9414474,109.655721 C102.29855,109.242993 107.795169,111.785371 111.520478,118.355045 C112.610163,120.276732 115.051363,120.951203 116.97305,119.861518 C118.894737,118.771832 119.569207,116.330633 118.479522,114.408946 C113.146151,105.003414 104.734907,101.112919 93.5468356,101.66546 C85.6716631,102.054388 79.4899908,103.716944 62.7116783,109.197722 C59.9734132,110.092199 58.2519873,110.64787 56.4625698,111.20992 C37.002649,117.322218 25.6914684,118.282267 16.8654804,112.957098 C14.9739614,111.815848 12.5154166,112.424061 11.3741667,114.31558 C10.2329168,116.207099 10.84113,118.665644 12.7326489,119.806894 C19.0655164,123.627836 26.4866335,124.926678 35.1714596,124.189544 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/erase": {
"title": "$:/core/images/erase",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-erase tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M60.0870401,127.996166 L123.102318,64.980888 C129.636723,58.4464827 129.629513,47.8655877 123.098967,41.3350425 L99.4657866,17.7018617 C92.927448,11.1635231 82.3486358,11.1698163 75.8199411,17.698511 L4.89768189,88.6207702 C-1.63672343,95.1551755 -1.6295126,105.736071 4.90103262,112.266616 L20.6305829,127.996166 L60.0870401,127.996166 Z M25.1375576,120.682546 L10.812569,106.357558 C7.5455063,103.090495 7.54523836,97.793808 10.8048093,94.5342371 L46.2691086,59.0699377 L81.7308914,94.5317205 L55.5800654,120.682546 L25.1375576,120.682546 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/excise": {
"title": "$:/core/images/excise",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-excise tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M56,107.313709 L53.6568542,109.656854 C50.5326599,112.781049 45.4673401,112.781049 42.3431457,109.656854 C39.2189514,106.53266 39.2189514,101.46734 42.3431458,98.3431457 L58.3431458,82.3431457 C61.4673401,79.2189514 66.5326599,79.2189514 69.6568542,82.3431458 L85.6568542,98.3431458 C88.7810486,101.46734 88.7810486,106.53266 85.6568542,109.656854 C82.5326599,112.781049 77.4673401,112.781049 74.3431458,109.656854 L72,107.313708 L72,121.597798 C72,125.133636 68.418278,128 64,128 C59.581722,128 56,125.133636 56,121.597798 L56,107.313709 Z M0,40.0070969 C0,35.5848994 3.59071231,32 8,32 C12.418278,32 16,35.5881712 16,40.0070969 L16,71.9929031 C16,76.4151006 12.4092877,80 8,80 C3.581722,80 0,76.4118288 0,71.9929031 L0,40.0070969 Z M32,40.0070969 C32,35.5848994 35.5907123,32 40,32 C44.418278,32 48,35.5881712 48,40.0070969 L48,71.9929031 C48,76.4151006 44.4092877,80 40,80 C35.581722,80 32,76.4118288 32,71.9929031 L32,40.0070969 Z M80,40.0070969 C80,35.5848994 83.5907123,32 88,32 C92.418278,32 96,35.5881712 96,40.0070969 L96,71.9929031 C96,76.4151006 92.4092877,80 88,80 C83.581722,80 80,76.4118288 80,71.9929031 L80,40.0070969 Z M56,8.00709688 C56,3.58489938 59.5907123,0 64,0 C68.418278,0 72,3.58817117 72,8.00709688 L72,39.9929031 C72,44.4151006 68.4092877,48 64,48 C59.581722,48 56,44.4118288 56,39.9929031 L56,8.00709688 Z M112,40.0070969 C112,35.5848994 115.590712,32 120,32 C124.418278,32 128,35.5881712 128,40.0070969 L128,71.9929031 C128,76.4151006 124.409288,80 120,80 C115.581722,80 112,76.4118288 112,71.9929031 L112,40.0070969 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/export-button": {
"title": "$:/core/images/export-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-export-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M8.00348646,127.999999 C8.00464867,128 8.00581094,128 8.00697327,128 L119.993027,128 C122.205254,128 124.207939,127.101378 125.657096,125.651198 L125.656838,125.65759 C127.104563,124.210109 128,122.21009 128,119.999949 L128,56.0000511 C128,51.5817449 124.409288,48 120,48 C115.581722,48 112,51.5797863 112,56.0000511 L112,112 L16,112 L16,56.0000511 C16,51.5817449 12.4092877,48 8,48 C3.581722,48 7.10542736e-15,51.5797863 7.10542736e-15,56.0000511 L7.10542736e-15,119.999949 C7.10542736e-15,124.418255 3.59071231,128 8,128 C8.00116233,128 8.0023246,128 8.00348681,127.999999 Z M56.6235633,27.3113724 L47.6580188,36.2769169 C44.5333664,39.4015692 39.4634864,39.4061295 36.339292,36.2819351 C33.2214548,33.1640979 33.2173444,28.0901742 36.3443103,24.9632084 L58.9616908,2.34582788 C60.5248533,0.782665335 62.5748436,0.000361191261 64.624516,2.38225238e-14 L64.6193616,0.00151809229 C66.6695374,0.000796251595 68.7211167,0.781508799 70.2854358,2.34582788 L92.9028163,24.9632084 C96.0274686,28.0878607 96.0320289,33.1577408 92.9078345,36.2819351 C89.7899973,39.3997724 84.7160736,39.4038827 81.5891078,36.2769169 L72.6235633,27.3113724 L72.6235633,88.5669606 C72.6235633,92.9781015 69.0418413,96.5662064 64.6235633,96.5662064 C60.2142756,96.5662064 56.6235633,92.984822 56.6235633,88.5669606 L56.6235633,27.3113724 L56.6235633,27.3113724 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/file": {
"title": "$:/core/images/file",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-file tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"nonzero\">\n <path d=\"M111.96811,30.5 L112,30.5 L112,119.999079 C112,124.417866 108.419113,128 104.000754,128 L23.9992458,128 C19.5813843,128 16,124.417687 16,119.999079 L16,8.00092105 C16,3.58213437 19.5808867,0 23.9992458,0 L81,0 L81,0.0201838424 C83.1589869,-0.071534047 85.3482153,0.707077645 86.9982489,2.35711116 L109.625176,24.9840387 C111.151676,26.510538 111.932942,28.4998414 111.96811,30.5 L111.96811,30.5 Z M81,8 L24,8 L24,120 L104,120 L104,30.5 L89.0003461,30.5 C84.5818769,30.5 81,26.9216269 81,22.4996539 L81,8 Z\"></path>\n <rect x=\"32\" y=\"36\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"52\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"68\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"84\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"100\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"20\" width=\"40\" height=\"8\" rx=\"4\"></rect>\n </g>\n</svg>"
},
"$:/core/images/fixed-height": {
"title": "$:/core/images/fixed-height",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-fixed-height tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M60,35.6568542 L50.8284271,44.8284271 C49.26633,46.3905243 46.73367,46.3905243 45.1715729,44.8284271 C43.6094757,43.26633 43.6094757,40.73367 45.1715729,39.1715729 L61.1715729,23.1715729 C62.73367,21.6094757 65.2663299,21.6094757 66.8284271,23.1715728 L82.8284278,39.1715728 C84.390525,40.7336699 84.390525,43.2663299 82.8284279,44.8284271 C81.2663308,46.3905243 78.7336709,46.3905243 77.1715737,44.8284272 L68,35.6568539 L68,93.3431461 L77.1715737,84.1715728 C78.7336709,82.6094757 81.2663308,82.6094757 82.8284279,84.1715729 C84.390525,85.7336701 84.390525,88.2663301 82.8284278,89.8284272 L66.8284271,105.828427 C65.2663299,107.390524 62.73367,107.390524 61.1715729,105.828427 L45.1715729,89.8284271 C43.6094757,88.26633 43.6094757,85.73367 45.1715729,84.1715729 C46.73367,82.6094757 49.26633,82.6094757 50.8284271,84.1715729 L60,93.3431458 L60,35.6568542 L60,35.6568542 Z M16,116 L112,116 C114.209139,116 116,114.209139 116,112 C116,109.790861 114.209139,108 112,108 L16,108 C13.790861,108 12,109.790861 12,112 C12,114.209139 13.790861,116 16,116 L16,116 Z M16,20 L112,20 C114.209139,20 116,18.209139 116,16 C116,13.790861 114.209139,12 112,12 L16,12 C13.790861,12 12,13.790861 12,16 C12,18.209139 13.790861,20 16,20 L16,20 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/fold-all-button": {
"title": "$:/core/images/fold-all-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-fold-all tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <rect x=\"0\" y=\"0\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"0\" y=\"64\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <path d=\"M64.0292774,58.6235628 C61.9791013,58.6242848 59.9275217,57.8435723 58.3632024,56.279253 L35.7458219,33.6618725 C32.6211696,30.5372202 32.6166093,25.4673401 35.7408036,22.3431458 C38.8586409,19.2253085 43.9325646,19.2211982 47.0595304,22.348164 L64.0250749,39.3137085 L80.9906194,22.348164 C84.1152717,19.2235117 89.1851518,19.2189514 92.3093461,22.3431458 C95.4271834,25.460983 95.4312937,30.5349067 92.3043279,33.6618725 L69.6869474,56.279253 C68.1237851,57.8424153 66.0737951,58.6247195 64.0241231,58.6250809 Z\" transform=\"translate(64.024316, 39.313708) scale(1, -1) translate(-64.024316, -39.313708) \"></path>\n <path d=\"M64.0292774,123.621227 C61.9791013,123.621949 59.9275217,122.841236 58.3632024,121.276917 L35.7458219,98.6595365 C32.6211696,95.5348842 32.6166093,90.4650041 35.7408036,87.3408098 C38.8586409,84.2229725 43.9325646,84.2188622 47.0595304,87.345828 L64.0250749,104.311373 L80.9906194,87.345828 C84.1152717,84.2211757 89.1851518,84.2166154 92.3093461,87.3408098 C95.4271834,90.458647 95.4312937,95.5325707 92.3043279,98.6595365 L69.6869474,121.276917 C68.1237851,122.840079 66.0737951,123.622383 64.0241231,123.622745 Z\" transform=\"translate(64.024316, 104.311372) scale(1, -1) translate(-64.024316, -104.311372) \"></path>\n </g>\n</svg>"
},
"$:/core/images/fold-button": {
"title": "$:/core/images/fold-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-fold tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <rect x=\"0\" y=\"0\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <path d=\"M64.0292774,63.6235628 C61.9791013,63.6242848 59.9275217,62.8435723 58.3632024,61.279253 L35.7458219,38.6618725 C32.6211696,35.5372202 32.6166093,30.4673401 35.7408036,27.3431458 C38.8586409,24.2253085 43.9325646,24.2211982 47.0595304,27.348164 L64.0250749,44.3137085 L80.9906194,27.348164 C84.1152717,24.2235117 89.1851518,24.2189514 92.3093461,27.3431458 C95.4271834,30.460983 95.4312937,35.5349067 92.3043279,38.6618725 L69.6869474,61.279253 C68.1237851,62.8424153 66.0737951,63.6247195 64.0241231,63.6250809 Z\" transform=\"translate(64.024316, 44.313708) scale(1, -1) translate(-64.024316, -44.313708) \"></path>\n <path d=\"M64.0049614,105.998482 C61.9547853,105.999204 59.9032057,105.218491 58.3388864,103.654172 L35.7215059,81.0367916 C32.5968535,77.9121393 32.5922933,72.8422592 35.7164876,69.7180649 C38.8343248,66.6002276 43.9082485,66.5961173 47.0352144,69.7230831 L64.0007589,86.6886276 L80.9663034,69.7230831 C84.0909557,66.5984308 89.1608358,66.5938705 92.2850301,69.7180649 C95.4028673,72.8359021 95.4069777,77.9098258 92.2800119,81.0367916 L69.6626314,103.654172 C68.099469,105.217334 66.0494791,105.999639 63.999807,106 Z\" transform=\"translate(64.000000, 86.688628) scale(1, -1) translate(-64.000000, -86.688628) \"></path>\n </g>\n</svg>"
},
"$:/core/images/fold-others-button": {
"title": "$:/core/images/fold-others-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-fold-others tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <rect x=\"0\" y=\"56.0314331\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <path d=\"M101.657101,104.948818 C100.207918,103.498614 98.2051847,102.599976 95.9929031,102.599976 L72,102.599976 L72,78.6070725 C72,76.3964271 71.1036108,74.3936927 69.6545293,72.9441002 L69.6571005,72.9488183 C68.2079177,71.4986143 66.2051847,70.5999756 63.9929031,70.5999756 L32.0070969,70.5999756 C27.5881712,70.5999756 24,74.1816976 24,78.5999756 C24,83.0092633 27.5848994,86.5999756 32.0070969,86.5999756 L56,86.5999756 L56,110.592879 C56,112.803524 56.8963895,114.806259 58.3454713,116.255852 L58.3429,116.251133 C59.7920828,117.701337 61.7948156,118.599976 64.0070969,118.599976 L88,118.599976 L88,142.592879 C88,147.011804 91.581722,150.599976 96,150.599976 C100.409288,150.599976 104,147.015076 104,142.592879 L104,110.607072 C104,108.396427 103.103611,106.393693 101.654529,104.9441 Z\" transform=\"translate(64.000000, 110.599976) rotate(-45.000000) translate(-64.000000, -110.599976) \"></path>\n <path d=\"M101.725643,11.7488671 C100.27646,10.2986632 98.2737272,9.40002441 96.0614456,9.40002441 L72.0685425,9.40002441 L72.0685425,-14.5928787 C72.0685425,-16.8035241 71.1721533,-18.8062584 69.7230718,-20.255851 L69.725643,-20.2511329 C68.2764602,-21.7013368 66.2737272,-22.5999756 64.0614456,-22.5999756 L32.0756394,-22.5999756 C27.6567137,-22.5999756 24.0685425,-19.0182536 24.0685425,-14.5999756 C24.0685425,-10.1906879 27.6534419,-6.59997559 32.0756394,-6.59997559 L56.0685425,-6.59997559 L56.0685425,17.3929275 C56.0685425,19.6035732 56.964932,21.6063078 58.4140138,23.0559004 L58.4114425,23.0511823 C59.8606253,24.5013859 61.8633581,25.4000244 64.0756394,25.4000244 L88.0685425,25.4000244 L88.0685425,49.3929275 C88.0685425,53.8118532 91.6502645,57.4000244 96.0685425,57.4000244 C100.47783,57.4000244 104.068542,53.815125 104.068542,49.3929275 L104.068542,17.4071213 C104.068542,15.1964759 103.172153,13.1937416 101.723072,11.744149 Z\" transform=\"translate(64.068542, 17.400024) scale(1, -1) rotate(-45.000000) translate(-64.068542, -17.400024) \"></path>\n </g>\n</svg>"
},
"$:/core/images/folder": {
"title": "$:/core/images/folder",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-folder tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M55.6943257,128.000004 L7.99859666,128.000004 C3.5810937,128.000004 0,124.413822 0,119.996384 L0,48.0036243 C0,43.5833471 3.58387508,40.0000044 7.99859666,40.0000044 L16,40.0000044 L16,31.9999914 C16,27.5817181 19.5783731,24 24.0003461,24 L55.9996539,24 C60.4181231,24 64,27.5800761 64,31.9999914 L64,40.0000044 L104.001403,40.0000044 C108.418906,40.0000044 112,43.5861868 112,48.0036243 L112,59.8298353 L104,59.7475921 L104,51.9994189 C104,49.7887607 102.207895,48.0000044 99.9972215,48.0000044 L56,48.0000044 L56,36.0000255 C56,33.7898932 54.2072328,32 51.9957423,32 L28.0042577,32 C25.7890275,32 24,33.7908724 24,36.0000255 L24,48.0000044 L12.0027785,48.0000044 C9.78987688,48.0000044 8,49.7906032 8,51.9994189 L8,116.00059 C8,118.211248 9.79210499,120.000004 12.0027785,120.000004 L58.7630167,120.000004 L55.6943257,128.000004 L55.6943257,128.000004 Z\"></path>\n <path d=\"M23.8728955,55.5 L119.875702,55.5 C124.293205,55.5 126.87957,59.5532655 125.650111,64.5630007 L112.305967,118.936999 C111.077582,123.942356 106.497904,128 102.083183,128 L6.08037597,128 C1.66287302,128 -0.923492342,123.946735 0.305967145,118.936999 L13.650111,64.5630007 C14.878496,59.5576436 19.4581739,55.5 23.8728955,55.5 L23.8728955,55.5 L23.8728955,55.5 Z M25.6530124,64 L113.647455,64 C115.858129,64 117.151473,66.0930612 116.538306,68.6662267 L105.417772,115.333773 C104.803671,117.910859 102.515967,120 100.303066,120 L12.3086228,120 C10.0979492,120 8.8046054,117.906939 9.41777189,115.333773 L20.5383062,68.6662267 C21.1524069,66.0891409 23.4401107,64 25.6530124,64 L25.6530124,64 L25.6530124,64 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/full-screen-button": {
"title": "$:/core/images/full-screen-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-full-screen-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g>\n <g>\n <path d=\"M5.29777586e-31,8 C1.59060409e-15,3.581722 3.581722,0 8,0 L40,0 C44.418278,0 48,3.581722 48,8 C48,12.418278 44.418278,16 40,16 L16,16 L16,40 C16,44.418278 12.418278,48 8,48 C3.581722,48 -3.55271368e-15,44.418278 0,40 L3.55271368e-15,8 Z\"></path>\n </g>\n <g transform=\"translate(104.000000, 104.000000) rotate(-180.000000) translate(-104.000000, -104.000000) translate(80.000000, 80.000000)\">\n <path d=\"M5.29777586e-31,8 C1.59060409e-15,3.581722 3.581722,0 8,0 L40,0 C44.418278,0 48,3.581722 48,8 C48,12.418278 44.418278,16 40,16 L16,16 L16,40 C16,44.418278 12.418278,48 8,48 C3.581722,48 -3.55271368e-15,44.418278 0,40 L3.55271368e-15,8 Z\"></path>\n </g>\n <g transform=\"translate(24.000000, 104.000000) rotate(-90.000000) translate(-24.000000, -104.000000) translate(0.000000, 80.000000)\">\n <path d=\"M5.29777586e-31,8 C1.59060409e-15,3.581722 3.581722,0 8,0 L40,0 C44.418278,0 48,3.581722 48,8 C48,12.418278 44.418278,16 40,16 L16,16 L16,40 C16,44.418278 12.418278,48 8,48 C3.581722,48 -3.55271368e-15,44.418278 0,40 L3.55271368e-15,8 Z\"></path>\n </g>\n <g transform=\"translate(104.000000, 24.000000) rotate(90.000000) translate(-104.000000, -24.000000) translate(80.000000, 0.000000)\">\n <path d=\"M5.29777586e-31,8 C1.59060409e-15,3.581722 3.581722,0 8,0 L40,0 C44.418278,0 48,3.581722 48,8 C48,12.418278 44.418278,16 40,16 L16,16 L16,40 C16,44.418278 12.418278,48 8,48 C3.581722,48 -3.55271368e-15,44.418278 0,40 L3.55271368e-15,8 Z\"></path>\n </g>\n </g>\n</svg>"
},
"$:/core/images/github": {
"title": "$:/core/images/github",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-github tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M63.9383506,1.60695328 C28.6017227,1.60695328 -0.055756057,30.2970814 -0.055756057,65.6906208 C-0.055756057,94.003092 18.2804728,118.019715 43.7123154,126.493393 C46.9143781,127.083482 48.0812647,125.104717 48.0812647,123.405261 C48.0812647,121.886765 48.02626,117.85449 47.9948287,112.508284 C30.1929317,116.379268 26.4368926,103.916587 26.4368926,103.916587 C23.5255693,96.5129372 19.3294921,94.5420399 19.3294921,94.5420399 C13.5186324,90.5687739 19.7695302,90.6474524 19.7695302,90.6474524 C26.1933001,91.099854 29.5721638,97.2525155 29.5721638,97.2525155 C35.2808718,107.044059 44.5531024,104.215566 48.1991321,102.575118 C48.7806109,98.4366275 50.4346826,95.612068 52.2616263,94.0109598 C38.0507543,92.3941159 23.1091047,86.8944862 23.1091047,62.3389152 C23.1091047,55.3443933 25.6039634,49.6205298 29.6978889,45.1437211 C29.0378318,43.5229433 26.8415704,37.0044266 30.3265147,28.1845627 C30.3265147,28.1845627 35.6973364,26.4615028 47.9241083,34.7542205 C53.027764,33.330139 58.5046663,32.6220321 63.9462084,32.5944947 C69.3838216,32.6220321 74.856795,33.330139 79.9683085,34.7542205 C92.1872225,26.4615028 97.5501864,28.1845627 97.5501864,28.1845627 C101.042989,37.0044266 98.8467271,43.5229433 98.190599,45.1437211 C102.292382,49.6205298 104.767596,55.3443933 104.767596,62.3389152 C104.767596,86.9574291 89.8023734,92.3744463 75.5482834,93.9598188 C77.8427675,95.9385839 79.8897303,99.8489072 79.8897303,105.828476 C79.8897303,114.392635 79.8111521,121.304544 79.8111521,123.405261 C79.8111521,125.120453 80.966252,127.114954 84.2115327,126.489459 C109.623731,117.996111 127.944244,93.9952241 127.944244,65.6906208 C127.944244,30.2970814 99.2867652,1.60695328 63.9383506,1.60695328\"></path>\n </g>\n </svg>\n"
},
"$:/core/images/globe": {
"title": "$:/core/images/globe",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-globe tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M72.8111354,37.1275855 C72.8111354,37.9789875 72.8111354,38.8303894 72.8111354,39.6817913 C72.8111354,41.8784743 73.7885604,46.5631866 72.8111354,48.5143758 C71.3445471,51.4420595 68.1617327,52.0543531 66.4170946,54.3812641 C65.2352215,55.9575873 61.7987417,64.9821523 62.7262858,67.3005778 C66.6959269,77.2228204 74.26087,70.4881886 80.6887657,76.594328 C81.5527211,77.415037 83.5758191,78.8666631 83.985137,79.8899578 C87.2742852,88.1128283 76.4086873,94.8989524 87.7419325,106.189751 C88.9872885,107.430443 91.555495,102.372895 91.8205061,101.575869 C92.6726866,99.0129203 98.5458765,96.1267309 100.908882,94.5234439 C102.928056,93.1534443 105.782168,91.8557166 107.236936,89.7775886 C109.507391,86.5342557 108.717505,82.2640435 110.334606,79.0328716 C112.473794,74.7585014 114.163418,69.3979002 116.332726,65.0674086 C120.230862,57.2857361 121.054075,67.1596684 121.400359,67.5059523 C121.757734,67.8633269 122.411167,67.5059523 122.916571,67.5059523 C123.011132,67.5059523 124.364019,67.6048489 124.432783,67.5059523 C125.0832,66.5705216 123.390209,49.5852316 123.114531,48.2089091 C121.710578,41.1996597 116.17083,32.4278331 111.249523,27.7092761 C104.975994,21.6942076 104.160516,11.5121686 92.9912146,12.7547535 C92.7872931,12.7774397 87.906794,22.9027026 85.2136766,26.2672064 C81.486311,30.9237934 82.7434931,22.1144904 78.6876623,22.1144904 C78.6065806,22.1144904 77.5045497,22.0107615 77.4353971,22.1144904 C76.8488637,22.9942905 75.9952305,26.0101404 75.1288269,26.5311533 C74.8635477,26.6906793 73.4071369,26.2924966 73.2826811,26.5311533 C71.0401728,30.8313939 81.5394677,28.7427264 79.075427,34.482926 C76.7225098,39.9642538 72.747373,32.4860199 72.747373,43.0434079\"></path>\n <path d=\"M44.4668556,7.01044608 C54.151517,13.1403033 45.1489715,19.2084878 47.1611905,23.2253896 C48.8157833,26.5283781 51.4021933,28.6198851 48.8753629,33.038878 C46.8123257,36.6467763 42.0052989,37.0050492 39.251679,39.7621111 C36.2115749,42.8060154 33.7884281,48.7028116 32.4624592,52.6732691 C30.8452419,57.5158356 47.0088721,59.5388126 44.5246867,63.6811917 C43.1386839,65.9923513 37.7785192,65.1466282 36.0880227,63.8791519 C34.9234453,63.0059918 32.4946425,63.3331166 31.6713597,62.0997342 C29.0575851,58.1839669 29.4107339,54.0758543 28.0457962,49.9707786 C27.1076833,47.1493864 21.732611,47.8501656 20.2022714,49.3776393 C19.6790362,49.8998948 19.8723378,51.1703278 19.8723378,51.8829111 C19.8723378,57.1682405 26.9914913,55.1986414 26.9914913,58.3421973 C26.9914913,72.9792302 30.9191897,64.8771867 38.1313873,69.6793121 C48.1678018,76.3618966 45.9763926,76.981595 53.0777543,84.0829567 C56.7511941,87.7563965 60.8192437,87.7689005 62.503478,93.3767069 C64.1046972,98.7081071 53.1759798,98.7157031 50.786754,100.825053 C49.663965,101.816317 47.9736094,104.970571 46.5680513,105.439676 C44.7757187,106.037867 43.334221,105.93607 41.6242359,107.219093 C39.1967302,109.040481 37.7241465,112.151588 37.6034934,112.030935 C35.4555278,109.88297 34.0848666,96.5511248 33.7147244,93.7726273 C33.1258872,89.3524817 28.1241923,88.2337027 26.7275443,84.7420826 C25.1572737,80.8164061 28.2518481,75.223612 25.599097,70.9819941 C19.0797019,60.557804 13.7775712,56.4811506 10.2493953,44.6896152 C9.3074899,41.5416683 13.5912267,38.1609942 15.1264825,35.8570308 C17.0029359,33.0410312 17.7876232,30.0028946 19.8723378,27.2224065 C22.146793,24.1888519 40.8551166,9.46076832 43.8574051,8.63490613 L44.4668556,7.01044608 Z\"></path>\n <path d=\"M64,126 C98.2416545,126 126,98.2416545 126,64 C126,29.7583455 98.2416545,2 64,2 C29.7583455,2 2,29.7583455 2,64 C2,98.2416545 29.7583455,126 64,126 Z M64,120 C94.927946,120 120,94.927946 120,64 C120,33.072054 94.927946,8 64,8 C33.072054,8 8,33.072054 8,64 C8,94.927946 33.072054,120 64,120 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-1": {
"title": "$:/core/images/heading-1",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-1 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M14,30 L27.25,30 L27.25,60.104 L61.7,60.104 L61.7,30 L74.95,30 L74.95,105.684 L61.7,105.684 L61.7,71.552 L27.25,71.552 L27.25,105.684 L14,105.684 L14,30 Z M84.3350766,43.78 C86.8790893,43.78 89.3523979,43.5680021 91.7550766,43.144 C94.1577553,42.7199979 96.3307336,42.0133383 98.2740766,41.024 C100.21742,40.0346617 101.87807,38.7626744 103.256077,37.208 C104.634084,35.6533256 105.535075,33.7453446 105.959077,31.484 L115.817077,31.484 L115.817077,105.684 L102.567077,105.684 L102.567077,53.32 L84.3350766,53.32 L84.3350766,43.78 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-2": {
"title": "$:/core/images/heading-2",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-2 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M6,30 L19.25,30 L19.25,60.104 L53.7,60.104 L53.7,30 L66.95,30 L66.95,105.684 L53.7,105.684 L53.7,71.552 L19.25,71.552 L19.25,105.684 L6,105.684 L6,30 Z M125.519077,105.684 L74.8510766,105.684 C74.9217436,99.5359693 76.4057288,94.1653563 79.3030766,89.572 C82.2004244,84.9786437 86.1577182,80.986017 91.1750766,77.594 C93.5777553,75.8273245 96.0863969,74.113675 98.7010766,72.453 C101.315756,70.792325 103.718399,69.0080095 105.909077,67.1 C108.099754,65.1919905 109.901736,63.1250111 111.315077,60.899 C112.728417,58.6729889 113.47041,56.1113478 113.541077,53.214 C113.541077,51.8713266 113.382078,50.4403409 113.064077,48.921 C112.746075,47.4016591 112.127748,45.9883399 111.209077,44.681 C110.290405,43.3736601 109.018418,42.2783377 107.393077,41.395 C105.767735,40.5116622 103.647756,40.07 101.033077,40.07 C98.6303979,40.07 96.6340846,40.5469952 95.0440766,41.501 C93.4540687,42.4550048 92.1820814,43.762325 91.2280766,45.423 C90.2740719,47.083675 89.5674123,49.0446554 89.1080766,51.306 C88.648741,53.5673446 88.3837436,56.0053203 88.3130766,58.62 L76.2290766,58.62 C76.2290766,54.5213128 76.7767378,50.7230175 77.8720766,47.225 C78.9674154,43.7269825 80.610399,40.7060127 82.8010766,38.162 C84.9917542,35.6179873 87.6593942,33.6216739 90.8040766,32.173 C93.948759,30.7243261 97.6057224,30 101.775077,30 C106.297766,30 110.078395,30.7419926 113.117077,32.226 C116.155758,33.7100074 118.611401,35.5826554 120.484077,37.844 C122.356753,40.1053446 123.681739,42.5609868 124.459077,45.211 C125.236414,47.8610133 125.625077,50.3873213 125.625077,52.79 C125.625077,55.7580148 125.165748,58.4433213 124.247077,60.846 C123.328405,63.2486787 122.091751,65.4569899 120.537077,67.471 C118.982402,69.4850101 117.215753,71.3399915 115.237077,73.036 C113.2584,74.7320085 111.209087,76.3219926 109.089077,77.806 C106.969066,79.2900074 104.849087,80.7033266 102.729077,82.046 C100.609066,83.3886734 98.6480856,84.7313266 96.8460766,86.074 C95.0440676,87.4166734 93.47175,88.8123261 92.1290766,90.261 C90.7864032,91.7096739 89.8677458,93.2466585 89.3730766,94.872 L125.519077,94.872 L125.519077,105.684 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-3": {
"title": "$:/core/images/heading-3",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-3 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M6,30 L19.25,30 L19.25,60.104 L53.7,60.104 L53.7,30 L66.95,30 L66.95,105.684 L53.7,105.684 L53.7,71.552 L19.25,71.552 L19.25,105.684 L6,105.684 L6,30 Z M94.8850766,62.224 C96.8637532,62.294667 98.8424001,62.1533351 100.821077,61.8 C102.799753,61.4466649 104.566402,60.8283378 106.121077,59.945 C107.675751,59.0616623 108.930072,57.8426744 109.884077,56.288 C110.838081,54.7333256 111.315077,52.8253446 111.315077,50.564 C111.315077,47.3839841 110.237421,44.8400095 108.082077,42.932 C105.926733,41.0239905 103.153094,40.07 99.7610766,40.07 C97.641066,40.07 95.8037511,40.4939958 94.2490766,41.342 C92.6944022,42.1900042 91.4047484,43.3383261 90.3800766,44.787 C89.3554048,46.2356739 88.5957458,47.860991 88.1010766,49.663 C87.6064075,51.465009 87.3944096,53.3199905 87.4650766,55.228 L75.3810766,55.228 C75.5224107,51.623982 76.1937373,48.2850154 77.3950766,45.211 C78.596416,42.1369846 80.2393995,39.4693446 82.3240766,37.208 C84.4087537,34.9466554 86.9350618,33.1800064 89.9030766,31.908 C92.8710915,30.6359936 96.2277246,30 99.9730766,30 C102.870424,30 105.714729,30.4239958 108.506077,31.272 C111.297424,32.1200042 113.806065,33.3566585 116.032077,34.982 C118.258088,36.6073415 120.042403,38.6743208 121.385077,41.183 C122.72775,43.6916792 123.399077,46.5713171 123.399077,49.822 C123.399077,53.5673521 122.551085,56.8356527 120.855077,59.627 C119.159068,62.4183473 116.509095,64.4499936 112.905077,65.722 L112.905077,65.934 C117.145098,66.7820042 120.448731,68.8843166 122.816077,72.241 C125.183422,75.5976835 126.367077,79.6786426 126.367077,84.484 C126.367077,88.017351 125.660417,91.1796527 124.247077,93.971 C122.833736,96.7623473 120.925755,99.129657 118.523077,101.073 C116.120398,103.016343 113.329093,104.517995 110.149077,105.578 C106.969061,106.638005 103.612428,107.168 100.079077,107.168 C95.7683884,107.168 92.005426,106.549673 88.7900766,105.313 C85.5747272,104.076327 82.8894207,102.327345 80.7340766,100.066 C78.5787325,97.8046554 76.9357489,95.0840159 75.8050766,91.904 C74.6744043,88.7239841 74.0737436,85.1906861 74.0030766,81.304 L86.0870766,81.304 C85.9457426,85.8266893 87.0587315,89.5896517 89.4260766,92.593 C91.7934218,95.5963483 95.3443863,97.098 100.079077,97.098 C104.107097,97.098 107.481396,95.9496782 110.202077,93.653 C112.922757,91.3563219 114.283077,88.0880212 114.283077,83.848 C114.283077,80.9506522 113.717749,78.6540085 112.587077,76.958 C111.456404,75.2619915 109.972419,73.9723378 108.135077,73.089 C106.297734,72.2056623 104.230755,71.6580011 101.934077,71.446 C99.6373985,71.2339989 97.2877553,71.163333 94.8850766,71.234 L94.8850766,62.224 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-4": {
"title": "$:/core/images/heading-4",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-4 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M8,30 L21.25,30 L21.25,60.104 L55.7,60.104 L55.7,30 L68.95,30 L68.95,105.684 L55.7,105.684 L55.7,71.552 L21.25,71.552 L21.25,105.684 L8,105.684 L8,30 Z M84.5890766,78.548 L107.061077,78.548 L107.061077,45.9 L106.849077,45.9 L84.5890766,78.548 Z M128.049077,88.088 L118.509077,88.088 L118.509077,105.684 L107.061077,105.684 L107.061077,88.088 L75.2610766,88.088 L75.2610766,76.11 L107.061077,31.484 L118.509077,31.484 L118.509077,78.548 L128.049077,78.548 L128.049077,88.088 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-5": {
"title": "$:/core/images/heading-5",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-5 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M6,30 L19.25,30 L19.25,60.104 L53.7,60.104 L53.7,30 L66.95,30 L66.95,105.684 L53.7,105.684 L53.7,71.552 L19.25,71.552 L19.25,105.684 L6,105.684 L6,30 Z M83.7550766,31.484 L122.127077,31.484 L122.127077,42.296 L92.7650766,42.296 L88.9490766,61.164 L89.1610766,61.376 C90.7864181,59.5386575 92.8533974,58.1430048 95.3620766,57.189 C97.8707558,56.2349952 100.361731,55.758 102.835077,55.758 C106.509762,55.758 109.795729,56.3763272 112.693077,57.613 C115.590424,58.8496729 118.0284,60.5809889 120.007077,62.807 C121.985753,65.0330111 123.487405,67.6653181 124.512077,70.704 C125.536748,73.7426819 126.049077,77.028649 126.049077,80.562 C126.049077,83.5300148 125.572081,86.5863176 124.618077,89.731 C123.664072,92.8756824 122.144754,95.7376538 120.060077,98.317 C117.9754,100.896346 115.30776,103.016325 112.057077,104.677 C108.806394,106.337675 104.919766,107.168 100.397077,107.168 C96.7930586,107.168 93.454092,106.691005 90.3800766,105.737 C87.3060613,104.782995 84.6030883,103.35201 82.2710766,101.444 C79.939065,99.5359905 78.0840835,97.1863473 76.7060766,94.395 C75.3280697,91.6036527 74.5684107,88.3353521 74.4270766,84.59 L86.5110766,84.59 C86.8644117,88.6180201 88.2423979,91.7096559 90.6450766,93.865 C93.0477553,96.0203441 96.2277235,97.098 100.185077,97.098 C102.729089,97.098 104.884401,96.6740042 106.651077,95.826 C108.417752,94.9779958 109.848738,93.8120074 110.944077,92.328 C112.039415,90.8439926 112.816741,89.1126766 113.276077,87.134 C113.735412,85.1553234 113.965077,83.0353446 113.965077,80.774 C113.965077,78.7246564 113.682413,76.763676 113.117077,74.891 C112.55174,73.018324 111.703749,71.3753404 110.573077,69.962 C109.442404,68.5486596 107.976086,67.4180042 106.174077,66.57 C104.372068,65.7219958 102.269755,65.298 99.8670766,65.298 C97.3230639,65.298 94.9380878,65.7749952 92.7120766,66.729 C90.4860655,67.6830048 88.8784149,69.4673203 87.8890766,72.082 L75.8050766,72.082 L83.7550766,31.484 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/heading-6": {
"title": "$:/core/images/heading-6",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-heading-6 tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M6,30 L19.25,30 L19.25,60.104 L53.7,60.104 L53.7,30 L66.95,30 L66.95,105.684 L53.7,105.684 L53.7,71.552 L19.25,71.552 L19.25,105.684 L6,105.684 L6,30 Z M112.587077,50.246 C112.304409,47.2073181 111.226753,44.751676 109.354077,42.879 C107.481401,41.006324 104.955093,40.07 101.775077,40.07 C99.584399,40.07 97.6940846,40.4763293 96.1040766,41.289 C94.5140687,42.1016707 93.1714154,43.1793266 92.0760766,44.522 C90.9807378,45.8646734 90.0974133,47.401658 89.4260766,49.133 C88.7547399,50.864342 88.2070787,52.6839905 87.7830766,54.592 C87.3590745,56.5000095 87.0587442,58.390324 86.8820766,60.263 C86.7054091,62.135676 86.5464107,63.8846585 86.4050766,65.51 L86.6170766,65.722 C88.2424181,62.7539852 90.4860623,60.5456739 93.3480766,59.097 C96.2100909,57.6483261 99.3017267,56.924 102.623077,56.924 C106.297762,56.924 109.583729,57.5599936 112.481077,58.832 C115.378424,60.1040064 117.834067,61.8529889 119.848077,64.079 C121.862087,66.3050111 123.399071,68.9373181 124.459077,71.976 C125.519082,75.0146819 126.049077,78.300649 126.049077,81.834 C126.049077,85.438018 125.466082,88.7769846 124.300077,91.851 C123.134071,94.9250154 121.455754,97.6103219 119.265077,99.907 C117.074399,102.203678 114.459758,103.987994 111.421077,105.26 C108.382395,106.532006 105.025762,107.168 101.351077,107.168 C95.9097161,107.168 91.4400941,106.16101 87.9420766,104.147 C84.4440591,102.13299 81.6880867,99.3770175 79.6740766,95.879 C77.6600666,92.3809825 76.2644138,88.2823568 75.4870766,83.583 C74.7097394,78.8836432 74.3210766,73.8133605 74.3210766,68.372 C74.3210766,63.9199777 74.7980719,59.4326893 75.7520766,54.91 C76.7060814,50.3873107 78.278399,46.2710186 80.4690766,42.561 C82.6597542,38.8509815 85.5393921,35.8300117 89.1080766,33.498 C92.6767611,31.1659883 97.0757171,30 102.305077,30 C105.273091,30 108.064397,30.4946617 110.679077,31.484 C113.293756,32.4733383 115.608067,33.8513245 117.622077,35.618 C119.636087,37.3846755 121.27907,39.5046543 122.551077,41.978 C123.823083,44.4513457 124.529743,47.2073181 124.671077,50.246 L112.587077,50.246 Z M100.927077,97.098 C103.117754,97.098 105.025735,96.6563378 106.651077,95.773 C108.276418,94.8896623 109.636738,93.7413404 110.732077,92.328 C111.827415,90.9146596 112.640074,89.271676 113.170077,87.399 C113.700079,85.526324 113.965077,83.6006766 113.965077,81.622 C113.965077,79.6433234 113.700079,77.7353425 113.170077,75.898 C112.640074,74.0606575 111.827415,72.4530069 110.732077,71.075 C109.636738,69.6969931 108.276418,68.5840042 106.651077,67.736 C105.025735,66.8879958 103.117754,66.464 100.927077,66.464 C98.736399,66.464 96.8107516,66.8703293 95.1500766,67.683 C93.4894017,68.4956707 92.0937489,69.5909931 90.9630766,70.969 C89.8324043,72.3470069 88.9844128,73.9546575 88.4190766,75.792 C87.8537405,77.6293425 87.5710766,79.5726564 87.5710766,81.622 C87.5710766,83.6713436 87.8537405,85.6146575 88.4190766,87.452 C88.9844128,89.2893425 89.8324043,90.9323261 90.9630766,92.381 C92.0937489,93.8296739 93.4894017,94.9779958 95.1500766,95.826 C96.8107516,96.6740042 98.736399,97.098 100.927077,97.098 L100.927077,97.098 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/help": {
"title": "$:/core/images/help",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-help tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M36.0548906,111.44117 C30.8157418,115.837088 20.8865444,118.803477 9.5,118.803477 C7.86465619,118.803477 6.25937294,118.742289 4.69372699,118.624467 C12.612543,115.984876 18.7559465,110.02454 21.0611049,102.609942 C8.74739781,92.845129 1.04940554,78.9359851 1.04940554,63.5 C1.04940554,33.9527659 29.2554663,10 64.0494055,10 C98.8433448,10 127.049406,33.9527659 127.049406,63.5 C127.049406,93.0472341 98.8433448,117 64.0494055,117 C53.9936953,117 44.48824,114.999337 36.0548906,111.44117 L36.0548906,111.44117 Z M71.4042554,77.5980086 C71.406883,77.2865764 71.4095079,76.9382011 71.4119569,76.5610548 C71.4199751,75.3262169 71.4242825,74.0811293 71.422912,72.9158546 C71.4215244,71.736154 71.4143321,70.709635 71.4001396,69.8743525 C71.4078362,68.5173028 71.9951951,67.7870427 75.1273009,65.6385471 C75.2388969,65.5619968 76.2124091,64.8981068 76.5126553,64.6910879 C79.6062455,62.5580654 81.5345849,60.9050204 83.2750652,58.5038955 C85.6146327,55.2762841 86.8327108,51.426982 86.8327108,46.8554323 C86.8327108,33.5625756 76.972994,24.9029551 65.3778484,24.9029551 C54.2752771,24.9029551 42.8794554,34.5115163 41.3121702,47.1975534 C40.9043016,50.4989536 43.2499725,53.50591 46.5513726,53.9137786 C49.8527728,54.3216471 52.8597292,51.9759763 53.2675978,48.6745761 C54.0739246,42.1479456 60.2395837,36.9492759 65.3778484,36.9492759 C70.6427674,36.9492759 74.78639,40.5885487 74.78639,46.8554323 C74.78639,50.4892974 73.6853224,52.008304 69.6746221,54.7736715 C69.4052605,54.9593956 68.448509,55.6118556 68.3131127,55.7047319 C65.6309785,57.5445655 64.0858213,58.803255 62.6123358,60.6352315 C60.5044618,63.2559399 59.3714208,66.3518252 59.3547527,69.9487679 C59.3684999,70.8407274 59.3752803,71.8084521 59.3765995,72.9300232 C59.3779294,74.0607297 59.3737237,75.2764258 59.36589,76.482835 C59.3634936,76.8518793 59.3609272,77.1924914 59.3583633,77.4963784 C59.3568319,77.6778944 59.3556368,77.8074256 59.3549845,77.8730928 C59.3219814,81.1994287 61.9917551,83.9227111 65.318091,83.9557142 C68.644427,83.9887173 71.3677093,81.3189435 71.4007124,77.9926076 C71.4014444,77.9187458 71.402672,77.7856841 71.4042554,77.5980086 Z M65.3778489,102.097045 C69.5359735,102.097045 72.9067994,98.7262189 72.9067994,94.5680944 C72.9067994,90.4099698 69.5359735,87.0391439 65.3778489,87.0391439 C61.2197243,87.0391439 57.8488984,90.4099698 57.8488984,94.5680944 C57.8488984,98.7262189 61.2197243,102.097045 65.3778489,102.097045 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/home-button": {
"title": "$:/core/images/home-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-home-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M112.9847,119.501583 C112.99485,119.336814 113,119.170705 113,119.003406 L113,67.56802 C116.137461,70.5156358 121.076014,70.4518569 124.133985,67.3938855 C127.25818,64.2696912 127.260618,59.2068102 124.131541,56.0777326 L70.3963143,2.34250601 C68.8331348,0.779326498 66.7828947,-0.000743167069 64.7337457,1.61675364e-05 C62.691312,-0.00409949529 60.6426632,0.777559815 59.077717,2.34250601 L33,28.420223 L33,28.420223 L33,8.00697327 C33,3.58484404 29.4092877,0 25,0 C20.581722,0 17,3.59075293 17,8.00697327 L17,44.420223 L5.3424904,56.0777326 C2.21694607,59.2032769 2.22220878,64.2760483 5.34004601,67.3938855 C8.46424034,70.5180798 13.5271213,70.5205187 16.6561989,67.3914411 L17,67.04764 L17,119.993027 C17,119.994189 17.0000002,119.995351 17.0000007,119.996514 C17.0000002,119.997675 17,119.998838 17,120 C17,124.418278 20.5881049,128 24.9992458,128 L105.000754,128 C109.418616,128 113,124.409288 113,120 C113,119.832611 112.99485,119.666422 112.9847,119.501583 Z M97,112 L97,51.5736087 L97,51.5736087 L64.7370156,19.3106244 L33,51.04764 L33,112 L97,112 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/import-button": {
"title": "$:/core/images/import-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-import-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M105.449437,94.2138951 C105.449437,94.2138951 110.049457,94.1897106 110.049457,99.4026111 C110.049457,104.615512 105.163246,104.615511 105.163246,104.615511 L45.0075072,105.157833 C45.0075072,105.157833 0.367531803,106.289842 0.367532368,66.6449212 C0.367532934,27.0000003 45.0428249,27.0000003 45.0428249,27.0000003 L105.532495,27.0000003 C105.532495,27.0000003 138.996741,25.6734987 138.996741,55.1771866 C138.996741,84.6808745 105.727102,82.8457535 105.727102,82.8457535 L56.1735087,82.8457535 C56.1735087,82.8457535 22.6899229,85.1500223 22.6899229,66.0913753 C22.6899229,47.0327282 56.1735087,49.3383013 56.1735087,49.3383013 L105.727102,49.3383013 C105.727102,49.3383013 111.245209,49.3383024 111.245209,54.8231115 C111.245209,60.3079206 105.727102,60.5074524 105.727102,60.5074524 L56.1735087,60.5074524 C56.1735087,60.5074524 37.48913,60.5074528 37.48913,66.6449195 C37.48913,72.7823862 56.1735087,71.6766023 56.1735087,71.6766023 L105.727102,71.6766029 C105.727102,71.6766029 127.835546,73.1411469 127.835546,55.1771866 C127.835546,35.5304025 105.727102,38.3035317 105.727102,38.3035317 L45.0428249,38.3035317 C45.0428249,38.3035317 11.5287276,38.3035313 11.5287276,66.6449208 C11.5287276,94.9863103 45.0428244,93.9579678 45.0428244,93.9579678 L105.449437,94.2138951 Z\" transform=\"translate(69.367532, 66.000000) rotate(-45.000000) translate(-69.367532, -66.000000) \"></path>\n </g>\n</svg>"
},
"$:/core/images/info-button": {
"title": "$:/core/images/info-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-info-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <g transform=\"translate(0.049406, 0.000000)\">\n <path d=\"M64,128 C99.346224,128 128,99.346224 128,64 C128,28.653776 99.346224,0 64,0 C28.653776,0 0,28.653776 0,64 C0,99.346224 28.653776,128 64,128 Z M64,112 C90.509668,112 112,90.509668 112,64 C112,37.490332 90.509668,16 64,16 C37.490332,16 16,37.490332 16,64 C16,90.509668 37.490332,112 64,112 Z\"></path>\n <circle cx=\"64\" cy=\"32\" r=\"8\"></circle>\n <rect x=\"56\" y=\"48\" width=\"16\" height=\"56\" rx=\"8\"></rect>\n </g>\n </g>\n</svg>"
},
"$:/core/images/italic": {
"title": "$:/core/images/italic",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-italic tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <polygon points=\"66.7114846 0 89.1204482 0 62.4089636 128 40 128\"></polygon>\n </g>\n</svg>"
},
"$:/core/images/left-arrow": {
"title": "$:/core/images/left-arrow",
"created": "20150315234410875",
"modified": "20150315235324760",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-left-arrow tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <path transform=\"rotate(135, 63.8945, 64.1752)\" d=\"m109.07576,109.35336c-1.43248,1.43361 -3.41136,2.32182 -5.59717,2.32182l-79.16816,0c-4.36519,0 -7.91592,-3.5444 -7.91592,-7.91666c0,-4.36337 3.54408,-7.91667 7.91592,-7.91667l71.25075,0l0,-71.25075c0,-4.3652 3.54442,-7.91592 7.91667,-7.91592c4.36336,0 7.91667,3.54408 7.91667,7.91592l0,79.16815c0,2.1825 -0.88602,4.16136 -2.3185,5.59467l-0.00027,-0.00056z\"/>\n</svg>\n"
},
"$:/core/images/line-width": {
"title": "$:/core/images/line-width",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-line-width tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M128,-97 L112.992786,-97 C112.452362,-97 112,-96.5522847 112,-96 C112,-95.4438648 112.444486,-95 112.992786,-95 L128,-95 L128,-97 Z M128,-78.6794919 L111.216185,-88.3696322 C110.748163,-88.6398444 110.132549,-88.4782926 109.856406,-88 C109.578339,-87.5183728 109.741342,-86.9117318 110.216185,-86.6375814 L128,-76.3700908 L128,-78.6794919 Z M78.6794919,-128 L88.3696322,-111.216185 C88.6437826,-110.741342 88.4816272,-110.134474 88,-109.856406 C87.5217074,-109.580264 86.9077936,-109.748163 86.6375814,-110.216185 L76.3700908,-128 L78.6794919,-128 Z M97,-128 L97,-112.992786 C97,-112.444486 96.5561352,-112 96,-112 C95.4477153,-112 95,-112.452362 95,-112.992786 L95,-128 L97,-128 Z M115.629909,-128 L105.362419,-110.216185 C105.088268,-109.741342 104.481627,-109.578339 104,-109.856406 C103.521707,-110.132549 103.360156,-110.748163 103.630368,-111.216185 L113.320508,-128 L115.629909,-128 Z M128,-113.320508 L111.216185,-103.630368 C110.741342,-103.356217 110.134474,-103.518373 109.856406,-104 C109.580264,-104.478293 109.748163,-105.092206 110.216185,-105.362419 L128,-115.629909 L128,-113.320508 Z M48,-96 C48,-96.5522847 48.4523621,-97 48.9927864,-97 L79.0072136,-97 C79.5555144,-97 80,-96.5561352 80,-96 C80,-95.4477153 79.5476379,-95 79.0072136,-95 L48.9927864,-95 C48.4444856,-95 48,-95.4438648 48,-96 Z M54.4307806,-120 C54.706923,-120.478293 55.3225377,-120.639844 55.7905589,-120.369632 L81.7838153,-105.362419 C82.2586577,-105.088268 82.4216611,-104.481627 82.1435935,-104 C81.8674512,-103.521707 81.2518365,-103.360156 80.7838153,-103.630368 L54.7905589,-118.637581 C54.3157165,-118.911732 54.152713,-119.518373 54.4307806,-120 Z M104,-82.1435935 C104.478293,-82.4197359 105.092206,-82.2518365 105.362419,-81.7838153 L120.369632,-55.7905589 C120.643783,-55.3157165 120.481627,-54.7088482 120,-54.4307806 C119.521707,-54.1546382 118.907794,-54.3225377 118.637581,-54.7905589 L103.630368,-80.7838153 C103.356217,-81.2586577 103.518373,-81.865526 104,-82.1435935 Z M96,-80 C96.5522847,-80 97,-79.5476379 97,-79.0072136 L97,-48.9927864 C97,-48.4444856 96.5561352,-48 96,-48 C95.4477153,-48 95,-48.4523621 95,-48.9927864 L95,-79.0072136 C95,-79.5555144 95.4438648,-80 96,-80 Z M88,-82.1435935 C88.4782926,-81.8674512 88.6398444,-81.2518365 88.3696322,-80.7838153 L73.3624186,-54.7905589 C73.0882682,-54.3157165 72.4816272,-54.152713 72,-54.4307806 C71.5217074,-54.706923 71.3601556,-55.3225377 71.6303678,-55.7905589 L86.6375814,-81.7838153 C86.9117318,-82.2586577 87.5183728,-82.4216611 88,-82.1435935 Z M82.1435935,-88 C82.4197359,-87.5217074 82.2518365,-86.9077936 81.7838153,-86.6375814 L55.7905589,-71.6303678 C55.3157165,-71.3562174 54.7088482,-71.5183728 54.4307806,-72 C54.1546382,-72.4782926 54.3225377,-73.0922064 54.7905589,-73.3624186 L80.7838153,-88.3696322 C81.2586577,-88.6437826 81.865526,-88.4816272 82.1435935,-88 Z M1.30626177e-08,-41.9868843 L15.0170091,-57.9923909 L20.7983821,-52.9749272 L44.7207091,-81.2095939 L73.4260467,-42.1002685 L85.984793,-56.6159488 L104.48741,-34.0310661 L127.969109,-47.4978019 L127.969109,7.99473128e-07 L1.30626177e-08,7.99473128e-07 L1.30626177e-08,-41.9868843 Z M96,-84 C102.627417,-84 108,-89.372583 108,-96 C108,-102.627417 102.627417,-108 96,-108 C89.372583,-108 84,-102.627417 84,-96 C84,-89.372583 89.372583,-84 96,-84 Z\"></path>\n <path d=\"M16,18 L112,18 C113.104569,18 114,17.1045695 114,16 C114,14.8954305 113.104569,14 112,14 L16,14 C14.8954305,14 14,14.8954305 14,16 C14,17.1045695 14.8954305,18 16,18 L16,18 Z M16,35 L112,35 C114.209139,35 116,33.209139 116,31 C116,28.790861 114.209139,27 112,27 L16,27 C13.790861,27 12,28.790861 12,31 C12,33.209139 13.790861,35 16,35 L16,35 Z M16,56 L112,56 C115.313708,56 118,53.3137085 118,50 C118,46.6862915 115.313708,44 112,44 L16,44 C12.6862915,44 10,46.6862915 10,50 C10,53.3137085 12.6862915,56 16,56 L16,56 Z M16,85 L112,85 C117.522847,85 122,80.5228475 122,75 C122,69.4771525 117.522847,65 112,65 L16,65 C10.4771525,65 6,69.4771525 6,75 C6,80.5228475 10.4771525,85 16,85 L16,85 Z M16,128 L112,128 C120.836556,128 128,120.836556 128,112 C128,103.163444 120.836556,96 112,96 L16,96 C7.163444,96 0,103.163444 0,112 C0,120.836556 7.163444,128 16,128 L16,128 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/link": {
"title": "$:/core/images/link",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-link tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M128.719999,57.568543 C130.219553,53.8628171 131.045202,49.8121445 131.045202,45.5685425 C131.045202,27.8915447 116.718329,13.5685425 99.0452364,13.5685425 L67.0451674,13.5685425 C49.3655063,13.5685425 35.0452019,27.8954305 35.0452019,45.5685425 C35.0452019,63.2455403 49.3720745,77.5685425 67.0451674,77.5685425 L99.0452364,77.5685425 C100.406772,77.5685425 101.748384,77.4835732 103.065066,77.3186499 C96.4792444,73.7895096 91.1190212,68.272192 87.7873041,61.5685425 L67.0506214,61.5685425 C58.2110723,61.5685425 51.0452019,54.4070414 51.0452019,45.5685425 C51.0452019,36.7319865 58.2005234,29.5685425 67.0506214,29.5685425 L99.0397824,29.5685425 C107.879331,29.5685425 115.045202,36.7300436 115.045202,45.5685425 C115.045202,48.9465282 113.99957,52.0800164 112.21335,54.6623005 C114.314383,56.4735917 117.050039,57.5685425 120.041423,57.5685425 L128.720003,57.5685425 Z\" transform=\"translate(83.045202, 45.568542) rotate(-225.000000) translate(-83.045202, -45.568542)\"></path>\n <path d=\"M-0.106255113,71.0452019 C-1.60580855,74.7509276 -2.43145751,78.8016001 -2.43145751,83.0452019 C-2.43145751,100.7222 11.8954151,115.045202 29.568508,115.045202 L61.568577,115.045202 C79.2482381,115.045202 93.5685425,100.718314 93.5685425,83.0452019 C93.5685425,65.3682041 79.2416699,51.0452019 61.568577,51.0452019 L29.568508,51.0452019 C28.206973,51.0452019 26.8653616,51.1301711 25.5486799,51.2950943 C32.1345,54.8242347 37.4947231,60.3415524 40.8264403,67.0452019 L61.563123,67.0452019 C70.4026721,67.0452019 77.5685425,74.206703 77.5685425,83.0452019 C77.5685425,91.8817579 70.413221,99.0452019 61.563123,99.0452019 L29.573962,99.0452019 C20.7344129,99.0452019 13.5685425,91.8837008 13.5685425,83.0452019 C13.5685425,79.6672162 14.6141741,76.533728 16.4003949,73.9514439 C14.2993609,72.1401527 11.5637054,71.0452019 8.5723215,71.0452019 L-0.106255113,71.0452019 Z\" transform=\"translate(45.568542, 83.045202) rotate(-225.000000) translate(-45.568542, -83.045202)\"></path>\n </g>\n</svg>"
},
"$:/core/images/linkify": {
"title": "$:/core/images/linkify",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-linkify-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\"><path d=\"M17.031 31.919H9.048V96.85h7.983v6.92H0V25h17.031v6.919zm24.66 0h-7.983V96.85h7.983v6.92H24.66V25h17.03v6.919zM67.77 56.422l11.975-3.903 2.306 7.096-12.063 3.903 7.628 10.379-6.12 4.435-7.63-10.467-7.45 10.2-5.943-4.523L58.1 63.518 45.95 59.35l2.306-7.096 12.064 4.17V43.825h7.45v12.596zM86.31 96.85h7.982V31.92H86.31V25h17.031v78.77H86.31v-6.92zm24.659 0h7.983V31.92h-7.983V25H128v78.77h-17.031v-6.92z\" fill-rule=\"evenodd\"/></svg>"
},
"$:/core/images/list-bullet": {
"title": "$:/core/images/list-bullet",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-list-bullet tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M11.6363636,40.2727273 C18.0629498,40.2727273 23.2727273,35.0629498 23.2727273,28.6363636 C23.2727273,22.2097775 18.0629498,17 11.6363636,17 C5.20977746,17 0,22.2097775 0,28.6363636 C0,35.0629498 5.20977746,40.2727273 11.6363636,40.2727273 Z M11.6363636,75.1818182 C18.0629498,75.1818182 23.2727273,69.9720407 23.2727273,63.5454545 C23.2727273,57.1188684 18.0629498,51.9090909 11.6363636,51.9090909 C5.20977746,51.9090909 0,57.1188684 0,63.5454545 C0,69.9720407 5.20977746,75.1818182 11.6363636,75.1818182 Z M11.6363636,110.090909 C18.0629498,110.090909 23.2727273,104.881132 23.2727273,98.4545455 C23.2727273,92.0279593 18.0629498,86.8181818 11.6363636,86.8181818 C5.20977746,86.8181818 0,92.0279593 0,98.4545455 C0,104.881132 5.20977746,110.090909 11.6363636,110.090909 Z M34.9090909,22.8181818 L128,22.8181818 L128,34.4545455 L34.9090909,34.4545455 L34.9090909,22.8181818 Z M34.9090909,57.7272727 L128,57.7272727 L128,69.3636364 L34.9090909,69.3636364 L34.9090909,57.7272727 Z M34.9090909,92.6363636 L128,92.6363636 L128,104.272727 L34.9090909,104.272727 L34.9090909,92.6363636 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/list-number": {
"title": "$:/core/images/list-number",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-list-number tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M33.8390805,22.3563218 L128,22.3563218 L128,34.1264368 L33.8390805,34.1264368 L33.8390805,22.3563218 Z M33.8390805,57.6666667 L128,57.6666667 L128,69.4367816 L33.8390805,69.4367816 L33.8390805,57.6666667 Z M33.8390805,92.9770115 L128,92.9770115 L128,104.747126 L33.8390805,104.747126 L33.8390805,92.9770115 Z M0.379509711,42.6307008 L0.379509711,40.4082314 L1.37821948,40.4082314 C2.20382368,40.4082314 2.82301754,40.268077 3.23581964,39.9877642 C3.64862174,39.7074513 3.85501969,39.0400498 3.85501969,37.9855395 L3.85501969,22.7686318 C3.85501969,21.3270228 3.66193774,20.4327047 3.27576803,20.0856507 C2.88959832,19.7385967 1.79768657,19.5650723 0,19.5650723 L0,17.4226919 C3.50215975,17.2758613 6.25191314,16.4683055 8.24934266,15 L10.3666074,15 L10.3666074,37.865406 C10.3666074,38.786434 10.5164123,39.4404875 10.8160268,39.8275862 C11.1156412,40.2146849 11.764796,40.4082314 12.7635108,40.4082314 L13.7622206,40.4082314 L13.7622206,42.6307008 L0.379509711,42.6307008 Z M0.0798967812,77.9873934 L0.0798967812,76.0852799 C7.27064304,69.5312983 10.8659622,63.5046623 10.8659622,58.005191 C10.8659622,56.4434479 10.5397203,55.195407 9.88722667,54.2610308 C9.23473303,53.3266546 8.36253522,52.8594735 7.27060709,52.8594735 C6.3784219,52.8594735 5.61608107,53.1764892 4.98356173,53.8105302 C4.35104238,54.4445712 4.03478745,55.1753759 4.03478745,56.0029663 C4.03478745,56.9773871 4.28113339,57.8316611 4.77383268,58.5658139 C4.88036225,58.7259926 4.93362624,58.8461249 4.93362624,58.9262143 C4.93362624,59.0730449 4.77383427,59.2065252 4.45424555,59.3266593 C4.2411864,59.4067486 3.70188852,59.6336652 2.83633573,60.0074156 C1.99741533,60.3811661 1.47809145,60.5680386 1.2783485,60.5680386 C1.03865696,60.5680386 0.765679018,60.1976307 0.459406492,59.4568039 C0.153133966,58.715977 0,57.9184322 0,57.0641453 C0,55.1153036 0.848894811,53.5202138 2.5467099,52.2788283 C4.24452499,51.0374428 6.34512352,50.4167594 8.84856852,50.4167594 C11.3120649,50.4167594 13.3793735,51.0874979 15.0505562,52.4289952 C16.7217389,53.7704924 17.5573177,55.5224215 17.5573177,57.684835 C17.5573177,58.9662652 17.2743527,60.2076321 16.7084144,61.4089729 C16.142476,62.6103138 14.7875733,64.4623531 12.6436656,66.9651465 C10.4997579,69.4679398 8.40914641,71.7804862 6.3717683,73.902855 L17.8169822,73.902855 L16.7982982,79.6292176 L14.6810335,79.6292176 C14.7609307,79.3489048 14.8008787,79.0952922 14.8008787,78.8683723 C14.8008787,78.4812736 14.7010087,78.237672 14.5012658,78.1375603 C14.3015228,78.0374485 13.9020429,77.9873934 13.3028141,77.9873934 L0.0798967812,77.9873934 Z M12.2042333,97.1935484 C13.9486551,97.2335931 15.4400468,97.8309175 16.6784531,98.9855395 C17.9168594,100.140162 18.5360532,101.75861 18.5360532,103.840934 C18.5360532,106.830938 17.4041935,109.233584 15.14044,111.048943 C12.8766866,112.864303 10.1402492,113.771969 6.93104577,113.771969 C4.92030005,113.771969 3.26245842,113.388213 1.95747114,112.62069 C0.652483855,111.853166 0,110.848727 0,109.607341 C0,108.833144 0.26964894,108.209124 0.808954909,107.735261 C1.34826088,107.261399 1.93749375,107.024472 2.57667119,107.024472 C3.21584864,107.024472 3.73850152,107.224692 4.14464552,107.625139 C4.55078953,108.025586 4.92696644,108.67964 5.27318756,109.587319 C5.73925445,110.855401 6.51158227,111.489433 7.59019421,111.489433 C8.85523291,111.489433 9.87723568,111.012241 10.6562332,110.057842 C11.4352307,109.103444 11.8247236,107.371536 11.8247236,104.862069 C11.8247236,103.153495 11.7048796,101.838714 11.4651881,100.917686 C11.2254966,99.9966584 10.6728827,99.5361513 9.80732989,99.5361513 C9.22141723,99.5361513 8.62219737,99.843156 8.00965231,100.457175 C7.51695303,100.951059 7.07752513,101.197998 6.69135542,101.197998 C6.3584505,101.197998 6.08880156,101.051169 5.88240051,100.757508 C5.67599946,100.463847 5.57280049,100.183539 5.57280049,99.916574 C5.57280049,99.5962164 5.67599946,99.3225818 5.88240051,99.0956618 C6.08880156,98.8687419 6.57150646,98.5016711 7.33052967,97.9944383 C10.2068282,96.0722929 11.6449559,93.9766521 11.6449559,91.7074527 C11.6449559,90.5194601 11.3386879,89.615131 10.7261429,88.9944383 C10.1135978,88.3737455 9.37455999,88.0634038 8.5090072,88.0634038 C7.71003539,88.0634038 6.98431355,88.3270274 6.33181991,88.8542825 C5.67932627,89.3815377 5.35308434,90.0122321 5.35308434,90.7463849 C5.35308434,91.3871 5.60608828,91.9810874 6.11210376,92.5283648 C6.28521432,92.7285883 6.3717683,92.8954387 6.3717683,93.028921 C6.3717683,93.1490551 5.80250943,93.4560598 4.6639746,93.9499444 C3.52543978,94.4438289 2.80970494,94.6907675 2.51674861,94.6907675 C2.10394651,94.6907675 1.76771758,94.3570667 1.50805174,93.6896552 C1.24838591,93.0222436 1.11855494,92.4082342 1.11855494,91.8476085 C1.11855494,90.0989901 2.04734573,88.6240327 3.90495518,87.4226919 C5.76256463,86.2213511 7.86982116,85.6206897 10.226788,85.6206897 C12.2907985,85.6206897 14.0784711,86.0678487 15.5898594,86.9621802 C17.1012478,87.8565117 17.8569306,89.0778566 17.8569306,90.6262514 C17.8569306,91.987771 17.2876717,93.2491599 16.1491369,94.4104561 C15.0106021,95.5717522 13.6956474,96.4994404 12.2042333,97.1935484 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/list": {
"title": "$:/core/images/list",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-list tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M0.719999312,185.568543 C2.21955287,181.862817 3.0452019,177.812144 3.0452019,173.568542 C3.0452019,155.891545 -11.2816707,141.568542 -28.9547636,141.568542 L-60.9548326,141.568542 C-78.6344937,141.568542 -92.9547981,155.895431 -92.9547981,173.568542 C-92.9547981,191.24554 -78.6279255,205.568542 -60.9548326,205.568542 L-28.9547636,205.568542 C-27.593228,205.568542 -26.2516158,205.483573 -24.9349335,205.31865 C-31.5207556,201.78951 -36.8809788,196.272192 -40.2126959,189.568542 L-60.9493786,189.568542 C-69.7889277,189.568542 -76.9547981,182.407041 -76.9547981,173.568542 C-76.9547981,164.731986 -69.7994766,157.568542 -60.9493786,157.568542 L-28.9602176,157.568542 C-20.1206685,157.568542 -12.9547981,164.730044 -12.9547981,173.568542 C-12.9547981,176.946528 -14.0004297,180.080016 -15.7866505,182.6623 C-13.6856165,184.473592 -10.949961,185.568542 -7.9585771,185.568542 L0.720002586,185.568542 Z\" transform=\"translate(-44.954798, 173.568542) rotate(-225.000000) translate(44.954798, -173.568542) \"></path>\n <path d=\"M87.7480315,128 L23.9992458,128 C19.5813843,128 16,124.409247 16,119.993027 L16,8.00697327 C16,3.58484404 19.5881049,0 23.9992458,0 L104.000754,0 C108.418616,0 112,3.59075293 112,8.00697327 L112,104 L91.2492027,104 C90.2848199,104 89.410573,104.391703 88.7768998,105.025201 C88.1373658,105.661376 87.7480315,106.53563 87.7480315,107.501171 L87.7480315,128 Z M95.7480315,127.879386 L111.627417,112 L95.7480315,112 L95.7480315,127.879386 Z M40,15.5089165 C40,13.5709954 41.5636015,12 43.4998101,12 L98.5001899,12 C100.433082,12 102,13.5614718 102,15.5089165 L102,16.4910835 C102,18.4290046 100.436399,20 98.5001899,20 L43.4998101,20 C41.5669183,20 40,18.4385282 40,16.4910835 L40,15.5089165 Z M32,22 C35.3137085,22 38,19.3137085 38,16 C38,12.6862915 35.3137085,10 32,10 C28.6862915,10 26,12.6862915 26,16 C26,19.3137085 28.6862915,22 32,22 Z M40,31.5089165 C40,29.5709954 41.5636015,28 43.4998101,28 L98.5001899,28 C100.433082,28 102,29.5614718 102,31.5089165 L102,32.4910835 C102,34.4290046 100.436399,36 98.5001899,36 L43.4998101,36 C41.5669183,36 40,34.4385282 40,32.4910835 L40,31.5089165 Z M40,47.5089165 C40,45.5709954 41.5636015,44 43.4998101,44 L98.5001899,44 C100.433082,44 102,45.5614718 102,47.5089165 L102,48.4910835 C102,50.4290046 100.436399,52 98.5001899,52 L43.4998101,52 C41.5669183,52 40,50.4385282 40,48.4910835 L40,47.5089165 Z M40,63.5089165 C40,61.5709954 41.5636015,60 43.4998101,60 L98.5001899,60 C100.433082,60 102,61.5614718 102,63.5089165 L102,64.4910835 C102,66.4290046 100.436399,68 98.5001899,68 L43.4998101,68 C41.5669183,68 40,66.4385282 40,64.4910835 L40,63.5089165 Z M40,79.5089165 C40,77.5709954 41.5636015,76 43.4998101,76 L98.5001899,76 C100.433082,76 102,77.5614718 102,79.5089165 L102,80.4910835 C102,82.4290046 100.436399,84 98.5001899,84 L43.4998101,84 C41.5669183,84 40,82.4385282 40,80.4910835 L40,79.5089165 Z M40,95.5089165 C40,93.5709954 41.5636015,92 43.4998101,92 L98.5001899,92 C100.433082,92 102,93.5614718 102,95.5089165 L102,96.4910835 C102,98.4290046 100.436399,100 98.5001899,100 L43.4998101,100 C41.5669183,100 40,98.4385282 40,96.4910835 L40,95.5089165 Z M40,111.508916 C40,109.570995 41.5680474,108 43.4972017,108 L76.5027983,108 C78.4342495,108 80,109.561472 80,111.508916 L80,112.491084 C80,114.429005 78.4319526,116 76.5027983,116 L43.4972017,116 C41.5657505,116 40,114.438528 40,112.491084 L40,111.508916 Z M32,38 C35.3137085,38 38,35.3137085 38,32 C38,28.6862915 35.3137085,26 32,26 C28.6862915,26 26,28.6862915 26,32 C26,35.3137085 28.6862915,38 32,38 Z M32,54 C35.3137085,54 38,51.3137085 38,48 C38,44.6862915 35.3137085,42 32,42 C28.6862915,42 26,44.6862915 26,48 C26,51.3137085 28.6862915,54 32,54 Z M32,70 C35.3137085,70 38,67.3137085 38,64 C38,60.6862915 35.3137085,58 32,58 C28.6862915,58 26,60.6862915 26,64 C26,67.3137085 28.6862915,70 32,70 Z M32,86 C35.3137085,86 38,83.3137085 38,80 C38,76.6862915 35.3137085,74 32,74 C28.6862915,74 26,76.6862915 26,80 C26,83.3137085 28.6862915,86 32,86 Z M32,102 C35.3137085,102 38,99.3137085 38,96 C38,92.6862915 35.3137085,90 32,90 C28.6862915,90 26,92.6862915 26,96 C26,99.3137085 28.6862915,102 32,102 Z M32,118 C35.3137085,118 38,115.313708 38,112 C38,108.686292 35.3137085,106 32,106 C28.6862915,106 26,108.686292 26,112 C26,115.313708 28.6862915,118 32,118 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/locked-padlock": {
"title": "$:/core/images/locked-padlock",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-locked-padlock tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M96.4723753,64 L105,64 L105,96.0097716 C105,113.673909 90.6736461,128 73.001193,128 L55.998807,128 C38.3179793,128 24,113.677487 24,96.0097716 L24,64 L32.0000269,64 C32.0028554,48.2766389 32.3030338,16.2688026 64.1594984,16.2688041 C95.9543927,16.2688056 96.4648869,48.325931 96.4723753,64 Z M80.5749059,64 L48.4413579,64 C48.4426205,47.71306 48.5829272,31.9999996 64.1595001,31.9999996 C79.8437473,31.9999996 81.1369461,48.1359182 80.5749059,64 Z M67.7315279,92.3641717 C70.8232551,91.0923621 73,88.0503841 73,84.5 C73,79.8055796 69.1944204,76 64.5,76 C59.8055796,76 56,79.8055796 56,84.5 C56,87.947435 58.0523387,90.9155206 61.0018621,92.2491029 L55.9067479,115.020857 L72.8008958,115.020857 L67.7315279,92.3641717 L67.7315279,92.3641717 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/mail": {
"title": "$:/core/images/mail",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-mail tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M122.826782,104.894066 C121.945525,105.22777 120.990324,105.41043 119.993027,105.41043 L8.00697327,105.41043 C7.19458381,105.41043 6.41045219,105.289614 5.67161357,105.064967 L5.67161357,105.064967 L39.8346483,70.9019325 L60.6765759,91.7438601 C61.6118278,92.679112 62.8865166,93.0560851 64.0946097,92.8783815 C65.2975108,93.0473238 66.5641085,92.6696979 67.4899463,91.7438601 L88.5941459,70.6396605 C88.6693095,70.7292352 88.7490098,70.8162939 88.8332479,70.9005321 L122.826782,104.894066 Z M127.903244,98.6568194 C127.966933,98.2506602 128,97.8343714 128,97.4103789 L128,33.410481 C128,32.7414504 127.917877,32.0916738 127.763157,31.4706493 L94.2292399,65.0045665 C94.3188145,65.0797417 94.4058701,65.1594458 94.4901021,65.2436778 L127.903244,98.6568194 Z M0.205060636,99.2178117 C0.0709009529,98.6370366 0,98.0320192 0,97.4103789 L0,33.410481 C0,32.694007 0.0944223363,31.9995312 0.27147538,31.3387595 L0.27147538,31.3387595 L34.1777941,65.2450783 L0.205060636,99.2178117 L0.205060636,99.2178117 Z M5.92934613,25.6829218 C6.59211333,25.5051988 7.28862283,25.4104299 8.00697327,25.4104299 L119.993027,25.4104299 C120.759109,25.4104299 121.500064,25.5178649 122.201605,25.7184927 L122.201605,25.7184927 L64.0832611,83.8368368 L5.92934613,25.6829218 L5.92934613,25.6829218 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/menu-button": {
"title": "$:/core/images/menu-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-menu-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <rect x=\"0\" y=\"16\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"0\" y=\"56\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"0\" y=\"96\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n</svg>"
},
"$:/core/images/mono-block": {
"title": "$:/core/images/mono-block",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-mono-block tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M23.9653488,32.9670593 L24.3217888,32.9670593 C25.0766067,32.9670593 25.6497006,33.1592554 26.0410876,33.5436534 C26.4324747,33.9280514 26.6281653,34.4906619 26.6281653,35.2315017 C26.6281653,36.0562101 26.4219913,36.6502709 26.009637,37.0137017 C25.5972828,37.3771326 24.9158602,37.5588453 23.9653488,37.5588453 L17.6542639,37.5588453 C16.6897744,37.5588453 16.0048573,37.380627 15.5994921,37.0241852 C15.1941269,36.6677435 14.9914474,36.0701882 14.9914474,35.2315017 C14.9914474,34.4207713 15.1941269,33.8406885 15.5994921,33.4912358 C16.0048573,33.141783 16.6897744,32.9670593 17.6542639,32.9670593 L18.388111,32.9670593 L17.5284616,30.5139133 L8.47069195,30.5139133 L7.5691084,32.9670593 L8.30295547,32.9670593 C9.25346691,32.9670593 9.93488953,33.1452775 10.3472438,33.5017193 C10.759598,33.8581611 10.965772,34.4347494 10.965772,35.2315017 C10.965772,36.0562101 10.759598,36.6502709 10.3472438,37.0137017 C9.93488953,37.3771326 9.25346691,37.5588453 8.30295547,37.5588453 L2.89345418,37.5588453 C1.92896463,37.5588453 1.24404754,37.3771326 0.838682371,37.0137017 C0.433317198,36.6502709 0.230637652,36.0562101 0.230637652,35.2315017 C0.230637652,34.4906619 0.426328248,33.9280514 0.817715312,33.5436534 C1.20910238,33.1592554 1.78219626,32.9670593 2.53701417,32.9670593 L2.89345418,32.9670593 L8.51262607,17.3256331 L6.83526132,17.3256331 C5.88474988,17.3256331 5.20332727,17.1439204 4.79097304,16.7804895 C4.37861882,16.4170587 4.1724448,15.8299869 4.1724448,15.0192565 C4.1724448,14.1945481 4.37861882,13.6004873 4.79097304,13.2370565 C5.20332727,12.8736257 5.88474988,12.691913 6.83526132,12.691913 L14.6979086,12.691913 C15.9419603,12.691913 16.815579,13.3628521 17.318791,14.7047506 L17.318791,14.7676518 L23.9653488,32.9670593 Z M12.9786097,17.3256331 L9.9383861,26.1737321 L16.0188333,26.1737321 L12.9786097,17.3256331 Z M35.3809383,26.6979086 L35.3809383,33.0928616 L38.5259972,33.0928616 C40.7485166,33.0928616 42.3140414,32.8482484 43.2226185,32.3590146 C44.1311956,31.8697807 44.5854773,31.0520736 44.5854773,29.9058686 C44.5854773,28.7456855 44.1521624,27.9209895 43.2855197,27.4317556 C42.4188769,26.9425218 40.9022748,26.6979086 38.7356678,26.6979086 L35.3809383,26.6979086 Z M46.0741385,24.370565 C47.5977525,24.9296893 48.7159844,25.6949794 49.428868,26.666458 C50.1417516,27.6379366 50.498188,28.8784752 50.498188,30.388111 C50.498188,31.6601189 50.1906743,32.8202846 49.5756374,33.8686428 C48.9606006,34.917001 48.0799929,35.7766419 46.933788,36.4475911 C46.2628387,36.8389782 45.5115266,37.1220307 44.6798291,37.296757 C43.8481316,37.4714834 42.6704935,37.5588453 41.1468796,37.5588453 L39.3856466,37.5588453 L30.2020747,37.5588453 C29.2795194,37.5588453 28.6190637,37.3771326 28.2206876,37.0137017 C27.8223114,36.6502709 27.6231264,36.0562101 27.6231264,35.2315017 C27.6231264,34.4906619 27.811828,33.9280514 28.189237,33.5436534 C28.5666459,33.1592554 29.118773,32.9670593 29.8456347,32.9670593 L30.2020747,32.9670593 L30.2020747,17.3256331 L29.8456347,17.3256331 C29.118773,17.3256331 28.5666459,17.1299425 28.189237,16.7385554 C27.811828,16.3471683 27.6231264,15.7740744 27.6231264,15.0192565 C27.6231264,14.2085262 27.8258059,13.6179599 28.2311711,13.24754 C28.6365363,12.8771201 29.2934976,12.691913 30.2020747,12.691913 L39.8469219,12.691913 C42.796303,12.691913 45.0362615,13.2650068 46.5668644,14.4112118 C48.0974674,15.5574168 48.8627574,17.2347648 48.8627574,19.443306 C48.8627574,20.5335986 48.6286276,21.4945792 48.1603609,22.3262767 C47.6920943,23.1579742 46.9966938,23.8393968 46.0741385,24.370565 L46.0741385,24.370565 Z M35.3809383,17.1998307 L35.3809383,22.4835296 L38.2114913,22.4835296 C39.9307988,22.4835296 41.1433816,22.2808501 41.8492761,21.8754849 C42.5551706,21.4701197 42.9081126,20.7852027 42.9081126,19.8207131 C42.9081126,18.912136 42.5901154,18.2481858 41.9541114,17.8288425 C41.3181074,17.4094992 40.2872373,17.1998307 38.8614701,17.1998307 L35.3809383,17.1998307 Z M71.244119,13.3838259 C71.5236812,12.880614 71.8102281,12.5241775 72.1037684,12.3145059 C72.3973087,12.1048342 72.7677231,12 73.2150226,12 C73.8999499,12 74.3856819,12.1817127 74.6722332,12.5451435 C74.9587844,12.9085744 75.1020579,13.5305909 75.1020579,14.4112118 L75.143992,19.8626472 C75.143992,20.8271368 74.9867406,21.4771091 74.6722332,21.8125837 C74.3577257,22.1480584 73.7881263,22.3157932 72.9634178,22.3157932 C72.3763372,22.3157932 71.92555,22.1760142 71.6110425,21.896452 C71.2965351,21.6168898 71.0274605,21.0997075 70.8038107,20.3448896 C70.4403799,19.0169692 69.8602971,18.0629775 69.0635448,17.482886 C68.2667926,16.9027945 67.1625385,16.612753 65.7507494,16.612753 C63.5981206,16.612753 61.9487284,17.3396038 60.8025235,18.7933272 C59.6563185,20.2470506 59.0832246,22.3507245 59.0832246,25.104412 C59.0832246,27.8441215 59.6633074,29.9477954 60.8234905,31.4154969 C61.9836736,32.8831984 63.6400547,33.6170381 65.7926836,33.6170381 C67.2603851,33.6170381 68.878327,33.1278116 70.6465578,32.149344 C72.4147886,31.1708763 73.5295261,30.6816498 73.9908037,30.6816498 C74.53595,30.6816498 74.9937262,30.9122852 75.3641461,31.3735628 C75.734566,31.8348404 75.9197732,32.4079343 75.9197732,33.0928616 C75.9197732,34.3229353 74.836486,35.4831009 72.669879,36.5733935 C70.5032721,37.663686 68.0641285,38.2088241 65.3523753,38.2088241 C61.6901107,38.2088241 58.7267959,36.9997358 56.4623422,34.5815228 C54.1978885,32.1633099 53.0656786,29.0043046 53.0656786,25.104412 C53.0656786,21.3443006 54.2118664,18.22024 56.5042763,15.7321366 C58.7966863,13.2440331 61.7040894,12 65.226573,12 C66.2190187,12 67.1974717,12.1118232 68.1619613,12.3354729 C69.1264508,12.5591227 70.1538264,12.9085702 71.244119,13.3838259 L71.244119,13.3838259 Z M81.4645862,32.9670593 L81.4645862,17.3256331 L81.1081461,17.3256331 C80.3533282,17.3256331 79.7802344,17.1299425 79.3888473,16.7385554 C78.9974602,16.3471683 78.8017696,15.7740744 78.8017696,15.0192565 C78.8017696,14.2085262 79.0114381,13.6179599 79.4307814,13.24754 C79.8501247,12.8771201 80.5280528,12.691913 81.4645862,12.691913 L85.4063933,12.691913 L86.6434498,12.691913 C89.5648747,12.691913 91.7034933,12.8177141 93.0593699,13.06932 C94.4152465,13.320926 95.5684233,13.740263 96.5189347,14.3273436 C98.210286,15.3337675 99.5067362,16.7699967 100.408324,18.6360743 C101.309912,20.5021519 101.7607,22.6582429 101.7607,25.104412 C101.7607,27.6903623 101.247012,29.9512876 100.219621,31.8872557 C99.1922296,33.8232239 97.7350336,35.2874089 95.8479888,36.2798546 C94.9953241,36.7271541 93.9959043,37.0521403 92.8496993,37.2548229 C91.7034944,37.4575055 89.9981906,37.5588453 87.7337369,37.5588453 L85.4063933,37.5588453 L81.4645862,37.5588453 C80.5000966,37.5588453 79.8151795,37.380627 79.4098143,37.0241852 C79.0044492,36.6677435 78.8017696,36.0701882 78.8017696,35.2315017 C78.8017696,34.4906619 78.9974602,33.9280514 79.3888473,33.5436534 C79.7802344,33.1592554 80.3533282,32.9670593 81.1081461,32.9670593 L81.4645862,32.9670593 Z M86.8740874,17.2417648 L86.8740874,32.9670593 L88.0692098,32.9670593 C90.7110725,32.9670593 92.6609895,32.3205814 93.9190194,31.0276063 C95.1770492,29.7346312 95.8060547,27.7462749 95.8060547,25.0624779 C95.8060547,22.4206153 95.1665658,20.4497314 93.8875688,19.1497672 C92.6085718,17.849803 90.6831161,17.1998307 88.1111439,17.1998307 C87.7756693,17.1998307 87.5205727,17.2033252 87.3458463,17.2103142 C87.1711199,17.2173033 87.0138685,17.2277867 86.8740874,17.2417648 L86.8740874,17.2417648 Z M121.94052,17.1159625 L112.190837,17.1159625 L112.190837,22.4835296 L115.88104,22.4835296 L115.88104,22.2319249 C115.88104,21.4351727 116.055763,20.841112 116.405216,20.4497249 C116.754669,20.0583378 117.285829,19.8626472 117.998713,19.8626472 C118.627728,19.8626472 119.141415,20.0408655 119.539792,20.3973072 C119.938168,20.753749 120.137353,21.2045363 120.137353,21.7496826 C120.137353,21.7776388 120.144342,21.8684951 120.15832,22.0222543 C120.172298,22.1760135 120.179287,22.3297704 120.179287,22.4835296 L120.179287,26.8237109 C120.179287,27.7602442 120.011552,28.4311834 119.676077,28.8365486 C119.340603,29.2419138 118.795465,29.4445933 118.040647,29.4445933 C117.327763,29.4445933 116.789614,29.2558917 116.426183,28.8784827 C116.062752,28.5010738 115.88104,27.9419578 115.88104,27.201118 L115.88104,26.8237109 L112.190837,26.8237109 L112.190837,33.0928616 L121.94052,33.0928616 L121.94052,30.5977816 C121.94052,29.6612482 122.118738,28.9903091 122.47518,28.5849439 C122.831622,28.1795787 123.415199,27.9768992 124.225929,27.9768992 C125.022682,27.9768992 125.592281,28.1760842 125.934745,28.5744604 C126.277208,28.9728365 126.448438,29.6472701 126.448438,30.5977816 L126.448438,35.6718099 C126.448438,36.4266278 126.30167,36.9298322 126.008129,37.1814382 C125.714589,37.4330442 125.134506,37.5588453 124.267863,37.5588453 L107.095842,37.5588453 C106.173287,37.5588453 105.512831,37.3771326 105.114455,37.0137017 C104.716079,36.6502709 104.516894,36.0562101 104.516894,35.2315017 C104.516894,34.4906619 104.705595,33.9280514 105.083004,33.5436534 C105.460413,33.1592554 106.01254,32.9670593 106.739402,32.9670593 L107.095842,32.9670593 L107.095842,17.3256331 L106.739402,17.3256331 C106.026518,17.3256331 105.477886,17.126448 105.093488,16.7280719 C104.70909,16.3296957 104.516894,15.7600963 104.516894,15.0192565 C104.516894,14.2085262 104.719573,13.6179599 105.124938,13.24754 C105.530304,12.8771201 106.187265,12.691913 107.095842,12.691913 L124.267863,12.691913 C125.120528,12.691913 125.697116,12.8212085 125.997646,13.0798036 C126.298175,13.3383986 126.448438,13.8520864 126.448438,14.6208824 L126.448438,19.3175037 C126.448438,20.2680151 126.273714,20.9494377 125.924261,21.361792 C125.574808,21.7741462 125.008703,21.9803202 124.225929,21.9803202 C123.415199,21.9803202 122.831622,21.7706517 122.47518,21.3513084 C122.118738,20.9319652 121.94052,20.254037 121.94052,19.3175037 L121.94052,17.1159625 Z M19.7719369,47.6405477 C20.037521,47.1373358 20.3205734,46.7808993 20.6211028,46.5712277 C20.9216322,46.361556 21.295541,46.2567218 21.7428405,46.2567218 C22.4277678,46.2567218 22.9134998,46.4384345 23.2000511,46.8018653 C23.4866023,47.1652962 23.6298758,47.7873127 23.6298758,48.6679336 L23.6718099,54.119369 C23.6718099,55.0838586 23.5145586,55.7338309 23.2000511,56.0693055 C22.8855436,56.4047802 22.3089553,56.572515 21.4702687,56.572515 C20.8831881,56.572515 20.4254119,56.4292415 20.0969263,56.1426902 C19.7684407,55.856139 19.4993662,55.3424512 19.2896945,54.6016114 C18.9122856,53.2597129 18.3322027,52.3022267 17.5494286,51.7291243 C16.7666545,51.1560218 15.6693894,50.8694748 14.2576003,50.8694748 C12.1049715,50.8694748 10.4590738,51.5963256 9.31985785,53.050049 C8.18064193,54.5037724 7.61104252,56.6074463 7.61104252,59.3611338 C7.61104252,62.1148214 8.20859773,64.2429566 9.40372609,65.7456034 C10.5988544,67.2482501 12.2936748,67.9995623 14.488238,67.9995623 C14.9914499,67.9995623 15.5645438,67.9401562 16.2075368,67.8213423 C16.8505299,67.7025283 17.6053364,67.5173212 18.4719792,67.2657152 L18.4719792,63.9529198 L16.1027015,63.9529198 C15.1521901,63.9529198 14.4777564,63.7781961 14.0793803,63.4287433 C13.6810042,63.0792906 13.4818191,62.4992078 13.4818191,61.6884774 C13.4818191,60.8497908 13.6810042,60.2522356 14.0793803,59.8957938 C14.4777564,59.5393521 15.1521901,59.3611338 16.1027015,59.3611338 L23.6718099,59.3611338 C24.6502776,59.3611338 25.3386891,59.5358576 25.7370653,59.8853103 C26.1354414,60.2347631 26.3346265,60.8218348 26.3346265,61.6465433 C26.3346265,62.3873831 26.1354414,62.9569825 25.7370653,63.3553586 C25.3386891,63.7537347 24.7621008,63.9529198 24.0072829,63.9529198 L23.6718099,63.9529198 L23.6718099,68.9430799 L23.6718099,69.1946846 C23.6718099,69.6419841 23.6228873,69.9529924 23.5250405,70.1277188 C23.4271937,70.3024451 23.2315031,70.4806634 22.9379628,70.6623788 C22.1412106,71.1376345 20.8762107,71.5569715 19.1429251,71.9204023 C17.4096396,72.2838332 15.6554131,72.4655459 13.8801932,72.4655459 C10.2179286,72.4655459 7.25461383,71.2564576 4.99016011,68.8382446 C2.72570638,66.4200317 1.59349651,63.2610264 1.59349651,59.3611338 C1.59349651,55.6010224 2.73968428,52.4769618 5.03209423,49.9888583 C7.32450417,47.5007549 10.2319073,46.2567218 13.7543909,46.2567218 C14.7328585,46.2567218 15.7078171,46.368545 16.6792957,46.5921947 C17.6507743,46.8158445 18.6816444,47.165292 19.7719369,47.6405477 L19.7719369,47.6405477 Z M35.611576,51.5823548 L35.611576,56.4047785 L42.4678043,56.4047785 L42.4678043,51.5823548 L42.1323314,51.5823548 C41.3775135,51.5823548 40.8009251,51.3866642 40.402549,50.9952772 C40.0041729,50.6038901 39.8049878,50.0307962 39.8049878,49.2759783 C39.8049878,48.4512699 40.0111618,47.8572091 40.4235161,47.4937783 C40.8358703,47.1303474 41.5172929,46.9486347 42.4678043,46.9486347 L47.8773056,46.9486347 C48.8278171,46.9486347 49.5022507,47.1303474 49.9006269,47.4937783 C50.299003,47.8572091 50.498188,48.4512699 50.498188,49.2759783 C50.498188,50.0307962 50.3059919,50.6038901 49.9215939,50.9952772 C49.5371959,51.3866642 48.9745854,51.5823548 48.2337456,51.5823548 L47.8773056,51.5823548 L47.8773056,67.2237811 L48.2337456,67.2237811 C48.9885636,67.2237811 49.5616574,67.4159772 49.9530445,67.8003752 C50.3444316,68.1847732 50.5401222,68.7473837 50.5401222,69.4882235 C50.5401222,70.3129319 50.3374426,70.9069927 49.9320774,71.2704235 C49.5267123,71.6338543 48.8417952,71.815567 47.8773056,71.815567 L42.4678043,71.815567 C41.5033148,71.815567 40.8183977,71.6373488 40.4130325,71.280907 C40.0076674,70.9244652 39.8049878,70.32691 39.8049878,69.4882235 C39.8049878,68.7473837 40.0041729,68.1847732 40.402549,67.8003752 C40.8009251,67.4159772 41.3775135,67.2237811 42.1323314,67.2237811 L42.4678043,67.2237811 L42.4678043,61.0384986 L35.611576,61.0384986 L35.611576,67.2237811 L35.9470489,67.2237811 C36.7018668,67.2237811 37.2784552,67.4159772 37.6768313,67.8003752 C38.0752074,68.1847732 38.2743925,68.7473837 38.2743925,69.4882235 C38.2743925,70.3129319 38.0682185,70.9069927 37.6558642,71.2704235 C37.24351,71.6338543 36.5620874,71.815567 35.611576,71.815567 L30.2020747,71.815567 C29.2375851,71.815567 28.552668,71.6373488 28.1473029,71.280907 C27.7419377,70.9244652 27.5392581,70.32691 27.5392581,69.4882235 C27.5392581,68.7473837 27.7349487,68.1847732 28.1263358,67.8003752 C28.5177229,67.4159772 29.0908168,67.2237811 29.8456347,67.2237811 L30.2020747,67.2237811 L30.2020747,51.5823548 L29.8456347,51.5823548 C29.1047949,51.5823548 28.5421844,51.3866642 28.1577864,50.9952772 C27.7733884,50.6038901 27.5811923,50.0307962 27.5811923,49.2759783 C27.5811923,48.4512699 27.7803773,47.8572091 28.1787534,47.4937783 C28.5771296,47.1303474 29.2515632,46.9486347 30.2020747,46.9486347 L35.611576,46.9486347 C36.5481093,46.9486347 37.2260374,47.1303474 37.6453807,47.4937783 C38.064724,47.8572091 38.2743925,48.4512699 38.2743925,49.2759783 C38.2743925,50.0307962 38.0752074,50.6038901 37.6768313,50.9952772 C37.2784552,51.3866642 36.7018668,51.5823548 35.9470489,51.5823548 L35.611576,51.5823548 Z M67.365213,51.5823548 L67.365213,67.2237811 L70.887679,67.2237811 C71.8381904,67.2237811 72.519613,67.4019993 72.9319673,67.7584411 C73.3443215,68.1148829 73.5504955,68.6914712 73.5504955,69.4882235 C73.5504955,70.2989538 73.340827,70.8895201 72.9214837,71.25994 C72.5021404,71.6303599 71.8242123,71.815567 70.887679,71.815567 L58.4332458,71.815567 C57.4827343,71.815567 56.8013117,71.6338543 56.3889575,71.2704235 C55.9766033,70.9069927 55.7704292,70.3129319 55.7704292,69.4882235 C55.7704292,68.6774931 55.9731088,68.0974103 56.378474,67.7479575 C56.7838391,67.3985048 57.4687562,67.2237811 58.4332458,67.2237811 L61.9557117,67.2237811 L61.9557117,51.5823548 L58.4332458,51.5823548 C57.4827343,51.5823548 56.8013117,51.4006421 56.3889575,51.0372113 C55.9766033,50.6737805 55.7704292,50.0867087 55.7704292,49.2759783 C55.7704292,48.4512699 55.9731088,47.8641981 56.378474,47.5147453 C56.7838391,47.1652926 57.4687562,46.9905689 58.4332458,46.9905689 L70.887679,46.9905689 C71.8801247,46.9905689 72.5720308,47.1652926 72.9634178,47.5147453 C73.3548049,47.8641981 73.5504955,48.4512699 73.5504955,49.2759783 C73.5504955,50.0867087 73.347816,50.6737805 72.9424508,51.0372113 C72.5370856,51.4006421 71.8521685,51.5823548 70.887679,51.5823548 L67.365213,51.5823548 Z M97.8608265,51.5823548 L97.8608265,63.1771386 L97.8608265,63.5755127 C97.8608265,65.4485794 97.7385199,66.8044357 97.493903,67.6431222 C97.2492861,68.4818088 96.8404325,69.2296264 96.26733,69.8865976 C95.5264902,70.7392623 94.4991146,71.3822457 93.1851723,71.815567 C91.87123,72.2488884 90.2917273,72.4655459 88.4466169,72.4655459 C87.1466527,72.4655459 85.8921362,72.3397448 84.6830298,72.0881388 C83.4739233,71.8365328 82.3102631,71.4591296 81.1920144,70.9559176 C80.5769776,70.6763554 80.175113,70.31293 79.9864085,69.8656305 C79.797704,69.418331 79.7033532,68.6914802 79.7033532,67.6850564 L79.7033532,63.3658422 C79.7033532,62.1637247 79.8780769,61.3250508 80.2275297,60.849795 C80.5769824,60.3745393 81.185021,60.136915 82.0516638,60.136915 C83.2957156,60.136915 83.9806326,61.0524675 84.1064356,62.8835998 C84.1204137,63.2050963 84.1413806,63.4497096 84.1693368,63.6174469 C84.3370741,65.2389076 84.7144774,66.3466561 85.301558,66.9407258 C85.8886386,67.5347954 86.8251579,67.8318258 88.1111439,67.8318258 C89.7046484,67.8318258 90.8263749,67.4089943 91.476357,66.5633187 C92.126339,65.7176431 92.4513252,64.1765796 92.4513252,61.9400821 L92.4513252,51.5823548 L88.9288593,51.5823548 C87.9783478,51.5823548 87.2969252,51.4006421 86.884571,51.0372113 C86.4722168,50.6737805 86.2660427,50.0867087 86.2660427,49.2759783 C86.2660427,48.4512699 86.4652278,47.8641981 86.8636039,47.5147453 C87.26198,47.1652926 87.9503916,46.9905689 88.9288593,46.9905689 L99.6220595,46.9905689 C100.600527,46.9905689 101.288939,47.1652926 101.687315,47.5147453 C102.085691,47.8641981 102.284876,48.4512699 102.284876,49.2759783 C102.284876,50.0867087 102.078702,50.6737805 101.666348,51.0372113 C101.253994,51.4006421 100.572571,51.5823548 99.6220595,51.5823548 L97.8608265,51.5823548 Z M112.505343,51.5823548 L112.505343,57.9353738 L118.984165,51.4565525 C118.257303,51.3726838 117.747109,51.1665098 117.453569,50.8380242 C117.160029,50.5095387 117.013261,49.9888619 117.013261,49.2759783 C117.013261,48.4512699 117.212446,47.8572091 117.610822,47.4937783 C118.009198,47.1303474 118.683632,46.9486347 119.634143,46.9486347 L124.771073,46.9486347 C125.721584,46.9486347 126.396018,47.1303474 126.794394,47.4937783 C127.19277,47.8572091 127.391955,48.4512699 127.391955,49.2759783 C127.391955,50.0447743 127.19277,50.6213627 126.794394,51.0057607 C126.396018,51.3901587 125.812441,51.5823548 125.043645,51.5823548 L124.561402,51.5823548 L118.459988,57.641835 C119.592215,58.4805215 120.626579,59.5812811 121.563113,60.9441468 C122.499646,62.3070125 123.596911,64.400203 124.854941,67.2237811 L125.127513,67.2237811 L125.546854,67.2237811 C126.371563,67.2237811 126.98659,67.4124827 127.391955,67.7898917 C127.79732,68.1673006 128,68.7334056 128,69.4882235 C128,70.3129319 127.793826,70.9069927 127.381472,71.2704235 C126.969118,71.6338543 126.287695,71.815567 125.337183,71.815567 L122.758235,71.815567 C121.626008,71.815567 120.710456,71.0537715 120.01155,69.5301576 C119.885747,69.2505954 119.787902,69.026949 119.718012,68.8592117 C118.795456,66.9022764 117.949793,65.3926632 117.180997,64.3303269 C116.412201,63.2679906 115.510627,62.2965265 114.476247,61.4159056 L112.505343,63.302941 L112.505343,67.2237811 L112.840816,67.2237811 C113.595634,67.2237811 114.172222,67.4159772 114.570599,67.8003752 C114.968975,68.1847732 115.16816,68.7473837 115.16816,69.4882235 C115.16816,70.3129319 114.961986,70.9069927 114.549631,71.2704235 C114.137277,71.6338543 113.455855,71.815567 112.505343,71.815567 L107.095842,71.815567 C106.131352,71.815567 105.446435,71.6373488 105.04107,71.280907 C104.635705,70.9244652 104.433025,70.32691 104.433025,69.4882235 C104.433025,68.7473837 104.628716,68.1847732 105.020103,67.8003752 C105.41149,67.4159772 105.984584,67.2237811 106.739402,67.2237811 L107.095842,67.2237811 L107.095842,51.5823548 L106.739402,51.5823548 C105.998562,51.5823548 105.435952,51.3866642 105.051554,50.9952772 C104.667156,50.6038901 104.474959,50.0307962 104.474959,49.2759783 C104.474959,48.4512699 104.674145,47.8572091 105.072521,47.4937783 C105.470897,47.1303474 106.14533,46.9486347 107.095842,46.9486347 L112.505343,46.9486347 C113.441877,46.9486347 114.119805,47.1303474 114.539148,47.4937783 C114.958491,47.8572091 115.16816,48.4512699 115.16816,49.2759783 C115.16816,50.0307962 114.968975,50.6038901 114.570599,50.9952772 C114.172222,51.3866642 113.595634,51.5823548 112.840816,51.5823548 L112.505343,51.5823548 Z M13.439885,96.325622 L17.4445933,84.4372993 C17.6961993,83.6545252 18.0456468,83.0849258 18.4929463,82.728484 C18.9402458,82.3720422 19.5343065,82.193824 20.2751463,82.193824 L23.5460076,82.193824 C24.496519,82.193824 25.1779416,82.3755367 25.5902958,82.7389675 C26.0026501,83.1023984 26.2088241,83.6964591 26.2088241,84.5211676 C26.2088241,85.2759855 26.009639,85.8490794 25.6112629,86.2404664 C25.2128868,86.6318535 24.6362984,86.8275441 23.8814805,86.8275441 L23.5460076,86.8275441 L24.1330852,102.46897 L24.4895252,102.46897 C25.2443431,102.46897 25.8104481,102.661166 26.187857,103.045564 C26.565266,103.429962 26.7539676,103.992573 26.7539676,104.733413 C26.7539676,105.558121 26.5547826,106.152182 26.1564064,106.515613 C25.7580303,106.879044 25.0835967,107.060756 24.1330852,107.060756 L19.4154969,107.060756 C18.4649855,107.060756 17.7905518,106.882538 17.3921757,106.526096 C16.9937996,106.169654 16.7946145,105.572099 16.7946145,104.733413 C16.7946145,103.992573 16.9868106,103.429962 17.3712086,103.045564 C17.7556066,102.661166 18.325206,102.46897 19.0800239,102.46897 L19.4154969,102.46897 L19.1219581,89.6790642 L16.0607674,99.1981091 C15.8371177,99.9109927 15.5191204,100.42468 15.1067662,100.739188 C14.694412,101.053695 14.1248126,101.210947 13.3979509,101.210947 C12.6710892,101.210947 12.0945008,101.053695 11.6681685,100.739188 C11.2418362,100.42468 10.91685,99.9109927 10.6932002,99.1981091 L7.65297664,89.6790642 L7.35943781,102.46897 L7.69491075,102.46897 C8.44972866,102.46897 9.01932808,102.661166 9.40372609,103.045564 C9.78812409,103.429962 9.98032022,103.992573 9.98032022,104.733413 C9.98032022,105.558121 9.77764067,106.152182 9.3722755,106.515613 C8.96691032,106.879044 8.29597114,107.060756 7.35943781,107.060756 L2.62088241,107.060756 C1.68434908,107.060756 1.01340989,106.879044 0.608044719,106.515613 C0.202679546,106.152182 0,105.558121 0,104.733413 C0,103.992573 0.192196121,103.429962 0.57659413,103.045564 C0.960992139,102.661166 1.53059155,102.46897 2.28540946,102.46897 L2.62088241,102.46897 L3.22892713,86.8275441 L2.89345418,86.8275441 C2.13863627,86.8275441 1.56204791,86.6318535 1.16367179,86.2404664 C0.765295672,85.8490794 0.5661106,85.2759855 0.5661106,84.5211676 C0.5661106,83.6964591 0.772284622,83.1023984 1.18463885,82.7389675 C1.59699308,82.3755367 2.27841569,82.193824 3.22892713,82.193824 L6.49978838,82.193824 C7.22665007,82.193824 7.81022738,82.3685477 8.25053783,82.7180005 C8.69084827,83.0674532 9.05077919,83.6405471 9.33034138,84.4372993 L13.439885,96.325622 Z M43.8935644,98.3803938 L43.8935644,86.8275441 L42.7403761,86.8275441 C41.8178209,86.8275441 41.1573651,86.6458314 40.758989,86.2824006 C40.3606129,85.9189697 40.1614278,85.3318979 40.1614278,84.5211676 C40.1614278,83.7104372 40.3606129,83.119871 40.758989,82.7494511 C41.1573651,82.3790312 41.8178209,82.193824 42.7403761,82.193824 L48.6950209,82.193824 C49.6035981,82.193824 50.2605593,82.3790312 50.6659245,82.7494511 C51.0712897,83.119871 51.2739692,83.7104372 51.2739692,84.5211676 C51.2739692,85.2620074 51.0817731,85.8316068 50.6973751,86.2299829 C50.3129771,86.628359 49.7643445,86.8275441 49.051461,86.8275441 L48.6950209,86.8275441 L48.6950209,105.865634 C48.6950209,106.522605 48.6251315,106.934953 48.4853504,107.10269 C48.3455693,107.270428 48.0310665,107.354295 47.5418327,107.354295 L45.4451268,107.354295 C44.7741775,107.354295 44.3024234,107.284406 44.0298503,107.144625 C43.7572771,107.004843 43.5231473,106.76023 43.3274538,106.410777 L34.6051571,91.0838571 L34.6051571,102.46897 L35.8212466,102.46897 C36.7298237,102.46897 37.379796,102.643694 37.7711831,102.993147 C38.1625701,103.3426 38.3582607,103.922682 38.3582607,104.733413 C38.3582607,105.558121 38.1590757,106.152182 37.7606995,106.515613 C37.3623234,106.879044 36.7158456,107.060756 35.8212466,107.060756 L29.8037005,107.060756 C28.8951234,107.060756 28.2381621,106.879044 27.832797,106.515613 C27.4274318,106.152182 27.2247522,105.558121 27.2247522,104.733413 C27.2247522,103.992573 27.4134539,103.429962 27.7908629,103.045564 C28.1682718,102.661166 28.7273878,102.46897 29.4682276,102.46897 L29.8037005,102.46897 L29.8037005,86.8275441 L29.4682276,86.8275441 C28.755344,86.8275441 28.203217,86.628359 27.8118299,86.2299829 C27.4204428,85.8316068 27.2247522,85.2620074 27.2247522,84.5211676 C27.2247522,83.7104372 27.4309263,83.119871 27.8432805,82.7494511 C28.2556347,82.3790312 28.9091015,82.193824 29.8037005,82.193824 L33.2422983,82.193824 C34.0670067,82.193824 34.6261227,82.3021527 34.919663,82.5188134 C35.2132033,82.7354741 35.5416839,83.1722835 35.9051148,83.8292546 L43.8935644,98.3803938 Z M64.6604624,86.3662688 C62.8572863,86.3662688 61.4420239,87.0931196 60.4146329,88.546843 C59.3872418,90.0005663 58.873554,92.0203728 58.873554,94.6063231 C58.873554,97.1922733 59.3907363,99.2190688 60.4251164,100.68677 C61.4594965,102.154472 62.8712644,102.888312 64.6604624,102.888312 C66.4636385,102.888312 67.8823953,102.157966 68.9167754,100.697254 C69.9511555,99.2365414 70.4683378,97.2062514 70.4683378,94.6063231 C70.4683378,92.0203728 69.95465,90.0005663 68.9272589,88.546843 C67.8998679,87.0931196 66.4776166,86.3662688 64.6604624,86.3662688 L64.6604624,86.3662688 Z M64.6604624,81.501911 C68.0990773,81.501911 70.929602,82.7319662 73.1521214,85.1921135 C75.3746408,87.6522607 76.4858838,90.7902992 76.4858838,94.6063231 C76.4858838,98.4503032 75.3816297,101.595331 73.1730884,104.0415 C70.9645471,106.487669 68.1270335,107.710735 64.6604624,107.710735 C61.2358256,107.710735 58.4053009,106.477185 56.1688034,104.010049 C53.9323059,101.542913 52.8140739,98.4083688 52.8140739,94.6063231 C52.8140739,90.7763211 53.9218224,87.6347881 56.1373528,85.1816299 C58.3528831,82.7284717 61.1938912,81.501911 64.6604624,81.501911 L64.6604624,81.501911 Z M87.4611651,98.1707232 L87.4611651,102.46897 L89.6207722,102.46897 C90.5293493,102.46897 91.1758272,102.643694 91.5602252,102.993147 C91.9446232,103.3426 92.1368193,103.922682 92.1368193,104.733413 C92.1368193,105.558121 91.9411287,106.152182 91.5497417,106.515613 C91.1583546,106.879044 90.5153712,107.060756 89.6207722,107.060756 L82.3661697,107.060756 C81.4436145,107.060756 80.7831587,106.879044 80.3847826,106.515613 C79.9864065,106.152182 79.7872214,105.558121 79.7872214,104.733413 C79.7872214,103.992573 79.9759231,103.429962 80.353332,103.045564 C80.730741,102.661166 81.282868,102.46897 82.0097297,102.46897 L82.3661697,102.46897 L82.3661697,86.8275441 L82.0097297,86.8275441 C81.2968461,86.8275441 80.7482136,86.628359 80.3638155,86.2299829 C79.9794175,85.8316068 79.7872214,85.2620074 79.7872214,84.5211676 C79.7872214,83.7104372 79.989901,83.119871 80.3952661,82.7494511 C80.8006313,82.3790312 81.4575926,82.193824 82.3661697,82.193824 L91.0255652,82.193824 C94.450202,82.193824 97.0396079,82.8507853 98.7938606,84.1647276 C100.548113,85.4786699 101.425227,87.414609 101.425227,89.972603 C101.425227,92.6703781 100.551608,94.7111515 98.8043442,96.0949843 C97.0570805,97.4788171 94.4641801,98.1707232 91.0255652,98.1707232 L87.4611651,98.1707232 Z M87.4611651,86.8275441 L87.4611651,93.4531348 L90.4384875,93.4531348 C92.0879044,93.4531348 93.328443,93.1735768 94.1601405,92.6144525 C94.9918381,92.0553281 95.4076806,91.2166541 95.4076806,90.0984053 C95.4076806,89.0500471 94.9778602,88.2428234 94.1182064,87.67671 C93.2585527,87.1105966 92.031992,86.8275441 90.4384875,86.8275441 L87.4611651,86.8275441 Z M114.727851,107.396229 L113.092421,109.03166 C113.69348,108.835966 114.284046,108.689198 114.864137,108.591352 C115.444229,108.493505 116.013828,108.444582 116.572953,108.444582 C117.677223,108.444582 118.840883,108.608823 120.063968,108.937308 C121.287053,109.265794 122.031376,109.430034 122.29696,109.430034 C122.744259,109.430034 123.327837,109.279772 124.047709,108.979242 C124.767582,108.678713 125.253314,108.52845 125.50492,108.52845 C126.02211,108.52845 126.45193,108.727636 126.794394,109.126012 C127.136858,109.524388 127.308087,110.024098 127.308087,110.625156 C127.308087,111.421909 126.836333,112.099837 125.892811,112.658961 C124.949288,113.218086 123.792617,113.497643 122.422762,113.497643 C121.486229,113.497643 120.28413,113.277492 118.816428,112.837181 C117.348727,112.396871 116.286406,112.176719 115.629435,112.176719 C114.636989,112.176719 113.518757,112.449288 112.274706,112.994434 C111.030654,113.53958 110.261869,113.812149 109.968329,113.812149 C109.36727,113.812149 108.857077,113.612964 108.437734,113.214588 C108.01839,112.816212 107.808722,112.337469 107.808722,111.778345 C107.808722,111.386958 107.941512,110.971115 108.207096,110.530805 C108.47268,110.090494 108.94094,109.520895 109.611889,108.821989 L111.729562,106.683349 C109.395218,105.830685 107.536157,104.29661 106.152324,102.08108 C104.768491,99.8655494 104.076585,97.3180772 104.076585,94.4385866 C104.076585,90.6365409 105.180839,87.5299526 107.389381,85.1187288 C109.597922,82.7075049 112.442425,81.501911 115.922974,81.501911 C119.389545,81.501911 122.227059,82.7109994 124.4356,85.1292123 C126.644141,87.5474252 127.748395,90.650519 127.748395,94.4385866 C127.748395,98.2126762 126.65113,101.322759 124.456567,103.768928 C122.262004,106.215097 119.480402,107.438163 116.111677,107.438163 C115.888028,107.438163 115.660887,107.434669 115.430248,107.42768 C115.199609,107.420691 114.965479,107.410207 114.727851,107.396229 L114.727851,107.396229 Z M115.922974,86.3662688 C114.119798,86.3662688 112.704535,87.0931196 111.677144,88.546843 C110.649753,90.0005663 110.136065,92.0203728 110.136065,94.6063231 C110.136065,97.1922733 110.653248,99.2190688 111.687628,100.68677 C112.722008,102.154472 114.133776,102.888312 115.922974,102.888312 C117.72615,102.888312 119.144907,102.157966 120.179287,100.697254 C121.213667,99.2365414 121.730849,97.2062514 121.730849,94.6063231 C121.730849,92.0203728 121.217161,90.0005663 120.18977,88.546843 C119.162379,87.0931196 117.740128,86.3662688 115.922974,86.3662688 L115.922974,86.3662688 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/mono-line": {
"title": "$:/core/images/mono-line",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-mono-line tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M60.4374591,84.522627 L61.3450888,84.522627 C63.2671377,84.522627 64.7264493,85.0120303 65.7230673,85.9908515 C66.7196852,86.9696727 67.2179868,88.4022896 67.2179868,90.288745 C67.2179868,92.3887615 66.6929905,93.9014625 65.6429823,94.8268935 C64.5929741,95.7523244 62.857817,96.215033 60.4374591,96.215033 L44.3670747,96.215033 C41.9111232,96.215033 40.1670679,95.7612227 39.1348565,94.8535884 C38.102645,93.9459542 37.586547,92.424355 37.586547,90.288745 C37.586547,88.2243221 38.102645,86.747214 39.1348565,85.8573766 C40.1670679,84.9675391 41.9111232,84.522627 44.3670747,84.522627 L46.235724,84.522627 L44.0467348,78.2759992 L20.9822627,78.2759992 L18.6864935,84.522627 L20.5551429,84.522627 C22.9755008,84.522627 24.7106579,84.9764373 25.7606661,85.8840716 C26.8106743,86.7917058 27.3356705,88.2599156 27.3356705,90.288745 C27.3356705,92.3887615 26.8106743,93.9014625 25.7606661,94.8268935 C24.7106579,95.7523244 22.9755008,96.215033 20.5551429,96.215033 L6.78052766,96.215033 C4.32457622,96.215033 2.58052094,95.7523244 1.54830946,94.8268935 C0.516097994,93.9014625 0,92.3887615 0,90.288745 C0,88.4022896 0.498301511,86.9696727 1.49491948,85.9908515 C2.49153745,85.0120303 3.95084902,84.522627 5.87289797,84.522627 L6.78052766,84.522627 L21.0890427,44.6937008 L16.8178442,44.6937008 C14.3974863,44.6937008 12.6623292,44.2309922 11.612321,43.3055613 C10.5623128,42.3801303 10.0373165,40.8852258 10.0373165,38.8208028 C10.0373165,36.7207864 10.5623128,35.2080854 11.612321,34.2826544 C12.6623292,33.3572234 14.3974863,32.8945149 16.8178442,32.8945149 L36.8390873,32.8945149 C40.0069087,32.8945149 42.231469,34.6029772 43.512835,38.0199531 L43.512835,38.180123 L60.4374591,84.522627 Z M32.4611088,44.6937008 L24.7195615,67.224273 L40.2026561,67.224273 L32.4611088,44.6937008 Z M89.5058233,68.5590225 L89.5058233,84.8429669 L97.5143205,84.8429669 C103.173687,84.8429669 107.160099,84.22009 109.473676,82.9743176 C111.787254,81.7285451 112.944025,79.6463566 112.944025,76.7276897 C112.944025,73.7734293 111.840643,71.6734444 109.633846,70.4276719 C107.427049,69.1818994 103.565213,68.5590225 98.0482204,68.5590225 L89.5058233,68.5590225 Z M116.734714,62.6327346 C120.614405,64.0564746 123.461842,66.0051894 125.277111,68.4789376 C127.092379,70.9526857 128,74.1115614 128,77.9556593 C128,81.1946677 127.216955,84.1488838 125.650841,86.8183962 C124.084727,89.4879087 121.84237,91.676876 118.923703,93.385364 C117.215215,94.3819819 115.302093,95.1027395 113.18428,95.5476582 C111.066467,95.9925769 108.06776,96.215033 104.188068,96.215033 L99.7033098,96.215033 L76.3184979,96.215033 C73.9693269,96.215033 72.2875593,95.7523244 71.2731446,94.8268935 C70.2587299,93.9014625 69.7515301,92.3887615 69.7515301,90.288745 C69.7515301,88.4022896 70.2320352,86.9696727 71.1930596,85.9908515 C72.1540841,85.0120303 73.5600062,84.522627 75.4108682,84.522627 L76.3184979,84.522627 L76.3184979,44.6937008 L75.4108682,44.6937008 C73.5600062,44.6937008 72.1540841,44.1953993 71.1930596,43.1987813 C70.2320352,42.2021633 69.7515301,40.7428518 69.7515301,38.8208028 C69.7515301,36.7563799 70.2676281,35.2525771 71.2998396,34.3093494 C72.3320511,33.3661217 74.0049204,32.8945149 76.3184979,32.8945149 L100.877889,32.8945149 C108.388118,32.8945149 114.09189,34.3538264 117.989378,37.2724934 C121.886867,40.1911603 123.835581,44.4623161 123.835581,50.0860889 C123.835581,52.8623819 123.239399,55.3093982 122.047017,57.4272114 C120.854635,59.5450246 119.083885,61.2801816 116.734714,62.6327346 L116.734714,62.6327346 Z M89.5058233,44.3733609 L89.5058233,57.8276363 L96.7134708,57.8276363 C101.091471,57.8276363 104.179161,57.3115383 105.976633,56.2793268 C107.774104,55.2471153 108.672827,53.50306 108.672827,51.0471086 C108.672827,48.7335312 107.863087,47.0428653 106.243583,45.9750604 C104.624078,44.9072554 101.999097,44.3733609 98.3685602,44.3733609 L89.5058233,44.3733609 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/new-button": {
"title": "$:/core/images/new-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-new-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M56,72 L8.00697327,72 C3.59075293,72 0,68.418278 0,64 C0,59.5907123 3.58484404,56 8.00697327,56 L56,56 L56,8.00697327 C56,3.59075293 59.581722,0 64,0 C68.4092877,0 72,3.58484404 72,8.00697327 L72,56 L119.993027,56 C124.409247,56 128,59.581722 128,64 C128,68.4092877 124.415156,72 119.993027,72 L72,72 L72,119.993027 C72,124.409247 68.418278,128 64,128 C59.5907123,128 56,124.415156 56,119.993027 L56,72 L56,72 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/new-here-button": {
"title": "$:/core/images/new-here-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-new-here-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n \t<g transform=\"translate(52.233611, 64.389922) rotate(75.000000) translate(-52.233611, -64.389922) translate(-7.734417, 3.702450)\">\n\t <path d=\"M18.9270186,45.959338 L18.9080585,49.6521741 C18.8884833,53.4648378 21.0574548,58.7482162 23.7526408,61.4434022 L78.5671839,116.257945 C81.2617332,118.952495 85.6348701,118.950391 88.3334363,116.251825 L115.863237,88.7220241 C118.555265,86.0299959 118.564544,81.6509578 115.869358,78.9557717 L61.0548144,24.1412286 C58.3602652,21.4466794 53.0787224,19.2788426 49.2595808,19.3006519 L25.9781737,19.4336012 C22.1633003,19.4553862 19.0471195,22.5673232 19.0275223,26.3842526 L18.9871663,34.2443819 C19.0818862,34.255617 19.1779758,34.2665345 19.2754441,34.2771502 C22.6891275,34.6489512 27.0485594,34.2348566 31.513244,33.2285542 C31.7789418,32.8671684 32.075337,32.5211298 32.4024112,32.1940556 C34.8567584,29.7397084 38.3789778,29.0128681 41.4406288,30.0213822 C41.5958829,29.9543375 41.7503946,29.8866669 41.9041198,29.8183808 L42.1110981,30.2733467 C43.1114373,30.6972371 44.0473796,31.3160521 44.8614145,32.1300869 C48.2842088,35.5528813 48.2555691,41.130967 44.7974459,44.5890903 C41.4339531,47.952583 36.0649346,48.0717177 32.6241879,44.9262969 C27.8170558,45.8919233 23.0726921,46.2881596 18.9270186,45.959338 Z\"></path>\n\t <path d=\"M45.4903462,38.8768094 C36.7300141,42.6833154 26.099618,44.7997354 18.1909048,43.9383587 C7.2512621,42.7468685 1.50150083,35.8404432 4.66865776,24.7010202 C7.51507386,14.6896965 15.4908218,6.92103848 24.3842626,4.38423012 C34.1310219,1.60401701 42.4070208,6.15882777 42.4070209,16.3101169 L34.5379395,16.310117 C34.5379394,11.9285862 31.728784,10.3825286 26.5666962,11.8549876 C20.2597508,13.6540114 14.3453742,19.4148216 12.2444303,26.8041943 C10.4963869,32.9523565 12.6250796,35.5092726 19.0530263,36.2093718 C25.5557042,36.9176104 35.0513021,34.9907189 42.7038419,31.5913902 L42.7421786,31.6756595 C44.3874154,31.5384763 47.8846101,37.3706354 45.9274416,38.6772897 L45.9302799,38.6835285 C45.9166992,38.6895612 45.9031139,38.6955897 45.8895238,38.7016142 C45.8389288,38.7327898 45.7849056,38.7611034 45.7273406,38.7863919 C45.6506459,38.8200841 45.571574,38.8501593 45.4903462,38.8768094 Z\"></path>\n </g>\n <rect x=\"96\" y=\"80\" width=\"16\" height=\"48\" rx=\"8\"></rect>\n <rect x=\"80\" y=\"96\" width=\"48\" height=\"16\" rx=\"8\"></rect>\n </g>\n </g>\n</svg>"
},
"$:/core/images/new-image-button": {
"title": "$:/core/images/new-image-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-new-image-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M81.3619177,73.6270062 L97.1875317,46.2162388 C97.91364,44.9585822 97.4824378,43.3533085 96.2260476,42.6279312 L46.2162388,13.7547547 C44.9585822,13.0286463 43.3533085,13.4598485 42.6279312,14.7162388 L30.0575956,36.4886988 L40.0978909,31.2276186 C43.1404959,29.6333041 46.8692155,31.3421319 47.6479264,34.6877101 L51.2545483,52.3903732 L61.1353556,53.2399953 C63.2899974,53.4346096 65.1046382,54.9309951 65.706105,57.0091178 C65.7395572,57.1246982 65.8069154,57.3539875 65.9047035,57.6813669 C66.0696435,58.2335608 66.2581528,58.852952 66.4667073,59.5238092 C67.0618822,61.4383079 67.6960725,63.3742727 68.3393254,65.2021174 C68.5462918,65.7902259 68.7511789,66.3583016 68.953259,66.9034738 C69.5777086,68.5881157 70.1617856,70.0172008 70.6783305,71.110045 C70.9334784,71.6498566 71.1627732,72.0871602 71.4035746,72.5373068 C71.6178999,72.7492946 71.9508843,72.9623307 72.4151452,73.1586945 C73.5561502,73.6412938 75.1990755,73.899146 77.0720271,73.9171651 C77.9355886,73.9254732 78.7819239,73.8832103 79.5638842,73.8072782 C80.0123946,73.7637257 80.3172916,73.7224469 80.4352582,73.7027375 C80.7503629,73.6500912 81.0598053,73.6256267 81.3619177,73.6270062 L81.3619177,73.6270062 L81.3619177,73.6270062 L81.3619177,73.6270062 Z M37.4707881,2.64867269 C38.9217993,0.135447653 42.1388058,-0.723707984 44.6486727,0.725364314 L108.293614,37.4707881 C110.806839,38.9217993 111.665994,42.1388058 110.216922,44.6486727 L73.4714982,108.293614 C72.0204871,110.806839 68.8034805,111.665994 66.2936136,110.216922 L2.64867269,73.4714982 C0.135447653,72.0204871 -0.723707984,68.8034805 0.725364314,66.2936136 L37.4707881,2.64867269 L37.4707881,2.64867269 L37.4707881,2.64867269 L37.4707881,2.64867269 Z M80.3080975,53.1397764 C82.8191338,54.5895239 86.0299834,53.7291793 87.4797308,51.218143 C88.9294783,48.7071068 88.0691338,45.4962571 85.5580975,44.0465097 C83.0470612,42.5967622 79.8362116,43.4571068 78.3864641,45.968143 C76.9367166,48.4791793 77.7970612,51.6900289 80.3080975,53.1397764 L80.3080975,53.1397764 L80.3080975,53.1397764 L80.3080975,53.1397764 Z M96,112 L88.0070969,112 C83.5881712,112 80,108.418278 80,104 C80,99.5907123 83.5848994,96 88.0070969,96 L96,96 L96,88.0070969 C96,83.5881712 99.581722,80 104,80 C108.409288,80 112,83.5848994 112,88.0070969 L112,96 L119.992903,96 C124.411829,96 128,99.581722 128,104 C128,108.409288 124.415101,112 119.992903,112 L112,112 L112,119.992903 C112,124.411829 108.418278,128 104,128 C99.5907123,128 96,124.415101 96,119.992903 L96,112 L96,112 Z M33.3471097,51.7910932 C40.7754579,59.7394511 42.3564368,62.4818351 40.7958321,65.1848818 C39.2352273,67.8879286 26.9581062,62.8571718 24.7019652,66.7649227 C22.4458242,70.6726735 23.7947046,70.0228006 22.2648667,72.6725575 L41.9944593,84.0634431 C41.9944593,84.0634431 36.3904568,75.8079231 37.7602356,73.4353966 C40.2754811,69.0788636 46.5298923,72.1787882 48.1248275,69.4162793 C50.538989,65.234829 43.0222016,59.7770885 33.3471097,51.7910932 L33.3471097,51.7910932 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/new-journal-button": {
"title": "$:/core/images/new-journal-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-new-journal-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M102.545455,112.818182 L102.545455,124.636364 L102.545455,124.636364 L102.545455,124.636364 C102.545455,125.941761 103.630828,127 104.969697,127 L111.030303,127 C112.369172,127 113.454545,125.941761 113.454545,124.636364 L113.454545,112.818182 L125.575758,112.818182 C126.914626,112.818182 128,111.759982 128,110.454545 L128,104.545455 C128,103.240018 126.914626,102.181818 125.575758,102.181818 L113.454545,102.181818 L113.454545,90.3636364 C113.454545,89.0582 112.369172,88 111.030303,88 L104.969697,88 L104.969697,88 C103.630828,88 102.545455,89.0582 102.545455,90.3636364 L102.545455,102.181818 L90.4242424,102.181818 L90.4242424,102.181818 C89.0853705,102.181818 88,103.240018 88,104.545455 L88,110.454545 L88,110.454545 L88,110.454545 C88,111.759982 89.0853705,112.818182 90.4242424,112.818182 L102.545455,112.818182 Z\"></path>\n <g transform=\"translate(59.816987, 64.316987) rotate(30.000000) translate(-59.816987, -64.316987) translate(20.316987, 12.816987)\">\n <g transform=\"translate(0.000000, 0.000000)\">\n <path d=\"M9.99631148,0 C4.4755011,0 -2.27373675e-13,4.48070044 -2.27373675e-13,9.99759461 L-2.27373675e-13,91.6128884 C-2.27373675e-13,97.1344074 4.46966773,101.610483 9.99631148,101.610483 L68.9318917,101.610483 C74.4527021,101.610483 78.9282032,97.1297826 78.9282032,91.6128884 L78.9282032,9.99759461 C78.9282032,4.47607557 74.4585355,0 68.9318917,0 L9.99631148,0 Z M20.8885263,26 C24.2022348,26 26.8885263,23.3137085 26.8885263,20 C26.8885263,16.6862915 24.2022348,14 20.8885263,14 C17.5748178,14 14.8885263,16.6862915 14.8885263,20 C14.8885263,23.3137085 17.5748178,26 20.8885263,26 Z M57.3033321,25.6783342 C60.6170406,25.6783342 63.3033321,22.9920427 63.3033321,19.6783342 C63.3033321,16.3646258 60.6170406,13.6783342 57.3033321,13.6783342 C53.9896236,13.6783342 51.3033321,16.3646258 51.3033321,19.6783342 C51.3033321,22.9920427 53.9896236,25.6783342 57.3033321,25.6783342 Z\"></path>\n <text font-family=\"Helvetica\" font-size=\"47.1724138\" font-weight=\"bold\" fill=\"#FFFFFF\">\n <tspan x=\"42\" y=\"77.4847912\" text-anchor=\"middle\"><<now \"DD\">></tspan>\n </text>\n </g>\n </g>\n </g>\n</svg>"
},
"$:/core/images/opacity": {
"title": "$:/core/images/opacity",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-opacity tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M102.361773,65 C101.833691,67.051742 101.183534,69.0544767 100.419508,71 L82.5835324,71 C83.7602504,69.1098924 84.7666304,67.1027366 85.581205,65 L102.361773,65 Z M102.834311,63 C103.256674,61.0388326 103.568427,59.0365486 103.762717,57 L87.6555706,57 C87.3692052,59.0609452 86.9083652,61.0660782 86.2884493,63 L102.834311,63 Z M99.5852583,73 C98.6682925,75.0747721 97.6196148,77.0783056 96.4498253,79 L75.8124196,79 C77.8387053,77.2115633 79.6621163,75.1985844 81.2437158,73 L99.5852583,73 Z M95.1689122,81 C93.7449202,83.1155572 92.1695234,85.1207336 90.458251,87 L60.4614747,87 C65.1836162,85.86248 69.5430327,83.794147 73.3347255,81 L95.1689122,81 Z M87.6555706,47 L103.762717,47 C101.246684,20.6269305 79.0321807,0 52,0 C23.281193,0 0,23.281193 0,52 C0,77.2277755 17.9651296,98.2595701 41.8000051,103 L62.1999949,103 C67.8794003,101.870444 73.2255333,99.8158975 78.074754,97 L39,97 L39,95 L81.2493857,95 C83.8589242,93.2215015 86.2981855,91.2116653 88.5376609,89 L39,89 L39,87 L43.5385253,87 C27.7389671,83.1940333 16,68.967908 16,52 C16,32.117749 32.117749,16 52,16 C70.1856127,16 85.2217929,29.4843233 87.6555706,47 Z M87.8767787,49 L103.914907,49 C103.971379,49.9928025 104,50.9930589 104,52 C104,53.0069411 103.971379,54.0071975 103.914907,55 L87.8767787,55 C87.958386,54.0107999 88,53.0102597 88,52 C88,50.9897403 87.958386,49.9892001 87.8767787,49 Z\"></path>\n <path d=\"M76,128 C104.718807,128 128,104.718807 128,76 C128,47.281193 104.718807,24 76,24 C47.281193,24 24,47.281193 24,76 C24,104.718807 47.281193,128 76,128 L76,128 Z M76,112 C95.882251,112 112,95.882251 112,76 C112,56.117749 95.882251,40 76,40 C56.117749,40 40,56.117749 40,76 C40,95.882251 56.117749,112 76,112 L76,112 Z\"></path>\n <path d=\"M37,58 L90,58 L90,62 L37,62 L37,58 L37,58 Z M40,50 L93,50 L93,54 L40,54 L40,50 L40,50 Z M40,42 L93,42 L93,46 L40,46 L40,42 L40,42 Z M32,66 L85,66 L85,70 L32,70 L32,66 L32,66 Z M30,74 L83,74 L83,78 L30,78 L30,74 L30,74 Z M27,82 L80,82 L80,86 L27,86 L27,82 L27,82 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/open-window": {
"title": "$:/core/images/open-window",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-open-window tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M16,112 L104.993898,112 C108.863261,112 112,115.590712 112,120 C112,124.418278 108.858091,128 104.993898,128 L7.00610161,128 C3.13673853,128 0,124.409288 0,120 C0,119.998364 4.30952878e-07,119.996727 1.29273572e-06,119.995091 C4.89579306e-07,119.993456 0,119.99182 0,119.990183 L0,24.0098166 C0,19.586117 3.59071231,16 8,16 C12.418278,16 16,19.5838751 16,24.0098166 L16,112 Z\"></path>\n <path d=\"M96,43.1959595 L96,56 C96,60.418278 99.581722,64 104,64 C108.418278,64 112,60.418278 112,56 L112,24 C112,19.5907123 108.415101,16 103.992903,16 L72.0070969,16 C67.5881712,16 64,19.581722 64,24 C64,28.4092877 67.5848994,32 72.0070969,32 L84.5685425,32 L48.2698369,68.2987056 C45.1421332,71.4264093 45.1434327,76.4904296 48.267627,79.614624 C51.3854642,82.7324612 56.4581306,82.7378289 59.5835454,79.6124141 L96,43.1959595 Z M32,7.9992458 C32,3.58138434 35.5881049,0 39.9992458,0 L120.000754,0 C124.418616,0 128,3.5881049 128,7.9992458 L128,88.0007542 C128,92.4186157 124.411895,96 120.000754,96 L39.9992458,96 C35.5813843,96 32,92.4118951 32,88.0007542 L32,7.9992458 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/options-button": {
"title": "$:/core/images/options-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-options-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M110.48779,76.0002544 C109.354214,80.4045063 107.611262,84.5641217 105.354171,88.3838625 L105.354171,88.3838625 L112.07833,95.1080219 C115.20107,98.2307613 115.210098,103.299824 112.089164,106.420759 L106.420504,112.089418 C103.301049,115.208874 98.2346851,115.205502 95.1077675,112.078585 L88.3836082,105.354425 C84.5638673,107.611516 80.4042519,109.354468 76,110.488045 L76,110.488045 L76,119.993281 C76,124.409501 72.4220153,128.000254 68.0083475,128.000254 L59.9916525,128.000254 C55.5800761,128.000254 52,124.41541 52,119.993281 L52,110.488045 C47.5957481,109.354468 43.4361327,107.611516 39.6163918,105.354425 L32.8922325,112.078585 C29.7694931,115.201324 24.7004301,115.210353 21.5794957,112.089418 L15.9108363,106.420759 C12.7913807,103.301303 12.7947522,98.2349395 15.9216697,95.1080219 L22.6458291,88.3838625 C20.3887383,84.5641217 18.6457859,80.4045063 17.5122098,76.0002544 L8.00697327,76.0002544 C3.59075293,76.0002544 2.19088375e-16,72.4222697 4.89347582e-16,68.0086019 L9.80228577e-16,59.9919069 C1.25035972e-15,55.5803305 3.58484404,52.0002544 8.00697327,52.0002544 L17.5122098,52.0002544 C18.6457859,47.5960025 20.3887383,43.4363871 22.6458291,39.6166462 L15.9216697,32.8924868 C12.7989304,29.7697475 12.7899019,24.7006845 15.9108363,21.5797501 L21.5794957,15.9110907 C24.6989513,12.7916351 29.7653149,12.7950065 32.8922325,15.9219241 L39.6163918,22.6460835 C43.4361327,20.3889927 47.5957481,18.6460403 52,17.5124642 L52,8.00722764 C52,3.5910073 55.5779847,0.000254375069 59.9916525,0.000254375069 L68.0083475,0.000254375069 C72.4199239,0.000254375069 76,3.58509841 76,8.00722764 L76,17.5124642 C80.4042519,18.6460403 84.5638673,20.3889927 88.3836082,22.6460835 L95.1077675,15.9219241 C98.2305069,12.7991848 103.29957,12.7901562 106.420504,15.9110907 L112.089164,21.5797501 C115.208619,24.6992057 115.205248,29.7655693 112.07833,32.8924868 L105.354171,39.6166462 L105.354171,39.6166462 C107.611262,43.4363871 109.354214,47.5960025 110.48779,52.0002544 L119.993027,52.0002544 C124.409247,52.0002544 128,55.5782391 128,59.9919069 L128,68.0086019 C128,72.4201783 124.415156,76.0002544 119.993027,76.0002544 L110.48779,76.0002544 L110.48779,76.0002544 Z M64,96.0002544 C81.673112,96.0002544 96,81.6733664 96,64.0002544 C96,46.3271424 81.673112,32.0002544 64,32.0002544 C46.326888,32.0002544 32,46.3271424 32,64.0002544 C32,81.6733664 46.326888,96.0002544 64,96.0002544 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/paint": {
"title": "$:/core/images/paint",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-paint tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M83.5265806,76.1907935 C90.430962,69.2864121 91.8921169,59.0000433 87.9100453,50.6642209 L125.812763,12.7615036 C128.732035,9.84223095 128.72611,5.10322984 125.812796,2.18991592 C122.893542,-0.729338085 118.161775,-0.730617045 115.241209,2.18994966 L77.3384914,40.092667 C69.002669,36.1105954 58.7163002,37.5717503 51.8119188,44.4761317 L83.5265806,76.1907935 L83.5265806,76.1907935 L83.5265806,76.1907935 L83.5265806,76.1907935 Z M80.8836921,78.8336819 L49.1690303,47.1190201 C49.1690303,47.1190201 8.50573364,81.242543 0,80.2820711 C0,80.2820711 3.78222974,85.8744423 6.82737483,88.320684 C20.8514801,82.630792 44.1526049,63.720771 44.1526049,63.720771 L44.8144806,64.3803375 C44.8144806,64.3803375 19.450356,90.2231043 9.18040433,92.0477601 C10.4017154,93.4877138 13.5343883,96.1014812 15.4269991,97.8235871 C20.8439164,96.3356979 50.1595367,69.253789 50.1595367,69.253789 L50.8214124,69.9133555 L18.4136144,100.936036 L23.6993903,106.221812 L56.1060358,75.2002881 L56.7679115,75.8598546 C56.7679115,75.8598546 28.9040131,106.396168 28.0841366,108.291555 C28.0841366,108.291555 34.1159238,115.144621 35.6529617,116.115796 C36.3545333,113.280171 63.5365402,82.6307925 63.5365402,82.6307925 L64.1984159,83.290359 C64.1984159,83.290359 43.6013016,107.04575 39.2343772,120.022559 C42.443736,123.571575 46.7339155,125.159692 50.1595362,126.321151 C47.9699978,114.504469 80.8836921,78.8336819 80.8836921,78.8336819 L80.8836921,78.8336819 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/palette": {
"title": "$:/core/images/palette",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-palette tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M80.2470434,39.1821571 C75.0645698,38.2680897 69.6261555,37.7814854 64.0193999,37.7814854 C28.6624616,37.7814854 0,57.1324214 0,81.0030106 C0,90.644534 4.67604329,99.5487133 12.5805659,106.738252 C23.5031767,91.1899067 26.3405471,72.3946229 36.8885698,63.5622337 C52.0716764,50.8486559 63.4268694,55.7343343 63.4268694,55.7343343 L80.2470434,39.1821571 Z M106.781666,48.8370714 C119.830962,56.749628 128.0388,68.229191 128.0388,81.0030106 C128.0388,90.3534932 128.557501,98.4142085 116.165191,106.082518 C105.367708,112.763955 112.341384,99.546808 104.321443,95.1851533 C96.3015017,90.8234987 84.3749007,96.492742 86.1084305,103.091059 C89.3087234,115.272303 105.529892,114.54645 92.4224435,119.748569 C79.3149955,124.950687 74.2201582,124.224536 64.0193999,124.224536 C56.1979176,124.224536 48.7040365,123.277578 41.7755684,121.544216 C51.620343,117.347916 69.6563669,109.006202 75.129737,102.088562 C82.7876655,92.4099199 87.3713218,80.0000002 83.3235694,72.4837191 C83.1303943,72.1250117 94.5392656,60.81569 106.781666,48.8370714 Z M1.13430476,123.866563 C0.914084026,123.867944 0.693884185,123.868637 0.473712455,123.868637 C33.9526848,108.928928 22.6351223,59.642592 59.2924543,59.6425917 C59.6085574,61.0606542 59.9358353,62.5865065 60.3541977,64.1372318 C34.4465025,59.9707319 36.7873124,112.168427 1.13429588,123.866563 L1.13430476,123.866563 Z M1.84669213,123.859694 C40.7185279,123.354338 79.9985412,101.513051 79.9985401,79.0466836 C70.7284906,79.0466835 65.9257264,75.5670082 63.1833375,71.1051511 C46.585768,64.1019718 32.81846,116.819636 1.84665952,123.859695 L1.84669213,123.859694 Z M67.1980193,59.8524981 C62.748213,63.9666823 72.0838429,76.2846822 78.5155805,71.1700593 C89.8331416,59.8524993 112.468264,37.2173758 123.785825,25.8998146 C135.103386,14.5822535 123.785825,3.26469247 112.468264,14.5822535 C101.150703,25.8998144 78.9500931,48.9868127 67.1980193,59.8524981 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/permalink-button": {
"title": "$:/core/images/permalink-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-permalink-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M80.4834582,48 L73.0956761,80 L73.0956761,80 L47.5165418,80 L54.9043239,48 L80.4834582,48 Z M84.1773493,32 L89.8007299,7.64246248 C90.7941633,3.33942958 95.0918297,0.64641956 99.3968675,1.64031585 C103.693145,2.63218977 106.385414,6.93288901 105.390651,11.2416793 L100.598215,32 L104.000754,32 C108.411895,32 112,35.581722 112,40 C112,44.4092877 108.418616,48 104.000754,48 L96.9043239,48 L89.5165418,80 L104.000754,80 C108.411895,80 112,83.581722 112,88 C112,92.4092877 108.418616,96 104.000754,96 L85.8226507,96 L80.1992701,120.357538 C79.2058367,124.66057 74.9081703,127.35358 70.6031325,126.359684 C66.3068546,125.36781 63.6145865,121.067111 64.6093491,116.758321 L69.401785,96 L43.8226507,96 L38.1992701,120.357538 C37.2058367,124.66057 32.9081703,127.35358 28.6031325,126.359684 C24.3068546,125.36781 21.6145865,121.067111 22.6093491,116.758321 L27.401785,96 L23.9992458,96 C19.5881049,96 16,92.418278 16,88 C16,83.5907123 19.5813843,80 23.9992458,80 L31.0956761,80 L38.4834582,48 L23.9992458,48 C19.5881049,48 16,44.418278 16,40 C16,35.5907123 19.5813843,32 23.9992458,32 L42.1773493,32 L47.8007299,7.64246248 C48.7941633,3.33942958 53.0918297,0.64641956 57.3968675,1.64031585 C61.6931454,2.63218977 64.3854135,6.93288901 63.3906509,11.2416793 L58.598215,32 L84.1773493,32 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/permaview-button": {
"title": "$:/core/images/permaview-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-permaview-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M81.4834582,48 L79.6365127,56 L79.6365127,56 L74.0573784,56 L75.9043239,48 L81.4834582,48 Z M85.1773493,32 L90.8007299,7.64246248 C91.7941633,3.33942958 96.0918297,0.64641956 100.396867,1.64031585 C104.693145,2.63218977 107.385414,6.93288901 106.390651,11.2416793 L101.598215,32 L104.000754,32 C108.411895,32 112,35.581722 112,40 C112,44.4092877 108.418616,48 104.000754,48 L97.9043239,48 L96.0573784,56 L104.000754,56 C108.411895,56 112,59.581722 112,64 C112,68.4092877 108.418616,72 104.000754,72 L92.3634873,72 L90.5165418,80 L104.000754,80 C108.411895,80 112,83.581722 112,88 C112,92.4092877 108.418616,96 104.000754,96 L86.8226507,96 L81.1992701,120.357538 C80.2058367,124.66057 75.9081703,127.35358 71.6031325,126.359684 C67.3068546,125.36781 64.6145865,121.067111 65.6093491,116.758321 L70.401785,96 L64.8226507,96 L59.1992701,120.357538 C58.2058367,124.66057 53.9081703,127.35358 49.6031325,126.359684 C45.3068546,125.36781 42.6145865,121.067111 43.6093491,116.758321 L48.401785,96 L42.8226507,96 L37.1992701,120.357538 C36.2058367,124.66057 31.9081703,127.35358 27.6031325,126.359684 C23.3068546,125.36781 20.6145865,121.067111 21.6093491,116.758321 L26.401785,96 L23.9992458,96 C19.5881049,96 16,92.418278 16,88 C16,83.5907123 19.5813843,80 23.9992458,80 L30.0956761,80 L31.9426216,72 L23.9992458,72 C19.5881049,72 16,68.418278 16,64 C16,59.5907123 19.5813843,56 23.9992458,56 L35.6365127,56 L37.4834582,48 L23.9992458,48 C19.5881049,48 16,44.418278 16,40 C16,35.5907123 19.5813843,32 23.9992458,32 L41.1773493,32 L46.8007299,7.64246248 C47.7941633,3.33942958 52.0918297,0.64641956 56.3968675,1.64031585 C60.6931454,2.63218977 63.3854135,6.93288901 62.3906509,11.2416793 L57.598215,32 L63.1773493,32 L68.8007299,7.64246248 C69.7941633,3.33942958 74.0918297,0.64641956 78.3968675,1.64031585 C82.6931454,2.63218977 85.3854135,6.93288901 84.3906509,11.2416793 L79.598215,32 L85.1773493,32 Z M53.9043239,48 L52.0573784,56 L57.6365127,56 L59.4834582,48 L53.9043239,48 Z M75.9426216,72 L74.0956761,80 L74.0956761,80 L68.5165418,80 L70.3634873,72 L75.9426216,72 L75.9426216,72 Z M48.3634873,72 L46.5165418,80 L52.0956761,80 L53.9426216,72 L48.3634873,72 L48.3634873,72 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/picture": {
"title": "$:/core/images/picture",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-picture tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M112,68.2332211 L112,20.0027785 C112,17.7898769 110.207895,16 107.997221,16 L20.0027785,16 C17.7898769,16 16,17.792105 16,20.0027785 L16,58.312373 L25.2413115,43.7197989 C28.041793,39.297674 34.2643908,38.7118128 37.8410347,42.5335275 L56.0882845,63.1470817 L69.7748997,56.7400579 C72.766567,55.3552503 76.3013751,55.9473836 78.678437,58.2315339 C78.8106437,58.3585731 79.0742301,58.609836 79.4527088,58.9673596 C80.0910923,59.570398 80.8117772,60.2441563 81.598127,60.9705595 C83.8422198,63.043576 86.1541548,65.1151944 88.3956721,67.0372264 C89.1168795,67.6556396 89.8200801,68.2492007 90.5021258,68.8146755 C92.6097224,70.5620551 94.4693308,72.0029474 95.9836366,73.0515697 C96.7316295,73.5695379 97.3674038,73.9719282 98.0281481,74.3824999 C98.4724987,74.4989557 99.0742374,74.5263881 99.8365134,74.4317984 C101.709944,74.1993272 104.074502,73.2878514 106.559886,71.8846196 C107.705822,71.2376318 108.790494,70.5370325 109.764561,69.8410487 C110.323259,69.4418522 110.694168,69.1550757 110.834827,69.0391868 C111.210545,68.7296319 111.600264,68.4615815 112,68.2332211 L112,68.2332211 Z M0,8.00697327 C0,3.58484404 3.59075293,0 8.00697327,0 L119.993027,0 C124.415156,0 128,3.59075293 128,8.00697327 L128,119.993027 C128,124.415156 124.409247,128 119.993027,128 L8.00697327,128 C3.58484404,128 0,124.409247 0,119.993027 L0,8.00697327 L0,8.00697327 Z M95,42 C99.418278,42 103,38.418278 103,34 C103,29.581722 99.418278,26 95,26 C90.581722,26 87,29.581722 87,34 C87,38.418278 90.581722,42 95,42 L95,42 Z M32,76 C47.8587691,80.8294182 52.0345556,83.2438712 52.0345556,88 C52.0345556,92.7561288 32,95.4712486 32,102.347107 C32,109.222965 33.2849191,107.337637 33.2849191,112 L67.999999,112 C67.999999,112 54.3147136,105.375255 54.3147136,101.200691 C54.3147136,93.535181 64.9302432,92.860755 64.9302432,88 C64.9302432,80.6425555 50.8523779,79.167282 32,76 L32,76 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/plugin-generic-language": {
"title": "$:/core/images/plugin-generic-language",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M61.2072232,68.1369825 C56.8829239,70.9319564 54.2082892,74.793177 54.2082892,79.0581634 C54.2082892,86.9638335 63.3980995,93.4821994 75.2498076,94.3940006 C77.412197,98.2964184 83.8475284,101.178858 91.5684735,101.403106 C86.4420125,100.27851 82.4506393,97.6624107 80.9477167,94.3948272 C92.8046245,93.4861461 102,86.9662269 102,79.0581634 C102,70.5281905 91.3014611,63.6132813 78.1041446,63.6132813 C71.5054863,63.6132813 65.5315225,65.3420086 61.2072232,68.1369825 Z M74.001066,53.9793443 C69.6767667,56.7743182 63.7028029,58.5030456 57.1041446,58.5030456 C54.4851745,58.5030456 51.9646095,58.2307276 49.6065315,57.7275105 C46.2945155,59.9778212 41.2235699,61.4171743 35.5395922,61.4171743 C35.4545771,61.4171743 35.3696991,61.4168523 35.2849622,61.4162104 C39.404008,60.5235193 42.7961717,58.6691298 44.7630507,56.286533 C37.8379411,53.5817651 33.2082892,48.669413 33.2082892,43.0581634 C33.2082892,34.5281905 43.9068281,27.6132812 57.1041446,27.6132812 C70.3014611,27.6132812 81,34.5281905 81,43.0581634 C81,47.3231498 78.3253653,51.1843704 74.001066,53.9793443 Z M64,0 L118.5596,32 L118.5596,96 L64,128 L9.44039956,96 L9.44039956,32 L64,0 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/plugin-generic-plugin": {
"title": "$:/core/images/plugin-generic-plugin",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M40.3972881,76.4456988 L40.3972881,95.3404069 L54.5170166,95.3404069 L54.5170166,95.3404069 C54.5165526,95.3385183 54.516089,95.3366295 54.515626,95.3347404 C54.6093153,95.3385061 54.7034848,95.3404069 54.7980982,95.3404069 C58.6157051,95.3404069 61.710487,92.245625 61.710487,88.4280181 C61.710487,86.6197822 61.01617,84.9737128 59.8795929,83.7418666 L59.8795929,83.7418666 C59.8949905,83.7341665 59.9104102,83.7265043 59.925852,83.7188798 C58.8840576,82.5086663 58.2542926,80.9336277 58.2542926,79.2114996 C58.2542926,75.3938927 61.3490745,72.2991108 65.1666814,72.2991108 C68.9842884,72.2991108 72.0790703,75.3938927 72.0790703,79.2114996 C72.0790703,81.1954221 71.2432806,82.9841354 69.9045961,84.2447446 L69.9045961,84.2447446 C69.9333407,84.2629251 69.9619885,84.281245 69.9905383,84.2997032 L69.9905383,84.2997032 C69.1314315,85.4516923 68.6228758,86.8804654 68.6228758,88.4280181 C68.6228758,91.8584969 71.1218232,94.7053153 74.3986526,95.2474079 C74.3913315,95.2784624 74.3838688,95.3094624 74.3762652,95.3404069 L95.6963988,95.3404069 L95.6963988,75.5678578 L95.6963988,75.5678578 C95.6466539,75.5808558 95.5967614,75.5934886 95.5467242,75.6057531 C95.5504899,75.5120637 95.5523907,75.4178943 95.5523907,75.3232809 C95.5523907,71.505674 92.4576088,68.4108921 88.6400019,68.4108921 C86.831766,68.4108921 85.1856966,69.105209 83.9538504,70.2417862 L83.9538504,70.2417862 C83.9461503,70.2263886 83.938488,70.2109688 83.9308636,70.1955271 C82.7206501,71.2373215 81.1456115,71.8670865 79.4234834,71.8670865 C75.6058765,71.8670865 72.5110946,68.7723046 72.5110946,64.9546976 C72.5110946,61.1370907 75.6058765,58.0423088 79.4234834,58.0423088 C81.4074059,58.0423088 83.1961192,58.8780985 84.4567284,60.2167829 L84.4567284,60.2167829 C84.4749089,60.1880383 84.4932288,60.1593906 84.511687,60.1308407 L84.511687,60.1308407 C85.6636761,60.9899475 87.0924492,61.4985032 88.6400019,61.4985032 C92.0704807,61.4985032 94.9172991,58.9995558 95.4593917,55.7227265 C95.538755,55.7414363 95.6177614,55.761071 95.6963988,55.7816184 L95.6963988,40.0412962 L74.3762652,40.0412962 L74.3762652,40.0412962 C74.3838688,40.0103516 74.3913315,39.9793517 74.3986526,39.9482971 L74.3986526,39.9482971 C71.1218232,39.4062046 68.6228758,36.5593862 68.6228758,33.1289073 C68.6228758,31.5813547 69.1314315,30.1525815 69.9905383,29.0005925 C69.9619885,28.9821342 69.9333407,28.9638143 69.9045961,28.9456339 C71.2432806,27.6850247 72.0790703,25.8963113 72.0790703,23.9123888 C72.0790703,20.0947819 68.9842884,17 65.1666814,17 C61.3490745,17 58.2542926,20.0947819 58.2542926,23.9123888 C58.2542926,25.6345169 58.8840576,27.2095556 59.925852,28.419769 L59.925852,28.419769 C59.9104102,28.4273935 59.8949905,28.4350558 59.8795929,28.4427558 C61.01617,29.674602 61.710487,31.3206715 61.710487,33.1289073 C61.710487,36.9465143 58.6157051,40.0412962 54.7980982,40.0412962 C54.7034848,40.0412962 54.6093153,40.0393953 54.515626,40.0356296 L54.515626,40.0356296 C54.516089,40.0375187 54.5165526,40.0394075 54.5170166,40.0412962 L40.3972881,40.0412962 L40.3972881,52.887664 L40.3972881,52.887664 C40.4916889,53.3430132 40.5412962,53.8147625 40.5412962,54.2980982 C40.5412962,58.1157051 37.4465143,61.210487 33.6289073,61.210487 C32.0813547,61.210487 30.6525815,60.7019313 29.5005925,59.8428245 C29.4821342,59.8713744 29.4638143,59.9000221 29.4456339,59.9287667 C28.1850247,58.5900823 26.3963113,57.7542926 24.4123888,57.7542926 C20.5947819,57.7542926 17.5,60.8490745 17.5,64.6666814 C17.5,68.4842884 20.5947819,71.5790703 24.4123888,71.5790703 C26.134517,71.5790703 27.7095556,70.9493053 28.919769,69.9075109 L28.919769,69.9075109 C28.9273935,69.9229526 28.9350558,69.9383724 28.9427558,69.95377 C30.174602,68.8171928 31.8206715,68.1228758 33.6289073,68.1228758 C37.4465143,68.1228758 40.5412962,71.2176578 40.5412962,75.0352647 C40.5412962,75.5186004 40.4916889,75.9903496 40.3972881,76.4456988 Z M64,0 L118.5596,32 L118.5596,96 L64,128 L9.44039956,96 L9.44039956,32 L64,0 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/plugin-generic-theme": {
"title": "$:/core/images/plugin-generic-theme",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M29.4078519,91.4716406 L51.4693474,69.4101451 L51.4646675,69.4054652 C50.5969502,68.5377479 50.5929779,67.1348725 51.4693474,66.2585029 C52.3396494,65.3882009 53.7499654,65.3874786 54.6163097,66.2538229 L64.0805963,75.7181095 C64.9483136,76.5858268 64.9522859,77.9887022 64.0759163,78.8650718 C63.2056143,79.7353737 61.7952984,79.736096 60.9289541,78.8697517 L60.9242741,78.8650718 L60.9242741,78.8650718 L38.8627786,100.926567 C36.2518727,103.537473 32.0187578,103.537473 29.4078519,100.926567 C26.796946,98.3156614 26.796946,94.0825465 29.4078519,91.4716406 Z M60.8017407,66.3810363 C58.3659178,63.6765806 56.3370667,61.2899536 54.9851735,59.5123615 C48.1295381,50.4979488 44.671561,55.2444054 40.7586738,59.5123614 C36.8457866,63.7803174 41.789473,67.2384487 38.0759896,70.2532832 C34.3625062,73.2681177 34.5917646,74.3131575 28.3243876,68.7977024 C22.0570105,63.2822473 21.6235306,61.7636888 24.5005999,58.6166112 C27.3776691,55.4695337 29.7823103,60.4247912 35.6595047,54.8320442 C41.5366991,49.2392972 36.5996215,44.2825646 36.5996215,44.2825646 C36.5996215,44.2825646 48.8365511,19.267683 65.1880231,21.1152173 C81.5394952,22.9627517 59.0022276,18.7228947 53.3962199,38.3410355 C50.9960082,46.7405407 53.8429162,44.7613399 58.3941742,48.3090467 C59.7875202,49.3951602 64.4244828,52.7100463 70.1884353,56.9943417 L90.8648751,36.3179019 L92.4795866,31.5515482 L100.319802,26.8629752 L103.471444,30.0146174 L98.782871,37.8548326 L94.0165173,39.4695441 L73.7934912,59.6925702 C86.4558549,69.2403631 102.104532,81.8392557 102.104532,86.4016913 C102.104533,93.6189834 99.0337832,97.9277545 92.5695848,95.5655717 C87.8765989,93.8506351 73.8015497,80.3744087 63.8173444,69.668717 L60.9242741,72.5617873 L57.7726319,69.4101451 L60.8017407,66.3810363 L60.8017407,66.3810363 Z M63.9533761,1.42108547e-13 L118.512977,32 L118.512977,96 L63.9533761,128 L9.39377563,96 L9.39377563,32 L63.9533761,1.42108547e-13 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/preview-closed": {
"title": "$:/core/images/preview-closed",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-preview-closed tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M0.0881363238,64 C-0.210292223,65.8846266 0.249135869,67.8634737 1.4664206,69.4579969 C16.2465319,88.8184886 39.1692554,100.414336 64,100.414336 C88.8307446,100.414336 111.753468,88.8184886 126.533579,69.4579969 C127.750864,67.8634737 128.210292,65.8846266 127.911864,64 C110.582357,78.4158332 88.3036732,87.0858436 64,87.0858436 C39.6963268,87.0858436 17.4176431,78.4158332 0.0881363238,64 Z\"></path>\n <rect x=\"62\" y=\"96\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(80.000000, 101.000000) rotate(-5.000000) translate(-80.000000, -101.000000) \" x=\"78\" y=\"93\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(48.000000, 101.000000) rotate(-355.000000) translate(-48.000000, -101.000000) \" x=\"46\" y=\"93\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(32.000000, 96.000000) rotate(-350.000000) translate(-32.000000, -96.000000) \" x=\"30\" y=\"88\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(96.000000, 96.000000) rotate(-10.000000) translate(-96.000000, -96.000000) \" x=\"94\" y=\"88\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(112.000000, 88.000000) rotate(-20.000000) translate(-112.000000, -88.000000) \" x=\"110\" y=\"80\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n <rect transform=\"translate(16.000000, 88.000000) rotate(-340.000000) translate(-16.000000, -88.000000) \" x=\"14\" y=\"80\" width=\"4\" height=\"16\" rx=\"4\"></rect>\n </g>\n</svg>"
},
"$:/core/images/preview-open": {
"title": "$:/core/images/preview-open",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-preview-open tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M64.1099282,99.5876785 C39.2791836,99.5876785 16.3564602,87.9918313 1.57634884,68.6313396 C-0.378878622,66.070184 -0.378878622,62.5174945 1.57634884,59.9563389 C16.3564602,40.5958472 39.2791836,29 64.1099282,29 C88.9406729,29 111.863396,40.5958472 126.643508,59.9563389 C128.598735,62.5174945 128.598735,66.070184 126.643508,68.6313396 C111.863396,87.9918313 88.9406729,99.5876785 64.1099282,99.5876785 Z M110.213805,67.5808331 C111.654168,66.0569335 111.654168,63.9430665 110.213805,62.4191669 C99.3257042,50.8995835 82.4391647,44 64.1470385,44 C45.8549124,44 28.9683729,50.8995835 18.0802717,62.4191669 C16.6399094,63.9430665 16.6399094,66.0569335 18.0802717,67.5808331 C28.9683729,79.1004165 45.8549124,86 64.1470385,86 C82.4391647,86 99.3257042,79.1004165 110.213805,67.5808331 Z\"></path>\n <path d=\"M63.5,88 C76.4786916,88 87,77.4786916 87,64.5 C87,51.5213084 76.4786916,41 63.5,41 C50.5213084,41 40,51.5213084 40,64.5 C40,77.4786916 50.5213084,88 63.5,88 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/print-button": {
"title": "$:/core/images/print-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-print-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M112,71 L112,30.5 L111.96811,30.5 L111.96811,30.5 C111.932942,28.4998414 111.151676,26.510538 109.625176,24.9840387 L86.9982489,2.35711116 C85.3482153,0.707077645 83.1589869,-0.071534047 81,0.0201838424 L81,0 L23.9992458,0 C19.5808867,0 16,3.58213437 16,8.00092105 L16,71 L24,71 L24,8 L81,8 L81,22.4996539 C81,26.9216269 84.5818769,30.5 89.0003461,30.5 L104,30.5 L104,71 L112,71 Z\"></path>\n <rect x=\"32\" y=\"36\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"52\" width=\"64\" height=\"8\" rx=\"4\"></rect>\n <rect x=\"32\" y=\"20\" width=\"40\" height=\"8\" rx=\"4\"></rect>\n <path d=\"M0,80.0054195 C0,71.1658704 7.15611005,64 16.0008841,64 L111.999116,64 C120.83616,64 128,71.1553215 128,80.0054195 L128,111.99458 C128,120.83413 120.84389,128 111.999116,128 L16.0008841,128 C7.16383982,128 0,120.844679 0,111.99458 L0,80.0054195 Z M104,96 C108.418278,96 112,92.418278 112,88 C112,83.581722 108.418278,80 104,80 C99.581722,80 96,83.581722 96,88 C96,92.418278 99.581722,96 104,96 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/quote": {
"title": "$:/core/images/quote",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-quote tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M51.2188077,117.712501 L51.2188077,62.1993386 L27.4274524,62.1993386 C27.4274524,53.3075754 29.1096526,45.797753 32.4741035,39.669646 C35.8385544,33.541539 42.0867267,28.9154883 51.2188077,25.7913554 L51.2188077,2 C43.7689521,2.96127169 36.8599155,5.18417913 30.4914905,8.668789 C24.1230656,12.1533989 18.6559149,16.5391352 14.0898743,21.8261295 C9.52383382,27.1131238 5.97919764,33.2411389 3.45585945,40.2103586 C0.932521268,47.1795784 -0.208971741,54.6293222 0.0313461819,62.5598136 L0.0313461819,117.712501 L51.2188077,117.712501 Z M128,117.712501 L128,62.1993386 L104.208645,62.1993386 C104.208645,53.3075754 105.890845,45.797753 109.255296,39.669646 C112.619747,33.541539 118.867919,28.9154883 128,25.7913554 L128,2 C120.550144,2.96127169 113.641108,5.18417913 107.272683,8.668789 C100.904258,12.1533989 95.4371072,16.5391352 90.8710666,21.8261295 C86.3050261,27.1131238 82.7603899,33.2411389 80.2370517,40.2103586 C77.7137136,47.1795784 76.5722206,54.6293222 76.8125385,62.5598136 L76.8125385,117.712501 L128,117.712501 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/refresh-button": {
"title": "$:/core/images/refresh-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-refresh-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M106.369002,39.4325143 C116.529932,60.3119371 112.939592,86.1974934 95.5979797,103.539105 C73.7286194,125.408466 38.2713806,125.408466 16.4020203,103.539105 C-5.46734008,81.6697449 -5.46734008,46.2125061 16.4020203,24.3431458 C19.5262146,21.2189514 24.5915344,21.2189514 27.7157288,24.3431458 C30.8399231,27.4673401 30.8399231,32.5326599 27.7157288,35.6568542 C12.0947571,51.2778259 12.0947571,76.6044251 27.7157288,92.2253967 C43.3367004,107.846368 68.6632996,107.846368 84.2842712,92.2253967 C97.71993,78.7897379 99.5995262,58.1740623 89.9230597,42.729491 L83.4844861,54.9932839 C81.4307001,58.9052072 76.5945372,60.4115251 72.682614,58.3577391 C68.7706907,56.3039532 67.2643728,51.4677903 69.3181587,47.555867 L84.4354914,18.7613158 C86.4966389,14.8353707 91.3577499,13.3347805 95.273202,15.415792 L124.145886,30.7612457 C128.047354,32.8348248 129.52915,37.6785572 127.455571,41.5800249 C125.381992,45.4814927 120.53826,46.9632892 116.636792,44.8897102 L106.369002,39.4325143 Z M98.1470904,27.0648707 C97.9798954,26.8741582 97.811187,26.6843098 97.6409651,26.4953413 L98.6018187,26.1987327 L98.1470904,27.0648707 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/right-arrow": {
"title": "$:/core/images/right-arrow",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-right-arrow tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <path d=\"M80.3563798,109.353315 C78.9238993,110.786918 76.9450203,111.675144 74.7592239,111.675144 L-4.40893546,111.675144 C-8.77412698,111.675144 -12.3248558,108.130732 -12.3248558,103.758478 C-12.3248558,99.3951199 -8.78077754,95.8418109 -4.40893546,95.8418109 L66.8418109,95.8418109 L66.8418109,24.5910645 C66.8418109,20.225873 70.3862233,16.6751442 74.7584775,16.6751442 C79.1218352,16.6751442 82.6751442,20.2192225 82.6751442,24.5910645 L82.6751442,103.759224 C82.6751442,105.941695 81.7891419,107.920575 80.3566508,109.353886 Z\" transform=\"translate(35.175144, 64.175144) rotate(-45.000000) translate(-35.175144, -64.175144) \"></path>\n</svg>"
},
"$:/core/images/rotate-left": {
"title": "$:/core/images/rotate-left",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-rotate-left tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"32\" height=\"80\" rx=\"8\"/><rect x=\"48\" y=\"96\" width=\"80\" height=\"32\" rx=\"8\"/><path d=\"M61.32 36.65c19.743 2.45 35.023 19.287 35.023 39.693a4 4 0 0 1-8 0c0-15.663-11.254-28.698-26.117-31.46l3.916 3.916a4 4 0 1 1-5.657 5.657L49.172 43.142a4 4 0 0 1 0-5.657l11.313-11.313a4 4 0 1 1 5.657 5.656l-4.821 4.822z\"/></g></svg>"
},
"$:/core/images/save-button": {
"title": "$:/core/images/save-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-save-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\">\n <g fill-rule=\"evenodd\">\n <path d=\"M120.78304,34.329058 C125.424287,43.1924006 128.049406,53.2778608 128.049406,63.9764502 C128.049406,99.3226742 99.3956295,127.97645 64.0494055,127.97645 C28.7031816,127.97645 0.0494055385,99.3226742 0.0494055385,63.9764502 C0.0494055385,28.6302262 28.7031816,-0.0235498012 64.0494055,-0.0235498012 C82.8568763,-0.0235498012 99.769563,8.08898558 111.479045,21.0056358 L114.159581,18.3250998 C117.289194,15.1954866 122.356036,15.1939641 125.480231,18.3181584 C128.598068,21.4359957 128.601317,26.5107804 125.473289,29.6388083 L120.78304,34.329058 Z M108.72451,46.3875877 C110.870571,51.8341374 112.049406,57.767628 112.049406,63.9764502 C112.049406,90.4861182 90.5590735,111.97645 64.0494055,111.97645 C37.5397375,111.97645 16.0494055,90.4861182 16.0494055,63.9764502 C16.0494055,37.4667822 37.5397375,15.9764502 64.0494055,15.9764502 C78.438886,15.9764502 91.3495036,22.308215 100.147097,32.3375836 L58.9411255,73.5435552 L41.975581,56.5780107 C38.8486152,53.4510448 33.7746915,53.4551552 30.6568542,56.5729924 C27.5326599,59.6971868 27.5372202,64.7670668 30.6618725,67.8917192 L53.279253,90.5090997 C54.8435723,92.073419 56.8951519,92.8541315 58.9380216,92.8558261 C60.987971,92.8559239 63.0389578,92.0731398 64.6049211,90.5071765 L108.72451,46.3875877 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/size": {
"title": "$:/core/images/size",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-size tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <path d=\"M92.3431458,26 L83.1715729,35.1715729 C81.6094757,36.73367 81.6094757,39.26633 83.1715729,40.8284271 C84.73367,42.3905243 87.26633,42.3905243 88.8284271,40.8284271 L104.828427,24.8284271 C106.390524,23.26633 106.390524,20.73367 104.828427,19.1715729 L88.8284271,3.17157288 C87.26633,1.60947571 84.73367,1.60947571 83.1715729,3.17157288 C81.6094757,4.73367004 81.6094757,7.26632996 83.1715729,8.82842712 L92.3431457,18 L22,18 C19.790861,18 18,19.790861 18,22 L18,92.3431458 L8.82842712,83.1715729 C7.26632996,81.6094757 4.73367004,81.6094757 3.17157288,83.1715729 C1.60947571,84.73367 1.60947571,87.26633 3.17157288,88.8284271 L19.1715729,104.828427 C20.73367,106.390524 23.26633,106.390524 24.8284271,104.828427 L40.8284271,88.8284271 C42.3905243,87.26633 42.3905243,84.73367 40.8284271,83.1715729 C39.26633,81.6094757 36.73367,81.6094757 35.1715729,83.1715729 L26,92.3431458 L26,22 L22,26 L92.3431458,26 L92.3431458,26 Z M112,52 L112,116 L116,112 L52,112 C49.790861,112 48,113.790861 48,116 C48,118.209139 49.790861,120 52,120 L116,120 C118.209139,120 120,118.209139 120,116 L120,52 C120,49.790861 118.209139,48 116,48 C113.790861,48 112,49.790861 112,52 L112,52 Z\"></path>\n</svg>"
},
"$:/core/images/spiral": {
"title": "$:/core/images/spiral",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-spiral tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"nonzero\">\n <path d=\"M64.534 68.348c3.39 0 6.097-2.62 6.476-5.968l-4.755-.538 4.75.583c.377-3.07-1.194-6.054-3.89-7.78-2.757-1.773-6.34-2.01-9.566-.7-3.46 1.403-6.14 4.392-7.35 8.148l-.01.026c-1.3 4.08-.72 8.64 1.58 12.52 2.5 4.2 6.77 7.2 11.76 8.27 5.37 1.15 11.11-.05 15.83-3.31 5.04-3.51 8.46-9.02 9.45-15.3 1.05-6.7-.72-13.63-4.92-19.19l.02.02c-4.42-5.93-11.2-9.82-18.78-10.78-7.96-1.01-16.13 1.31-22.59 6.43-6.81 5.39-11.18 13.41-12.11 22.26-.98 9.27 1.87 18.65 7.93 26.02 6.32 7.69 15.6 12.56 25.74 13.48 10.54.96 21.15-2.42 29.45-9.4l.01-.01c8.58-7.25 13.94-17.78 14.86-29.21.94-11.84-2.96-23.69-10.86-32.9-8.19-9.5-19.95-15.36-32.69-16.27-13.16-.94-26.24 3.49-36.34 12.34l.01-.01c-10.41 9.08-16.78 22.1-17.68 36.15-.93 14.44 4.03 28.77 13.79 39.78 10.03 11.32 24.28 18.2 39.6 19.09 15.73.92 31.31-4.56 43.24-15.234 12.23-10.954 19.61-26.44 20.5-43.074.14-2.64-1.89-4.89-4.52-5.03-2.64-.14-4.89 1.88-5.03 4.52-.75 14.1-7 27.2-17.33 36.45-10.03 8.98-23.11 13.58-36.3 12.81-12.79-.75-24.67-6.48-33-15.89-8.07-9.11-12.17-20.94-11.41-32.827.74-11.52 5.942-22.15 14.43-29.54l.01-.01c8.18-7.17 18.74-10.75 29.35-9.998 10.21.726 19.6 5.41 26.11 12.96 6.24 7.273 9.32 16.61 8.573 25.894-.718 8.9-4.88 17.064-11.504 22.66l.01-.007c-6.36 5.342-14.44 7.92-22.425 7.19-7.604-.68-14.52-4.314-19.21-10.027-4.44-5.4-6.517-12.23-5.806-18.94.67-6.3 3.76-11.977 8.54-15.766 4.46-3.54 10.05-5.128 15.44-4.44 5.03.63 9.46 3.18 12.32 7.01l.02.024c2.65 3.5 3.75 7.814 3.1 11.92-.59 3.71-2.58 6.925-5.45 8.924-2.56 1.767-5.61 2.403-8.38 1.81-2.42-.516-4.42-1.92-5.53-3.79-.93-1.56-1.15-3.3-.69-4.75l-4.56-1.446L59.325 65c.36-1.12 1.068-1.905 1.84-2.22.25-.103.48-.14.668-.13.06.006.11.015.14.025.01 0 .01 0-.01-.01-.02-.015-.054-.045-.094-.088-.06-.064-.12-.145-.17-.244-.15-.29-.23-.678-.18-1.11l-.005.04c.15-1.332 1.38-2.523 3.035-2.523-2.65 0-4.79 2.144-4.79 4.787s2.14 4.785 4.78 4.785z\"></path>\n </g>\n</svg>"
},
"$:/core/images/stamp": {
"title": "$:/core/images/stamp",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-stamp tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M49.7334301,64 L16.0098166,64 C11.5838751,64 8,67.5829053 8,72.002643 L8,74.4986785 L8,97 L120,97 L120,74.4986785 L120,72.002643 C120,67.5737547 116.413883,64 111.990183,64 L78.2665699,64 C76.502049,60.7519149 75.5,57.0311962 75.5,53.0769231 C75.5,46.6017951 78.1869052,40.7529228 82.5087769,36.5800577 C85.3313113,32.7688808 87,28.0549983 87,22.952183 C87,10.2760423 76.7025492,0 64,0 C51.2974508,0 41,10.2760423 41,22.952183 C41,28.0549983 42.6686887,32.7688808 45.4912231,36.5800577 C49.8130948,40.7529228 52.5,46.6017951 52.5,53.0769231 C52.5,57.0311962 51.497951,60.7519149 49.7334301,64 Z M8,104 L120,104 L120,112 L8,112 L8,104 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/star-filled": {
"title": "$:/core/images/star-filled",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-star-filled tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"nonzero\">\n <path d=\"M61.8361286,96.8228569 L99.1627704,124.110219 C101.883827,126.099427 105.541968,123.420868 104.505636,120.198072 L90.2895569,75.9887263 L89.0292911,79.8977279 L126.314504,52.5528988 C129.032541,50.5595011 127.635256,46.2255025 124.273711,46.2229134 L78.1610486,46.1873965 L81.4604673,48.6032923 L67.1773543,4.41589688 C66.1361365,1.19470104 61.6144265,1.19470104 60.5732087,4.41589688 L46.2900957,48.6032923 L49.5895144,46.1873965 L3.47685231,46.2229134 C0.115307373,46.2255025 -1.28197785,50.5595011 1.43605908,52.5528988 L38.7212719,79.8977279 L37.4610061,75.9887263 L23.2449266,120.198072 C22.2085954,123.420868 25.8667356,126.099427 28.5877926,124.110219 L65.9144344,96.8228569 L61.8361286,96.8228569 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/storyview-classic": {
"title": "$:/core/images/storyview-classic",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-storyview-classic tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M8.00697327,0 C3.58484404,0 0,3.59075293 0,8.00697327 L0,119.993027 C0,124.415156 3.59075293,128 8.00697327,128 L119.993027,128 C124.415156,128 128,124.409247 128,119.993027 L128,8.00697327 C128,3.58484404 124.409247,0 119.993027,0 L8.00697327,0 L8.00697327,0 Z M23.9992458,16 C19.5813843,16 16,19.5776607 16,23.9924054 L16,40.0075946 C16,44.4216782 19.5881049,48 23.9992458,48 L104.000754,48 C108.418616,48 112,44.4223393 112,40.0075946 L112,23.9924054 C112,19.5783218 108.411895,16 104.000754,16 L23.9992458,16 L23.9992458,16 Z M23.9992458,64 C19.5813843,64 16,67.5907123 16,72 C16,76.418278 19.5881049,80 23.9992458,80 L104.000754,80 C108.418616,80 112,76.4092877 112,72 C112,67.581722 108.411895,64 104.000754,64 L23.9992458,64 L23.9992458,64 Z M23.9992458,96 C19.5813843,96 16,99.5907123 16,104 C16,108.418278 19.5881049,112 23.9992458,112 L104.000754,112 C108.418616,112 112,108.409288 112,104 C112,99.581722 108.411895,96 104.000754,96 L23.9992458,96 L23.9992458,96 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/storyview-pop": {
"title": "$:/core/images/storyview-pop",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-storyview-pop tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M8.00697327,0 C3.58484404,0 0,3.59075293 0,8.00697327 L0,119.993027 C0,124.415156 3.59075293,128 8.00697327,128 L119.993027,128 C124.415156,128 128,124.409247 128,119.993027 L128,8.00697327 C128,3.58484404 124.409247,0 119.993027,0 L8.00697327,0 L8.00697327,0 Z M23.9992458,16 C19.5813843,16 16,19.5776607 16,23.9924054 L16,40.0075946 C16,44.4216782 19.5881049,48 23.9992458,48 L104.000754,48 C108.418616,48 112,44.4223393 112,40.0075946 L112,23.9924054 C112,19.5783218 108.411895,16 104.000754,16 L23.9992458,16 L23.9992458,16 Z M16.0098166,56 C11.586117,56 8,59.5776607 8,63.9924054 L8,80.0075946 C8,84.4216782 11.5838751,88 16.0098166,88 L111.990183,88 C116.413883,88 120,84.4223393 120,80.0075946 L120,63.9924054 C120,59.5783218 116.416125,56 111.990183,56 L16.0098166,56 L16.0098166,56 Z M23.9992458,96 C19.5813843,96 16,99.5907123 16,104 C16,108.418278 19.5881049,112 23.9992458,112 L104.000754,112 C108.418616,112 112,108.409288 112,104 C112,99.581722 108.411895,96 104.000754,96 L23.9992458,96 L23.9992458,96 Z M23.9992458,64 C19.5813843,64 16,67.5907123 16,72 C16,76.418278 19.5881049,80 23.9992458,80 L104.000754,80 C108.418616,80 112,76.4092877 112,72 C112,67.581722 108.411895,64 104.000754,64 L23.9992458,64 L23.9992458,64 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/storyview-zoomin": {
"title": "$:/core/images/storyview-zoomin",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-storyview-zoomin tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M8.00697327,0 C3.58484404,0 0,3.59075293 0,8.00697327 L0,119.993027 C0,124.415156 3.59075293,128 8.00697327,128 L119.993027,128 C124.415156,128 128,124.409247 128,119.993027 L128,8.00697327 C128,3.58484404 124.409247,0 119.993027,0 L8.00697327,0 L8.00697327,0 Z M23.9992458,16 C19.5813843,16 16,19.578055 16,24.0085154 L16,71.9914846 C16,76.4144655 19.5881049,80 23.9992458,80 L104.000754,80 C108.418616,80 112,76.421945 112,71.9914846 L112,24.0085154 C112,19.5855345 108.411895,16 104.000754,16 L23.9992458,16 L23.9992458,16 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/strikethrough": {
"title": "$:/core/images/strikethrough",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-strikethrough tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M92.793842,38.7255689 L108.215529,38.7255689 C107.987058,31.985687 106.70193,26.1883331 104.360107,21.3333333 C102.018284,16.4783336 98.8197436,12.4516001 94.7643909,9.25301205 C90.7090382,6.05442399 85.9969032,3.71263572 80.6278447,2.22757697 C75.2587862,0.742518233 69.4328739,0 63.1499331,0 C57.552404,0 52.0977508,0.713959839 46.7858099,2.14190094 C41.473869,3.56984203 36.7331757,5.74027995 32.5635877,8.65327979 C28.3939997,11.5662796 25.0526676,15.2788708 22.5394913,19.7911647 C20.026315,24.3034585 18.7697456,29.6438781 18.7697456,35.8125837 C18.7697456,41.4101128 19.883523,46.0651309 22.1111111,49.7777778 C24.3386992,53.4904246 27.3087722,56.5176144 31.021419,58.8594378 C34.7340659,61.2012612 38.9321497,63.0861151 43.6157965,64.5140562 C48.2994433,65.9419973 53.068695,67.1985666 57.9236948,68.2838019 C62.7786945,69.3690371 67.5479462,70.4256977 72.231593,71.4538153 C76.9152398,72.4819329 81.1133237,73.8241773 84.8259705,75.480589 C88.5386174,77.1370007 91.5086903,79.2788802 93.7362784,81.9062918 C95.9638666,84.5337035 97.0776439,87.9607107 97.0776439,92.1874163 C97.0776439,96.6425926 96.1637753,100.298067 94.3360107,103.153949 C92.5082461,106.009831 90.109341,108.265944 87.1392236,109.922356 C84.1691061,111.578768 80.827774,112.749662 77.1151272,113.435074 C73.4024803,114.120485 69.7184476,114.463186 66.0629183,114.463186 C61.4935068,114.463186 57.0383974,113.892018 52.6974565,112.749665 C48.3565156,111.607312 44.5582492,109.836692 41.3025435,107.437751 C38.0468378,105.03881 35.4194656,101.983062 33.4203481,98.270415 C31.4212305,94.5577681 30.4216867,90.1312171 30.4216867,84.9906292 L15,84.9906292 C15,92.4159229 16.3422445,98.8415614 19.0267738,104.267738 C21.711303,109.693914 25.3667774,114.149023 29.9933066,117.633199 C34.6198357,121.117376 39.9888137,123.71619 46.1004016,125.429719 C52.2119895,127.143248 58.6947448,128 65.5488621,128 C71.1463912,128 76.7723948,127.343157 82.4270415,126.029451 C88.0816882,124.715745 93.1936407,122.602424 97.7630522,119.689424 C102.332464,116.776425 106.073613,113.006717 108.986613,108.380187 C111.899613,103.753658 113.356091,98.1847715 113.356091,91.6733601 C113.356091,85.6188899 112.242314,80.5926126 110.014726,76.5943775 C107.787137,72.5961424 104.817065,69.2833688 101.104418,66.6559572 C97.3917708,64.0285455 93.193687,61.9437828 88.5100402,60.4016064 C83.8263934,58.85943 79.0571416,57.5171855 74.2021419,56.3748327 C69.3471422,55.2324798 64.5778904,54.1758192 59.8942436,53.2048193 C55.2105968,52.2338193 51.012513,51.0058084 47.2998661,49.5207497 C43.5872193,48.0356909 40.6171463,46.1222786 38.3895582,43.7804552 C36.1619701,41.4386318 35.0481928,38.3828836 35.0481928,34.6131191 C35.0481928,30.6148841 35.8192694,27.273552 37.3614458,24.5890228 C38.9036222,21.9044935 40.9598265,19.762614 43.5301205,18.1633199 C46.1004145,16.5640259 49.041929,15.4216902 52.3547523,14.7362784 C55.6675757,14.0508667 59.0374661,13.708166 62.4645248,13.708166 C70.9179361,13.708166 77.8576257,15.6786952 83.2838019,19.6198126 C88.709978,23.56093 91.8799597,29.9294518 92.793842,38.7255689 L92.793842,38.7255689 Z\"></path>\n <rect x=\"5\" y=\"54\" width=\"118\" height=\"16\"></rect>\n </g>\n</svg>"
},
"$:/core/images/subscript": {
"title": "$:/core/images/subscript",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-subscript tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M2.27170276,16 L22.1825093,16 L43.8305003,49.6746527 L66.4138983,16 L85.1220387,16 L53.5854592,61.9685735 L87.3937414,111.411516 L67.0820462,111.411516 L43.295982,74.9306422 L19.1090291,111.411516 L0,111.411516 L33.8082822,61.9685735 L2.27170276,16 Z M127.910914,128.411516 L85.3276227,128.411516 C85.3870139,123.24448 86.6342108,118.730815 89.0692508,114.870386 C91.5042907,111.009956 94.8301491,107.654403 99.0469256,104.803624 C101.066227,103.318844 103.174584,101.878629 105.372059,100.482935 C107.569534,99.0872413 109.588805,97.5876355 111.429933,95.9840726 C113.271061,94.3805097 114.785514,92.6433426 115.973338,90.7725192 C117.161163,88.9016958 117.784761,86.7487964 117.844152,84.3137564 C117.844152,83.1853233 117.710524,81.9826691 117.443264,80.7057579 C117.176003,79.4288467 116.656338,78.2410402 115.884252,77.1423026 C115.112166,76.0435651 114.04314,75.123015 112.677142,74.3806248 C111.311144,73.6382345 109.529434,73.267045 107.331959,73.267045 C105.312658,73.267045 103.634881,73.6679297 102.298579,74.4697112 C100.962276,75.2714926 99.8932503,76.3702137 99.0914688,77.7659073 C98.2896874,79.161601 97.6957841,80.8096826 97.3097412,82.7102016 C96.9236982,84.6107206 96.7009845,86.6596869 96.6415933,88.857162 L86.4857457,88.857162 C86.4857457,85.4124713 86.9460207,82.2202411 87.8665846,79.2803758 C88.7871485,76.3405105 90.1679736,73.801574 92.0091014,71.6634901 C93.8502292,69.5254062 96.092214,67.8476295 98.7351233,66.6301095 C101.378033,65.4125895 104.451482,64.8038386 107.955564,64.8038386 C111.756602,64.8038386 114.933984,65.4274371 117.487807,66.6746527 C120.041629,67.9218683 122.105443,69.4957119 123.67931,71.3962309 C125.253178,73.2967499 126.366746,75.3605638 127.02005,77.5877345 C127.673353,79.8149053 128,81.9381095 128,83.9574109 C128,86.4518421 127.613963,88.7086746 126.841877,90.727976 C126.069791,92.7472774 125.03046,94.6032252 123.723854,96.2958749 C122.417247,97.9885247 120.932489,99.5475208 119.269534,100.97291 C117.60658,102.398299 115.884261,103.734582 114.102524,104.981797 C112.320788,106.229013 110.539078,107.416819 108.757341,108.545253 C106.975605,109.673686 105.327523,110.802102 103.813047,111.930535 C102.298571,113.058968 100.977136,114.231927 99.8487031,115.449447 C98.7202699,116.666967 97.9481956,117.958707 97.5324571,119.324705 L127.910914,119.324705 L127.910914,128.411516 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/superscript": {
"title": "$:/core/images/superscript",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-superscript tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M2.27170276,16 L22.1825093,16 L43.8305003,49.6746527 L66.4138983,16 L85.1220387,16 L53.5854592,61.9685735 L87.3937414,111.411516 L67.0820462,111.411516 L43.295982,74.9306422 L19.1090291,111.411516 L0,111.411516 L33.8082822,61.9685735 L2.27170276,16 Z M127.910914,63.4115159 L85.3276227,63.4115159 C85.3870139,58.2444799 86.6342108,53.7308149 89.0692508,49.8703857 C91.5042907,46.0099565 94.8301491,42.654403 99.0469256,39.8036245 C101.066227,38.318844 103.174584,36.8786285 105.372059,35.4829349 C107.569534,34.0872413 109.588805,32.5876355 111.429933,30.9840726 C113.271061,29.3805097 114.785514,27.6433426 115.973338,25.7725192 C117.161163,23.9016958 117.784761,21.7487964 117.844152,19.3137564 C117.844152,18.1853233 117.710524,16.9826691 117.443264,15.7057579 C117.176003,14.4288467 116.656338,13.2410402 115.884252,12.1423026 C115.112166,11.0435651 114.04314,10.123015 112.677142,9.38062477 C111.311144,8.63823453 109.529434,8.26704499 107.331959,8.26704499 C105.312658,8.26704499 103.634881,8.6679297 102.298579,9.46971115 C100.962276,10.2714926 99.8932503,11.3702137 99.0914688,12.7659073 C98.2896874,14.161601 97.6957841,15.8096826 97.3097412,17.7102016 C96.9236982,19.6107206 96.7009845,21.6596869 96.6415933,23.857162 L86.4857457,23.857162 C86.4857457,20.4124713 86.9460207,17.2202411 87.8665846,14.2803758 C88.7871485,11.3405105 90.1679736,8.80157397 92.0091014,6.6634901 C93.8502292,4.52540622 96.092214,2.84762946 98.7351233,1.63010947 C101.378033,0.412589489 104.451482,-0.196161372 107.955564,-0.196161372 C111.756602,-0.196161372 114.933984,0.427437071 117.487807,1.67465266 C120.041629,2.92186826 122.105443,4.49571195 123.67931,6.39623095 C125.253178,8.29674995 126.366746,10.3605638 127.02005,12.5877345 C127.673353,14.8149053 128,16.9381095 128,18.9574109 C128,21.4518421 127.613963,23.7086746 126.841877,25.727976 C126.069791,27.7472774 125.03046,29.6032252 123.723854,31.2958749 C122.417247,32.9885247 120.932489,34.5475208 119.269534,35.97291 C117.60658,37.3982993 115.884261,38.7345816 114.102524,39.9817972 C112.320788,41.2290128 110.539078,42.4168194 108.757341,43.5452525 C106.975605,44.6736857 105.327523,45.8021019 103.813047,46.9305351 C102.298571,48.0589682 100.977136,49.2319272 99.8487031,50.4494472 C98.7202699,51.6669672 97.9481956,52.9587068 97.5324571,54.3247048 L127.910914,54.3247048 L127.910914,63.4115159 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/tag-button": {
"title": "$:/core/images/tag-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-tag-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M18.1643182,47.6600756 L18.1677196,51.7651887 C18.1708869,55.5878829 20.3581578,60.8623899 23.0531352,63.5573673 L84.9021823,125.406414 C87.5996731,128.103905 91.971139,128.096834 94.6717387,125.396234 L125.766905,94.3010679 C128.473612,91.5943612 128.472063,87.2264889 125.777085,84.5315115 L63.9280381,22.6824644 C61.2305472,19.9849735 55.9517395,17.801995 52.1318769,17.8010313 L25.0560441,17.7942007 C21.2311475,17.7932358 18.1421354,20.8872832 18.1452985,24.7049463 L18.1535504,34.6641936 C18.2481119,34.6754562 18.3439134,34.6864294 18.4409623,34.6971263 C22.1702157,35.1081705 26.9295004,34.6530132 31.806204,33.5444844 C32.1342781,33.0700515 32.5094815,32.6184036 32.9318197,32.1960654 C35.6385117,29.4893734 39.5490441,28.718649 42.94592,29.8824694 C43.0432142,29.8394357 43.1402334,29.7961748 43.2369683,29.7526887 L43.3646982,30.0368244 C44.566601,30.5115916 45.6933052,31.2351533 46.6655958,32.2074439 C50.4612154,36.0030635 50.4663097,42.1518845 46.6769742,45.94122 C43.0594074,49.5587868 37.2914155,49.7181264 33.4734256,46.422636 C28.1082519,47.5454734 22.7987486,48.0186448 18.1643182,47.6600756 Z\"></path>\n <path d=\"M47.6333528,39.5324628 L47.6562932,39.5834939 C37.9670934,43.9391617 26.0718874,46.3819521 17.260095,45.4107025 C5.27267473,44.0894301 -1.02778744,36.4307276 2.44271359,24.0779512 C5.56175386,12.9761516 14.3014034,4.36129832 24.0466405,1.54817001 C34.7269254,-1.53487574 43.7955833,3.51606438 43.7955834,14.7730751 L35.1728168,14.7730752 C35.1728167,9.91428944 32.0946059,8.19982862 26.4381034,9.83267419 C19.5270911,11.8276553 13.046247,18.2159574 10.7440788,26.4102121 C8.82861123,33.2280582 11.161186,36.0634845 18.2047888,36.8398415 C25.3302805,37.6252244 35.7353482,35.4884477 44.1208333,31.7188498 L44.1475077,31.7781871 C44.159701,31.7725635 44.1718402,31.7671479 44.1839238,31.7619434 C45.9448098,31.0035157 50.4503245,38.3109156 47.7081571,39.5012767 C47.6834429,39.512005 47.6585061,39.5223987 47.6333528,39.5324628 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/theme-button": {
"title": "$:/core/images/theme-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-theme-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M55.854113,66.9453198 C54.3299482,65.1432292 53.0133883,63.518995 51.9542746,62.1263761 C40.8899947,47.578055 35.3091807,55.2383404 28.9941893,62.1263758 C22.6791979,69.0144112 30.6577916,74.5954741 24.6646171,79.4611023 C18.6714426,84.3267304 19.0414417,86.0133155 8.92654943,77.1119468 C-1.18834284,68.2105781 -1.88793412,65.7597832 2.7553553,60.6807286 C7.39864472,55.601674 11.2794845,63.5989423 20.7646627,54.5728325 C30.2498409,45.5467226 22.2819131,37.5470737 22.2819131,37.5470737 C22.2819131,37.5470737 42.0310399,-2.82433362 68.4206088,0.157393922 C94.8101776,3.13912147 58.4373806,-3.70356506 49.3898693,27.958066 C45.5161782,41.5139906 50.1107906,38.3197672 57.4560458,44.0453955 C59.1625767,45.3756367 63.8839488,48.777453 70.127165,53.3625321 C63.9980513,59.2416709 58.9704753,64.0315459 55.854113,66.9453198 Z M67.4952439,79.8919946 C83.5082212,96.9282402 105.237121,117.617674 112.611591,120.312493 C123.044132,124.12481 128.000001,117.170903 128,105.522947 C127.999999,98.3705516 104.170675,78.980486 84.0760493,63.7529565 C76.6683337,70.9090328 70.7000957,76.7055226 67.4952439,79.8919946 Z\"></path>\n <path d=\"M58.2852966,138.232794 L58.2852966,88.3943645 C56.318874,88.3923153 54.7254089,86.7952906 54.7254089,84.8344788 C54.7254089,82.8684071 56.3175932,81.2745911 58.2890859,81.2745911 L79.6408336,81.2745911 C81.608998,81.2745911 83.2045105,82.8724076 83.2045105,84.8344788 C83.2045105,86.7992907 81.614366,88.3923238 79.6446228,88.3943645 L79.6446228,88.3943646 L79.6446228,138.232794 C79.6446228,144.131009 74.8631748,148.912457 68.9649597,148.912457 C63.0667446,148.912457 58.2852966,144.131009 58.2852966,138.232794 Z M65.405072,-14.8423767 L72.5248474,-14.8423767 L76.0847351,-0.690681892 L72.5248474,6.51694947 L72.5248474,81.2745911 L65.405072,81.2745911 L65.405072,6.51694947 L61.8451843,-0.690681892 L65.405072,-14.8423767 Z\" transform=\"translate(68.964960, 67.035040) rotate(45.000000) translate(-68.964960, -67.035040) \"></path>\n </g>\n</svg>"
},
"$:/core/images/timestamp-off": {
"title": "$:/core/images/timestamp-off",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-timestamp-off tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M58.25 11C26.08 11 0 37.082 0 69.25s26.08 58.25 58.25 58.25c32.175 0 58.25-26.082 58.25-58.25S90.425 11 58.25 11zm0 100.5C34.914 111.5 16 92.586 16 69.25 16 45.92 34.914 27 58.25 27s42.25 18.92 42.25 42.25c0 23.336-18.914 42.25-42.25 42.25zM49.704 10c-2.762 0-5-2.24-5-5-.004-2.756 2.238-5 5-5H66.69c2.762 0 5.002 2.24 5 5 .006 2.757-2.238 5-5 5H49.705z\"/><path d=\"M58.25 35.88c-18.777 0-33.998 15.224-33.998 33.998 0 18.773 15.22 34.002 33.998 34.002 18.784 0 34.002-15.23 34.002-34.002 0-18.774-15.218-33.998-34.002-33.998zm-3.03 50.123H44.196v-34H55.22v34zm16.976 0H61.17v-34h11.025v34z\"/>\n </g>\n</svg>\n"
},
"$:/core/images/timestamp-on": {
"title": "$:/core/images/timestamp-on",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-timestamp-on tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M58.25 11C26.08 11 0 37.082 0 69.25s26.08 58.25 58.25 58.25c32.175 0 58.25-26.082 58.25-58.25S90.425 11 58.25 11zm0 100.5C34.914 111.5 16 92.586 16 69.25 16 45.92 34.914 27 58.25 27s42.25 18.92 42.25 42.25c0 23.336-18.914 42.25-42.25 42.25zM49.704 10c-2.762 0-5-2.24-5-5-.004-2.756 2.238-5 5-5H66.69c2.762 0 5.002 2.24 5 5 .006 2.757-2.238 5-5 5H49.705z\"/><path d=\"M13.41 27.178c-2.116 1.775-5.27 1.498-7.045-.613-1.772-2.11-1.498-5.27.616-7.047l9.95-8.348c2.115-1.774 5.27-1.5 7.045.618 1.775 2.108 1.498 5.27-.616 7.043l-9.95 8.348zM102.983 27.178c2.116 1.775 5.27 1.498 7.045-.613 1.772-2.11 1.498-5.27-.616-7.047l-9.95-8.348c-2.114-1.774-5.27-1.5-7.044.618-1.775 2.108-1.498 5.27.616 7.043l9.95 8.348zM65.097 71.072c0 3.826-3.09 6.928-6.897 6.928-3.804.006-6.9-3.102-6.903-6.928 0 0 4.76-39.072 6.903-39.072s6.897 39.072 6.897 39.072z\"/>\n </g>\n</svg>\n"
},
"$:/core/images/tip": {
"title": "$:/core/images/tip",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-tip tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M64,128.241818 C99.346224,128.241818 128,99.5880417 128,64.2418177 C128,28.8955937 99.346224,0.241817675 64,0.241817675 C28.653776,0.241817675 0,28.8955937 0,64.2418177 C0,99.5880417 28.653776,128.241818 64,128.241818 Z M75.9358659,91.4531941 C75.3115438,95.581915 70.2059206,98.8016748 64,98.8016748 C57.7940794,98.8016748 52.6884562,95.581915 52.0641341,91.4531941 C54.3299053,94.0502127 58.8248941,95.8192805 64,95.8192805 C69.1751059,95.8192805 73.6700947,94.0502127 75.9358659,91.4531941 L75.9358659,91.4531941 Z M75.9358659,95.9453413 C75.3115438,100.074062 70.2059206,103.293822 64,103.293822 C57.7940794,103.293822 52.6884562,100.074062 52.0641341,95.9453413 C54.3299053,98.5423599 58.8248941,100.311428 64,100.311428 C69.1751059,100.311428 73.6700947,98.5423599 75.9358659,95.9453413 L75.9358659,95.9453413 Z M75.9358659,100.40119 C75.3115438,104.529911 70.2059206,107.74967 64,107.74967 C57.7940794,107.74967 52.6884562,104.529911 52.0641341,100.40119 C54.3299053,102.998208 58.8248941,104.767276 64,104.767276 C69.1751059,104.767276 73.6700947,102.998208 75.9358659,100.40119 L75.9358659,100.40119 Z M75.9358659,104.893337 C75.3115438,109.022058 70.2059206,112.241818 64,112.241818 C57.7940794,112.241818 52.6884562,109.022058 52.0641341,104.893337 C54.3299053,107.490356 58.8248941,109.259423 64,109.259423 C69.1751059,109.259423 73.6700947,107.490356 75.9358659,104.893337 L75.9358659,104.893337 Z M64.3010456,24.2418177 C75.9193117,24.2418188 88.0000013,32.0619847 88,48.4419659 C87.9999987,64.8219472 75.9193018,71.7540963 75.9193021,83.5755932 C75.9193022,89.4486648 70.0521957,92.8368862 63.9999994,92.8368862 C57.947803,92.8368862 51.9731007,89.8295115 51.9731007,83.5755932 C51.9731007,71.1469799 39.9999998,65.4700602 40,48.4419647 C40.0000002,31.4138691 52.6827796,24.2418166 64.3010456,24.2418177 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/transcludify": {
"title": "$:/core/images/transcludify",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-transcludify-button tc-image-button\" viewBox=\"0 0 128 128\" width=\"22pt\" height=\"22pt\"><path d=\"M0 59.482c.591 0 1.36-.089 2.306-.266a10.417 10.417 0 0 0 2.75-.932 6.762 6.762 0 0 0 2.306-1.907c.651-.828.976-1.863.976-3.104V35.709c0-2.01.414-3.74 1.242-5.19.828-1.448 1.833-2.66 3.016-3.636s2.425-1.7 3.726-2.173c1.3-.473 2.424-.71 3.37-.71h8.073v7.451h-4.88c-1.241 0-2.232.207-2.97.621-.74.414-1.302.932-1.686 1.552a4.909 4.909 0 0 0-.71 1.996c-.089.71-.133 1.39-.133 2.04v16.677c0 1.715-.325 3.134-.976 4.258-.65 1.123-1.434 2.025-2.35 2.705-.917.68-1.863 1.168-2.839 1.464-.976.296-1.818.473-2.528.532v.178c.71.059 1.552.207 2.528.443.976.237 1.922.68 2.839 1.33.916.651 1.7 1.583 2.35 2.795.65 1.212.976 2.853.976 4.923v16.144c0 .65.044 1.33.133 2.04.089.71.325 1.375.71 1.996.384.621.946 1.139 1.685 1.553.74.414 1.73.62 2.972.62h4.879v7.452h-8.073c-.946 0-2.07-.237-3.37-.71-1.301-.473-2.543-1.197-3.726-2.173-1.183-.976-2.188-2.188-3.016-3.637-.828-1.449-1.242-3.179-1.242-5.19V74.119c0-1.42-.325-2.572-.976-3.46-.65-.886-1.419-1.581-2.306-2.084a8.868 8.868 0 0 0-2.75-1.02C1.36 67.377.591 67.288 0 67.288v-7.806zm24.66 0c.591 0 1.36-.089 2.306-.266a10.417 10.417 0 0 0 2.75-.932 6.762 6.762 0 0 0 2.306-1.907c.65-.828.976-1.863.976-3.104V35.709c0-2.01.414-3.74 1.242-5.19.828-1.448 1.833-2.66 3.016-3.636s2.425-1.7 3.726-2.173c1.3-.473 2.424-.71 3.37-.71h8.073v7.451h-4.88c-1.241 0-2.232.207-2.97.621-.74.414-1.302.932-1.686 1.552a4.909 4.909 0 0 0-.71 1.996c-.089.71-.133 1.39-.133 2.04v16.677c0 1.715-.325 3.134-.976 4.258-.65 1.123-1.434 2.025-2.35 2.705-.917.68-1.863 1.168-2.839 1.464-.976.296-1.818.473-2.528.532v.178c.71.059 1.552.207 2.528.443.976.237 1.922.68 2.839 1.33.916.651 1.7 1.583 2.35 2.795.65 1.212.976 2.853.976 4.923v16.144c0 .65.044 1.33.133 2.04.089.71.325 1.375.71 1.996.384.621.946 1.139 1.685 1.553.74.414 1.73.62 2.972.62h4.879v7.452h-8.073c-.946 0-2.07-.237-3.37-.71-1.301-.473-2.543-1.197-3.726-2.173-1.183-.976-2.188-2.188-3.016-3.637-.828-1.449-1.242-3.179-1.242-5.19V74.119c0-1.42-.325-2.572-.976-3.46-.65-.886-1.419-1.581-2.306-2.084a8.868 8.868 0 0 0-2.75-1.02c-.946-.177-1.715-.266-2.306-.266v-7.806zm43.965-3.538L80.6 52.041l2.306 7.097-12.063 3.903 7.628 10.378-6.12 4.435-7.63-10.467-7.45 10.201-5.943-4.524 7.628-10.023-12.152-4.17 2.306-7.096 12.064 4.17V43.347h7.451v12.596zm34.425 11.344c-.65 0-1.449.089-2.395.266-.946.177-1.863.488-2.75.931a6.356 6.356 0 0 0-2.262 1.908c-.62.828-.931 1.862-.931 3.104v17.564c0 2.01-.414 3.74-1.242 5.189-.828 1.449-1.833 2.661-3.016 3.637s-2.425 1.7-3.726 2.173c-1.3.473-2.424.71-3.37.71h-8.073v-7.451h4.88c1.241 0 2.232-.207 2.97-.621.74-.414 1.302-.932 1.686-1.553a4.9 4.9 0 0 0 .71-1.995c.089-.71.133-1.39.133-2.04V72.432c0-1.715.325-3.134.976-4.258.65-1.124 1.434-2.01 2.35-2.661.917-.65 1.863-1.124 2.839-1.42.976-.295 1.818-.502 2.528-.62v-.178c-.71-.059-1.552-.207-2.528-.443-.976-.237-1.922-.68-2.839-1.33-.916-.651-1.7-1.583-2.35-2.795-.65-1.212-.976-2.853-.976-4.923V37.66c0-.651-.044-1.331-.133-2.04a4.909 4.909 0 0 0-.71-1.997c-.384-.62-.946-1.138-1.685-1.552-.74-.414-1.73-.62-2.972-.62h-4.879V24h8.073c.946 0 2.07.237 3.37.71 1.301.473 2.543 1.197 3.726 2.173 1.183.976 2.188 2.188 3.016 3.637.828 1.449 1.242 3.178 1.242 5.189v16.943c0 1.419.31 2.572.931 3.46a6.897 6.897 0 0 0 2.262 2.084 8.868 8.868 0 0 0 2.75 1.02c.946.177 1.745.266 2.395.266v7.806zm24.66 0c-.65 0-1.449.089-2.395.266-.946.177-1.863.488-2.75.931a6.356 6.356 0 0 0-2.262 1.908c-.62.828-.931 1.862-.931 3.104v17.564c0 2.01-.414 3.74-1.242 5.189-.828 1.449-1.833 2.661-3.016 3.637s-2.425 1.7-3.726 2.173c-1.3.473-2.424.71-3.37.71h-8.073v-7.451h4.88c1.241 0 2.232-.207 2.97-.621.74-.414 1.302-.932 1.686-1.553a4.9 4.9 0 0 0 .71-1.995c.089-.71.133-1.39.133-2.04V72.432c0-1.715.325-3.134.976-4.258.65-1.124 1.434-2.01 2.35-2.661.917-.65 1.863-1.124 2.839-1.42.976-.295 1.818-.502 2.528-.62v-.178c-.71-.059-1.552-.207-2.528-.443-.976-.237-1.922-.68-2.839-1.33-.916-.651-1.7-1.583-2.35-2.795-.65-1.212-.976-2.853-.976-4.923V37.66c0-.651-.044-1.331-.133-2.04a4.909 4.909 0 0 0-.71-1.997c-.384-.62-.946-1.138-1.685-1.552-.74-.414-1.73-.62-2.972-.62h-4.879V24h8.073c.946 0 2.07.237 3.37.71 1.301.473 2.543 1.197 3.726 2.173 1.183.976 2.188 2.188 3.016 3.637.828 1.449 1.242 3.178 1.242 5.189v16.943c0 1.419.31 2.572.931 3.46a6.897 6.897 0 0 0 2.262 2.084 8.868 8.868 0 0 0 2.75 1.02c.946.177 1.745.266 2.395.266v7.806z\" fill-rule=\"evenodd\"/></svg>\n"
},
"$:/core/images/twitter": {
"title": "$:/core/images/twitter",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-twitter tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M41.6263422,115.803477 C27.0279663,115.803477 13.4398394,111.540813 1.99987456,104.234833 C4.02221627,104.472643 6.08004574,104.594302 8.16644978,104.594302 C20.277456,104.594302 31.4238403,100.47763 40.270894,93.5715185 C28.9590538,93.3635501 19.4123842,85.9189246 16.1230832,75.6885328 C17.7011365,75.9892376 19.320669,76.1503787 20.9862896,76.1503787 C23.344152,76.1503787 25.6278127,75.8359011 27.7971751,75.247346 C15.9709927,72.8821073 7.06079851,62.4745062 7.06079851,49.9982394 C7.06079851,49.8898938 7.06079851,49.7820074 7.06264203,49.67458 C10.5482779,51.6032228 14.5339687,52.7615103 18.7717609,52.8951059 C11.8355159,48.277565 7.2714207,40.3958845 7.2714207,31.4624258 C7.2714207,26.7434257 8.54621495,22.3200804 10.7713439,18.5169676 C23.5211299,34.0957738 42.568842,44.3472839 64.0532269,45.4210985 C63.6126256,43.5365285 63.3835682,41.5711584 63.3835682,39.5529928 C63.3835682,25.3326379 74.95811,13.8034766 89.2347917,13.8034766 C96.6697089,13.8034766 103.387958,16.930807 108.103682,21.9353619 C113.991886,20.780288 119.52429,18.6372496 124.518847,15.6866694 C122.588682,21.6993889 118.490075,26.7457211 113.152623,29.9327334 C118.381769,29.3102055 123.363882,27.926045 127.999875,25.8780385 C124.534056,31.0418981 120.151087,35.5772616 115.100763,39.2077561 C115.150538,40.3118708 115.175426,41.4224128 115.175426,42.538923 C115.175426,76.5663154 89.1744164,115.803477 41.6263422,115.803477\"></path>\n </g>\n</svg>\n"
},
"$:/core/images/underline": {
"title": "$:/core/images/underline",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-underline tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M7,117.421488 L121.247934,117.421488 L121.247934,128 L7,128 L7,117.421488 Z M104.871212,98.8958333 L104.871212,0 L88.6117424,0 L88.6117424,55.8560606 C88.6117424,60.3194668 88.0060035,64.432115 86.7945076,68.1941288 C85.5830116,71.9561425 83.7657949,75.239885 81.342803,78.0454545 C78.9198111,80.8510241 75.8911167,83.0189317 72.2566288,84.5492424 C68.6221409,86.0795531 64.3182067,86.844697 59.344697,86.844697 C53.0959284,86.844697 48.1862552,85.0593613 44.6155303,81.4886364 C41.0448054,77.9179114 39.2594697,73.0720003 39.2594697,66.9507576 L39.2594697,0 L23,0 L23,65.0378788 C23,70.3939662 23.5419769,75.2717583 24.625947,79.6714015 C25.709917,84.0710447 27.5908957,87.864883 30.2689394,91.0530303 C32.9469831,94.2411776 36.4538925,96.6960141 40.7897727,98.4176136 C45.125653,100.139213 50.545422,101 57.0492424,101 C64.3182182,101 70.630655,99.5653553 75.9867424,96.6960227 C81.3428298,93.8266902 85.742407,89.33147 89.1856061,83.2102273 L89.5681818,83.2102273 L89.5681818,98.8958333 L104.871212,98.8958333 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/unfold-all-button": {
"title": "$:/core/images/unfold-all-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-unfold-all tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <rect x=\"0\" y=\"0\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <rect x=\"0\" y=\"64\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <path d=\"M85.598226,8.34884273 C84.1490432,6.89863875 82.1463102,6 79.9340286,6 L47.9482224,6 C43.5292967,6 39.9411255,9.581722 39.9411255,14 C39.9411255,18.4092877 43.5260249,22 47.9482224,22 L71.9411255,22 L71.9411255,45.9929031 C71.9411255,50.4118288 75.5228475,54 79.9411255,54 C84.3504132,54 87.9411255,50.4151006 87.9411255,45.9929031 L87.9411255,14.0070969 C87.9411255,11.7964515 87.0447363,9.79371715 85.5956548,8.34412458 Z\" transform=\"translate(63.941125, 30.000000) scale(1, -1) rotate(-45.000000) translate(-63.941125, -30.000000) \"></path>\n <path d=\"M85.6571005,72.2899682 C84.2079177,70.8397642 82.2051847,69.9411255 79.9929031,69.9411255 L48.0070969,69.9411255 C43.5881712,69.9411255 40,73.5228475 40,77.9411255 C40,82.3504132 43.5848994,85.9411255 48.0070969,85.9411255 L72,85.9411255 L72,109.934029 C72,114.352954 75.581722,117.941125 80,117.941125 C84.4092877,117.941125 88,114.356226 88,109.934029 L88,77.9482224 C88,75.737577 87.1036108,73.7348426 85.6545293,72.2852501 Z\" transform=\"translate(64.000000, 93.941125) scale(1, -1) rotate(-45.000000) translate(-64.000000, -93.941125) \"></path>\n </g>\n</svg>"
},
"$:/core/images/unfold-button": {
"title": "$:/core/images/unfold-button",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-unfold tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <rect x=\"0\" y=\"0\" width=\"128\" height=\"16\" rx=\"8\"></rect>\n <path d=\"M85.598226,11.3488427 C84.1490432,9.89863875 82.1463102,9 79.9340286,9 L47.9482224,9 C43.5292967,9 39.9411255,12.581722 39.9411255,17 C39.9411255,21.4092877 43.5260249,25 47.9482224,25 L71.9411255,25 L71.9411255,48.9929031 C71.9411255,53.4118288 75.5228475,57 79.9411255,57 C84.3504132,57 87.9411255,53.4151006 87.9411255,48.9929031 L87.9411255,17.0070969 C87.9411255,14.7964515 87.0447363,12.7937171 85.5956548,11.3441246 Z\" transform=\"translate(63.941125, 33.000000) scale(1, -1) rotate(-45.000000) translate(-63.941125, -33.000000) \"></path>\n <path d=\"M85.6571005,53.4077172 C84.2079177,51.9575133 82.2051847,51.0588745 79.9929031,51.0588745 L48.0070969,51.0588745 C43.5881712,51.0588745 40,54.6405965 40,59.0588745 C40,63.4681622 43.5848994,67.0588745 48.0070969,67.0588745 L72,67.0588745 L72,91.0517776 C72,95.4707033 75.581722,99.0588745 80,99.0588745 C84.4092877,99.0588745 88,95.4739751 88,91.0517776 L88,59.0659714 C88,56.855326 87.1036108,54.8525917 85.6545293,53.4029991 Z\" transform=\"translate(64.000000, 75.058875) scale(1, -1) rotate(-45.000000) translate(-64.000000, -75.058875) \"></path>\n </g>\n</svg>"
},
"$:/core/images/unlocked-padlock": {
"title": "$:/core/images/unlocked-padlock",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-unlocked-padlock tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M48.6266053,64 L105,64 L105,96.0097716 C105,113.673909 90.6736461,128 73.001193,128 L55.998807,128 C38.3179793,128 24,113.677487 24,96.0097716 L24,64 L30.136303,64 C19.6806213,51.3490406 2.77158986,28.2115132 25.8366966,8.85759246 C50.4723026,-11.8141335 71.6711028,13.2108337 81.613302,25.0594855 C91.5555012,36.9081373 78.9368488,47.4964439 69.1559674,34.9513593 C59.375086,22.4062748 47.9893192,10.8049522 35.9485154,20.9083862 C23.9077117,31.0118202 34.192312,43.2685325 44.7624679,55.8655518 C47.229397,58.805523 48.403443,61.5979188 48.6266053,64 Z M67.7315279,92.3641717 C70.8232551,91.0923621 73,88.0503841 73,84.5 C73,79.8055796 69.1944204,76 64.5,76 C59.8055796,76 56,79.8055796 56,84.5 C56,87.947435 58.0523387,90.9155206 61.0018621,92.2491029 L55.9067479,115.020857 L72.8008958,115.020857 L67.7315279,92.3641717 L67.7315279,92.3641717 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/up-arrow": {
"title": "$:/core/images/up-arrow",
"created": "20150316000544368",
"modified": "20150316000831867",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-up-arrow tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n<path transform=\"rotate(-135, 63.8945, 64.1752)\" d=\"m109.07576,109.35336c-1.43248,1.43361 -3.41136,2.32182 -5.59717,2.32182l-79.16816,0c-4.36519,0 -7.91592,-3.5444 -7.91592,-7.91666c0,-4.36337 3.54408,-7.91667 7.91592,-7.91667l71.25075,0l0,-71.25074c0,-4.3652 3.54442,-7.91592 7.91667,-7.91592c4.36336,0 7.91667,3.54408 7.91667,7.91592l0,79.16815c0,2.1825 -0.88602,4.16136 -2.3185,5.59467l-0.00027,-0.00056l0.00001,-0.00001z\" />\n</svg>\n \n"
},
"$:/core/images/video": {
"title": "$:/core/images/video",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-video tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M64,12 C29.0909091,12 8.72727273,14.9166667 5.81818182,17.8333333 C2.90909091,20.75 1.93784382e-15,41.1666667 0,64.5 C1.93784382e-15,87.8333333 2.90909091,108.25 5.81818182,111.166667 C8.72727273,114.083333 29.0909091,117 64,117 C98.9090909,117 119.272727,114.083333 122.181818,111.166667 C125.090909,108.25 128,87.8333333 128,64.5 C128,41.1666667 125.090909,20.75 122.181818,17.8333333 C119.272727,14.9166667 98.9090909,12 64,12 Z M54.9161194,44.6182253 C51.102648,42.0759111 48.0112186,43.7391738 48.0112186,48.3159447 L48.0112186,79.6840553 C48.0112186,84.2685636 51.109784,85.9193316 54.9161194,83.3817747 L77.0838806,68.6032672 C80.897352,66.0609529 80.890216,61.9342897 77.0838806,59.3967328 L54.9161194,44.6182253 Z\"></path>\n </g>\n</svg>"
},
"$:/core/images/warning": {
"title": "$:/core/images/warning",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-warning tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path d=\"M57.0717968,11 C60.1509982,5.66666667 67.8490018,5.66666667 70.9282032,11 L126.353829,107 C129.433031,112.333333 125.584029,119 119.425626,119 L8.57437416,119 C2.41597129,119 -1.43303051,112.333333 1.64617093,107 L57.0717968,11 Z M64,37 C59.581722,37 56,40.5820489 56,44.9935776 L56,73.0064224 C56,77.4211534 59.5907123,81 64,81 C68.418278,81 72,77.4179511 72,73.0064224 L72,44.9935776 C72,40.5788466 68.4092877,37 64,37 Z M64,104 C68.418278,104 72,100.418278 72,96 C72,91.581722 68.418278,88 64,88 C59.581722,88 56,91.581722 56,96 C56,100.418278 59.581722,104 64,104 Z\"></path>\n </g>\n</svg>"
},
"$:/language/Buttons/AdvancedSearch/Caption": {
"title": "$:/language/Buttons/AdvancedSearch/Caption",
"text": "advanced search"
},
"$:/language/Buttons/AdvancedSearch/Hint": {
"title": "$:/language/Buttons/AdvancedSearch/Hint",
"text": "Advanced search"
},
"$:/language/Buttons/Cancel/Caption": {
"title": "$:/language/Buttons/Cancel/Caption",
"text": "cancel"
},
"$:/language/Buttons/Cancel/Hint": {
"title": "$:/language/Buttons/Cancel/Hint",
"text": "Discard changes to this tiddler"
},
"$:/language/Buttons/Clone/Caption": {
"title": "$:/language/Buttons/Clone/Caption",
"text": "clone"
},
"$:/language/Buttons/Clone/Hint": {
"title": "$:/language/Buttons/Clone/Hint",
"text": "Clone this tiddler"
},
"$:/language/Buttons/Close/Caption": {
"title": "$:/language/Buttons/Close/Caption",
"text": "close"
},
"$:/language/Buttons/Close/Hint": {
"title": "$:/language/Buttons/Close/Hint",
"text": "Close this tiddler"
},
"$:/language/Buttons/CloseAll/Caption": {
"title": "$:/language/Buttons/CloseAll/Caption",
"text": "close all"
},
"$:/language/Buttons/CloseAll/Hint": {
"title": "$:/language/Buttons/CloseAll/Hint",
"text": "Close all tiddlers"
},
"$:/language/Buttons/CloseOthers/Caption": {
"title": "$:/language/Buttons/CloseOthers/Caption",
"text": "close others"
},
"$:/language/Buttons/CloseOthers/Hint": {
"title": "$:/language/Buttons/CloseOthers/Hint",
"text": "Close other tiddlers"
},
"$:/language/Buttons/ControlPanel/Caption": {
"title": "$:/language/Buttons/ControlPanel/Caption",
"text": "control panel"
},
"$:/language/Buttons/ControlPanel/Hint": {
"title": "$:/language/Buttons/ControlPanel/Hint",
"text": "Open control panel"
},
"$:/language/Buttons/CopyToClipboard/Caption": {
"title": "$:/language/Buttons/CopyToClipboard/Caption",
"text": "copy to clipboard"
},
"$:/language/Buttons/CopyToClipboard/Hint": {
"title": "$:/language/Buttons/CopyToClipboard/Hint",
"text": "Copy this text to the clipboard"
},
"$:/language/Buttons/Delete/Caption": {
"title": "$:/language/Buttons/Delete/Caption",
"text": "delete"
},
"$:/language/Buttons/Delete/Hint": {
"title": "$:/language/Buttons/Delete/Hint",
"text": "Delete this tiddler"
},
"$:/language/Buttons/Edit/Caption": {
"title": "$:/language/Buttons/Edit/Caption",
"text": "edit"
},
"$:/language/Buttons/Edit/Hint": {
"title": "$:/language/Buttons/Edit/Hint",
"text": "Edit this tiddler"
},
"$:/language/Buttons/Encryption/Caption": {
"title": "$:/language/Buttons/Encryption/Caption",
"text": "encryption"
},
"$:/language/Buttons/Encryption/Hint": {
"title": "$:/language/Buttons/Encryption/Hint",
"text": "Set or clear a password for saving this wiki"
},
"$:/language/Buttons/Encryption/ClearPassword/Caption": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Caption",
"text": "clear password"
},
"$:/language/Buttons/Encryption/ClearPassword/Hint": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Hint",
"text": "Clear the password and save this wiki without encryption"
},
"$:/language/Buttons/Encryption/SetPassword/Caption": {
"title": "$:/language/Buttons/Encryption/SetPassword/Caption",
"text": "set password"
},
"$:/language/Buttons/Encryption/SetPassword/Hint": {
"title": "$:/language/Buttons/Encryption/SetPassword/Hint",
"text": "Set a password for saving this wiki with encryption"
},
"$:/language/Buttons/ExportPage/Caption": {
"title": "$:/language/Buttons/ExportPage/Caption",
"text": "export all"
},
"$:/language/Buttons/ExportPage/Hint": {
"title": "$:/language/Buttons/ExportPage/Hint",
"text": "Export all tiddlers"
},
"$:/language/Buttons/ExportTiddler/Caption": {
"title": "$:/language/Buttons/ExportTiddler/Caption",
"text": "export tiddler"
},
"$:/language/Buttons/ExportTiddler/Hint": {
"title": "$:/language/Buttons/ExportTiddler/Hint",
"text": "Export tiddler"
},
"$:/language/Buttons/ExportTiddlers/Caption": {
"title": "$:/language/Buttons/ExportTiddlers/Caption",
"text": "export tiddlers"
},
"$:/language/Buttons/ExportTiddlers/Hint": {
"title": "$:/language/Buttons/ExportTiddlers/Hint",
"text": "Export tiddlers"
},
"$:/language/Buttons/Fold/Caption": {
"title": "$:/language/Buttons/Fold/Caption",
"text": "fold tiddler"
},
"$:/language/Buttons/Fold/Hint": {
"title": "$:/language/Buttons/Fold/Hint",
"text": "Fold the body of this tiddler"
},
"$:/language/Buttons/Fold/FoldBar/Caption": {
"title": "$:/language/Buttons/Fold/FoldBar/Caption",
"text": "fold-bar"
},
"$:/language/Buttons/Fold/FoldBar/Hint": {
"title": "$:/language/Buttons/Fold/FoldBar/Hint",
"text": "Optional bars to fold and unfold tiddlers"
},
"$:/language/Buttons/Unfold/Caption": {
"title": "$:/language/Buttons/Unfold/Caption",
"text": "unfold tiddler"
},
"$:/language/Buttons/Unfold/Hint": {
"title": "$:/language/Buttons/Unfold/Hint",
"text": "Unfold the body of this tiddler"
},
"$:/language/Buttons/FoldOthers/Caption": {
"title": "$:/language/Buttons/FoldOthers/Caption",
"text": "fold other tiddlers"
},
"$:/language/Buttons/FoldOthers/Hint": {
"title": "$:/language/Buttons/FoldOthers/Hint",
"text": "Fold the bodies of other opened tiddlers"
},
"$:/language/Buttons/FoldAll/Caption": {
"title": "$:/language/Buttons/FoldAll/Caption",
"text": "fold all tiddlers"
},
"$:/language/Buttons/FoldAll/Hint": {
"title": "$:/language/Buttons/FoldAll/Hint",
"text": "Fold the bodies of all opened tiddlers"
},
"$:/language/Buttons/UnfoldAll/Caption": {
"title": "$:/language/Buttons/UnfoldAll/Caption",
"text": "unfold all tiddlers"
},
"$:/language/Buttons/UnfoldAll/Hint": {
"title": "$:/language/Buttons/UnfoldAll/Hint",
"text": "Unfold the bodies of all opened tiddlers"
},
"$:/language/Buttons/FullScreen/Caption": {
"title": "$:/language/Buttons/FullScreen/Caption",
"text": "full-screen"
},
"$:/language/Buttons/FullScreen/Hint": {
"title": "$:/language/Buttons/FullScreen/Hint",
"text": "Enter or leave full-screen mode"
},
"$:/language/Buttons/Help/Caption": {
"title": "$:/language/Buttons/Help/Caption",
"text": "help"
},
"$:/language/Buttons/Help/Hint": {
"title": "$:/language/Buttons/Help/Hint",
"text": "Show help panel"
},
"$:/language/Buttons/Import/Caption": {
"title": "$:/language/Buttons/Import/Caption",
"text": "import"
},
"$:/language/Buttons/Import/Hint": {
"title": "$:/language/Buttons/Import/Hint",
"text": "Import many types of file including text, image, TiddlyWiki or JSON"
},
"$:/language/Buttons/Info/Caption": {
"title": "$:/language/Buttons/Info/Caption",
"text": "info"
},
"$:/language/Buttons/Info/Hint": {
"title": "$:/language/Buttons/Info/Hint",
"text": "Show information for this tiddler"
},
"$:/language/Buttons/Home/Caption": {
"title": "$:/language/Buttons/Home/Caption",
"text": "home"
},
"$:/language/Buttons/Home/Hint": {
"title": "$:/language/Buttons/Home/Hint",
"text": "Open the default tiddlers"
},
"$:/language/Buttons/Language/Caption": {
"title": "$:/language/Buttons/Language/Caption",
"text": "language"
},
"$:/language/Buttons/Language/Hint": {
"title": "$:/language/Buttons/Language/Hint",
"text": "Choose the user interface language"
},
"$:/language/Buttons/Manager/Caption": {
"title": "$:/language/Buttons/Manager/Caption",
"text": "tiddler manager"
},
"$:/language/Buttons/Manager/Hint": {
"title": "$:/language/Buttons/Manager/Hint",
"text": "Open tiddler manager"
},
"$:/language/Buttons/More/Caption": {
"title": "$:/language/Buttons/More/Caption",
"text": "more"
},
"$:/language/Buttons/More/Hint": {
"title": "$:/language/Buttons/More/Hint",
"text": "More actions"
},
"$:/language/Buttons/NewHere/Caption": {
"title": "$:/language/Buttons/NewHere/Caption",
"text": "new here"
},
"$:/language/Buttons/NewHere/Hint": {
"title": "$:/language/Buttons/NewHere/Hint",
"text": "Create a new tiddler tagged with this one"
},
"$:/language/Buttons/NewJournal/Caption": {
"title": "$:/language/Buttons/NewJournal/Caption",
"text": "new journal"
},
"$:/language/Buttons/NewJournal/Hint": {
"title": "$:/language/Buttons/NewJournal/Hint",
"text": "Create a new journal tiddler"
},
"$:/language/Buttons/NewJournalHere/Caption": {
"title": "$:/language/Buttons/NewJournalHere/Caption",
"text": "new journal here"
},
"$:/language/Buttons/NewJournalHere/Hint": {
"title": "$:/language/Buttons/NewJournalHere/Hint",
"text": "Create a new journal tiddler tagged with this one"
},
"$:/language/Buttons/NewImage/Caption": {
"title": "$:/language/Buttons/NewImage/Caption",
"text": "new image"
},
"$:/language/Buttons/NewImage/Hint": {
"title": "$:/language/Buttons/NewImage/Hint",
"text": "Create a new image tiddler"
},
"$:/language/Buttons/NewMarkdown/Caption": {
"title": "$:/language/Buttons/NewMarkdown/Caption",
"text": "new Markdown tiddler"
},
"$:/language/Buttons/NewMarkdown/Hint": {
"title": "$:/language/Buttons/NewMarkdown/Hint",
"text": "Create a new Markdown tiddler"
},
"$:/language/Buttons/NewTiddler/Caption": {
"title": "$:/language/Buttons/NewTiddler/Caption",
"text": "new tiddler"
},
"$:/language/Buttons/NewTiddler/Hint": {
"title": "$:/language/Buttons/NewTiddler/Hint",
"text": "Create a new tiddler"
},
"$:/language/Buttons/OpenWindow/Caption": {
"title": "$:/language/Buttons/OpenWindow/Caption",
"text": "open in new window"
},
"$:/language/Buttons/OpenWindow/Hint": {
"title": "$:/language/Buttons/OpenWindow/Hint",
"text": "Open tiddler in new window"
},
"$:/language/Buttons/Palette/Caption": {
"title": "$:/language/Buttons/Palette/Caption",
"text": "palette"
},
"$:/language/Buttons/Palette/Hint": {
"title": "$:/language/Buttons/Palette/Hint",
"text": "Choose the colour palette"
},
"$:/language/Buttons/Permalink/Caption": {
"title": "$:/language/Buttons/Permalink/Caption",
"text": "permalink"
},
"$:/language/Buttons/Permalink/Hint": {
"title": "$:/language/Buttons/Permalink/Hint",
"text": "Set browser address bar to a direct link to this tiddler"
},
"$:/language/Buttons/Permaview/Caption": {
"title": "$:/language/Buttons/Permaview/Caption",
"text": "permaview"
},
"$:/language/Buttons/Permaview/Hint": {
"title": "$:/language/Buttons/Permaview/Hint",
"text": "Set browser address bar to a direct link to all the tiddlers in this story"
},
"$:/language/Buttons/Print/Caption": {
"title": "$:/language/Buttons/Print/Caption",
"text": "print page"
},
"$:/language/Buttons/Print/Hint": {
"title": "$:/language/Buttons/Print/Hint",
"text": "Print the current page"
},
"$:/language/Buttons/Refresh/Caption": {
"title": "$:/language/Buttons/Refresh/Caption",
"text": "refresh"
},
"$:/language/Buttons/Refresh/Hint": {
"title": "$:/language/Buttons/Refresh/Hint",
"text": "Perform a full refresh of the wiki"
},
"$:/language/Buttons/Save/Caption": {
"title": "$:/language/Buttons/Save/Caption",
"text": "ok"
},
"$:/language/Buttons/Save/Hint": {
"title": "$:/language/Buttons/Save/Hint",
"text": "Confirm changes to this tiddler"
},
"$:/language/Buttons/SaveWiki/Caption": {
"title": "$:/language/Buttons/SaveWiki/Caption",
"text": "save changes"
},
"$:/language/Buttons/SaveWiki/Hint": {
"title": "$:/language/Buttons/SaveWiki/Hint",
"text": "Save changes"
},
"$:/language/Buttons/StoryView/Caption": {
"title": "$:/language/Buttons/StoryView/Caption",
"text": "storyview"
},
"$:/language/Buttons/StoryView/Hint": {
"title": "$:/language/Buttons/StoryView/Hint",
"text": "Choose the story visualisation"
},
"$:/language/Buttons/HideSideBar/Caption": {
"title": "$:/language/Buttons/HideSideBar/Caption",
"text": "hide sidebar"
},
"$:/language/Buttons/HideSideBar/Hint": {
"title": "$:/language/Buttons/HideSideBar/Hint",
"text": "Hide sidebar"
},
"$:/language/Buttons/ShowSideBar/Caption": {
"title": "$:/language/Buttons/ShowSideBar/Caption",
"text": "show sidebar"
},
"$:/language/Buttons/ShowSideBar/Hint": {
"title": "$:/language/Buttons/ShowSideBar/Hint",
"text": "Show sidebar"
},
"$:/language/Buttons/TagManager/Caption": {
"title": "$:/language/Buttons/TagManager/Caption",
"text": "tag manager"
},
"$:/language/Buttons/TagManager/Hint": {
"title": "$:/language/Buttons/TagManager/Hint",
"text": "Open tag manager"
},
"$:/language/Buttons/Timestamp/Caption": {
"title": "$:/language/Buttons/Timestamp/Caption",
"text": "timestamps"
},
"$:/language/Buttons/Timestamp/Hint": {
"title": "$:/language/Buttons/Timestamp/Hint",
"text": "Choose whether modifications update timestamps"
},
"$:/language/Buttons/Timestamp/On/Caption": {
"title": "$:/language/Buttons/Timestamp/On/Caption",
"text": "timestamps are on"
},
"$:/language/Buttons/Timestamp/On/Hint": {
"title": "$:/language/Buttons/Timestamp/On/Hint",
"text": "Update timestamps when tiddlers are modified"
},
"$:/language/Buttons/Timestamp/Off/Caption": {
"title": "$:/language/Buttons/Timestamp/Off/Caption",
"text": "timestamps are off"
},
"$:/language/Buttons/Timestamp/Off/Hint": {
"title": "$:/language/Buttons/Timestamp/Off/Hint",
"text": "Don't update timestamps when tiddlers are modified"
},
"$:/language/Buttons/Theme/Caption": {
"title": "$:/language/Buttons/Theme/Caption",
"text": "theme"
},
"$:/language/Buttons/Theme/Hint": {
"title": "$:/language/Buttons/Theme/Hint",
"text": "Choose the display theme"
},
"$:/language/Buttons/Bold/Caption": {
"title": "$:/language/Buttons/Bold/Caption",
"text": "bold"
},
"$:/language/Buttons/Bold/Hint": {
"title": "$:/language/Buttons/Bold/Hint",
"text": "Apply bold formatting to selection"
},
"$:/language/Buttons/Clear/Caption": {
"title": "$:/language/Buttons/Clear/Caption",
"text": "clear"
},
"$:/language/Buttons/Clear/Hint": {
"title": "$:/language/Buttons/Clear/Hint",
"text": "Clear image to solid colour"
},
"$:/language/Buttons/EditorHeight/Caption": {
"title": "$:/language/Buttons/EditorHeight/Caption",
"text": "editor height"
},
"$:/language/Buttons/EditorHeight/Caption/Auto": {
"title": "$:/language/Buttons/EditorHeight/Caption/Auto",
"text": "Automatically adjust height to fit content"
},
"$:/language/Buttons/EditorHeight/Caption/Fixed": {
"title": "$:/language/Buttons/EditorHeight/Caption/Fixed",
"text": "Fixed height:"
},
"$:/language/Buttons/EditorHeight/Hint": {
"title": "$:/language/Buttons/EditorHeight/Hint",
"text": "Choose the height of the text editor"
},
"$:/language/Buttons/Excise/Caption": {
"title": "$:/language/Buttons/Excise/Caption",
"text": "excise"
},
"$:/language/Buttons/Excise/Caption/Excise": {
"title": "$:/language/Buttons/Excise/Caption/Excise",
"text": "Perform excision"
},
"$:/language/Buttons/Excise/Caption/MacroName": {
"title": "$:/language/Buttons/Excise/Caption/MacroName",
"text": "Macro name:"
},
"$:/language/Buttons/Excise/Caption/NewTitle": {
"title": "$:/language/Buttons/Excise/Caption/NewTitle",
"text": "Title of new tiddler:"
},
"$:/language/Buttons/Excise/Caption/Replace": {
"title": "$:/language/Buttons/Excise/Caption/Replace",
"text": "Replace excised text with:"
},
"$:/language/Buttons/Excise/Caption/Replace/Macro": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Macro",
"text": "macro"
},
"$:/language/Buttons/Excise/Caption/Replace/Link": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Link",
"text": "link"
},
"$:/language/Buttons/Excise/Caption/Replace/Transclusion": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Transclusion",
"text": "transclusion"
},
"$:/language/Buttons/Excise/Caption/Tag": {
"title": "$:/language/Buttons/Excise/Caption/Tag",
"text": "Tag new tiddler with the title of this tiddler"
},
"$:/language/Buttons/Excise/Caption/TiddlerExists": {
"title": "$:/language/Buttons/Excise/Caption/TiddlerExists",
"text": "Warning: tiddler already exists"
},
"$:/language/Buttons/Excise/Hint": {
"title": "$:/language/Buttons/Excise/Hint",
"text": "Excise the selected text into a new tiddler"
},
"$:/language/Buttons/Heading1/Caption": {
"title": "$:/language/Buttons/Heading1/Caption",
"text": "heading 1"
},
"$:/language/Buttons/Heading1/Hint": {
"title": "$:/language/Buttons/Heading1/Hint",
"text": "Apply heading level 1 formatting to lines containing selection"
},
"$:/language/Buttons/Heading2/Caption": {
"title": "$:/language/Buttons/Heading2/Caption",
"text": "heading 2"
},
"$:/language/Buttons/Heading2/Hint": {
"title": "$:/language/Buttons/Heading2/Hint",
"text": "Apply heading level 2 formatting to lines containing selection"
},
"$:/language/Buttons/Heading3/Caption": {
"title": "$:/language/Buttons/Heading3/Caption",
"text": "heading 3"
},
"$:/language/Buttons/Heading3/Hint": {
"title": "$:/language/Buttons/Heading3/Hint",
"text": "Apply heading level 3 formatting to lines containing selection"
},
"$:/language/Buttons/Heading4/Caption": {
"title": "$:/language/Buttons/Heading4/Caption",
"text": "heading 4"
},
"$:/language/Buttons/Heading4/Hint": {
"title": "$:/language/Buttons/Heading4/Hint",
"text": "Apply heading level 4 formatting to lines containing selection"
},
"$:/language/Buttons/Heading5/Caption": {
"title": "$:/language/Buttons/Heading5/Caption",
"text": "heading 5"
},
"$:/language/Buttons/Heading5/Hint": {
"title": "$:/language/Buttons/Heading5/Hint",
"text": "Apply heading level 5 formatting to lines containing selection"
},
"$:/language/Buttons/Heading6/Caption": {
"title": "$:/language/Buttons/Heading6/Caption",
"text": "heading 6"
},
"$:/language/Buttons/Heading6/Hint": {
"title": "$:/language/Buttons/Heading6/Hint",
"text": "Apply heading level 6 formatting to lines containing selection"
},
"$:/language/Buttons/Italic/Caption": {
"title": "$:/language/Buttons/Italic/Caption",
"text": "italic"
},
"$:/language/Buttons/Italic/Hint": {
"title": "$:/language/Buttons/Italic/Hint",
"text": "Apply italic formatting to selection"
},
"$:/language/Buttons/LineWidth/Caption": {
"title": "$:/language/Buttons/LineWidth/Caption",
"text": "line width"
},
"$:/language/Buttons/LineWidth/Hint": {
"title": "$:/language/Buttons/LineWidth/Hint",
"text": "Set line width for painting"
},
"$:/language/Buttons/Link/Caption": {
"title": "$:/language/Buttons/Link/Caption",
"text": "link"
},
"$:/language/Buttons/Link/Hint": {
"title": "$:/language/Buttons/Link/Hint",
"text": "Create wikitext link"
},
"$:/language/Buttons/Linkify/Caption": {
"title": "$:/language/Buttons/Linkify/Caption",
"text": "wikilink"
},
"$:/language/Buttons/Linkify/Hint": {
"title": "$:/language/Buttons/Linkify/Hint",
"text": "Wrap selection in square brackets"
},
"$:/language/Buttons/ListBullet/Caption": {
"title": "$:/language/Buttons/ListBullet/Caption",
"text": "bulleted list"
},
"$:/language/Buttons/ListBullet/Hint": {
"title": "$:/language/Buttons/ListBullet/Hint",
"text": "Apply bulleted list formatting to lines containing selection"
},
"$:/language/Buttons/ListNumber/Caption": {
"title": "$:/language/Buttons/ListNumber/Caption",
"text": "numbered list"
},
"$:/language/Buttons/ListNumber/Hint": {
"title": "$:/language/Buttons/ListNumber/Hint",
"text": "Apply numbered list formatting to lines containing selection"
},
"$:/language/Buttons/MonoBlock/Caption": {
"title": "$:/language/Buttons/MonoBlock/Caption",
"text": "monospaced block"
},
"$:/language/Buttons/MonoBlock/Hint": {
"title": "$:/language/Buttons/MonoBlock/Hint",
"text": "Apply monospaced block formatting to lines containing selection"
},
"$:/language/Buttons/MonoLine/Caption": {
"title": "$:/language/Buttons/MonoLine/Caption",
"text": "monospaced"
},
"$:/language/Buttons/MonoLine/Hint": {
"title": "$:/language/Buttons/MonoLine/Hint",
"text": "Apply monospaced character formatting to selection"
},
"$:/language/Buttons/Opacity/Caption": {
"title": "$:/language/Buttons/Opacity/Caption",
"text": "opacity"
},
"$:/language/Buttons/Opacity/Hint": {
"title": "$:/language/Buttons/Opacity/Hint",
"text": "Set painting opacity"
},
"$:/language/Buttons/Paint/Caption": {
"title": "$:/language/Buttons/Paint/Caption",
"text": "paint colour"
},
"$:/language/Buttons/Paint/Hint": {
"title": "$:/language/Buttons/Paint/Hint",
"text": "Set painting colour"
},
"$:/language/Buttons/Picture/Caption": {
"title": "$:/language/Buttons/Picture/Caption",
"text": "picture"
},
"$:/language/Buttons/Picture/Hint": {
"title": "$:/language/Buttons/Picture/Hint",
"text": "Insert picture"
},
"$:/language/Buttons/Preview/Caption": {
"title": "$:/language/Buttons/Preview/Caption",
"text": "preview"
},
"$:/language/Buttons/Preview/Hint": {
"title": "$:/language/Buttons/Preview/Hint",
"text": "Show preview pane"
},
"$:/language/Buttons/PreviewType/Caption": {
"title": "$:/language/Buttons/PreviewType/Caption",
"text": "preview type"
},
"$:/language/Buttons/PreviewType/Hint": {
"title": "$:/language/Buttons/PreviewType/Hint",
"text": "Choose preview type"
},
"$:/language/Buttons/Quote/Caption": {
"title": "$:/language/Buttons/Quote/Caption",
"text": "quote"
},
"$:/language/Buttons/Quote/Hint": {
"title": "$:/language/Buttons/Quote/Hint",
"text": "Apply quoted text formatting to lines containing selection"
},
"$:/language/Buttons/RotateLeft/Caption": {
"title": "$:/language/Buttons/RotateLeft/Caption",
"text": "rotate left"
},
"$:/language/Buttons/RotateLeft/Hint": {
"title": "$:/language/Buttons/RotateLeft/Hint",
"text": "Rotate image left by 90 degrees"
},
"$:/language/Buttons/Size/Caption": {
"title": "$:/language/Buttons/Size/Caption",
"text": "image size"
},
"$:/language/Buttons/Size/Caption/Height": {
"title": "$:/language/Buttons/Size/Caption/Height",
"text": "Height:"
},
"$:/language/Buttons/Size/Caption/Resize": {
"title": "$:/language/Buttons/Size/Caption/Resize",
"text": "Resize image"
},
"$:/language/Buttons/Size/Caption/Width": {
"title": "$:/language/Buttons/Size/Caption/Width",
"text": "Width:"
},
"$:/language/Buttons/Size/Hint": {
"title": "$:/language/Buttons/Size/Hint",
"text": "Set image size"
},
"$:/language/Buttons/Stamp/Caption": {
"title": "$:/language/Buttons/Stamp/Caption",
"text": "stamp"
},
"$:/language/Buttons/Stamp/Caption/New": {
"title": "$:/language/Buttons/Stamp/Caption/New",
"text": "Add your own"
},
"$:/language/Buttons/Stamp/Hint": {
"title": "$:/language/Buttons/Stamp/Hint",
"text": "Insert a preconfigured snippet of text"
},
"$:/language/Buttons/Stamp/New/Title": {
"title": "$:/language/Buttons/Stamp/New/Title",
"text": "Name as shown in menu"
},
"$:/language/Buttons/Stamp/New/Text": {
"title": "$:/language/Buttons/Stamp/New/Text",
"text": "Text of snippet. (Remember to add a descriptive title in the caption field)."
},
"$:/language/Buttons/Strikethrough/Caption": {
"title": "$:/language/Buttons/Strikethrough/Caption",
"text": "strikethrough"
},
"$:/language/Buttons/Strikethrough/Hint": {
"title": "$:/language/Buttons/Strikethrough/Hint",
"text": "Apply strikethrough formatting to selection"
},
"$:/language/Buttons/Subscript/Caption": {
"title": "$:/language/Buttons/Subscript/Caption",
"text": "subscript"
},
"$:/language/Buttons/Subscript/Hint": {
"title": "$:/language/Buttons/Subscript/Hint",
"text": "Apply subscript formatting to selection"
},
"$:/language/Buttons/Superscript/Caption": {
"title": "$:/language/Buttons/Superscript/Caption",
"text": "superscript"
},
"$:/language/Buttons/Superscript/Hint": {
"title": "$:/language/Buttons/Superscript/Hint",
"text": "Apply superscript formatting to selection"
},
"$:/language/Buttons/Transcludify/Caption": {
"title": "$:/language/Buttons/Transcludify/Caption",
"text": "transclusion"
},
"$:/language/Buttons/Transcludify/Hint": {
"title": "$:/language/Buttons/Transcludify/Hint",
"text": "Wrap selection in curly brackets"
},
"$:/language/Buttons/Underline/Caption": {
"title": "$:/language/Buttons/Underline/Caption",
"text": "underline"
},
"$:/language/Buttons/Underline/Hint": {
"title": "$:/language/Buttons/Underline/Hint",
"text": "Apply underline formatting to selection"
},
"$:/language/ControlPanel/Advanced/Caption": {
"title": "$:/language/ControlPanel/Advanced/Caption",
"text": "Advanced"
},
"$:/language/ControlPanel/Advanced/Hint": {
"title": "$:/language/ControlPanel/Advanced/Hint",
"text": "Internal information about this TiddlyWiki"
},
"$:/language/ControlPanel/Appearance/Caption": {
"title": "$:/language/ControlPanel/Appearance/Caption",
"text": "Appearance"
},
"$:/language/ControlPanel/Appearance/Hint": {
"title": "$:/language/ControlPanel/Appearance/Hint",
"text": "Ways to customise the appearance of your TiddlyWiki."
},
"$:/language/ControlPanel/Basics/AnimDuration/Prompt": {
"title": "$:/language/ControlPanel/Basics/AnimDuration/Prompt",
"text": "Animation duration:"
},
"$:/language/ControlPanel/Basics/Caption": {
"title": "$:/language/ControlPanel/Basics/Caption",
"text": "Basics"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint",
"text": "Use [[double square brackets]] for titles with spaces. Or you can choose to <$button set=\"$:/DefaultTiddlers\" setTo=\"[list[$:/StoryList]]\">retain story ordering</$button>"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt",
"text": "Default tiddlers:"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint",
"text": "Choose which tiddlers are displayed at startup:"
},
"$:/language/ControlPanel/Basics/Language/Prompt": {
"title": "$:/language/ControlPanel/Basics/Language/Prompt",
"text": "Hello! Current language:"
},
"$:/language/ControlPanel/Basics/NewJournal/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Title/Prompt",
"text": "Title of new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Text/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Text/Prompt",
"text": "Text for new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt",
"text": "Tags for new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt",
"text": "Title of new tiddlers"
},
"$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt",
"text": "Number of overridden shadow tiddlers:"
},
"$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt",
"text": "Number of shadow tiddlers:"
},
"$:/language/ControlPanel/Basics/Subtitle/Prompt": {
"title": "$:/language/ControlPanel/Basics/Subtitle/Prompt",
"text": "Subtitle:"
},
"$:/language/ControlPanel/Basics/SystemTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/SystemTiddlers/Prompt",
"text": "Number of system tiddlers:"
},
"$:/language/ControlPanel/Basics/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tags/Prompt",
"text": "Number of tags:"
},
"$:/language/ControlPanel/Basics/Tiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tiddlers/Prompt",
"text": "Number of tiddlers:"
},
"$:/language/ControlPanel/Basics/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/Title/Prompt",
"text": "Title of this ~TiddlyWiki:"
},
"$:/language/ControlPanel/Basics/Username/Prompt": {
"title": "$:/language/ControlPanel/Basics/Username/Prompt",
"text": "Username for signing edits:"
},
"$:/language/ControlPanel/Basics/Version/Prompt": {
"title": "$:/language/ControlPanel/Basics/Version/Prompt",
"text": "~TiddlyWiki version:"
},
"$:/language/ControlPanel/EditorTypes/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Caption",
"text": "Editor Types"
},
"$:/language/ControlPanel/EditorTypes/Editor/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Editor/Caption",
"text": "Editor"
},
"$:/language/ControlPanel/EditorTypes/Hint": {
"title": "$:/language/ControlPanel/EditorTypes/Hint",
"text": "These tiddlers determine which editor is used to edit specific tiddler types."
},
"$:/language/ControlPanel/EditorTypes/Type/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Type/Caption",
"text": "Type"
},
"$:/language/ControlPanel/Info/Caption": {
"title": "$:/language/ControlPanel/Info/Caption",
"text": "Info"
},
"$:/language/ControlPanel/Info/Hint": {
"title": "$:/language/ControlPanel/Info/Hint",
"text": "Information about this TiddlyWiki"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt",
"text": "Type shortcut here"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Caption",
"text": "add shortcut"
},
"$:/language/ControlPanel/KeyboardShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Caption",
"text": "Keyboard Shortcuts"
},
"$:/language/ControlPanel/KeyboardShortcuts/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Hint",
"text": "Manage keyboard shortcut assignments"
},
"$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption",
"text": "No keyboard shortcuts assigned"
},
"$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint",
"text": "remove keyboard shortcut"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/All": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/All",
"text": "All platforms"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac",
"text": "Macintosh platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac",
"text": "Non-Macintosh platforms only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux",
"text": "Linux platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux",
"text": "Non-Linux platforms only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows",
"text": "Windows platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows",
"text": "Non-Windows platforms only"
},
"$:/language/ControlPanel/LoadedModules/Caption": {
"title": "$:/language/ControlPanel/LoadedModules/Caption",
"text": "Loaded Modules"
},
"$:/language/ControlPanel/LoadedModules/Hint": {
"title": "$:/language/ControlPanel/LoadedModules/Hint",
"text": "These are the currently loaded tiddler modules linked to their source tiddlers. Any italicised modules lack a source tiddler, typically because they were setup during the boot process."
},
"$:/language/ControlPanel/Palette/Caption": {
"title": "$:/language/ControlPanel/Palette/Caption",
"text": "Palette"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Caption",
"text": "clone"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Prompt",
"text": "It is recommended that you clone this shadow palette before editing it"
},
"$:/language/ControlPanel/Palette/Editor/Prompt/Modified": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt/Modified",
"text": "This shadow palette has been modified"
},
"$:/language/ControlPanel/Palette/Editor/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt",
"text": "Editing"
},
"$:/language/ControlPanel/Palette/Editor/Reset/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Reset/Caption",
"text": "reset"
},
"$:/language/ControlPanel/Palette/HideEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/HideEditor/Caption",
"text": "hide editor"
},
"$:/language/ControlPanel/Palette/Prompt": {
"title": "$:/language/ControlPanel/Palette/Prompt",
"text": "Current palette:"
},
"$:/language/ControlPanel/Palette/ShowEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/ShowEditor/Caption",
"text": "show editor"
},
"$:/language/ControlPanel/Parsing/Caption": {
"title": "$:/language/ControlPanel/Parsing/Caption",
"text": "Parsing"
},
"$:/language/ControlPanel/Parsing/Hint": {
"title": "$:/language/ControlPanel/Parsing/Hint",
"text": "Here you can globally disable/enable wiki parser rules. For changes to take effect, save and reload your wiki. Disabling certain parser rules can prevent <$text text=\"TiddlyWiki\"/> from functioning correctly. Use [[safe mode|https://tiddlywiki.com/#SafeMode]] to restore normal operation."
},
"$:/language/ControlPanel/Parsing/Block/Caption": {
"title": "$:/language/ControlPanel/Parsing/Block/Caption",
"text": "Block Parse Rules"
},
"$:/language/ControlPanel/Parsing/Inline/Caption": {
"title": "$:/language/ControlPanel/Parsing/Inline/Caption",
"text": "Inline Parse Rules"
},
"$:/language/ControlPanel/Parsing/Pragma/Caption": {
"title": "$:/language/ControlPanel/Parsing/Pragma/Caption",
"text": "Pragma Parse Rules"
},
"$:/language/ControlPanel/Plugins/Add/Caption": {
"title": "$:/language/ControlPanel/Plugins/Add/Caption",
"text": "Get more plugins"
},
"$:/language/ControlPanel/Plugins/Add/Hint": {
"title": "$:/language/ControlPanel/Plugins/Add/Hint",
"text": "Install plugins from the official library"
},
"$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint",
"text": "This plugin is already installed at version <$text text=<<installedVersion>>/>"
},
"$:/language/ControlPanel/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Disable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Disable/Caption",
"text": "disable"
},
"$:/language/ControlPanel/Plugins/Disable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Disable/Hint",
"text": "Disable this plugin when reloading page"
},
"$:/language/ControlPanel/Plugins/Disabled/Status": {
"title": "$:/language/ControlPanel/Plugins/Disabled/Status",
"text": "(disabled)"
},
"$:/language/ControlPanel/Plugins/Empty/Hint": {
"title": "$:/language/ControlPanel/Plugins/Empty/Hint",
"text": "None"
},
"$:/language/ControlPanel/Plugins/Enable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Enable/Caption",
"text": "enable"
},
"$:/language/ControlPanel/Plugins/Enable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Enable/Hint",
"text": "Enable this plugin when reloading page"
},
"$:/language/ControlPanel/Plugins/Install/Caption": {
"title": "$:/language/ControlPanel/Plugins/Install/Caption",
"text": "install"
},
"$:/language/ControlPanel/Plugins/Installed/Hint": {
"title": "$:/language/ControlPanel/Plugins/Installed/Hint",
"text": "Currently installed plugins:"
},
"$:/language/ControlPanel/Plugins/Languages/Caption": {
"title": "$:/language/ControlPanel/Plugins/Languages/Caption",
"text": "Languages"
},
"$:/language/ControlPanel/Plugins/Languages/Hint": {
"title": "$:/language/ControlPanel/Plugins/Languages/Hint",
"text": "Language pack plugins"
},
"$:/language/ControlPanel/Plugins/NoInfoFound/Hint": {
"title": "$:/language/ControlPanel/Plugins/NoInfoFound/Hint",
"text": "No ''\"<$text text=<<currentTab>>/>\"'' found"
},
"$:/language/ControlPanel/Plugins/NotInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/NotInstalled/Hint",
"text": "This plugin is not currently installed"
},
"$:/language/ControlPanel/Plugins/OpenPluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/OpenPluginLibrary",
"text": "open plugin library"
},
"$:/language/ControlPanel/Plugins/ClosePluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/ClosePluginLibrary",
"text": "close plugin library"
},
"$:/language/ControlPanel/Plugins/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Plugins/Hint": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Hint",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Reinstall/Caption": {
"title": "$:/language/ControlPanel/Plugins/Reinstall/Caption",
"text": "reinstall"
},
"$:/language/ControlPanel/Plugins/Themes/Caption": {
"title": "$:/language/ControlPanel/Plugins/Themes/Caption",
"text": "Themes"
},
"$:/language/ControlPanel/Plugins/Themes/Hint": {
"title": "$:/language/ControlPanel/Plugins/Themes/Hint",
"text": "Theme plugins"
},
"$:/language/ControlPanel/Saving/Caption": {
"title": "$:/language/ControlPanel/Saving/Caption",
"text": "Saving"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description",
"text": "Permit automatic saving for the download saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint",
"text": "Enable Autosave for Download Saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Caption": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Caption",
"text": "Download Saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Hint",
"text": "These settings apply to the HTML5-compatible download saver"
},
"$:/language/ControlPanel/Saving/General/Caption": {
"title": "$:/language/ControlPanel/Saving/General/Caption",
"text": "General"
},
"$:/language/ControlPanel/Saving/General/Hint": {
"title": "$:/language/ControlPanel/Saving/General/Hint",
"text": "These settings apply to all the loaded savers"
},
"$:/language/ControlPanel/Saving/Hint": {
"title": "$:/language/ControlPanel/Saving/Hint",
"text": "Settings used for saving the entire TiddlyWiki as a single file via a saver module"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading",
"text": "Advanced Settings"
},
"$:/language/ControlPanel/Saving/TiddlySpot/BackupDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/BackupDir",
"text": "Backup Directory"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Backups": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Backups",
"text": "Backups"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Caption": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Caption",
"text": "~TiddlySpot Saver"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Description": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Description",
"text": "These settings are only used when saving to http://tiddlyspot.com or a compatible remote server"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Filename": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Filename",
"text": "Upload Filename"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Heading",
"text": "~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Hint": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Hint",
"text": "//The server URL defaults to `http://<wikiname>.tiddlyspot.com/store.cgi` and can be changed to use a custom server address, e.g. `http://example.com/store.php`.//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Password": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Password",
"text": "Password"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ServerURL": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ServerURL",
"text": "Server URL"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UploadDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UploadDir",
"text": "Upload Directory"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UserName": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UserName",
"text": "Wiki Name"
},
"$:/language/ControlPanel/Settings/AutoSave/Caption": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Caption",
"text": "Autosave"
},
"$:/language/ControlPanel/Settings/AutoSave/Disabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Disabled/Description",
"text": "Do not save changes automatically"
},
"$:/language/ControlPanel/Settings/AutoSave/Enabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Enabled/Description",
"text": "Save changes automatically"
},
"$:/language/ControlPanel/Settings/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Hint",
"text": "Attempt to automatically save changes during editing when using a supporting saver"
},
"$:/language/ControlPanel/Settings/CamelCase/Caption": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Caption",
"text": "Camel Case Wiki Links"
},
"$:/language/ControlPanel/Settings/CamelCase/Hint": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Hint",
"text": "You can globally disable automatic linking of ~CamelCase phrases. Requires reload to take effect"
},
"$:/language/ControlPanel/Settings/CamelCase/Description": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Description",
"text": "Enable automatic ~CamelCase linking"
},
"$:/language/ControlPanel/Settings/Caption": {
"title": "$:/language/ControlPanel/Settings/Caption",
"text": "Settings"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Hint",
"text": "Enable or disable the editor toolbar:"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Description": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Description",
"text": "Show editor toolbar"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Caption": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Caption",
"text": "Tiddler Info Panel Mode"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Hint": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Hint",
"text": "Control when the tiddler info panel closes:"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description",
"text": "Tiddler info panel closes automatically"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description",
"text": "Tiddler info panel stays open until explicitly closed"
},
"$:/language/ControlPanel/Settings/Hint": {
"title": "$:/language/ControlPanel/Settings/Hint",
"text": "These settings let you customise the behaviour of TiddlyWiki."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Caption",
"text": "Navigation Address Bar"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Hint",
"text": "Behaviour of the browser address bar when navigating to a tiddler:"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description",
"text": "Do not update the address bar"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description",
"text": "Include the target tiddler"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description",
"text": "Include the target tiddler and the current story sequence"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Caption",
"text": "Navigation History"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Hint",
"text": "Update browser history when navigating to a tiddler:"
},
"$:/language/ControlPanel/Settings/NavigationHistory/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/No/Description",
"text": "Do not update history"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description",
"text": "Update history"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption",
"text": "Performance Instrumentation"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint",
"text": "Displays performance statistics in the browser developer console. Requires reload to take effect"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description",
"text": "Enable performance instrumentation"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption",
"text": "Toolbar Button Style"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint",
"text": "Choose the style for toolbar buttons:"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless",
"text": "Borderless"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed",
"text": "Boxed"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded",
"text": "Rounded"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Caption",
"text": "Toolbar Buttons"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Hint",
"text": "Default toolbar button appearance:"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description",
"text": "Include icon"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description",
"text": "Include text"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption",
"text": "Default Sidebar Tab"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint",
"text": "Specify which sidebar tab is displayed by default"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption",
"text": "Default More Sidebar Tab"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint",
"text": "Specify which More sidebar tab is displayed by default"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/Caption": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/Caption",
"text": "Tiddler Opening Behaviour"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint",
"text": "Navigation from //within// the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint",
"text": "Navigation from //outside// the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove",
"text": "Open above the current tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow",
"text": "Open below the current tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop",
"text": "Open at the top of the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom",
"text": "Open at the bottom of the story river"
},
"$:/language/ControlPanel/Settings/TitleLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Caption",
"text": "Tiddler Titles"
},
"$:/language/ControlPanel/Settings/TitleLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Hint",
"text": "Optionally display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/TitleLinks/No/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/No/Description",
"text": "Do not display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/TitleLinks/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Yes/Description",
"text": "Display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Caption",
"text": "Wiki Links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Hint",
"text": "Choose whether to link to tiddlers that do not exist yet"
},
"$:/language/ControlPanel/Settings/MissingLinks/Description": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Description",
"text": "Enable links to missing tiddlers"
},
"$:/language/ControlPanel/StoryView/Caption": {
"title": "$:/language/ControlPanel/StoryView/Caption",
"text": "Story View"
},
"$:/language/ControlPanel/StoryView/Prompt": {
"title": "$:/language/ControlPanel/StoryView/Prompt",
"text": "Current view:"
},
"$:/language/ControlPanel/Stylesheets/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Caption",
"text": "Stylesheets"
},
"$:/language/ControlPanel/Stylesheets/Expand/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Expand/Caption",
"text": "Expand All"
},
"$:/language/ControlPanel/Stylesheets/Hint": {
"title": "$:/language/ControlPanel/Stylesheets/Hint",
"text": "This is the rendered CSS of the current stylesheet tiddlers tagged with <<tag \"$:/tags/Stylesheet\">>"
},
"$:/language/ControlPanel/Stylesheets/Restore/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Restore/Caption",
"text": "Restore"
},
"$:/language/ControlPanel/Theme/Caption": {
"title": "$:/language/ControlPanel/Theme/Caption",
"text": "Theme"
},
"$:/language/ControlPanel/Theme/Prompt": {
"title": "$:/language/ControlPanel/Theme/Prompt",
"text": "Current theme:"
},
"$:/language/ControlPanel/TiddlerFields/Caption": {
"title": "$:/language/ControlPanel/TiddlerFields/Caption",
"text": "Tiddler Fields"
},
"$:/language/ControlPanel/TiddlerFields/Hint": {
"title": "$:/language/ControlPanel/TiddlerFields/Hint",
"text": "This is the full set of TiddlerFields in use in this wiki (including system tiddlers but excluding shadow tiddlers)."
},
"$:/language/ControlPanel/Toolbars/Caption": {
"title": "$:/language/ControlPanel/Toolbars/Caption",
"text": "Toolbars"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Caption",
"text": "Edit Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Hint",
"text": "Choose which buttons are displayed for tiddlers in edit mode. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/Hint": {
"title": "$:/language/ControlPanel/Toolbars/Hint",
"text": "Select which toolbar buttons are displayed"
},
"$:/language/ControlPanel/Toolbars/PageControls/Caption": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Caption",
"text": "Page Toolbar"
},
"$:/language/ControlPanel/Toolbars/PageControls/Hint": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Hint",
"text": "Choose which buttons are displayed on the main page toolbar. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Hint",
"text": "Choose which buttons are displayed in the editor toolbar. Note that some buttons will only appear when editing tiddlers of a certain type. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Caption",
"text": "View Toolbar"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Hint",
"text": "Choose which buttons are displayed for tiddlers in view mode. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Tools/Download/Full/Caption": {
"title": "$:/language/ControlPanel/Tools/Download/Full/Caption",
"text": "Download full wiki"
},
"$:/language/Date/DaySuffix/1": {
"title": "$:/language/Date/DaySuffix/1",
"text": "st"
},
"$:/language/Date/DaySuffix/2": {
"title": "$:/language/Date/DaySuffix/2",
"text": "nd"
},
"$:/language/Date/DaySuffix/3": {
"title": "$:/language/Date/DaySuffix/3",
"text": "rd"
},
"$:/language/Date/DaySuffix/4": {
"title": "$:/language/Date/DaySuffix/4",
"text": "th"
},
"$:/language/Date/DaySuffix/5": {
"title": "$:/language/Date/DaySuffix/5",
"text": "th"
},
"$:/language/Date/DaySuffix/6": {
"title": "$:/language/Date/DaySuffix/6",
"text": "th"
},
"$:/language/Date/DaySuffix/7": {
"title": "$:/language/Date/DaySuffix/7",
"text": "th"
},
"$:/language/Date/DaySuffix/8": {
"title": "$:/language/Date/DaySuffix/8",
"text": "th"
},
"$:/language/Date/DaySuffix/9": {
"title": "$:/language/Date/DaySuffix/9",
"text": "th"
},
"$:/language/Date/DaySuffix/10": {
"title": "$:/language/Date/DaySuffix/10",
"text": "th"
},
"$:/language/Date/DaySuffix/11": {
"title": "$:/language/Date/DaySuffix/11",
"text": "th"
},
"$:/language/Date/DaySuffix/12": {
"title": "$:/language/Date/DaySuffix/12",
"text": "th"
},
"$:/language/Date/DaySuffix/13": {
"title": "$:/language/Date/DaySuffix/13",
"text": "th"
},
"$:/language/Date/DaySuffix/14": {
"title": "$:/language/Date/DaySuffix/14",
"text": "th"
},
"$:/language/Date/DaySuffix/15": {
"title": "$:/language/Date/DaySuffix/15",
"text": "th"
},
"$:/language/Date/DaySuffix/16": {
"title": "$:/language/Date/DaySuffix/16",
"text": "th"
},
"$:/language/Date/DaySuffix/17": {
"title": "$:/language/Date/DaySuffix/17",
"text": "th"
},
"$:/language/Date/DaySuffix/18": {
"title": "$:/language/Date/DaySuffix/18",
"text": "th"
},
"$:/language/Date/DaySuffix/19": {
"title": "$:/language/Date/DaySuffix/19",
"text": "th"
},
"$:/language/Date/DaySuffix/20": {
"title": "$:/language/Date/DaySuffix/20",
"text": "th"
},
"$:/language/Date/DaySuffix/21": {
"title": "$:/language/Date/DaySuffix/21",
"text": "st"
},
"$:/language/Date/DaySuffix/22": {
"title": "$:/language/Date/DaySuffix/22",
"text": "nd"
},
"$:/language/Date/DaySuffix/23": {
"title": "$:/language/Date/DaySuffix/23",
"text": "rd"
},
"$:/language/Date/DaySuffix/24": {
"title": "$:/language/Date/DaySuffix/24",
"text": "th"
},
"$:/language/Date/DaySuffix/25": {
"title": "$:/language/Date/DaySuffix/25",
"text": "th"
},
"$:/language/Date/DaySuffix/26": {
"title": "$:/language/Date/DaySuffix/26",
"text": "th"
},
"$:/language/Date/DaySuffix/27": {
"title": "$:/language/Date/DaySuffix/27",
"text": "th"
},
"$:/language/Date/DaySuffix/28": {
"title": "$:/language/Date/DaySuffix/28",
"text": "th"
},
"$:/language/Date/DaySuffix/29": {
"title": "$:/language/Date/DaySuffix/29",
"text": "th"
},
"$:/language/Date/DaySuffix/30": {
"title": "$:/language/Date/DaySuffix/30",
"text": "th"
},
"$:/language/Date/DaySuffix/31": {
"title": "$:/language/Date/DaySuffix/31",
"text": "st"
},
"$:/language/Date/Long/Day/0": {
"title": "$:/language/Date/Long/Day/0",
"text": "Sunday"
},
"$:/language/Date/Long/Day/1": {
"title": "$:/language/Date/Long/Day/1",
"text": "Monday"
},
"$:/language/Date/Long/Day/2": {
"title": "$:/language/Date/Long/Day/2",
"text": "Tuesday"
},
"$:/language/Date/Long/Day/3": {
"title": "$:/language/Date/Long/Day/3",
"text": "Wednesday"
},
"$:/language/Date/Long/Day/4": {
"title": "$:/language/Date/Long/Day/4",
"text": "Thursday"
},
"$:/language/Date/Long/Day/5": {
"title": "$:/language/Date/Long/Day/5",
"text": "Friday"
},
"$:/language/Date/Long/Day/6": {
"title": "$:/language/Date/Long/Day/6",
"text": "Saturday"
},
"$:/language/Date/Long/Month/1": {
"title": "$:/language/Date/Long/Month/1",
"text": "January"
},
"$:/language/Date/Long/Month/2": {
"title": "$:/language/Date/Long/Month/2",
"text": "February"
},
"$:/language/Date/Long/Month/3": {
"title": "$:/language/Date/Long/Month/3",
"text": "March"
},
"$:/language/Date/Long/Month/4": {
"title": "$:/language/Date/Long/Month/4",
"text": "April"
},
"$:/language/Date/Long/Month/5": {
"title": "$:/language/Date/Long/Month/5",
"text": "May"
},
"$:/language/Date/Long/Month/6": {
"title": "$:/language/Date/Long/Month/6",
"text": "June"
},
"$:/language/Date/Long/Month/7": {
"title": "$:/language/Date/Long/Month/7",
"text": "July"
},
"$:/language/Date/Long/Month/8": {
"title": "$:/language/Date/Long/Month/8",
"text": "August"
},
"$:/language/Date/Long/Month/9": {
"title": "$:/language/Date/Long/Month/9",
"text": "September"
},
"$:/language/Date/Long/Month/10": {
"title": "$:/language/Date/Long/Month/10",
"text": "October"
},
"$:/language/Date/Long/Month/11": {
"title": "$:/language/Date/Long/Month/11",
"text": "November"
},
"$:/language/Date/Long/Month/12": {
"title": "$:/language/Date/Long/Month/12",
"text": "December"
},
"$:/language/Date/Period/am": {
"title": "$:/language/Date/Period/am",
"text": "am"
},
"$:/language/Date/Period/pm": {
"title": "$:/language/Date/Period/pm",
"text": "pm"
},
"$:/language/Date/Short/Day/0": {
"title": "$:/language/Date/Short/Day/0",
"text": "Sun"
},
"$:/language/Date/Short/Day/1": {
"title": "$:/language/Date/Short/Day/1",
"text": "Mon"
},
"$:/language/Date/Short/Day/2": {
"title": "$:/language/Date/Short/Day/2",
"text": "Tue"
},
"$:/language/Date/Short/Day/3": {
"title": "$:/language/Date/Short/Day/3",
"text": "Wed"
},
"$:/language/Date/Short/Day/4": {
"title": "$:/language/Date/Short/Day/4",
"text": "Thu"
},
"$:/language/Date/Short/Day/5": {
"title": "$:/language/Date/Short/Day/5",
"text": "Fri"
},
"$:/language/Date/Short/Day/6": {
"title": "$:/language/Date/Short/Day/6",
"text": "Sat"
},
"$:/language/Date/Short/Month/1": {
"title": "$:/language/Date/Short/Month/1",
"text": "Jan"
},
"$:/language/Date/Short/Month/2": {
"title": "$:/language/Date/Short/Month/2",
"text": "Feb"
},
"$:/language/Date/Short/Month/3": {
"title": "$:/language/Date/Short/Month/3",
"text": "Mar"
},
"$:/language/Date/Short/Month/4": {
"title": "$:/language/Date/Short/Month/4",
"text": "Apr"
},
"$:/language/Date/Short/Month/5": {
"title": "$:/language/Date/Short/Month/5",
"text": "May"
},
"$:/language/Date/Short/Month/6": {
"title": "$:/language/Date/Short/Month/6",
"text": "Jun"
},
"$:/language/Date/Short/Month/7": {
"title": "$:/language/Date/Short/Month/7",
"text": "Jul"
},
"$:/language/Date/Short/Month/8": {
"title": "$:/language/Date/Short/Month/8",
"text": "Aug"
},
"$:/language/Date/Short/Month/9": {
"title": "$:/language/Date/Short/Month/9",
"text": "Sep"
},
"$:/language/Date/Short/Month/10": {
"title": "$:/language/Date/Short/Month/10",
"text": "Oct"
},
"$:/language/Date/Short/Month/11": {
"title": "$:/language/Date/Short/Month/11",
"text": "Nov"
},
"$:/language/Date/Short/Month/12": {
"title": "$:/language/Date/Short/Month/12",
"text": "Dec"
},
"$:/language/RelativeDate/Future/Days": {
"title": "$:/language/RelativeDate/Future/Days",
"text": "<<period>> days from now"
},
"$:/language/RelativeDate/Future/Hours": {
"title": "$:/language/RelativeDate/Future/Hours",
"text": "<<period>> hours from now"
},
"$:/language/RelativeDate/Future/Minutes": {
"title": "$:/language/RelativeDate/Future/Minutes",
"text": "<<period>> minutes from now"
},
"$:/language/RelativeDate/Future/Months": {
"title": "$:/language/RelativeDate/Future/Months",
"text": "<<period>> months from now"
},
"$:/language/RelativeDate/Future/Second": {
"title": "$:/language/RelativeDate/Future/Second",
"text": "1 second from now"
},
"$:/language/RelativeDate/Future/Seconds": {
"title": "$:/language/RelativeDate/Future/Seconds",
"text": "<<period>> seconds from now"
},
"$:/language/RelativeDate/Future/Years": {
"title": "$:/language/RelativeDate/Future/Years",
"text": "<<period>> years from now"
},
"$:/language/RelativeDate/Past/Days": {
"title": "$:/language/RelativeDate/Past/Days",
"text": "<<period>> days ago"
},
"$:/language/RelativeDate/Past/Hours": {
"title": "$:/language/RelativeDate/Past/Hours",
"text": "<<period>> hours ago"
},
"$:/language/RelativeDate/Past/Minutes": {
"title": "$:/language/RelativeDate/Past/Minutes",
"text": "<<period>> minutes ago"
},
"$:/language/RelativeDate/Past/Months": {
"title": "$:/language/RelativeDate/Past/Months",
"text": "<<period>> months ago"
},
"$:/language/RelativeDate/Past/Second": {
"title": "$:/language/RelativeDate/Past/Second",
"text": "1 second ago"
},
"$:/language/RelativeDate/Past/Seconds": {
"title": "$:/language/RelativeDate/Past/Seconds",
"text": "<<period>> seconds ago"
},
"$:/language/RelativeDate/Past/Years": {
"title": "$:/language/RelativeDate/Past/Years",
"text": "<<period>> years ago"
},
"$:/language/Docs/ModuleTypes/allfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/allfilteroperator",
"text": "A sub-operator for the ''all'' filter operator."
},
"$:/language/Docs/ModuleTypes/animation": {
"title": "$:/language/Docs/ModuleTypes/animation",
"text": "Animations that may be used with the RevealWidget."
},
"$:/language/Docs/ModuleTypes/bitmapeditoroperation": {
"title": "$:/language/Docs/ModuleTypes/bitmapeditoroperation",
"text": "A bitmap editor toolbar operation."
},
"$:/language/Docs/ModuleTypes/command": {
"title": "$:/language/Docs/ModuleTypes/command",
"text": "Commands that can be executed under Node.js."
},
"$:/language/Docs/ModuleTypes/config": {
"title": "$:/language/Docs/ModuleTypes/config",
"text": "Data to be inserted into `$tw.config`."
},
"$:/language/Docs/ModuleTypes/filteroperator": {
"title": "$:/language/Docs/ModuleTypes/filteroperator",
"text": "Individual filter operator methods."
},
"$:/language/Docs/ModuleTypes/global": {
"title": "$:/language/Docs/ModuleTypes/global",
"text": "Global data to be inserted into `$tw`."
},
"$:/language/Docs/ModuleTypes/info": {
"title": "$:/language/Docs/ModuleTypes/info",
"text": "Publishes system information via the [[$:/temp/info-plugin]] pseudo-plugin."
},
"$:/language/Docs/ModuleTypes/isfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/isfilteroperator",
"text": "Operands for the ''is'' filter operator."
},
"$:/language/Docs/ModuleTypes/library": {
"title": "$:/language/Docs/ModuleTypes/library",
"text": "Generic module type for general purpose JavaScript modules."
},
"$:/language/Docs/ModuleTypes/macro": {
"title": "$:/language/Docs/ModuleTypes/macro",
"text": "JavaScript macro definitions."
},
"$:/language/Docs/ModuleTypes/parser": {
"title": "$:/language/Docs/ModuleTypes/parser",
"text": "Parsers for different content types."
},
"$:/language/Docs/ModuleTypes/saver": {
"title": "$:/language/Docs/ModuleTypes/saver",
"text": "Savers handle different methods for saving files from the browser."
},
"$:/language/Docs/ModuleTypes/startup": {
"title": "$:/language/Docs/ModuleTypes/startup",
"text": "Startup functions."
},
"$:/language/Docs/ModuleTypes/storyview": {
"title": "$:/language/Docs/ModuleTypes/storyview",
"text": "Story views customise the animation and behaviour of list widgets."
},
"$:/language/Docs/ModuleTypes/texteditoroperation": {
"title": "$:/language/Docs/ModuleTypes/texteditoroperation",
"text": "A text editor toolbar operation."
},
"$:/language/Docs/ModuleTypes/tiddlerdeserializer": {
"title": "$:/language/Docs/ModuleTypes/tiddlerdeserializer",
"text": "Converts different content types into tiddlers."
},
"$:/language/Docs/ModuleTypes/tiddlerfield": {
"title": "$:/language/Docs/ModuleTypes/tiddlerfield",
"text": "Defines the behaviour of an individual tiddler field."
},
"$:/language/Docs/ModuleTypes/tiddlermethod": {
"title": "$:/language/Docs/ModuleTypes/tiddlermethod",
"text": "Adds methods to the `$tw.Tiddler` prototype."
},
"$:/language/Docs/ModuleTypes/upgrader": {
"title": "$:/language/Docs/ModuleTypes/upgrader",
"text": "Applies upgrade processing to tiddlers during an upgrade/import."
},
"$:/language/Docs/ModuleTypes/utils": {
"title": "$:/language/Docs/ModuleTypes/utils",
"text": "Adds methods to `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/utils-node": {
"title": "$:/language/Docs/ModuleTypes/utils-node",
"text": "Adds Node.js-specific methods to `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/widget": {
"title": "$:/language/Docs/ModuleTypes/widget",
"text": "Widgets encapsulate DOM rendering and refreshing."
},
"$:/language/Docs/ModuleTypes/wikimethod": {
"title": "$:/language/Docs/ModuleTypes/wikimethod",
"text": "Adds methods to `$tw.Wiki`."
},
"$:/language/Docs/ModuleTypes/wikirule": {
"title": "$:/language/Docs/ModuleTypes/wikirule",
"text": "Individual parser rules for the main WikiText parser."
},
"$:/language/Docs/PaletteColours/alert-background": {
"title": "$:/language/Docs/PaletteColours/alert-background",
"text": "Alert background"
},
"$:/language/Docs/PaletteColours/alert-border": {
"title": "$:/language/Docs/PaletteColours/alert-border",
"text": "Alert border"
},
"$:/language/Docs/PaletteColours/alert-highlight": {
"title": "$:/language/Docs/PaletteColours/alert-highlight",
"text": "Alert highlight"
},
"$:/language/Docs/PaletteColours/alert-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/alert-muted-foreground",
"text": "Alert muted foreground"
},
"$:/language/Docs/PaletteColours/background": {
"title": "$:/language/Docs/PaletteColours/background",
"text": "General background"
},
"$:/language/Docs/PaletteColours/blockquote-bar": {
"title": "$:/language/Docs/PaletteColours/blockquote-bar",
"text": "Blockquote bar"
},
"$:/language/Docs/PaletteColours/button-background": {
"title": "$:/language/Docs/PaletteColours/button-background",
"text": "Default button background"
},
"$:/language/Docs/PaletteColours/button-border": {
"title": "$:/language/Docs/PaletteColours/button-border",
"text": "Default button border"
},
"$:/language/Docs/PaletteColours/button-foreground": {
"title": "$:/language/Docs/PaletteColours/button-foreground",
"text": "Default button foreground"
},
"$:/language/Docs/PaletteColours/dirty-indicator": {
"title": "$:/language/Docs/PaletteColours/dirty-indicator",
"text": "Unsaved changes indicator"
},
"$:/language/Docs/PaletteColours/code-background": {
"title": "$:/language/Docs/PaletteColours/code-background",
"text": "Code background"
},
"$:/language/Docs/PaletteColours/code-border": {
"title": "$:/language/Docs/PaletteColours/code-border",
"text": "Code border"
},
"$:/language/Docs/PaletteColours/code-foreground": {
"title": "$:/language/Docs/PaletteColours/code-foreground",
"text": "Code foreground"
},
"$:/language/Docs/PaletteColours/download-background": {
"title": "$:/language/Docs/PaletteColours/download-background",
"text": "Download button background"
},
"$:/language/Docs/PaletteColours/download-foreground": {
"title": "$:/language/Docs/PaletteColours/download-foreground",
"text": "Download button foreground"
},
"$:/language/Docs/PaletteColours/dragger-background": {
"title": "$:/language/Docs/PaletteColours/dragger-background",
"text": "Dragger background"
},
"$:/language/Docs/PaletteColours/dragger-foreground": {
"title": "$:/language/Docs/PaletteColours/dragger-foreground",
"text": "Dragger foreground"
},
"$:/language/Docs/PaletteColours/dropdown-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-background",
"text": "Dropdown background"
},
"$:/language/Docs/PaletteColours/dropdown-border": {
"title": "$:/language/Docs/PaletteColours/dropdown-border",
"text": "Dropdown border"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background-selected",
"text": "Dropdown tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background",
"text": "Dropdown tab background"
},
"$:/language/Docs/PaletteColours/dropzone-background": {
"title": "$:/language/Docs/PaletteColours/dropzone-background",
"text": "Dropzone background"
},
"$:/language/Docs/PaletteColours/external-link-background-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-background-hover",
"text": "External link background hover"
},
"$:/language/Docs/PaletteColours/external-link-background-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-background-visited",
"text": "External link background visited"
},
"$:/language/Docs/PaletteColours/external-link-background": {
"title": "$:/language/Docs/PaletteColours/external-link-background",
"text": "External link background"
},
"$:/language/Docs/PaletteColours/external-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-hover",
"text": "External link foreground hover"
},
"$:/language/Docs/PaletteColours/external-link-foreground-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-visited",
"text": "External link foreground visited"
},
"$:/language/Docs/PaletteColours/external-link-foreground": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground",
"text": "External link foreground"
},
"$:/language/Docs/PaletteColours/foreground": {
"title": "$:/language/Docs/PaletteColours/foreground",
"text": "General foreground"
},
"$:/language/Docs/PaletteColours/message-background": {
"title": "$:/language/Docs/PaletteColours/message-background",
"text": "Message box background"
},
"$:/language/Docs/PaletteColours/message-border": {
"title": "$:/language/Docs/PaletteColours/message-border",
"text": "Message box border"
},
"$:/language/Docs/PaletteColours/message-foreground": {
"title": "$:/language/Docs/PaletteColours/message-foreground",
"text": "Message box foreground"
},
"$:/language/Docs/PaletteColours/modal-backdrop": {
"title": "$:/language/Docs/PaletteColours/modal-backdrop",
"text": "Modal backdrop"
},
"$:/language/Docs/PaletteColours/modal-background": {
"title": "$:/language/Docs/PaletteColours/modal-background",
"text": "Modal background"
},
"$:/language/Docs/PaletteColours/modal-border": {
"title": "$:/language/Docs/PaletteColours/modal-border",
"text": "Modal border"
},
"$:/language/Docs/PaletteColours/modal-footer-background": {
"title": "$:/language/Docs/PaletteColours/modal-footer-background",
"text": "Modal footer background"
},
"$:/language/Docs/PaletteColours/modal-footer-border": {
"title": "$:/language/Docs/PaletteColours/modal-footer-border",
"text": "Modal footer border"
},
"$:/language/Docs/PaletteColours/modal-header-border": {
"title": "$:/language/Docs/PaletteColours/modal-header-border",
"text": "Modal header border"
},
"$:/language/Docs/PaletteColours/muted-foreground": {
"title": "$:/language/Docs/PaletteColours/muted-foreground",
"text": "General muted foreground"
},
"$:/language/Docs/PaletteColours/notification-background": {
"title": "$:/language/Docs/PaletteColours/notification-background",
"text": "Notification background"
},
"$:/language/Docs/PaletteColours/notification-border": {
"title": "$:/language/Docs/PaletteColours/notification-border",
"text": "Notification border"
},
"$:/language/Docs/PaletteColours/page-background": {
"title": "$:/language/Docs/PaletteColours/page-background",
"text": "Page background"
},
"$:/language/Docs/PaletteColours/pre-background": {
"title": "$:/language/Docs/PaletteColours/pre-background",
"text": "Preformatted code background"
},
"$:/language/Docs/PaletteColours/pre-border": {
"title": "$:/language/Docs/PaletteColours/pre-border",
"text": "Preformatted code border"
},
"$:/language/Docs/PaletteColours/primary": {
"title": "$:/language/Docs/PaletteColours/primary",
"text": "General primary"
},
"$:/language/Docs/PaletteColours/sidebar-button-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-button-foreground",
"text": "Sidebar button foreground"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover",
"text": "Sidebar controls foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground",
"text": "Sidebar controls foreground"
},
"$:/language/Docs/PaletteColours/sidebar-foreground-shadow": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground-shadow",
"text": "Sidebar foreground shadow"
},
"$:/language/Docs/PaletteColours/sidebar-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground",
"text": "Sidebar foreground"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover",
"text": "Sidebar muted foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground",
"text": "Sidebar muted foreground"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background-selected",
"text": "Sidebar tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background",
"text": "Sidebar tab background"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border-selected",
"text": "Sidebar tab border for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border",
"text": "Sidebar tab border"
},
"$:/language/Docs/PaletteColours/sidebar-tab-divider": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-divider",
"text": "Sidebar tab divider"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected",
"text": "Sidebar tab foreground for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground",
"text": "Sidebar tab foreground"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover",
"text": "Sidebar tiddler link foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground",
"text": "Sidebar tiddler link foreground"
},
"$:/language/Docs/PaletteColours/site-title-foreground": {
"title": "$:/language/Docs/PaletteColours/site-title-foreground",
"text": "Site title foreground"
},
"$:/language/Docs/PaletteColours/static-alert-foreground": {
"title": "$:/language/Docs/PaletteColours/static-alert-foreground",
"text": "Static alert foreground"
},
"$:/language/Docs/PaletteColours/tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/tab-background-selected",
"text": "Tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-background": {
"title": "$:/language/Docs/PaletteColours/tab-background",
"text": "Tab background"
},
"$:/language/Docs/PaletteColours/tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/tab-border-selected",
"text": "Tab border for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-border": {
"title": "$:/language/Docs/PaletteColours/tab-border",
"text": "Tab border"
},
"$:/language/Docs/PaletteColours/tab-divider": {
"title": "$:/language/Docs/PaletteColours/tab-divider",
"text": "Tab divider"
},
"$:/language/Docs/PaletteColours/tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tab-foreground-selected",
"text": "Tab foreground for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-foreground": {
"title": "$:/language/Docs/PaletteColours/tab-foreground",
"text": "Tab foreground"
},
"$:/language/Docs/PaletteColours/table-border": {
"title": "$:/language/Docs/PaletteColours/table-border",
"text": "Table border"
},
"$:/language/Docs/PaletteColours/table-footer-background": {
"title": "$:/language/Docs/PaletteColours/table-footer-background",
"text": "Table footer background"
},
"$:/language/Docs/PaletteColours/table-header-background": {
"title": "$:/language/Docs/PaletteColours/table-header-background",
"text": "Table header background"
},
"$:/language/Docs/PaletteColours/tag-background": {
"title": "$:/language/Docs/PaletteColours/tag-background",
"text": "Tag background"
},
"$:/language/Docs/PaletteColours/tag-foreground": {
"title": "$:/language/Docs/PaletteColours/tag-foreground",
"text": "Tag foreground"
},
"$:/language/Docs/PaletteColours/tiddler-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-background",
"text": "Tiddler background"
},
"$:/language/Docs/PaletteColours/tiddler-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-border",
"text": "Tiddler border"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover",
"text": "Tiddler controls foreground hover"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected",
"text": "Tiddler controls foreground for selected controls"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground",
"text": "Tiddler controls foreground"
},
"$:/language/Docs/PaletteColours/tiddler-editor-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-background",
"text": "Tiddler editor background"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border-image": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border-image",
"text": "Tiddler editor border image"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border",
"text": "Tiddler editor border"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-even": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-even",
"text": "Tiddler editor background for even fields"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-odd": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-odd",
"text": "Tiddler editor background for odd fields"
},
"$:/language/Docs/PaletteColours/tiddler-info-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-background",
"text": "Tiddler info panel background"
},
"$:/language/Docs/PaletteColours/tiddler-info-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-border",
"text": "Tiddler info panel border"
},
"$:/language/Docs/PaletteColours/tiddler-info-tab-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-tab-background",
"text": "Tiddler info panel tab background"
},
"$:/language/Docs/PaletteColours/tiddler-link-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-background",
"text": "Tiddler link background"
},
"$:/language/Docs/PaletteColours/tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-foreground",
"text": "Tiddler link foreground"
},
"$:/language/Docs/PaletteColours/tiddler-subtitle-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-subtitle-foreground",
"text": "Tiddler subtitle foreground"
},
"$:/language/Docs/PaletteColours/tiddler-title-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-title-foreground",
"text": "Tiddler title foreground"
},
"$:/language/Docs/PaletteColours/toolbar-new-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-new-button",
"text": "Toolbar 'new tiddler' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-options-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-options-button",
"text": "Toolbar 'options' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-save-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-save-button",
"text": "Toolbar 'save' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-info-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-info-button",
"text": "Toolbar 'info' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-edit-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-edit-button",
"text": "Toolbar 'edit' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-close-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-close-button",
"text": "Toolbar 'close' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-delete-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-delete-button",
"text": "Toolbar 'delete' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-cancel-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-cancel-button",
"text": "Toolbar 'cancel' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-done-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-done-button",
"text": "Toolbar 'done' button foreground"
},
"$:/language/Docs/PaletteColours/untagged-background": {
"title": "$:/language/Docs/PaletteColours/untagged-background",
"text": "Untagged pill background"
},
"$:/language/Docs/PaletteColours/very-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/very-muted-foreground",
"text": "Very muted foreground"
},
"$:/language/EditTemplate/Body/External/Hint": {
"title": "$:/language/EditTemplate/Body/External/Hint",
"text": "This tiddler shows content stored outside of the main TiddlyWiki file. You can edit the tags and fields but cannot directly edit the content itself"
},
"$:/language/EditTemplate/Body/Placeholder": {
"title": "$:/language/EditTemplate/Body/Placeholder",
"text": "Type the text for this tiddler"
},
"$:/language/EditTemplate/Body/Preview/Type/Output": {
"title": "$:/language/EditTemplate/Body/Preview/Type/Output",
"text": "output"
},
"$:/language/EditTemplate/Field/Remove/Caption": {
"title": "$:/language/EditTemplate/Field/Remove/Caption",
"text": "remove field"
},
"$:/language/EditTemplate/Field/Remove/Hint": {
"title": "$:/language/EditTemplate/Field/Remove/Hint",
"text": "Remove field"
},
"$:/language/EditTemplate/Field/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Field/Dropdown/Caption",
"text": "field list"
},
"$:/language/EditTemplate/Field/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Field/Dropdown/Hint",
"text": "Show field list"
},
"$:/language/EditTemplate/Fields/Add/Button": {
"title": "$:/language/EditTemplate/Fields/Add/Button",
"text": "add"
},
"$:/language/EditTemplate/Fields/Add/Name/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Name/Placeholder",
"text": "field name"
},
"$:/language/EditTemplate/Fields/Add/Prompt": {
"title": "$:/language/EditTemplate/Fields/Add/Prompt",
"text": "Add a new field:"
},
"$:/language/EditTemplate/Fields/Add/Value/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Value/Placeholder",
"text": "field value"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/System": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/System",
"text": "System fields"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/User": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/User",
"text": "User fields"
},
"$:/language/EditTemplate/Shadow/Warning": {
"title": "$:/language/EditTemplate/Shadow/Warning",
"text": "This is a shadow tiddler. Any changes you make will override the default version from the plugin <<pluginLink>>"
},
"$:/language/EditTemplate/Shadow/OverriddenWarning": {
"title": "$:/language/EditTemplate/Shadow/OverriddenWarning",
"text": "This is a modified shadow tiddler. You can revert to the default version in the plugin <<pluginLink>> by deleting this tiddler"
},
"$:/language/EditTemplate/Tags/Add/Button": {
"title": "$:/language/EditTemplate/Tags/Add/Button",
"text": "add"
},
"$:/language/EditTemplate/Tags/Add/Placeholder": {
"title": "$:/language/EditTemplate/Tags/Add/Placeholder",
"text": "tag name"
},
"$:/language/EditTemplate/Tags/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Caption",
"text": "tag list"
},
"$:/language/EditTemplate/Tags/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Hint",
"text": "Show tag list"
},
"$:/language/EditTemplate/Title/BadCharacterWarning": {
"title": "$:/language/EditTemplate/Title/BadCharacterWarning",
"text": "Warning: avoid using any of the characters <<bad-chars>> in tiddler titles"
},
"$:/language/EditTemplate/Title/Exists/Prompt": {
"title": "$:/language/EditTemplate/Title/Exists/Prompt",
"text": "Target tiddler already exists"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Update ''<$text text=<<fromTitle>>/>'' to ''<$text text=<<toTitle>>/>'' in the //tags// and //list// fields of other tiddlers"
},
"$:/language/EditTemplate/Type/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Type/Dropdown/Caption",
"text": "content type list"
},
"$:/language/EditTemplate/Type/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Type/Dropdown/Hint",
"text": "Show content type list"
},
"$:/language/EditTemplate/Type/Delete/Caption": {
"title": "$:/language/EditTemplate/Type/Delete/Caption",
"text": "delete content type"
},
"$:/language/EditTemplate/Type/Delete/Hint": {
"title": "$:/language/EditTemplate/Type/Delete/Hint",
"text": "Delete content type"
},
"$:/language/EditTemplate/Type/Placeholder": {
"title": "$:/language/EditTemplate/Type/Placeholder",
"text": "content type"
},
"$:/language/EditTemplate/Type/Prompt": {
"title": "$:/language/EditTemplate/Type/Prompt",
"text": "Type:"
},
"$:/language/Exporters/StaticRiver": {
"title": "$:/language/Exporters/StaticRiver",
"text": "Static HTML"
},
"$:/language/Exporters/JsonFile": {
"title": "$:/language/Exporters/JsonFile",
"text": "JSON file"
},
"$:/language/Exporters/CsvFile": {
"title": "$:/language/Exporters/CsvFile",
"text": "CSV file"
},
"$:/language/Exporters/TidFile": {
"title": "$:/language/Exporters/TidFile",
"text": "\".tid\" file"
},
"$:/language/Docs/Fields/_canonical_uri": {
"title": "$:/language/Docs/Fields/_canonical_uri",
"text": "The full URI of an external image tiddler"
},
"$:/language/Docs/Fields/bag": {
"title": "$:/language/Docs/Fields/bag",
"text": "The name of the bag from which a tiddler came"
},
"$:/language/Docs/Fields/caption": {
"title": "$:/language/Docs/Fields/caption",
"text": "The text to be displayed on a tab or button"
},
"$:/language/Docs/Fields/color": {
"title": "$:/language/Docs/Fields/color",
"text": "The CSS color value associated with a tiddler"
},
"$:/language/Docs/Fields/component": {
"title": "$:/language/Docs/Fields/component",
"text": "The name of the component responsible for an [[alert tiddler|AlertMechanism]]"
},
"$:/language/Docs/Fields/current-tiddler": {
"title": "$:/language/Docs/Fields/current-tiddler",
"text": "Used to cache the top tiddler in a [[history list|HistoryMechanism]]"
},
"$:/language/Docs/Fields/created": {
"title": "$:/language/Docs/Fields/created",
"text": "The date a tiddler was created"
},
"$:/language/Docs/Fields/creator": {
"title": "$:/language/Docs/Fields/creator",
"text": "The name of the person who created a tiddler"
},
"$:/language/Docs/Fields/dependents": {
"title": "$:/language/Docs/Fields/dependents",
"text": "For a plugin, lists the dependent plugin titles"
},
"$:/language/Docs/Fields/description": {
"title": "$:/language/Docs/Fields/description",
"text": "The descriptive text for a plugin, or a modal dialogue"
},
"$:/language/Docs/Fields/draft.of": {
"title": "$:/language/Docs/Fields/draft.of",
"text": "For draft tiddlers, contains the title of the tiddler of which this is a draft"
},
"$:/language/Docs/Fields/draft.title": {
"title": "$:/language/Docs/Fields/draft.title",
"text": "For draft tiddlers, contains the proposed new title of the tiddler"
},
"$:/language/Docs/Fields/footer": {
"title": "$:/language/Docs/Fields/footer",
"text": "The footer text for a wizard"
},
"$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against": {
"title": "$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against",
"text": "A temporary storage field used in [[$:/core/templates/static.content]]"
},
"$:/language/Docs/Fields/icon": {
"title": "$:/language/Docs/Fields/icon",
"text": "The title of the tiddler containing the icon associated with a tiddler"
},
"$:/language/Docs/Fields/library": {
"title": "$:/language/Docs/Fields/library",
"text": "If set to \"yes\" indicates that a tiddler should be saved as a JavaScript library"
},
"$:/language/Docs/Fields/list": {
"title": "$:/language/Docs/Fields/list",
"text": "An ordered list of tiddler titles associated with a tiddler"
},
"$:/language/Docs/Fields/list-before": {
"title": "$:/language/Docs/Fields/list-before",
"text": "If set, the title of a tiddler before which this tiddler should be added to the ordered list of tiddler titles, or at the start of the list if this field is present but empty"
},
"$:/language/Docs/Fields/list-after": {
"title": "$:/language/Docs/Fields/list-after",
"text": "If set, the title of the tiddler after which this tiddler should be added to the ordered list of tiddler titles, or at the end of the list if this field is present but empty"
},
"$:/language/Docs/Fields/modified": {
"title": "$:/language/Docs/Fields/modified",
"text": "The date and time at which a tiddler was last modified"
},
"$:/language/Docs/Fields/modifier": {
"title": "$:/language/Docs/Fields/modifier",
"text": "The tiddler title associated with the person who last modified a tiddler"
},
"$:/language/Docs/Fields/name": {
"title": "$:/language/Docs/Fields/name",
"text": "The human readable name associated with a plugin tiddler"
},
"$:/language/Docs/Fields/plugin-priority": {
"title": "$:/language/Docs/Fields/plugin-priority",
"text": "A numerical value indicating the priority of a plugin tiddler"
},
"$:/language/Docs/Fields/plugin-type": {
"title": "$:/language/Docs/Fields/plugin-type",
"text": "The type of plugin in a plugin tiddler"
},
"$:/language/Docs/Fields/revision": {
"title": "$:/language/Docs/Fields/revision",
"text": "The revision of the tiddler held at the server"
},
"$:/language/Docs/Fields/released": {
"title": "$:/language/Docs/Fields/released",
"text": "Date of a TiddlyWiki release"
},
"$:/language/Docs/Fields/source": {
"title": "$:/language/Docs/Fields/source",
"text": "The source URL associated with a tiddler"
},
"$:/language/Docs/Fields/subtitle": {
"title": "$:/language/Docs/Fields/subtitle",
"text": "The subtitle text for a wizard"
},
"$:/language/Docs/Fields/tags": {
"title": "$:/language/Docs/Fields/tags",
"text": "A list of tags associated with a tiddler"
},
"$:/language/Docs/Fields/text": {
"title": "$:/language/Docs/Fields/text",
"text": "The body text of a tiddler"
},
"$:/language/Docs/Fields/title": {
"title": "$:/language/Docs/Fields/title",
"text": "The unique name of a tiddler"
},
"$:/language/Docs/Fields/type": {
"title": "$:/language/Docs/Fields/type",
"text": "The content type of a tiddler"
},
"$:/language/Docs/Fields/version": {
"title": "$:/language/Docs/Fields/version",
"text": "Version information for a plugin"
},
"$:/language/Filters/AllTiddlers": {
"title": "$:/language/Filters/AllTiddlers",
"text": "All tiddlers except system tiddlers"
},
"$:/language/Filters/RecentSystemTiddlers": {
"title": "$:/language/Filters/RecentSystemTiddlers",
"text": "Recently modified tiddlers, including system tiddlers"
},
"$:/language/Filters/RecentTiddlers": {
"title": "$:/language/Filters/RecentTiddlers",
"text": "Recently modified tiddlers"
},
"$:/language/Filters/AllTags": {
"title": "$:/language/Filters/AllTags",
"text": "All tags except system tags"
},
"$:/language/Filters/Missing": {
"title": "$:/language/Filters/Missing",
"text": "Missing tiddlers"
},
"$:/language/Filters/Drafts": {
"title": "$:/language/Filters/Drafts",
"text": "Draft tiddlers"
},
"$:/language/Filters/Orphans": {
"title": "$:/language/Filters/Orphans",
"text": "Orphan tiddlers"
},
"$:/language/Filters/SystemTiddlers": {
"title": "$:/language/Filters/SystemTiddlers",
"text": "System tiddlers"
},
"$:/language/Filters/ShadowTiddlers": {
"title": "$:/language/Filters/ShadowTiddlers",
"text": "Shadow tiddlers"
},
"$:/language/Filters/OverriddenShadowTiddlers": {
"title": "$:/language/Filters/OverriddenShadowTiddlers",
"text": "Overridden shadow tiddlers"
},
"$:/language/Filters/SystemTags": {
"title": "$:/language/Filters/SystemTags",
"text": "System tags"
},
"$:/language/Filters/StoryList": {
"title": "$:/language/Filters/StoryList",
"text": "Tiddlers in the story river, excluding <$text text=\"$:/AdvancedSearch\"/>"
},
"$:/language/Filters/TypedTiddlers": {
"title": "$:/language/Filters/TypedTiddlers",
"text": "Non wiki-text tiddlers"
},
"GettingStarted": {
"title": "GettingStarted",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\nWelcome to ~TiddlyWiki and the ~TiddlyWiki community\n\nBefore you start storing important information in ~TiddlyWiki it is vital to make sure that you can reliably save changes. See https://tiddlywiki.com/#GettingStarted for details\n\n!! Set up this ~TiddlyWiki\n\n<div class=\"tc-control-panel\">\n\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n</div>\n\nSee the [[control panel|$:/ControlPanel]] for more options.\n"
},
"$:/language/Help/build": {
"title": "$:/language/Help/build",
"description": "Automatically run configured commands",
"text": "Build the specified build targets for the current wiki. If no build targets are specified then all available targets will be built.\n\n```\n--build <target> [<target> ...]\n```\n\nBuild targets are defined in the `tiddlywiki.info` file of a wiki folder.\n\n"
},
"$:/language/Help/clearpassword": {
"title": "$:/language/Help/clearpassword",
"description": "Clear a password for subsequent crypto operations",
"text": "Clear the password for subsequent crypto operations\n\n```\n--clearpassword\n```\n"
},
"$:/language/Help/default": {
"title": "$:/language/Help/default",
"text": "\\define commandTitle()\n$:/language/Help/$(command)$\n\\end\n```\nusage: tiddlywiki [<wikifolder>] [--<command> [<args>...]...]\n```\n\nAvailable commands:\n\n<ul>\n<$list filter=\"[commands[]sort[title]]\" variable=\"command\">\n<li><$link to=<<commandTitle>>><$macrocall $name=\"command\" $type=\"text/plain\" $output=\"text/plain\"/></$link>: <$transclude tiddler=<<commandTitle>> field=\"description\"/></li>\n</$list>\n</ul>\n\nTo get detailed help on a command:\n\n```\ntiddlywiki --help <command>\n```\n"
},
"$:/language/Help/editions": {
"title": "$:/language/Help/editions",
"description": "Lists the available editions of TiddlyWiki",
"text": "Lists the names and descriptions of the available editions. You can create a new wiki of a specified edition with the `--init` command.\n\n```\n--editions\n```\n"
},
"$:/language/Help/fetch": {
"title": "$:/language/Help/fetch",
"description": "Fetch tiddlers from wiki by URL",
"text": "Fetch one or more files over HTTP/HTTPS, and import the tiddlers matching a filter, optionally transforming the incoming titles.\n\n```\n--fetch file <url> <import-filter> <transform-filter>\n--fetch files <url-filter> <import-filter> <transform-filter>\n--fetch raw-file <url> <transform-filter>\n--fetch raw-files <url-filter> <transform-filter>\n```\n\nThe \"file\" and \"files\" variants fetch the specified files and attempt to import the tiddlers within them (the same processing as if the files were dragged into the browser window). The \"raw-file\" and \"raw-files\" variants fetch the specified files and then store the raw file data in tiddlers, without applying the import logic.\n\nWith the \"file\" and \"raw-file\" variants only a single file is fetched and the first parameter is the URL of the file to read.\n\nWith the \"files\" and \"raw-files\" variants, multiple files are fetched and the first parameter is a filter yielding a list of URLs of the files to read. For example, given a set of tiddlers tagged \"remote-server\" that have a field \"url\" the filter `[tag[remote-server]get[url]]` will retrieve all the available URLs.\n\nFor the \"file\" and \"files\" variants, the `<import-filter>` parameter specifies a filter determining which tiddlers are imported. It defaults to `[all[tiddlers]]` if not provided.\n\nFor all variants, the `<transform-filter>` parameter specifies an optional filter that transforms the titles of the imported tiddlers. For example, `[addprefix[$:/myimports/]]` would add the prefix `$:/myimports/` to each title.\n\nPreceding the `--fetch` command with `--verbose` will output progress information during the import.\n\nNote that TiddlyWiki will not fetch an older version of an already loaded plugin.\n\nThe following example retrieves all the non-system tiddlers from https://tiddlywiki.com and saves them to a JSON file:\n\n```\ntiddlywiki --verbose --fetch file \"https://tiddlywiki.com/\" \"[!is[system]]\" \"\" --rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[!is[system]]\"\n```\n\nThe following example retrieves the \"favicon\" file from tiddlywiki.com and saves it in a file called \"output.ico\". Note that the intermediate tiddler \"Icon Tiddler\" is quoted in the \"--fetch\" command because it is being used as a transformation filter to replace the default title, while there are no quotes for the \"--savetiddler\" command because it is being used directly as a title.\n\n```\ntiddlywiki --verbose --fetch raw-file \"https://tiddlywiki.com/favicon.ico\" \"[[Icon Tiddler]]\" --savetiddler \"Icon Tiddler\" output.ico\n```\n\n"
},
"$:/language/Help/help": {
"title": "$:/language/Help/help",
"description": "Display help for TiddlyWiki commands",
"text": "Displays help text for a command:\n\n```\n--help [<command>]\n```\n\nIf the command name is omitted then a list of available commands is displayed.\n"
},
"$:/language/Help/import": {
"title": "$:/language/Help/import",
"description": "Import tiddlers from a file",
"text": "Import tiddlers from TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` or other local files. The deserializer must be explicitly specified, unlike the `load` command which infers the deserializer from the file extension.\n\n```\n--import <filepath> <deserializer> [<title>] [<encoding>]\n```\n\nThe deserializers in the core include:\n\n* application/javascript\n* application/json\n* application/x-tiddler\n* application/x-tiddler-html-div\n* application/x-tiddlers\n* text/html\n* text/plain\n\nThe title of the imported tiddler defaults to the filename.\n\nThe encoding defaults to \"utf8\", but can be \"base64\" for importing binary files.\n\nNote that TiddlyWiki will not import an older version of an already loaded plugin.\n"
},
"$:/language/Help/init": {
"title": "$:/language/Help/init",
"description": "Initialise a new wiki folder",
"text": "Initialise an empty [[WikiFolder|WikiFolders]] with a copy of the specified edition.\n\n```\n--init <edition> [<edition> ...]\n```\n\nFor example:\n\n```\ntiddlywiki ./MyWikiFolder --init empty\n```\n\nNote:\n\n* The wiki folder directory will be created if necessary\n* The \"edition\" defaults to ''empty''\n* The init command will fail if the wiki folder is not empty\n* The init command removes any `includeWikis` definitions in the edition's `tiddlywiki.info` file\n* When multiple editions are specified, editions initialised later will overwrite any files shared with earlier editions (so, the final `tiddlywiki.info` file will be copied from the last edition)\n* `--editions` returns a list of available editions\n"
},
"$:/language/Help/load": {
"title": "$:/language/Help/load",
"description": "Load tiddlers from a file",
"text": "Load tiddlers from TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` or other local files. The processing applied to incoming files is determined by the file extension. Use the alternative `import` command if you need to specify the deserializer and encoding explicitly.\n\n```\n--load <filepath>\n--load <dirpath>\n```\n\nTo load tiddlers from an encrypted TiddlyWiki file you should first specify the password with the PasswordCommand. For example:\n\n```\ntiddlywiki ./MyWiki --password pa55w0rd --load my_encrypted_wiki.html\n```\n\nNote that TiddlyWiki will not load an older version of an already loaded plugin.\n"
},
"$:/language/Help/makelibrary": {
"title": "$:/language/Help/makelibrary",
"description": "Construct library plugin required by upgrade process",
"text": "Constructs the `$:/UpgradeLibrary` tiddler for the upgrade process.\n\nThe upgrade library is formatted as an ordinary plugin tiddler with the plugin type `library`. It contains a copy of each of the plugins, themes and language packs available within the TiddlyWiki5 repository.\n\nThis command is intended for internal use; it is only relevant to users constructing a custom upgrade procedure.\n\n```\n--makelibrary <title>\n```\n\nThe title argument defaults to `$:/UpgradeLibrary`.\n"
},
"$:/language/Help/notfound": {
"title": "$:/language/Help/notfound",
"text": "No such help item"
},
"$:/language/Help/output": {
"title": "$:/language/Help/output",
"description": "Set the base output directory for subsequent commands",
"text": "Sets the base output directory for subsequent commands. The default output directory is the `output` subdirectory of the edition directory.\n\n```\n--output <pathname>\n```\n\nIf the specified pathname is relative then it is resolved relative to the current working directory. For example `--output .` sets the output directory to the current working directory.\n\n"
},
"$:/language/Help/password": {
"title": "$:/language/Help/password",
"description": "Set a password for subsequent crypto operations",
"text": "Set a password for subsequent crypto operations\n\n```\n--password <password>\n```\n\n''Note'': This should not be used for serving TiddlyWiki with password protection. Instead, see the password option under the [[ServerCommand]].\n"
},
"$:/language/Help/render": {
"title": "$:/language/Help/render",
"description": "Renders individual tiddlers to files",
"text": "Render individual tiddlers identified by a filter and save the results to the specified files.\n\nOptionally, the title of a template tiddler can be specified. In this case, instead of directly rendering each tiddler, the template tiddler is rendered with the \"currentTiddler\" variable set to the title of the tiddler that is being rendered.\n\nA name and value for an additional variable may optionally also be specified.\n\n```\n--render <tiddler-filter> [<filename-filter>] [<render-type>] [<template>] [<name>] [<value>]\n```\n\n* ''tiddler-filter'': A filter identifying the tiddler(s) to be rendered\n* ''filename-filter'': Optional filter transforming tiddler titles into pathnames. If omitted, defaults to `[is[tiddler]addsuffix[.html]]`, which uses the unchanged tiddler title as the filename\n* ''template'': Optional template through which each tiddler is rendered\n* ''render-type'': Optional render type: `text/html` (the default) returns the full HTML text and `text/plain` just returns the text content (ie it ignores HTML tags and other unprintable material)\n* ''name'': Name of optional variable\n* ''value'': Value of optional variable\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nNotes:\n\n* The output directory is not cleared of any existing files\n* Any missing directories in the path to the filename are automatically created.\n* When referring to a tiddler with spaces in its title, take care to use both the quotes required by your shell and also TiddlyWiki's double square brackets : `--render \"[[Motovun Jack.jpg]]\"`\n* The filename filter is evaluated with the selected items being set to the title of the tiddler currently being rendered, allowing the title to be used as the basis for computing the filename. For example `[encodeuricomponent[]addprefix[static/]]` applies URI encoding to each title, and then adds the prefix `static/`\n* The `--render` command is a more flexible replacement for both the `--rendertiddler` and `--rendertiddlers` commands, which are deprecated\n\nExamples:\n\n* `--render \"[!is[system]]\" \"[encodeuricomponent[]addprefix[tiddlers/]addsuffix[.html]]\"` -- renders all non-system tiddlers as files in the subdirectory \"tiddlers\" with URL-encoded titles and the extension HTML\n\n"
},
"$:/language/Help/rendertiddler": {
"title": "$:/language/Help/rendertiddler",
"description": "Render an individual tiddler as a specified ContentType",
"text": "(Note: The `--rendertiddler` command is deprecated in favour of the new, more flexible `--render` command)\n\nRender an individual tiddler as a specified ContentType, defaulting to `text/html` and save it to the specified filename.\n\nOptionally the title of a template tiddler can be specified, in which case the template tiddler is rendered with the \"currentTiddler\" variable set to the tiddler that is being rendered (the first parameter value).\n\nA name and value for an additional variable may optionally also be specified.\n\n```\n--rendertiddler <title> <filename> [<type>] [<template>] [<name>] [<value>]\n```\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny missing directories in the path to the filename are automatically created.\n\nFor example, the following command saves all tiddlers matching the filter `[tag[done]]` to a JSON file titled `output.json` by employing the core template `$:/core/templates/exporters/JsonFile`.\n\n```\n--rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[tag[done]]\"\n```\n"
},
"$:/language/Help/rendertiddlers": {
"title": "$:/language/Help/rendertiddlers",
"description": "Render tiddlers matching a filter to a specified ContentType",
"text": "(Note: The `--rendertiddlers` command is deprecated in favour of the new, more flexible `--render` command)\n\nRender a set of tiddlers matching a filter to separate files of a specified ContentType (defaults to `text/html`) and extension (defaults to `.html`).\n\n```\n--rendertiddlers <filter> <template> <pathname> [<type>] [<extension>] [\"noclean\"]\n```\n\nFor example:\n\n```\n--rendertiddlers [!is[system]] $:/core/templates/static.tiddler.html ./static text/plain\n```\n\nBy default, the pathname is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny files in the target directory are deleted unless the ''noclean'' flag is specified. The target directory is recursively created if it is missing.\n"
},
"$:/language/Help/save": {
"title": "$:/language/Help/save",
"description": "Saves individual raw tiddlers to files",
"text": "Saves individual tiddlers identified by a filter in their raw text or binary format to the specified files.\n\n```\n--save <tiddler-filter> <filename-filter>\n```\n\n* ''tiddler-filter'': A filter identifying the tiddler(s) to be saved\n* ''filename-filter'': Optional filter transforming tiddler titles into pathnames. If omitted, defaults to `[is[tiddler]]`, which uses the unchanged tiddler title as the filename\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nNotes:\n\n* The output directory is not cleared of any existing files\n* Any missing directories in the path to the filename are automatically created.\n* When saving a tiddler with spaces in its title, take care to use both the quotes required by your shell and also TiddlyWiki's double square brackets : `--save \"[[Motovun Jack.jpg]]\"`\n* The filename filter is evaluated with the selected items being set to the title of the tiddler currently being saved, allowing the title to be used as the basis for computing the filename. For example `[encodeuricomponent[]addprefix[static/]]` applies URI encoding to each title, and then adds the prefix `static/`\n* The `--save` command is a more flexible replacement for both the `--savetiddler` and `--savetiddlers` commands, which are deprecated\n\nExamples:\n\n* `--save \"[!is[system]is[image]]\" \"[encodeuricomponent[]addprefix[tiddlers/]]\"` -- saves all non-system image tiddlers as files in the subdirectory \"tiddlers\" with URL-encoded titles\n"
},
"$:/language/Help/savetiddler": {
"title": "$:/language/Help/savetiddler",
"description": "Saves a raw tiddler to a file",
"text": "(Note: The `--savetiddler` command is deprecated in favour of the new, more flexible `--save` command)\n\nSaves an individual tiddler in its raw text or binary format to the specified filename.\n\n```\n--savetiddler <title> <filename>\n```\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny missing directories in the path to the filename are automatically created.\n"
},
"$:/language/Help/savetiddlers": {
"title": "$:/language/Help/savetiddlers",
"description": "Saves a group of raw tiddlers to a directory",
"text": "(Note: The `--savetiddlers` command is deprecated in favour of the new, more flexible `--save` command)\n\nSaves a group of tiddlers in their raw text or binary format to the specified directory.\n\n```\n--savetiddlers <filter> <pathname> [\"noclean\"]\n```\n\nBy default, the pathname is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nThe output directory is cleared of existing files before saving the specified files. The deletion can be disabled by specifying the ''noclean'' flag.\n\nAny missing directories in the pathname are automatically created.\n"
},
"$:/language/Help/server": {
"title": "$:/language/Help/server",
"description": "Provides an HTTP server interface to TiddlyWiki",
"text": "The server built in to TiddlyWiki5 is very simple. Although compatible with TiddlyWeb it doesn't support many of the features needed for robust Internet-facing usage.\n\nAt the root, it serves a rendering of a specified tiddler. Away from the root, it serves individual tiddlers encoded in JSON, and supports the basic HTTP operations for `GET`, `PUT` and `DELETE`.\n\n```\n--server <port> <roottiddler> <rendertype> <servetype> <username> <password> <host> <pathprefix>\n```\n\nThe parameters are:\n\n* ''port'' - port number on which to listen; non-numeric values are interpreted as a system environment variable from which the port number is extracted (defaults to \"8080\")\n* ''roottiddler'' - the tiddler to serve at the root (defaults to \"$:/core/save/all\")\n* ''rendertype'' - the content type to which the root tiddler should be rendered (defaults to \"text/plain\")\n* ''servetype'' - the content type with which the root tiddler should be served (defaults to \"text/html\")\n* ''username'' - the default username for signing edits\n* ''password'' - optional password for basic authentication\n* ''host'' - optional hostname to serve from (defaults to \"127.0.0.1\" aka \"localhost\")\n* ''pathprefix'' - optional prefix for paths\n\nIf the password parameter is specified then the browser will prompt the user for the username and password. Note that the password is transmitted in plain text so this implementation isn't suitable for general use.\n\nFor example:\n\n```\n--server 8080 $:/core/save/all text/plain text/html MyUserName passw0rd\n```\n\nThe username and password can be specified as empty strings if you need to set the hostname or pathprefix and don't want to require a password:\n\n```\n--server 8080 $:/core/save/all text/plain text/html \"\" \"\" 192.168.0.245\n```\n\nTo run multiple TiddlyWiki servers at the same time you'll need to put each one on a different port. It can be useful to use an environment variable to pass the port number to the Node.js process. This example references an environment variable called \"MY_PORT_NUMBER\":\n\n\n```\n--server MY_PORT_NUMBER $:/core/save/all text/plain text/html MyUserName passw0rd\n```\n"
},
"$:/language/Help/setfield": {
"title": "$:/language/Help/setfield",
"description": "Prepares external tiddlers for use",
"text": "//Note that this command is experimental and may change or be replaced before being finalised//\n\nSets the specified field of a group of tiddlers to the result of wikifying a template tiddler with the `currentTiddler` variable set to the tiddler.\n\n```\n--setfield <filter> <fieldname> <templatetitle> <rendertype>\n```\n\nThe parameters are:\n\n* ''filter'' - filter identifying the tiddlers to be affected\n* ''fieldname'' - the field to modify (defaults to \"text\")\n* ''templatetitle'' - the tiddler to wikify into the specified field. If blank or missing then the specified field is deleted\n* ''rendertype'' - the text type to render (defaults to \"text/plain\"; \"text/html\" can be used to include HTML tags)\n"
},
"$:/language/Help/unpackplugin": {
"title": "$:/language/Help/unpackplugin",
"description": "Unpack the payload tiddlers from a plugin",
"text": "Extract the payload tiddlers from a plugin, creating them as ordinary tiddlers:\n\n```\n--unpackplugin <title>\n```\n"
},
"$:/language/Help/verbose": {
"title": "$:/language/Help/verbose",
"description": "Triggers verbose output mode",
"text": "Triggers verbose output, useful for debugging\n\n```\n--verbose\n```\n"
},
"$:/language/Help/version": {
"title": "$:/language/Help/version",
"description": "Displays the version number of TiddlyWiki",
"text": "Displays the version number of TiddlyWiki.\n\n```\n--version\n```\n"
},
"$:/language/Import/Imported/Hint": {
"title": "$:/language/Import/Imported/Hint",
"text": "The following tiddlers were imported:"
},
"$:/language/Import/Listing/Cancel/Caption": {
"title": "$:/language/Import/Listing/Cancel/Caption",
"text": "Cancel"
},
"$:/language/Import/Listing/Hint": {
"title": "$:/language/Import/Listing/Hint",
"text": "These tiddlers are ready to import:"
},
"$:/language/Import/Listing/Import/Caption": {
"title": "$:/language/Import/Listing/Import/Caption",
"text": "Import"
},
"$:/language/Import/Listing/Select/Caption": {
"title": "$:/language/Import/Listing/Select/Caption",
"text": "Select"
},
"$:/language/Import/Listing/Status/Caption": {
"title": "$:/language/Import/Listing/Status/Caption",
"text": "Status"
},
"$:/language/Import/Listing/Title/Caption": {
"title": "$:/language/Import/Listing/Title/Caption",
"text": "Title"
},
"$:/language/Import/Listing/Preview": {
"title": "$:/language/Import/Listing/Preview",
"text": "Preview:"
},
"$:/language/Import/Listing/Preview/Text": {
"title": "$:/language/Import/Listing/Preview/Text",
"text": "Text"
},
"$:/language/Import/Listing/Preview/TextRaw": {
"title": "$:/language/Import/Listing/Preview/TextRaw",
"text": "Text (Raw)"
},
"$:/language/Import/Listing/Preview/Fields": {
"title": "$:/language/Import/Listing/Preview/Fields",
"text": "Fields"
},
"$:/language/Import/Listing/Preview/Diff": {
"title": "$:/language/Import/Listing/Preview/Diff",
"text": "Diff"
},
"$:/language/Import/Listing/Preview/DiffFields": {
"title": "$:/language/Import/Listing/Preview/DiffFields",
"text": "Diff (Fields)"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible",
"text": "Blocked incompatible or obsolete plugin"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Version": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Version",
"text": "Blocked plugin (due to incoming <<incoming>> being older than existing <<existing>>)"
},
"$:/language/Import/Upgrader/Plugins/Upgraded": {
"title": "$:/language/Import/Upgrader/Plugins/Upgraded",
"text": "Upgraded plugin from <<incoming>> to <<upgraded>>"
},
"$:/language/Import/Upgrader/State/Suppressed": {
"title": "$:/language/Import/Upgrader/State/Suppressed",
"text": "Blocked temporary state tiddler"
},
"$:/language/Import/Upgrader/System/Suppressed": {
"title": "$:/language/Import/Upgrader/System/Suppressed",
"text": "Blocked system tiddler"
},
"$:/language/Import/Upgrader/ThemeTweaks/Created": {
"title": "$:/language/Import/Upgrader/ThemeTweaks/Created",
"text": "Migrated theme tweak from <$text text=<<from>>/>"
},
"$:/language/AboveStory/ClassicPlugin/Warning": {
"title": "$:/language/AboveStory/ClassicPlugin/Warning",
"text": "It looks like you are trying to load a plugin designed for ~TiddlyWiki Classic. Please note that [[these plugins do not work with TiddlyWiki version 5.x.x|https://tiddlywiki.com/#TiddlyWikiClassic]]. ~TiddlyWiki Classic plugins detected:"
},
"$:/language/BinaryWarning/Prompt": {
"title": "$:/language/BinaryWarning/Prompt",
"text": "This tiddler contains binary data"
},
"$:/language/ClassicWarning/Hint": {
"title": "$:/language/ClassicWarning/Hint",
"text": "This tiddler is written in TiddlyWiki Classic wiki text format, which is not fully compatible with TiddlyWiki version 5. See https://tiddlywiki.com/static/Upgrading.html for more details."
},
"$:/language/ClassicWarning/Upgrade/Caption": {
"title": "$:/language/ClassicWarning/Upgrade/Caption",
"text": "upgrade"
},
"$:/language/CloseAll/Button": {
"title": "$:/language/CloseAll/Button",
"text": "close all"
},
"$:/language/ColourPicker/Recent": {
"title": "$:/language/ColourPicker/Recent",
"text": "Recent:"
},
"$:/language/ConfirmCancelTiddler": {
"title": "$:/language/ConfirmCancelTiddler",
"text": "Do you wish to discard changes to the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmDeleteTiddler": {
"title": "$:/language/ConfirmDeleteTiddler",
"text": "Do you wish to delete the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmOverwriteTiddler": {
"title": "$:/language/ConfirmOverwriteTiddler",
"text": "Do you wish to overwrite the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmEditShadowTiddler": {
"title": "$:/language/ConfirmEditShadowTiddler",
"text": "You are about to edit a ShadowTiddler. Any changes will override the default system making future upgrades non-trivial. Are you sure you want to edit \"<$text text=<<title>>/>\"?"
},
"$:/language/Count": {
"title": "$:/language/Count",
"text": "count"
},
"$:/language/DefaultNewTiddlerTitle": {
"title": "$:/language/DefaultNewTiddlerTitle",
"text": "New Tiddler"
},
"$:/language/Diffs/CountMessage": {
"title": "$:/language/Diffs/CountMessage",
"text": "<<diff-count>> differences"
},
"$:/language/DropMessage": {
"title": "$:/language/DropMessage",
"text": "Drop here (or use the 'Escape' key to cancel)"
},
"$:/language/Encryption/Cancel": {
"title": "$:/language/Encryption/Cancel",
"text": "Cancel"
},
"$:/language/Encryption/ConfirmClearPassword": {
"title": "$:/language/Encryption/ConfirmClearPassword",
"text": "Do you wish to clear the password? This will remove the encryption applied when saving this wiki"
},
"$:/language/Encryption/PromptSetPassword": {
"title": "$:/language/Encryption/PromptSetPassword",
"text": "Set a new password for this TiddlyWiki"
},
"$:/language/Encryption/Username": {
"title": "$:/language/Encryption/Username",
"text": "Username"
},
"$:/language/Encryption/Password": {
"title": "$:/language/Encryption/Password",
"text": "Password"
},
"$:/language/Encryption/RepeatPassword": {
"title": "$:/language/Encryption/RepeatPassword",
"text": "Repeat password"
},
"$:/language/Encryption/PasswordNoMatch": {
"title": "$:/language/Encryption/PasswordNoMatch",
"text": "Passwords do not match"
},
"$:/language/Encryption/SetPassword": {
"title": "$:/language/Encryption/SetPassword",
"text": "Set password"
},
"$:/language/Error/Caption": {
"title": "$:/language/Error/Caption",
"text": "Error"
},
"$:/language/Error/EditConflict": {
"title": "$:/language/Error/EditConflict",
"text": "File changed on server"
},
"$:/language/Error/Filter": {
"title": "$:/language/Error/Filter",
"text": "Filter error"
},
"$:/language/Error/FilterSyntax": {
"title": "$:/language/Error/FilterSyntax",
"text": "Syntax error in filter expression"
},
"$:/language/Error/IsFilterOperator": {
"title": "$:/language/Error/IsFilterOperator",
"text": "Filter Error: Unknown operand for the 'is' filter operator"
},
"$:/language/Error/LoadingPluginLibrary": {
"title": "$:/language/Error/LoadingPluginLibrary",
"text": "Error loading plugin library"
},
"$:/language/Error/RecursiveTransclusion": {
"title": "$:/language/Error/RecursiveTransclusion",
"text": "Recursive transclusion error in transclude widget"
},
"$:/language/Error/RetrievingSkinny": {
"title": "$:/language/Error/RetrievingSkinny",
"text": "Error retrieving skinny tiddler list"
},
"$:/language/Error/SavingToTWEdit": {
"title": "$:/language/Error/SavingToTWEdit",
"text": "Error saving to TWEdit"
},
"$:/language/Error/WhileSaving": {
"title": "$:/language/Error/WhileSaving",
"text": "Error while saving"
},
"$:/language/Error/XMLHttpRequest": {
"title": "$:/language/Error/XMLHttpRequest",
"text": "XMLHttpRequest error code"
},
"$:/language/InternalJavaScriptError/Title": {
"title": "$:/language/InternalJavaScriptError/Title",
"text": "Internal JavaScript Error"
},
"$:/language/InternalJavaScriptError/Hint": {
"title": "$:/language/InternalJavaScriptError/Hint",
"text": "Well, this is embarrassing. It is recommended that you restart TiddlyWiki by refreshing your browser"
},
"$:/language/InvalidFieldName": {
"title": "$:/language/InvalidFieldName",
"text": "Illegal characters in field name \"<$text text=<<fieldName>>/>\". Fields can only contain lowercase letters, digits and the characters underscore (`_`), hyphen (`-`) and period (`.`)"
},
"$:/language/LazyLoadingWarning": {
"title": "$:/language/LazyLoadingWarning",
"text": "<p>Trying to load external content from ''<$text text={{!!_canonical_uri}}/>''</p><p>If this message doesn't disappear, either the tiddler content type doesn't match the type of the external content, or you may be using a browser that doesn't support external content for wikis loaded as standalone files. See https://tiddlywiki.com/#ExternalText</p>"
},
"$:/language/LoginToTiddlySpace": {
"title": "$:/language/LoginToTiddlySpace",
"text": "Login to TiddlySpace"
},
"$:/language/Manager/Controls/FilterByTag/None": {
"title": "$:/language/Manager/Controls/FilterByTag/None",
"text": "(none)"
},
"$:/language/Manager/Controls/FilterByTag/Prompt": {
"title": "$:/language/Manager/Controls/FilterByTag/Prompt",
"text": "Filter by tag:"
},
"$:/language/Manager/Controls/Order/Prompt": {
"title": "$:/language/Manager/Controls/Order/Prompt",
"text": "Reverse order"
},
"$:/language/Manager/Controls/Search/Placeholder": {
"title": "$:/language/Manager/Controls/Search/Placeholder",
"text": "Search"
},
"$:/language/Manager/Controls/Search/Prompt": {
"title": "$:/language/Manager/Controls/Search/Prompt",
"text": "Search:"
},
"$:/language/Manager/Controls/Show/Option/Tags": {
"title": "$:/language/Manager/Controls/Show/Option/Tags",
"text": "tags"
},
"$:/language/Manager/Controls/Show/Option/Tiddlers": {
"title": "$:/language/Manager/Controls/Show/Option/Tiddlers",
"text": "tiddlers"
},
"$:/language/Manager/Controls/Show/Prompt": {
"title": "$:/language/Manager/Controls/Show/Prompt",
"text": "Show:"
},
"$:/language/Manager/Controls/Sort/Prompt": {
"title": "$:/language/Manager/Controls/Sort/Prompt",
"text": "Sort by:"
},
"$:/language/Manager/Item/Colour": {
"title": "$:/language/Manager/Item/Colour",
"text": "Colour"
},
"$:/language/Manager/Item/Fields": {
"title": "$:/language/Manager/Item/Fields",
"text": "Fields"
},
"$:/language/Manager/Item/Icon/None": {
"title": "$:/language/Manager/Item/Icon/None",
"text": "(none)"
},
"$:/language/Manager/Item/Icon": {
"title": "$:/language/Manager/Item/Icon",
"text": "Icon"
},
"$:/language/Manager/Item/RawText": {
"title": "$:/language/Manager/Item/RawText",
"text": "Raw text"
},
"$:/language/Manager/Item/Tags": {
"title": "$:/language/Manager/Item/Tags",
"text": "Tags"
},
"$:/language/Manager/Item/Tools": {
"title": "$:/language/Manager/Item/Tools",
"text": "Tools"
},
"$:/language/Manager/Item/WikifiedText": {
"title": "$:/language/Manager/Item/WikifiedText",
"text": "Wikified text"
},
"$:/language/MissingTiddler/Hint": {
"title": "$:/language/MissingTiddler/Hint",
"text": "Missing entry \"<$text text=<<currentTiddler>>/>\"."
},
"$:/language/No": {
"title": "$:/language/No",
"text": "No"
},
"$:/language/OfficialPluginLibrary": {
"title": "$:/language/OfficialPluginLibrary",
"text": "Official ~TiddlyWiki Plugin Library"
},
"$:/language/OfficialPluginLibrary/Hint": {
"title": "$:/language/OfficialPluginLibrary/Hint",
"text": "The official ~TiddlyWiki plugin library at tiddlywiki.com. Plugins, themes and language packs are maintained by the core team."
},
"$:/language/PluginReloadWarning": {
"title": "$:/language/PluginReloadWarning",
"text": "Please save {{$:/core/ui/Buttons/save-wiki}} and reload {{$:/core/ui/Buttons/refresh}} to allow changes to plugins to take effect"
},
"$:/language/RecentChanges/DateFormat": {
"title": "$:/language/RecentChanges/DateFormat",
"text": "DDth MMM YYYY"
},
"$:/language/SystemTiddler/Tooltip": {
"title": "$:/language/SystemTiddler/Tooltip",
"text": "This is a system tiddler"
},
"$:/language/SystemTiddlers/Include/Prompt": {
"title": "$:/language/SystemTiddlers/Include/Prompt",
"text": "Include system tiddlers"
},
"$:/language/TagManager/Colour/Heading": {
"title": "$:/language/TagManager/Colour/Heading",
"text": "Colour"
},
"$:/language/TagManager/Count/Heading": {
"title": "$:/language/TagManager/Count/Heading",
"text": "Count"
},
"$:/language/TagManager/Icon/Heading": {
"title": "$:/language/TagManager/Icon/Heading",
"text": "Icon"
},
"$:/language/TagManager/Info/Heading": {
"title": "$:/language/TagManager/Info/Heading",
"text": "Info"
},
"$:/language/TagManager/Tag/Heading": {
"title": "$:/language/TagManager/Tag/Heading",
"text": "Tag"
},
"$:/language/Tiddler/DateFormat": {
"title": "$:/language/Tiddler/DateFormat",
"text": "DDth MMM YYYY at hh12:0mmam"
},
"$:/language/UnsavedChangesWarning": {
"title": "$:/language/UnsavedChangesWarning",
"text": "You have unsaved changes in TiddlyWiki"
},
"$:/language/Yes": {
"title": "$:/language/Yes",
"text": "Yes"
},
"$:/language/Modals/Download": {
"title": "$:/language/Modals/Download",
"subtitle": "Download changes",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/DownloadingChanges.html",
"text": "Your browser only supports manual saving.\n\nTo save your modified wiki, right click on the download link below and select \"Download file\" or \"Save file\", and then choose the folder and filename.\n\n//You can marginally speed things up by clicking the link with the control key (Windows) or the options/alt key (Mac OS X). You will not be prompted for the folder or filename, but your browser is likely to give it an unrecognisable name -- you may need to rename the file to include an `.html` extension before you can do anything useful with it.//\n\nOn smartphones that do not allow files to be downloaded you can instead bookmark the link, and then sync your bookmarks to a desktop computer from where the wiki can be saved normally.\n"
},
"$:/language/Modals/SaveInstructions": {
"title": "$:/language/Modals/SaveInstructions",
"subtitle": "Save your work",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/SavingChanges.html",
"text": "Your changes to this wiki need to be saved as a ~TiddlyWiki HTML file.\n\n!!! Desktop browsers\n\n# Select ''Save As'' from the ''File'' menu\n# Choose a filename and location\n#* Some browsers also require you to explicitly specify the file saving format as ''Webpage, HTML only'' or similar\n# Close this tab\n\n!!! Smartphone browsers\n\n# Create a bookmark to this page\n#* If you've got iCloud or Google Sync set up then the bookmark will automatically sync to your desktop where you can open it and save it as above\n# Close this tab\n\n//If you open the bookmark again in Mobile Safari you will see this message again. If you want to go ahead and use the file, just click the ''close'' button below//\n"
},
"$:/config/NewJournal/Title": {
"title": "$:/config/NewJournal/Title",
"text": "DDth MMM YYYY"
},
"$:/config/NewJournal/Text": {
"title": "$:/config/NewJournal/Text",
"text": ""
},
"$:/config/NewJournal/Tags": {
"title": "$:/config/NewJournal/Tags",
"text": "Journal"
},
"$:/language/Notifications/Save/Done": {
"title": "$:/language/Notifications/Save/Done",
"text": "Saved wiki"
},
"$:/language/Notifications/Save/Starting": {
"title": "$:/language/Notifications/Save/Starting",
"text": "Starting to save wiki"
},
"$:/language/Notifications/CopiedToClipboard/Succeeded": {
"title": "$:/language/Notifications/CopiedToClipboard/Succeeded",
"text": "Copied!"
},
"$:/language/Notifications/CopiedToClipboard/Failed": {
"title": "$:/language/Notifications/CopiedToClipboard/Failed",
"text": "Failed to copy to clipboard!"
},
"$:/language/Search/DefaultResults/Caption": {
"title": "$:/language/Search/DefaultResults/Caption",
"text": "List"
},
"$:/language/Search/Filter/Caption": {
"title": "$:/language/Search/Filter/Caption",
"text": "Filter"
},
"$:/language/Search/Filter/Hint": {
"title": "$:/language/Search/Filter/Hint",
"text": "Search via a [[filter expression|https://tiddlywiki.com/static/Filters.html]]"
},
"$:/language/Search/Filter/Matches": {
"title": "$:/language/Search/Filter/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Matches": {
"title": "$:/language/Search/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Matches/All": {
"title": "$:/language/Search/Matches/All",
"text": "All matches:"
},
"$:/language/Search/Matches/Title": {
"title": "$:/language/Search/Matches/Title",
"text": "Title matches:"
},
"$:/language/Search/Search": {
"title": "$:/language/Search/Search",
"text": "Search"
},
"$:/language/Search/Search/TooShort": {
"title": "$:/language/Search/Search/TooShort",
"text": "Search text too short"
},
"$:/language/Search/Shadows/Caption": {
"title": "$:/language/Search/Shadows/Caption",
"text": "Shadows"
},
"$:/language/Search/Shadows/Hint": {
"title": "$:/language/Search/Shadows/Hint",
"text": "Search for shadow tiddlers"
},
"$:/language/Search/Shadows/Matches": {
"title": "$:/language/Search/Shadows/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Standard/Caption": {
"title": "$:/language/Search/Standard/Caption",
"text": "Standard"
},
"$:/language/Search/Standard/Hint": {
"title": "$:/language/Search/Standard/Hint",
"text": "Search for standard tiddlers"
},
"$:/language/Search/Standard/Matches": {
"title": "$:/language/Search/Standard/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/System/Caption": {
"title": "$:/language/Search/System/Caption",
"text": "System"
},
"$:/language/Search/System/Hint": {
"title": "$:/language/Search/System/Hint",
"text": "Search for system tiddlers"
},
"$:/language/Search/System/Matches": {
"title": "$:/language/Search/System/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/SideBar/All/Caption": {
"title": "$:/language/SideBar/All/Caption",
"text": "All"
},
"$:/language/SideBar/Contents/Caption": {
"title": "$:/language/SideBar/Contents/Caption",
"text": "Contents"
},
"$:/language/SideBar/Drafts/Caption": {
"title": "$:/language/SideBar/Drafts/Caption",
"text": "Drafts"
},
"$:/language/SideBar/Explorer/Caption": {
"title": "$:/language/SideBar/Explorer/Caption",
"text": "Explorer"
},
"$:/language/SideBar/Missing/Caption": {
"title": "$:/language/SideBar/Missing/Caption",
"text": "Missing"
},
"$:/language/SideBar/More/Caption": {
"title": "$:/language/SideBar/More/Caption",
"text": "More"
},
"$:/language/SideBar/Open/Caption": {
"title": "$:/language/SideBar/Open/Caption",
"text": "Open"
},
"$:/language/SideBar/Orphans/Caption": {
"title": "$:/language/SideBar/Orphans/Caption",
"text": "Orphans"
},
"$:/language/SideBar/Recent/Caption": {
"title": "$:/language/SideBar/Recent/Caption",
"text": "Recent"
},
"$:/language/SideBar/Shadows/Caption": {
"title": "$:/language/SideBar/Shadows/Caption",
"text": "Shadows"
},
"$:/language/SideBar/System/Caption": {
"title": "$:/language/SideBar/System/Caption",
"text": "System"
},
"$:/language/SideBar/Tags/Caption": {
"title": "$:/language/SideBar/Tags/Caption",
"text": "Tags"
},
"$:/language/SideBar/Tags/Untagged/Caption": {
"title": "$:/language/SideBar/Tags/Untagged/Caption",
"text": "untagged"
},
"$:/language/SideBar/Tools/Caption": {
"title": "$:/language/SideBar/Tools/Caption",
"text": "Tools"
},
"$:/language/SideBar/Types/Caption": {
"title": "$:/language/SideBar/Types/Caption",
"text": "Types"
},
"$:/SiteSubtitle": {
"title": "$:/SiteSubtitle",
"text": "a non-linear personal web notebook"
},
"$:/SiteTitle": {
"title": "$:/SiteTitle",
"text": "My ~TiddlyWiki"
},
"$:/language/Snippets/ListByTag": {
"title": "$:/language/Snippets/ListByTag",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "List of tiddlers by tag",
"text": "<<list-links \"[tag[task]sort[title]]\">>\n"
},
"$:/language/Snippets/MacroDefinition": {
"title": "$:/language/Snippets/MacroDefinition",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Macro definition",
"text": "\\define macroName(param1:\"default value\",param2)\nText of the macro\n\\end\n"
},
"$:/language/Snippets/Table4x3": {
"title": "$:/language/Snippets/Table4x3",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Table with 4 columns by 3 rows",
"text": "|! |!Alpha |!Beta |!Gamma |!Delta |\n|!One | | | | |\n|!Two | | | | |\n|!Three | | | | |\n"
},
"$:/language/Snippets/TableOfContents": {
"title": "$:/language/Snippets/TableOfContents",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Table of Contents",
"text": "<div class=\"tc-table-of-contents\">\n\n<<toc-selective-expandable 'TableOfContents'>>\n\n</div>"
},
"$:/language/ThemeTweaks/ThemeTweaks": {
"title": "$:/language/ThemeTweaks/ThemeTweaks",
"text": "Theme Tweaks"
},
"$:/language/ThemeTweaks/ThemeTweaks/Hint": {
"title": "$:/language/ThemeTweaks/ThemeTweaks/Hint",
"text": "You can tweak certain aspects of the ''Vanilla'' theme."
},
"$:/language/ThemeTweaks/Options": {
"title": "$:/language/ThemeTweaks/Options",
"text": "Options"
},
"$:/language/ThemeTweaks/Options/SidebarLayout": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout",
"text": "Sidebar layout"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid",
"text": "Fixed story, fluid sidebar"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed",
"text": "Fluid story, fixed sidebar"
},
"$:/language/ThemeTweaks/Options/StickyTitles": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles",
"text": "Sticky titles"
},
"$:/language/ThemeTweaks/Options/StickyTitles/Hint": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles/Hint",
"text": "Causes tiddler titles to \"stick\" to the top of the browser window. Caution: Does not work at all with Chrome, and causes some layout issues in Firefox"
},
"$:/language/ThemeTweaks/Options/CodeWrapping": {
"title": "$:/language/ThemeTweaks/Options/CodeWrapping",
"text": "Wrap long lines in code blocks"
},
"$:/language/ThemeTweaks/Settings": {
"title": "$:/language/ThemeTweaks/Settings",
"text": "Settings"
},
"$:/language/ThemeTweaks/Settings/FontFamily": {
"title": "$:/language/ThemeTweaks/Settings/FontFamily",
"text": "Font family"
},
"$:/language/ThemeTweaks/Settings/CodeFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/CodeFontFamily",
"text": "Code font family"
},
"$:/language/ThemeTweaks/Settings/EditorFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/EditorFontFamily",
"text": "Editor font family"
},
"$:/language/ThemeTweaks/Settings/BackgroundImage": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImage",
"text": "Page background image"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment",
"text": "Page background image attachment"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll",
"text": "Scroll with tiddlers"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed",
"text": "Fixed to window"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize",
"text": "Page background image size"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto",
"text": "Auto"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover",
"text": "Cover"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain",
"text": "Contain"
},
"$:/language/ThemeTweaks/Metrics": {
"title": "$:/language/ThemeTweaks/Metrics",
"text": "Sizes"
},
"$:/language/ThemeTweaks/Metrics/FontSize": {
"title": "$:/language/ThemeTweaks/Metrics/FontSize",
"text": "Font size"
},
"$:/language/ThemeTweaks/Metrics/LineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/LineHeight",
"text": "Line height"
},
"$:/language/ThemeTweaks/Metrics/BodyFontSize": {
"title": "$:/language/ThemeTweaks/Metrics/BodyFontSize",
"text": "Font size for tiddler body"
},
"$:/language/ThemeTweaks/Metrics/BodyLineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/BodyLineHeight",
"text": "Line height for tiddler body"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft",
"text": "Story left position"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft/Hint",
"text": "how far the left margin of the story river<br>(tiddler area) is from the left of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryTop": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop",
"text": "Story top position"
},
"$:/language/ThemeTweaks/Metrics/StoryTop/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop/Hint",
"text": "how far the top margin of the story river<br>is from the top of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryRight": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight",
"text": "Story right"
},
"$:/language/ThemeTweaks/Metrics/StoryRight/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight/Hint",
"text": "how far the left margin of the sidebar <br>is from the left of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth",
"text": "Story width"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth/Hint",
"text": "the overall width of the story river"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth",
"text": "Tiddler width"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint",
"text": "within the story river"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint",
"text": "Sidebar breakpoint"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint",
"text": "the minimum page width at which the story<br>river and sidebar will appear side by side"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth",
"text": "Sidebar width"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint",
"text": "the width of the sidebar in fluid-fixed layout"
},
"$:/language/TiddlerInfo/Advanced/Caption": {
"title": "$:/language/TiddlerInfo/Advanced/Caption",
"text": "Advanced"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint",
"text": "none"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Heading",
"text": "Plugin Details"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Hint",
"text": "This plugin contains the following shadow tiddlers:"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading",
"text": "Shadow Status"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint",
"text": "The tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is not a shadow tiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint",
"text": "The tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is a shadow tiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source",
"text": "It is defined in the plugin <$link to=<<pluginTiddler>>><$text text=<<pluginTiddler>>/></$link>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint",
"text": "It is overridden by an ordinary tiddler"
},
"$:/language/TiddlerInfo/Fields/Caption": {
"title": "$:/language/TiddlerInfo/Fields/Caption",
"text": "Fields"
},
"$:/language/TiddlerInfo/List/Caption": {
"title": "$:/language/TiddlerInfo/List/Caption",
"text": "List"
},
"$:/language/TiddlerInfo/List/Empty": {
"title": "$:/language/TiddlerInfo/List/Empty",
"text": "This tiddler does not have a list"
},
"$:/language/TiddlerInfo/Listed/Caption": {
"title": "$:/language/TiddlerInfo/Listed/Caption",
"text": "Listed"
},
"$:/language/TiddlerInfo/Listed/Empty": {
"title": "$:/language/TiddlerInfo/Listed/Empty",
"text": "This tiddler is not listed by any others"
},
"$:/language/TiddlerInfo/References/Caption": {
"title": "$:/language/TiddlerInfo/References/Caption",
"text": "References"
},
"$:/language/TiddlerInfo/References/Empty": {
"title": "$:/language/TiddlerInfo/References/Empty",
"text": "No tiddlers link to this one"
},
"$:/language/TiddlerInfo/Tagging/Caption": {
"title": "$:/language/TiddlerInfo/Tagging/Caption",
"text": "Tagging"
},
"$:/language/TiddlerInfo/Tagging/Empty": {
"title": "$:/language/TiddlerInfo/Tagging/Empty",
"text": "No tiddlers are tagged with this one"
},
"$:/language/TiddlerInfo/Tools/Caption": {
"title": "$:/language/TiddlerInfo/Tools/Caption",
"text": "Tools"
},
"$:/language/Docs/Types/application/javascript": {
"title": "$:/language/Docs/Types/application/javascript",
"description": "JavaScript code",
"name": "application/javascript",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/application/json": {
"title": "$:/language/Docs/Types/application/json",
"description": "JSON data",
"name": "application/json",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/application/x-tiddler-dictionary": {
"title": "$:/language/Docs/Types/application/x-tiddler-dictionary",
"description": "Data dictionary",
"name": "application/x-tiddler-dictionary",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/image/gif": {
"title": "$:/language/Docs/Types/image/gif",
"description": "GIF image",
"name": "image/gif",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/jpeg": {
"title": "$:/language/Docs/Types/image/jpeg",
"description": "JPEG image",
"name": "image/jpeg",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/png": {
"title": "$:/language/Docs/Types/image/png",
"description": "PNG image",
"name": "image/png",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/svg+xml": {
"title": "$:/language/Docs/Types/image/svg+xml",
"description": "Structured Vector Graphics image",
"name": "image/svg+xml",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/x-icon": {
"title": "$:/language/Docs/Types/image/x-icon",
"description": "ICO format icon file",
"name": "image/x-icon",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/text/css": {
"title": "$:/language/Docs/Types/text/css",
"description": "Static stylesheet",
"name": "text/css",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/text/html": {
"title": "$:/language/Docs/Types/text/html",
"description": "HTML markup",
"name": "text/html",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/plain": {
"title": "$:/language/Docs/Types/text/plain",
"description": "Plain text",
"name": "text/plain",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/vnd.tiddlywiki": {
"title": "$:/language/Docs/Types/text/vnd.tiddlywiki",
"description": "TiddlyWiki 5",
"name": "text/vnd.tiddlywiki",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/x-tiddlywiki": {
"title": "$:/language/Docs/Types/text/x-tiddlywiki",
"description": "TiddlyWiki Classic",
"name": "text/x-tiddlywiki",
"group": "Text",
"group-sort": "0"
},
"$:/languages/en-GB/icon": {
"title": "$:/languages/en-GB/icon",
"type": "image/svg+xml",
"text": "<svg xmlns=\"http://www.w3.org/2000/svg\" viewBox=\"0 0 60 30\" width=\"1200\" height=\"600\">\n<clipPath id=\"t\">\n\t<path d=\"M30,15 h30 v15 z v15 h-30 z h-30 v-15 z v-15 h30 z\"/>\n</clipPath>\n<path d=\"M0,0 v30 h60 v-30 z\" fill=\"#00247d\"/>\n<path d=\"M0,0 L60,30 M60,0 L0,30\" stroke=\"#fff\" stroke-width=\"6\"/>\n<path d=\"M0,0 L60,30 M60,0 L0,30\" clip-path=\"url(#t)\" stroke=\"#cf142b\" stroke-width=\"4\"/>\n<path d=\"M30,0 v30 M0,15 h60\" stroke=\"#fff\" stroke-width=\"10\"/>\n<path d=\"M30,0 v30 M0,15 h60\" stroke=\"#cf142b\" stroke-width=\"6\"/>\n</svg>\n"
},
"$:/languages/en-GB": {
"title": "$:/languages/en-GB",
"name": "en-GB",
"description": "English (British)",
"author": "JeremyRuston",
"core-version": ">=5.0.0\"",
"text": "Stub pseudo-plugin for the default language"
},
"$:/core/modules/commander.js": {
"title": "$:/core/modules/commander.js",
"text": "/*\\\ntitle: $:/core/modules/commander.js\ntype: application/javascript\nmodule-type: global\n\nThe $tw.Commander class is a command interpreter\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nParse a sequence of commands\n\tcommandTokens: an array of command string tokens\n\twiki: reference to the wiki store object\n\tstreams: {output:, error:}, each of which has a write(string) method\n\tcallback: a callback invoked as callback(err) where err is null if there was no error\n*/\nvar Commander = function(commandTokens,callback,wiki,streams) {\n\tvar path = require(\"path\");\n\tthis.commandTokens = commandTokens;\n\tthis.nextToken = 0;\n\tthis.callback = callback;\n\tthis.wiki = wiki;\n\tthis.streams = streams;\n\tthis.outputPath = path.resolve($tw.boot.wikiPath,$tw.config.wikiOutputSubDir);\n};\n\n/*\nLog a string if verbose flag is set\n*/\nCommander.prototype.log = function(str) {\n\tif(this.verbose) {\n\t\tthis.streams.output.write(str + \"\\n\");\n\t}\n};\n\n/*\nWrite a string if verbose flag is set\n*/\nCommander.prototype.write = function(str) {\n\tif(this.verbose) {\n\t\tthis.streams.output.write(str);\n\t}\n};\n\n/*\nAdd a string of tokens to the command queue\n*/\nCommander.prototype.addCommandTokens = function(commandTokens) {\n\tvar params = commandTokens.slice(0);\n\tparams.unshift(0);\n\tparams.unshift(this.nextToken);\n\tArray.prototype.splice.apply(this.commandTokens,params);\n};\n\n/*\nExecute the sequence of commands and invoke a callback on completion\n*/\nCommander.prototype.execute = function() {\n\tthis.executeNextCommand();\n};\n\n/*\nExecute the next command in the sequence\n*/\nCommander.prototype.executeNextCommand = function() {\n\tvar self = this;\n\t// Invoke the callback if there are no more commands\n\tif(this.nextToken >= this.commandTokens.length) {\n\t\tthis.callback(null);\n\t} else {\n\t\t// Get and check the command token\n\t\tvar commandName = this.commandTokens[this.nextToken++];\n\t\tif(commandName.substr(0,2) !== \"--\") {\n\t\t\tthis.callback(\"Missing command: \" + commandName);\n\t\t} else {\n\t\t\tcommandName = commandName.substr(2); // Trim off the --\n\t\t\t// Accumulate the parameters to the command\n\t\t\tvar params = [];\n\t\t\twhile(this.nextToken < this.commandTokens.length && \n\t\t\t\tthis.commandTokens[this.nextToken].substr(0,2) !== \"--\") {\n\t\t\t\tparams.push(this.commandTokens[this.nextToken++]);\n\t\t\t}\n\t\t\t// Get the command info\n\t\t\tvar command = $tw.commands[commandName],\n\t\t\t\tc,err;\n\t\t\tif(!command) {\n\t\t\t\tthis.callback(\"Unknown command: \" + commandName);\n\t\t\t} else {\n\t\t\t\tif(this.verbose) {\n\t\t\t\t\tthis.streams.output.write(\"Executing command: \" + commandName + \" \" + params.join(\" \") + \"\\n\");\n\t\t\t\t}\n\t\t\t\tif(command.info.synchronous) {\n\t\t\t\t\t// Synchronous command\n\t\t\t\t\tc = new command.Command(params,this);\n\t\t\t\t\terr = c.execute();\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\tthis.callback(err);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tthis.executeNextCommand();\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\t// Asynchronous command\n\t\t\t\t\tc = new command.Command(params,this,function(err) {\n\t\t\t\t\t\tif(err) {\n\t\t\t\t\t\t\tself.callback(err);\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tself.executeNextCommand();\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t\terr = c.execute();\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\tthis.callback(err);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n};\n\nCommander.initCommands = function(moduleType) {\n\tmoduleType = moduleType || \"command\";\n\t$tw.commands = {};\n\t$tw.modules.forEachModuleOfType(moduleType,function(title,module) {\n\t\tvar c = $tw.commands[module.info.name] = {};\n\t\t// Add the methods defined by the module\n\t\tfor(var f in module) {\n\t\t\tif($tw.utils.hop(module,f)) {\n\t\t\t\tc[f] = module[f];\n\t\t\t}\n\t\t}\n\t});\n};\n\nexports.Commander = Commander;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/commands/build.js": {
"title": "$:/core/modules/commands/build.js",
"text": "/*\\\ntitle: $:/core/modules/commands/build.js\ntype: application/javascript\nmodule-type: command\n\nCommand to build a build target\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"build\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\t// Get the build targets defined in the wiki\n\tvar buildTargets = $tw.boot.wikiInfo.build;\n\tif(!buildTargets) {\n\t\treturn \"No build targets defined\";\n\t}\n\t// Loop through each of the specified targets\n\tvar targets;\n\tif(this.params.length > 0) {\n\t\ttargets = this.params;\n\t} else {\n\t\ttargets = Object.keys(buildTargets);\n\t}\n\tfor(var targetIndex=0; targetIndex<targets.length; targetIndex++) {\n\t\tvar target = targets[targetIndex],\n\t\t\tcommands = buildTargets[target];\n\t\tif(!commands) {\n\t\t\treturn \"Build target '\" + target + \"' not found\";\n\t\t}\n\t\t// Add the commands to the queue\n\t\tthis.commander.addCommandTokens(commands);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/clearpassword.js": {
"title": "$:/core/modules/commands/clearpassword.js",
"text": "/*\\\ntitle: $:/core/modules/commands/clearpassword.js\ntype: application/javascript\nmodule-type: command\n\nClear password for crypto operations\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"clearpassword\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\t$tw.crypto.setPassword(null);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/editions.js": {
"title": "$:/core/modules/commands/editions.js",
"text": "/*\\\ntitle: $:/core/modules/commands/editions.js\ntype: application/javascript\nmodule-type: command\n\nCommand to list the available editions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"editions\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this;\n\t// Output the list\n\tthis.commander.streams.output.write(\"Available editions:\\n\\n\");\n\tvar editionInfo = $tw.utils.getEditionInfo();\n\t$tw.utils.each(editionInfo,function(info,name) {\n\t\tself.commander.streams.output.write(\" \" + name + \": \" + info.description + \"\\n\");\n\t});\n\tthis.commander.streams.output.write(\"\\n\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/fetch.js": {
"title": "$:/core/modules/commands/fetch.js",
"text": "/*\\\ntitle: $:/core/modules/commands/fetch.js\ntype: application/javascript\nmodule-type: command\n\nCommands to fetch external tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"fetch\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing subcommand and url\";\n\t}\n\tswitch(this.params[0]) {\n\t\tcase \"raw-file\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\traw: true,\n\t\t\t\turl: this.params[1],\n\t\t\t\ttransformFilter: this.params[2] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"file\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\turl: this.params[1],\n\t\t\t\timportFilter: this.params[2],\n\t\t\t\ttransformFilter: this.params[3] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"raw-files\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\traw: true,\n\t\t\t\turlFilter: this.params[1],\n\t\t\t\ttransformFilter: this.params[2] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"files\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\turlFilter: this.params[1],\n\t\t\t\timportFilter: this.params[2],\n\t\t\t\ttransformFilter: this.params[3] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t}\n\treturn null;\n};\n\nCommand.prototype.fetchFiles = function(options) {\n\tvar self = this;\n\t// Get the list of URLs\n\tvar urls;\n\tif(options.url) {\n\t\turls = [options.url]\n\t} else if(options.urlFilter) {\n\t\turls = $tw.wiki.filterTiddlers(options.urlFilter);\n\t} else {\n\t\treturn \"Missing URL\";\n\t}\n\t// Process each URL in turn\n\tvar next = 0;\n\tvar getNextFile = function(err) {\n\t\tif(err) {\n\t\t\treturn options.callback(err);\n\t\t}\n\t\tif(next < urls.length) {\n\t\t\tself.fetchFile(urls[next++],options,getNextFile);\n\t\t} else {\n\t\t\toptions.callback(null);\n\t\t}\n\t};\n\tgetNextFile(null);\n\t// Success\n\treturn null;\n};\n\nCommand.prototype.fetchFile = function(url,options,callback,redirectCount) {\n\tif(redirectCount > 10) {\n\t\treturn callback(\"Error too many redirects retrieving \" + url);\n\t}\n\tvar self = this,\n\t\tlib = url.substr(0,8) === \"https://\" ? require(\"https\") : require(\"http\");\n\tlib.get(url).on(\"response\",function(response) {\n\t var type = (response.headers[\"content-type\"] || \"\").split(\";\")[0],\n\t \tdata = [];\n\t self.commander.write(\"Reading \" + url + \": \");\n\t response.on(\"data\",function(chunk) {\n\t data.push(chunk);\n\t self.commander.write(\".\");\n\t });\n\t response.on(\"end\",function() {\n\t self.commander.write(\"\\n\");\n\t if(response.statusCode === 200) {\n\t\t self.processBody(Buffer.concat(data),type,options,url);\n\t\t callback(null);\n\t } else {\n\t \tif(response.statusCode === 302 || response.statusCode === 303 || response.statusCode === 307) {\n\t \t\treturn self.fetchFile(response.headers.location,options,callback,redirectCount + 1);\n\t \t} else {\n\t\t \treturn callback(\"Error \" + response.statusCode + \" retrieving \" + url)\t \t\t\n\t \t}\n\t }\n\t \t});\n\t \tresponse.on(\"error\",function(e) {\n\t\t\tconsole.log(\"Error on GET request: \" + e);\n\t\t\tcallback(e);\n\t \t});\n\t});\n\treturn null;\n};\n\nCommand.prototype.processBody = function(body,type,options,url) {\n\tvar self = this;\n\t// Collect the tiddlers in a wiki\n\tvar incomingWiki = new $tw.Wiki();\n\tif(options.raw) {\n\t\tvar typeInfo = type ? $tw.config.contentTypeInfo[type] : null,\n\t\t\tencoding = typeInfo ? typeInfo.encoding : \"utf8\";\n\t\tincomingWiki.addTiddler(new $tw.Tiddler({\n\t\t\ttitle: url,\n\t\t\ttype: type,\n\t\t\ttext: body.toString(encoding)\n\t\t}));\n\t} else {\n\t\t// Deserialise the file to extract the tiddlers\n\t\tvar tiddlers = this.commander.wiki.deserializeTiddlers(type || \"text/html\",body.toString(\"utf8\"),{});\n\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\tincomingWiki.addTiddler(new $tw.Tiddler(tiddler));\n\t\t});\n\t}\n\t// Filter the tiddlers to select the ones we want\n\tvar filteredTitles = incomingWiki.filterTiddlers(options.importFilter || \"[all[tiddlers]]\");\n\t// Import the selected tiddlers\n\tvar count = 0;\n\tincomingWiki.each(function(tiddler,title) {\n\t\tif(filteredTitles.indexOf(title) !== -1) {\n\t\t\tvar newTiddler;\n\t\t\tif(options.transformFilter) {\n\t\t\t\tvar transformedTitle = (incomingWiki.filterTiddlers(options.transformFilter,null,self.commander.wiki.makeTiddlerIterator([title])) || [\"\"])[0];\n\t\t\t\tif(transformedTitle) {\n\t\t\t\t\tself.commander.log(\"Importing \" + title + \" as \" + transformedTitle)\n\t\t\t\t\tnewTiddler = new $tw.Tiddler(tiddler,{title: transformedTitle});\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tself.commander.log(\"Importing \" + title)\n\t\t\t\tnewTiddler = tiddler;\n\t\t\t}\n\t\t\tself.commander.wiki.importTiddler(newTiddler);\n\t\t\tcount++;\n\t\t}\n\t});\n\tself.commander.log(\"Imported \" + count + \" tiddlers\")\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/help.js": {
"title": "$:/core/modules/commands/help.js",
"text": "/*\\\ntitle: $:/core/modules/commands/help.js\ntype: application/javascript\nmodule-type: command\n\nHelp command\n\n\\*/\n(function(){\n\n/*jshint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"help\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar subhelp = this.params[0] || \"default\",\n\t\thelpBase = \"$:/language/Help/\",\n\t\ttext;\n\tif(!this.commander.wiki.getTiddler(helpBase + subhelp)) {\n\t\tsubhelp = \"notfound\";\n\t}\n\t// Wikify the help as formatted text (ie block elements generate newlines)\n\ttext = this.commander.wiki.renderTiddler(\"text/plain-formatted\",helpBase + subhelp);\n\t// Remove any leading linebreaks\n\ttext = text.replace(/^(\\r?\\n)*/g,\"\");\n\tthis.commander.streams.output.write(text);\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/import.js": {
"title": "$:/core/modules/commands/import.js",
"text": "/*\\\ntitle: $:/core/modules/commands/import.js\ntype: application/javascript\nmodule-type: command\n\nCommand to import tiddlers from a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"import\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 2) {\n\t\treturn \"Missing parameters\";\n\t}\n\tvar filename = self.params[0],\n\t\tdeserializer = self.params[1],\n\t\ttitle = self.params[2] || filename,\n\t\tencoding = self.params[3] || \"utf8\",\n\t\ttext = fs.readFileSync(filename,encoding),\n\t\ttiddlers = this.commander.wiki.deserializeTiddlers(null,text,{title: title},{deserializer: deserializer});\n\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\tself.commander.wiki.importTiddler(new $tw.Tiddler(tiddler));\n\t});\n\tthis.commander.log(tiddlers.length + \" tiddler(s) imported\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/init.js": {
"title": "$:/core/modules/commands/init.js",
"text": "/*\\\ntitle: $:/core/modules/commands/init.js\ntype: application/javascript\nmodule-type: command\n\nCommand to initialise an empty wiki folder\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"init\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar fs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\t// Check that we don't already have a valid wiki folder\n\tif($tw.boot.wikiTiddlersPath || ($tw.utils.isDirectory($tw.boot.wikiPath) && !$tw.utils.isDirectoryEmpty($tw.boot.wikiPath))) {\n\t\treturn \"Wiki folder is not empty\";\n\t}\n\t// Loop through each of the specified editions\n\tvar editions = this.params.length > 0 ? this.params : [\"empty\"];\n\tfor(var editionIndex=0; editionIndex<editions.length; editionIndex++) {\n\t\tvar editionName = editions[editionIndex];\n\t\t// Check the edition exists\n\t\tvar editionPath = $tw.findLibraryItem(editionName,$tw.getLibraryItemSearchPaths($tw.config.editionsPath,$tw.config.editionsEnvVar));\n\t\tif(!$tw.utils.isDirectory(editionPath)) {\n\t\t\treturn \"Edition '\" + editionName + \"' not found\";\n\t\t}\n\t\t// Copy the edition content\n\t\tvar err = $tw.utils.copyDirectory(editionPath,$tw.boot.wikiPath);\n\t\tif(!err) {\n\t\t\tthis.commander.streams.output.write(\"Copied edition '\" + editionName + \"' to \" + $tw.boot.wikiPath + \"\\n\");\n\t\t} else {\n\t\t\treturn err;\n\t\t}\n\t}\n\t// Tweak the tiddlywiki.info to remove any included wikis\n\tvar packagePath = $tw.boot.wikiPath + \"/tiddlywiki.info\",\n\t\tpackageJson = JSON.parse(fs.readFileSync(packagePath));\n\tdelete packageJson.includeWikis;\n\tfs.writeFileSync(packagePath,JSON.stringify(packageJson,null,$tw.config.preferences.jsonSpaces));\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/load.js": {
"title": "$:/core/modules/commands/load.js",
"text": "/*\\\ntitle: $:/core/modules/commands/load.js\ntype: application/javascript\nmodule-type: command\n\nCommand to load tiddlers from a file or directory\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"load\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar tiddlers = $tw.loadTiddlersFromPath(self.params[0]),\n\t\tcount = 0;\n\t$tw.utils.each(tiddlers,function(tiddlerInfo) {\n\t\t$tw.utils.each(tiddlerInfo.tiddlers,function(tiddler) {\n\t\t\tself.commander.wiki.importTiddler(new $tw.Tiddler(tiddler));\n\t\t\tcount++;\n\t\t});\n\t});\n\tif(!count) {\n\t\tself.callback(\"No tiddlers found in file \\\"\" + self.params[0] + \"\\\"\");\n\t} else {\n\t\tself.callback(null);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/makelibrary.js": {
"title": "$:/core/modules/commands/makelibrary.js",
"text": "/*\\\ntitle: $:/core/modules/commands/makelibrary.js\ntype: application/javascript\nmodule-type: command\n\nCommand to pack all of the plugins in the library into a plugin tiddler of type \"library\"\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"makelibrary\",\n\tsynchronous: true\n};\n\nvar UPGRADE_LIBRARY_TITLE = \"$:/UpgradeLibrary\";\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar wiki = this.commander.wiki,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\tupgradeLibraryTitle = this.params[0] || UPGRADE_LIBRARY_TITLE,\n\t\ttiddlers = {};\n\t// Collect up the library plugins\n\tvar collectPlugins = function(folder) {\n\t\t\tvar pluginFolders = fs.readdirSync(folder);\n\t\t\tfor(var p=0; p<pluginFolders.length; p++) {\n\t\t\t\tif(!$tw.boot.excludeRegExp.test(pluginFolders[p])) {\n\t\t\t\t\tpluginFields = $tw.loadPluginFolder(path.resolve(folder,\"./\" + pluginFolders[p]));\n\t\t\t\t\tif(pluginFields && pluginFields.title) {\n\t\t\t\t\t\ttiddlers[pluginFields.title] = pluginFields;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\tcollectPublisherPlugins = function(folder) {\n\t\t\tvar publisherFolders = fs.readdirSync(folder);\n\t\t\tfor(var t=0; t<publisherFolders.length; t++) {\n\t\t\t\tif(!$tw.boot.excludeRegExp.test(publisherFolders[t])) {\n\t\t\t\t\tcollectPlugins(path.resolve(folder,\"./\" + publisherFolders[t]));\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\tcollectPublisherPlugins(path.resolve($tw.boot.corePath,$tw.config.pluginsPath));\n\tcollectPublisherPlugins(path.resolve($tw.boot.corePath,$tw.config.themesPath));\n\tcollectPlugins(path.resolve($tw.boot.corePath,$tw.config.languagesPath));\n\t// Save the upgrade library tiddler\n\tvar pluginFields = {\n\t\ttitle: upgradeLibraryTitle,\n\t\ttype: \"application/json\",\n\t\t\"plugin-type\": \"library\",\n\t\t\"text\": JSON.stringify({tiddlers: tiddlers},null,$tw.config.preferences.jsonSpaces)\n\t};\n\twiki.addTiddler(new $tw.Tiddler(pluginFields));\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/output.js": {
"title": "$:/core/modules/commands/output.js",
"text": "/*\\\ntitle: $:/core/modules/commands/output.js\ntype: application/javascript\nmodule-type: command\n\nCommand to set the default output location (defaults to current working directory)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"output\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar fs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 1) {\n\t\treturn \"Missing output path\";\n\t}\n\tthis.commander.outputPath = path.resolve(process.cwd(),this.params[0]);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/password.js": {
"title": "$:/core/modules/commands/password.js",
"text": "/*\\\ntitle: $:/core/modules/commands/password.js\ntype: application/javascript\nmodule-type: command\n\nSave password for crypto operations\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"password\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing password\";\n\t}\n\t$tw.crypto.setPassword(this.params[0]);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/render.js": {
"title": "$:/core/modules/commands/render.js",
"text": "/*\\\ntitle: $:/core/modules/commands/render.js\ntype: application/javascript\nmodule-type: command\n\nRender individual tiddlers and save the results to the specified files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"render\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing tiddler filter\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\ttiddlerFilter = this.params[0],\n\t\tfilenameFilter = this.params[1] || \"[is[tiddler]addsuffix[.html]]\",\n\t\ttype = this.params[2] || \"text/html\",\n\t\ttemplate = this.params[3],\n\t\tvarName = this.params[4],\n\t\tvarValue = this.params[5],\n\t\ttiddlers = wiki.filterTiddlers(tiddlerFilter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(template || title),\n\t\t\tvariables = {currentTiddler: title};\n\t\tif(varName) {\n\t\t\tvariables[varName] = varValue || \"\";\n\t\t}\n\t\tvar widgetNode = wiki.makeWidget(parser,{variables: variables}),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\tvar text = type === \"text/html\" ? container.innerHTML : container.textContent,\n\t\t\tfilepath = path.resolve(self.commander.outputPath,wiki.filterTiddlers(filenameFilter,$tw.rootWidget,wiki.makeTiddlerIterator([title]))[0]);\n\t\tif(self.commander.verbose) {\n\t\t\tconsole.log(\"Rendering \\\"\" + title + \"\\\" to \\\"\" + filepath + \"\\\"\");\n\t\t}\n\t\t$tw.utils.createFileDirectories(filepath);\n\t\tfs.writeFileSync(filepath,text,\"utf8\");\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/rendertiddler.js": {
"title": "$:/core/modules/commands/rendertiddler.js",
"text": "/*\\\ntitle: $:/core/modules/commands/rendertiddler.js\ntype: application/javascript\nmodule-type: command\n\nCommand to render a tiddler and save it to a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"rendertiddler\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\ttitle = this.params[0],\n\t\tfilename = path.resolve(this.commander.outputPath,this.params[1]),\n\t\ttype = this.params[2] || \"text/html\",\n\t\ttemplate = this.params[3],\n\t\tname = this.params[4],\n\t\tvalue = this.params[5],\n\t\tvariables = {};\n\t$tw.utils.createFileDirectories(filename);\n\tif(template) {\n\t\tvariables.currentTiddler = title;\n\t\ttitle = template;\n\t}\n\tif(name && value) {\n\t\tvariables[name] = value;\n\t}\n\tfs.writeFile(filename,this.commander.wiki.renderTiddler(type,title,{variables: variables}),\"utf8\",function(err) {\n\t\tself.callback(err);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/rendertiddlers.js": {
"title": "$:/core/modules/commands/rendertiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/rendertiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to render several tiddlers to a folder of files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"rendertiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\ttemplate = this.params[1],\n\t\toutputPath = this.commander.outputPath,\n\t\tpathname = path.resolve(outputPath,this.params[2]),\t\t\n\t\ttype = this.params[3] || \"text/html\",\n\t\textension = this.params[4] || \".html\",\n\t\tdeleteDirectory = (this.params[5] || \"\").toLowerCase() !== \"noclean\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\tif(deleteDirectory) {\n\t\t$tw.utils.deleteDirectory(pathname);\n\t}\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(template),\n\t\t\twidgetNode = wiki.makeWidget(parser,{variables: {currentTiddler: title}}),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\tvar text = type === \"text/html\" ? container.innerHTML : container.textContent,\n\t\t\texportPath = null;\n\t\tif($tw.utils.hop($tw.macros,\"tv-get-export-path\")) {\n\t\t\tvar macroPath = $tw.macros[\"tv-get-export-path\"].run.apply(self,[title]);\n\t\t\tif(macroPath) {\n\t\t\t\texportPath = path.resolve(outputPath,macroPath + extension);\n\t\t\t}\n\t\t}\n\t\tvar finalPath = exportPath || path.resolve(pathname,encodeURIComponent(title) + extension);\n\t\t$tw.utils.createFileDirectories(finalPath);\n\t\tfs.writeFileSync(finalPath,text,\"utf8\");\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/save.js": {
"title": "$:/core/modules/commands/save.js",
"text": "/*\\\ntitle: $:/core/modules/commands/save.js\ntype: application/javascript\nmodule-type: command\n\nSaves individual tiddlers in their raw text or binary format to the specified files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"save\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename filter\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\ttiddlerFilter = this.params[0],\n\t\tfilenameFilter = this.params[1] || \"[is[tiddler]]\",\n\t\ttiddlers = wiki.filterTiddlers(tiddlerFilter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.commander.wiki.getTiddler(title),\n\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"},\n\t\t\tfilepath = path.resolve(self.commander.outputPath,wiki.filterTiddlers(filenameFilter,$tw.rootWidget,wiki.makeTiddlerIterator([title]))[0]);\n\t\tif(self.commander.verbose) {\n\t\t\tconsole.log(\"Saving \\\"\" + title + \"\\\" to \\\"\" + filepath + \"\\\"\");\n\t\t}\n\t\t$tw.utils.createFileDirectories(filepath);\n\t\tfs.writeFileSync(filepath,tiddler.fields.text,contentTypeInfo.encoding);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savelibrarytiddlers.js": {
"title": "$:/core/modules/commands/savelibrarytiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savelibrarytiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save the subtiddlers of a bundle tiddler as a series of JSON files\n\n--savelibrarytiddlers <tiddler> <pathname> <skinnylisting>\n\nThe tiddler identifies the bundle tiddler that contains the subtiddlers.\n\nThe pathname specifies the pathname to the folder in which the JSON files should be saved. The filename is the URL encoded title of the subtiddler.\n\nThe skinnylisting specifies the title of the tiddler to which a JSON catalogue of the subtiddlers will be saved. The JSON file contains the same data as the bundle tiddler but with the `text` field removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"savelibrarytiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\tcontainerTitle = this.params[0],\n\t\tfilter = this.params[1],\n\t\tbasepath = this.params[2],\n\t\tskinnyListTitle = this.params[3];\n\t// Get the container tiddler as data\n\tvar containerData = self.commander.wiki.getTiddlerDataCached(containerTitle,undefined);\n\tif(!containerData) {\n\t\treturn \"'\" + containerTitle + \"' is not a tiddler bundle\";\n\t}\n\t// Filter the list of plugins\n\tvar pluginList = [];\n\t$tw.utils.each(containerData.tiddlers,function(tiddler,title) {\n\t\tpluginList.push(title);\n\t});\n\tvar filteredPluginList;\n\tif(filter) {\n\t\tfilteredPluginList = self.commander.wiki.filterTiddlers(filter,null,self.commander.wiki.makeTiddlerIterator(pluginList));\n\t} else {\n\t\tfilteredPluginList = pluginList;\n\t}\n\t// Iterate through the plugins\n\tvar skinnyList = [];\n\t$tw.utils.each(filteredPluginList,function(title) {\n\t\tvar tiddler = containerData.tiddlers[title];\n\t\t// Save each JSON file and collect the skinny data\n\t\tvar pathname = path.resolve(self.commander.outputPath,basepath + encodeURIComponent(title) + \".json\");\n\t\t$tw.utils.createFileDirectories(pathname);\n\t\tfs.writeFileSync(pathname,JSON.stringify(tiddler,null,$tw.config.preferences.jsonSpaces),\"utf8\");\n\t\t// Collect the skinny list data\n\t\tvar pluginTiddlers = JSON.parse(tiddler.text),\n\t\t\treadmeContent = (pluginTiddlers.tiddlers[title + \"/readme\"] || {}).text,\n\t\t\ticonTiddler = pluginTiddlers.tiddlers[title + \"/icon\"] || {},\n\t\t\ticonType = iconTiddler.type,\n\t\t\ticonText = iconTiddler.text,\n\t\t\ticonContent;\n\t\tif(iconType && iconText) {\n\t\t\ticonContent = $tw.utils.makeDataUri(iconText,iconType);\n\t\t}\n\t\tskinnyList.push($tw.utils.extend({},tiddler,{text: undefined, readme: readmeContent, icon: iconContent}));\n\t});\n\t// Save the catalogue tiddler\n\tif(skinnyListTitle) {\n\t\tself.commander.wiki.setTiddlerData(skinnyListTitle,skinnyList);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savetiddler.js": {
"title": "$:/core/modules/commands/savetiddler.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savetiddler.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save the content of a tiddler to a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"savetiddler\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\ttitle = this.params[0],\n\t\tfilename = path.resolve(this.commander.outputPath,this.params[1]),\n\t\ttiddler = this.commander.wiki.getTiddler(title);\n\tif(tiddler) {\n\t\tvar type = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"};\n\t\t$tw.utils.createFileDirectories(filename);\n\t\tfs.writeFile(filename,tiddler.fields.text,contentTypeInfo.encoding,function(err) {\n\t\t\tself.callback(err);\n\t\t});\n\t} else {\n\t\treturn \"Missing tiddler: \" + title;\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savetiddlers.js": {
"title": "$:/core/modules/commands/savetiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savetiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save several tiddlers to a folder of files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"savetiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\tpathname = path.resolve(this.commander.outputPath,this.params[1]),\n\t\tdeleteDirectory = (this.params[2] || \"\").toLowerCase() !== \"noclean\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\tif(deleteDirectory) {\n\t\t$tw.utils.deleteDirectory(pathname);\n\t}\n\t$tw.utils.createDirectory(pathname);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.commander.wiki.getTiddler(title),\n\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"},\n\t\t\tfilename = path.resolve(pathname,encodeURIComponent(title));\n\t\tfs.writeFileSync(filename,tiddler.fields.text,contentTypeInfo.encoding);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/server.js": {
"title": "$:/core/modules/commands/server.js",
"text": "/*\\\ntitle: $:/core/modules/commands/server.js\ntype: application/javascript\nmodule-type: command\n\nServe tiddlers over http\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nif($tw.node) {\n\tvar util = require(\"util\"),\n\t\tfs = require(\"fs\"),\n\t\turl = require(\"url\"),\n\t\tpath = require(\"path\"),\n\t\thttp = require(\"http\");\n}\n\nexports.info = {\n\tname: \"server\",\n\tsynchronous: true\n};\n\n/*\nA simple HTTP server with regexp-based routes\n*/\nfunction SimpleServer(options) {\n\tthis.routes = options.routes || [];\n\tthis.wiki = options.wiki;\n\tthis.variables = options.variables || {};\n}\n\nSimpleServer.prototype.set = function(obj) {\n\tvar self = this;\n\t$tw.utils.each(obj,function(value,name) {\n\t\tself.variables[name] = value;\n\t});\n};\n\nSimpleServer.prototype.get = function(name) {\n\treturn this.variables[name];\n};\n\nSimpleServer.prototype.addRoute = function(route) {\n\tthis.routes.push(route);\n};\n\nSimpleServer.prototype.findMatchingRoute = function(request,state) {\n\tvar pathprefix = this.get(\"pathprefix\") || \"\";\n\tfor(var t=0; t<this.routes.length; t++) {\n\t\tvar potentialRoute = this.routes[t],\n\t\t\tpathRegExp = potentialRoute.path,\n\t\t\tpathname = state.urlInfo.pathname,\n\t\t\tmatch;\n\t\tif(pathprefix) {\n\t\t\tif(pathname.substr(0,pathprefix.length) === pathprefix) {\n\t\t\t\tpathname = pathname.substr(pathprefix.length);\n\t\t\t\tmatch = potentialRoute.path.exec(pathname);\n\t\t\t} else {\n\t\t\t\tmatch = false;\n\t\t\t}\n\t\t} else {\n\t\t\tmatch = potentialRoute.path.exec(pathname);\n\t\t}\n\t\tif(match && request.method === potentialRoute.method) {\n\t\t\tstate.params = [];\n\t\t\tfor(var p=1; p<match.length; p++) {\n\t\t\t\tstate.params.push(match[p]);\n\t\t\t}\n\t\t\treturn potentialRoute;\n\t\t}\n\t}\n\treturn null;\n};\n\nSimpleServer.prototype.checkCredentials = function(request,incomingUsername,incomingPassword) {\n\tvar header = request.headers.authorization || \"\",\n\t\ttoken = header.split(/\\s+/).pop() || \"\",\n\t\tauth = $tw.utils.base64Decode(token),\n\t\tparts = auth.split(/:/),\n\t\tusername = parts[0],\n\t\tpassword = parts[1];\n\tif(incomingUsername === username && incomingPassword === password) {\n\t\treturn \"ALLOWED\";\n\t} else {\n\t\treturn \"DENIED\";\n\t}\n};\n\nSimpleServer.prototype.requestHandler = function(request,response) {\n\t// Compose the state object\n\tvar self = this;\n\tvar state = {};\n\tstate.wiki = self.wiki;\n\tstate.server = self;\n\tstate.urlInfo = url.parse(request.url);\n\t// Find the route that matches this path\n\tvar route = self.findMatchingRoute(request,state);\n\t// Check for the username and password if we've got one\n\tvar username = self.get(\"username\"),\n\t\tpassword = self.get(\"password\");\n\tif(username && password) {\n\t\t// Check they match\n\t\tif(self.checkCredentials(request,username,password) !== \"ALLOWED\") {\n\t\t\tvar servername = state.wiki.getTiddlerText(\"$:/SiteTitle\") || \"TiddlyWiki5\";\n\t\t\tresponse.writeHead(401,\"Authentication required\",{\n\t\t\t\t\"WWW-Authenticate\": 'Basic realm=\"Please provide your username and password to login to ' + servername + '\"'\n\t\t\t});\n\t\t\tresponse.end();\n\t\t\treturn;\n\t\t}\n\t}\n\t// Return a 404 if we didn't find a route\n\tif(!route) {\n\t\tresponse.writeHead(404);\n\t\tresponse.end();\n\t\treturn;\n\t}\n\t// Set the encoding for the incoming request\n\t// TODO: Presumably this would need tweaking if we supported PUTting binary tiddlers\n\trequest.setEncoding(\"utf8\");\n\t// Dispatch the appropriate method\n\tswitch(request.method) {\n\t\tcase \"GET\": // Intentional fall-through\n\t\tcase \"DELETE\":\n\t\t\troute.handler(request,response,state);\n\t\t\tbreak;\n\t\tcase \"PUT\":\n\t\t\tvar data = \"\";\n\t\t\trequest.on(\"data\",function(chunk) {\n\t\t\t\tdata += chunk.toString();\n\t\t\t});\n\t\t\trequest.on(\"end\",function() {\n\t\t\t\tstate.data = data;\n\t\t\t\troute.handler(request,response,state);\n\t\t\t});\n\t\t\tbreak;\n\t}\n};\n\t\nSimpleServer.prototype.listen = function(port,host) {\n\treturn http.createServer(this.requestHandler.bind(this)).listen(port,host);\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n\t// Set up server\n\tthis.server = new SimpleServer({\n\t\twiki: this.commander.wiki\n\t});\n\t// Add route handlers\n\tthis.server.addRoute({\n\t\tmethod: \"PUT\",\n\t\tpath: /^\\/recipes\\/default\\/tiddlers\\/(.+)$/,\n\t\thandler: function(request,response,state) {\n\t\t\tvar title = decodeURIComponent(state.params[0]),\n\t\t\t\tfields = JSON.parse(state.data);\n\t\t\t// Pull up any subfields in the `fields` object\n\t\t\tif(fields.fields) {\n\t\t\t\t$tw.utils.each(fields.fields,function(field,name) {\n\t\t\t\t\tfields[name] = field;\n\t\t\t\t});\n\t\t\t\tdelete fields.fields;\n\t\t\t}\n\t\t\t// Remove any revision field\n\t\t\tif(fields.revision) {\n\t\t\t\tdelete fields.revision;\n\t\t\t}\n\t\t\tstate.wiki.addTiddler(new $tw.Tiddler(state.wiki.getCreationFields(),fields,{title: title},state.wiki.getModificationFields()));\n\t\t\tvar changeCount = state.wiki.getChangeCount(title).toString();\n\t\t\tresponse.writeHead(204, \"OK\",{\n\t\t\t\tEtag: \"\\\"default/\" + encodeURIComponent(title) + \"/\" + changeCount + \":\\\"\",\n\t\t\t\t\"Content-Type\": \"text/plain\"\n\t\t\t});\n\t\t\tresponse.end();\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"DELETE\",\n\t\tpath: /^\\/bags\\/default\\/tiddlers\\/(.+)$/,\n\t\thandler: function(request,response,state) {\n\t\t\tvar title = decodeURIComponent(state.params[0]);\n\t\t\tstate.wiki.deleteTiddler(title);\n\t\t\tresponse.writeHead(204, \"OK\", {\n\t\t\t\t\"Content-Type\": \"text/plain\"\n\t\t\t});\n\t\t\tresponse.end();\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"GET\",\n\t\tpath: /^\\/$/,\n\t\thandler: function(request,response,state) {\n\t\t\tresponse.writeHead(200, {\"Content-Type\": state.server.get(\"serveType\")});\n\t\t\tvar text = state.wiki.renderTiddler(state.server.get(\"renderType\"),state.server.get(\"rootTiddler\"));\n\t\t\tresponse.end(text,\"utf8\");\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"GET\",\n\t\tpath: /^\\/status$/,\n\t\thandler: function(request,response,state) {\n\t\t\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\t\t\tvar text = JSON.stringify({\n\t\t\t\tusername: state.server.get(\"username\"),\n\t\t\t\tspace: {\n\t\t\t\t\trecipe: \"default\"\n\t\t\t\t},\n\t\t\t\ttiddlywiki_version: $tw.version\n\t\t\t});\n\t\t\tresponse.end(text,\"utf8\");\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"GET\",\n\t\tpath: /^\\/favicon.ico$/,\n\t\thandler: function(request,response,state) {\n\t\t\tresponse.writeHead(200, {\"Content-Type\": \"image/x-icon\"});\n\t\t\tvar buffer = state.wiki.getTiddlerText(\"$:/favicon.ico\",\"\");\n\t\t\tresponse.end(buffer,\"base64\");\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"GET\",\n\t\tpath: /^\\/recipes\\/default\\/tiddlers.json$/,\n\t\thandler: function(request,response,state) {\n\t\t\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\t\t\tvar tiddlers = [];\n\t\t\tstate.wiki.forEachTiddler({sortField: \"title\"},function(title,tiddler) {\n\t\t\t\tvar tiddlerFields = {};\n\t\t\t\t$tw.utils.each(tiddler.fields,function(field,name) {\n\t\t\t\t\tif(name !== \"text\") {\n\t\t\t\t\t\ttiddlerFields[name] = tiddler.getFieldString(name);\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\ttiddlerFields.revision = state.wiki.getChangeCount(title);\n\t\t\t\ttiddlerFields.type = tiddlerFields.type || \"text/vnd.tiddlywiki\";\n\t\t\t\ttiddlers.push(tiddlerFields);\n\t\t\t});\n\t\t\tvar text = JSON.stringify(tiddlers);\n\t\t\tresponse.end(text,\"utf8\");\n\t\t}\n\t});\n\tthis.server.addRoute({\n\t\tmethod: \"GET\",\n\t\tpath: /^\\/recipes\\/default\\/tiddlers\\/(.+)$/,\n\t\thandler: function(request,response,state) {\n\t\t\tvar title = decodeURIComponent(state.params[0]),\n\t\t\t\ttiddler = state.wiki.getTiddler(title),\n\t\t\t\ttiddlerFields = {},\n\t\t\t\tknownFields = [\n\t\t\t\t\t\"bag\", \"created\", \"creator\", \"modified\", \"modifier\", \"permissions\", \"recipe\", \"revision\", \"tags\", \"text\", \"title\", \"type\", \"uri\"\n\t\t\t\t];\n\t\t\tif(tiddler) {\n\t\t\t\t$tw.utils.each(tiddler.fields,function(field,name) {\n\t\t\t\t\tvar value = tiddler.getFieldString(name);\n\t\t\t\t\tif(knownFields.indexOf(name) !== -1) {\n\t\t\t\t\t\ttiddlerFields[name] = value;\n\t\t\t\t\t} else {\n\t\t\t\t\t\ttiddlerFields.fields = tiddlerFields.fields || {};\n\t\t\t\t\t\ttiddlerFields.fields[name] = value;\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\ttiddlerFields.revision = state.wiki.getChangeCount(title);\n\t\t\t\ttiddlerFields.type = tiddlerFields.type || \"text/vnd.tiddlywiki\";\n\t\t\t\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\t\t\t\tresponse.end(JSON.stringify(tiddlerFields),\"utf8\");\n\t\t\t} else {\n\t\t\t\tresponse.writeHead(404);\n\t\t\t\tresponse.end();\n\t\t\t}\n\t\t}\n\t});\n};\n\nCommand.prototype.execute = function() {\n\tif(!$tw.boot.wikiTiddlersPath) {\n\t\t$tw.utils.warning(\"Warning: Wiki folder '\" + $tw.boot.wikiPath + \"' does not exist or is missing a tiddlywiki.info file\");\n\t}\n\tvar port = this.params[0] || \"8080\",\n\t\trootTiddler = this.params[1] || \"$:/core/save/all\",\n\t\trenderType = this.params[2] || \"text/plain\",\n\t\tserveType = this.params[3] || \"text/html\",\n\t\tusername = this.params[4],\n\t\tpassword = this.params[5],\n\t\thost = this.params[6] || \"127.0.0.1\",\n\t\tpathprefix = this.params[7];\n\tif(parseInt(port,10).toString() !== port) {\n\t\tport = process.env[port] || 8080;\n\t}\n\tthis.server.set({\n\t\trootTiddler: rootTiddler,\n\t\trenderType: renderType,\n\t\tserveType: serveType,\n\t\tusername: username,\n\t\tpassword: password,\n\t\tpathprefix: pathprefix\n\t});\n\tvar nodeServer = this.server.listen(port,host);\n\t$tw.utils.log(\"Serving on \" + host + \":\" + port,\"brown/orange\");\n\t$tw.utils.log(\"(press ctrl-C to exit)\",\"red\");\n\t// Warn if required plugins are missing\n\tif(!$tw.wiki.getTiddler(\"$:/plugins/tiddlywiki/tiddlyweb\") || !$tw.wiki.getTiddler(\"$:/plugins/tiddlywiki/filesystem\")) {\n\t\t$tw.utils.warning(\"Warning: Plugins required for client-server operation (\\\"tiddlywiki/filesystem\\\" and \\\"tiddlywiki/tiddlyweb\\\") are missing from tiddlywiki.info file\");\n\t}\n\t$tw.hooks.invokeHook('th-server-command-post-start', this.server, nodeServer);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/setfield.js": {
"title": "$:/core/modules/commands/setfield.js",
"text": "/*\\\ntitle: $:/core/modules/commands/setfield.js\ntype: application/javascript\nmodule-type: command\n\nCommand to modify selected tiddlers to set a field to the text of a template tiddler that has been wikified with the selected tiddler as the current tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"setfield\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 4) {\n\t\treturn \"Missing parameters\";\n\t}\n\tvar self = this,\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\tfieldname = this.params[1] || \"text\",\n\t\ttemplatetitle = this.params[2],\n\t\trendertype = this.params[3] || \"text/plain\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(templatetitle),\n\t\t\tnewFields = {},\n\t\t\ttiddler = wiki.getTiddler(title);\n\t\tif(parser) {\n\t\t\tvar widgetNode = wiki.makeWidget(parser,{variables: {currentTiddler: title}});\n\t\t\tvar container = $tw.fakeDocument.createElement(\"div\");\n\t\t\twidgetNode.render(container,null);\n\t\t\tnewFields[fieldname] = rendertype === \"text/html\" ? container.innerHTML : container.textContent;\n\t\t} else {\n\t\t\tnewFields[fieldname] = undefined;\n\t\t}\n\t\twiki.addTiddler(new $tw.Tiddler(tiddler,newFields));\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/unpackplugin.js": {
"title": "$:/core/modules/commands/unpackplugin.js",
"text": "/*\\\ntitle: $:/core/modules/commands/unpackplugin.js\ntype: application/javascript\nmodule-type: command\n\nCommand to extract the shadow tiddlers from within a plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"unpackplugin\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing plugin name\";\n\t}\n\tvar self = this,\n\t\ttitle = this.params[0],\n\t\tpluginData = this.commander.wiki.getTiddlerDataCached(title);\n\tif(!pluginData) {\n\t\treturn \"Plugin '\" + title + \"' not found\";\n\t}\n\t$tw.utils.each(pluginData.tiddlers,function(tiddler) {\n\t\tself.commander.wiki.addTiddler(new $tw.Tiddler(tiddler));\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/verbose.js": {
"title": "$:/core/modules/commands/verbose.js",
"text": "/*\\\ntitle: $:/core/modules/commands/verbose.js\ntype: application/javascript\nmodule-type: command\n\nVerbose command\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"verbose\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tthis.commander.verbose = true;\n\t// Output the boot message log\n\tthis.commander.streams.output.write(\"Boot log:\\n \" + $tw.boot.logMessages.join(\"\\n \") + \"\\n\");\n\treturn null; // No error\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/version.js": {
"title": "$:/core/modules/commands/version.js",
"text": "/*\\\ntitle: $:/core/modules/commands/version.js\ntype: application/javascript\nmodule-type: command\n\nVersion command\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"version\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tthis.commander.streams.output.write($tw.version + \"\\n\");\n\treturn null; // No error\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/config.js": {
"title": "$:/core/modules/config.js",
"text": "/*\\\ntitle: $:/core/modules/config.js\ntype: application/javascript\nmodule-type: config\n\nCore configuration constants\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.preferences = {};\n\nexports.preferences.notificationDuration = 3 * 1000;\nexports.preferences.jsonSpaces = 4;\n\nexports.textPrimitives = {\n\tupperLetter: \"[A-Z\\u00c0-\\u00d6\\u00d8-\\u00de\\u0150\\u0170]\",\n\tlowerLetter: \"[a-z\\u00df-\\u00f6\\u00f8-\\u00ff\\u0151\\u0171]\",\n\tanyLetter: \"[A-Za-z0-9\\u00c0-\\u00d6\\u00d8-\\u00de\\u00df-\\u00f6\\u00f8-\\u00ff\\u0150\\u0170\\u0151\\u0171]\",\n\tblockPrefixLetters:\t\"[A-Za-z0-9-_\\u00c0-\\u00d6\\u00d8-\\u00de\\u00df-\\u00f6\\u00f8-\\u00ff\\u0150\\u0170\\u0151\\u0171]\"\n};\n\nexports.textPrimitives.unWikiLink = \"~\";\nexports.textPrimitives.wikiLink = exports.textPrimitives.upperLetter + \"+\" +\n\texports.textPrimitives.lowerLetter + \"+\" +\n\texports.textPrimitives.upperLetter +\n\texports.textPrimitives.anyLetter + \"*\";\n\nexports.htmlEntities = {quot:34, amp:38, apos:39, lt:60, gt:62, nbsp:160, iexcl:161, cent:162, pound:163, curren:164, yen:165, brvbar:166, sect:167, uml:168, copy:169, ordf:170, laquo:171, not:172, shy:173, reg:174, macr:175, deg:176, plusmn:177, sup2:178, sup3:179, acute:180, micro:181, para:182, middot:183, cedil:184, sup1:185, ordm:186, raquo:187, frac14:188, frac12:189, frac34:190, iquest:191, Agrave:192, Aacute:193, Acirc:194, Atilde:195, Auml:196, Aring:197, AElig:198, Ccedil:199, Egrave:200, Eacute:201, Ecirc:202, Euml:203, Igrave:204, Iacute:205, Icirc:206, Iuml:207, ETH:208, Ntilde:209, Ograve:210, Oacute:211, Ocirc:212, Otilde:213, Ouml:214, times:215, Oslash:216, Ugrave:217, Uacute:218, Ucirc:219, Uuml:220, Yacute:221, THORN:222, szlig:223, agrave:224, aacute:225, acirc:226, atilde:227, auml:228, aring:229, aelig:230, ccedil:231, egrave:232, eacute:233, ecirc:234, euml:235, igrave:236, iacute:237, icirc:238, iuml:239, eth:240, ntilde:241, ograve:242, oacute:243, ocirc:244, otilde:245, ouml:246, divide:247, oslash:248, ugrave:249, uacute:250, ucirc:251, uuml:252, yacute:253, thorn:254, yuml:255, OElig:338, oelig:339, Scaron:352, scaron:353, Yuml:376, fnof:402, circ:710, tilde:732, Alpha:913, Beta:914, Gamma:915, Delta:916, Epsilon:917, Zeta:918, Eta:919, Theta:920, Iota:921, Kappa:922, Lambda:923, Mu:924, Nu:925, Xi:926, Omicron:927, Pi:928, Rho:929, Sigma:931, Tau:932, Upsilon:933, Phi:934, Chi:935, Psi:936, Omega:937, alpha:945, beta:946, gamma:947, delta:948, epsilon:949, zeta:950, eta:951, theta:952, iota:953, kappa:954, lambda:955, mu:956, nu:957, xi:958, omicron:959, pi:960, rho:961, sigmaf:962, sigma:963, tau:964, upsilon:965, phi:966, chi:967, psi:968, omega:969, thetasym:977, upsih:978, piv:982, ensp:8194, emsp:8195, thinsp:8201, zwnj:8204, zwj:8205, lrm:8206, rlm:8207, ndash:8211, mdash:8212, lsquo:8216, rsquo:8217, sbquo:8218, ldquo:8220, rdquo:8221, bdquo:8222, dagger:8224, Dagger:8225, bull:8226, hellip:8230, permil:8240, prime:8242, Prime:8243, lsaquo:8249, rsaquo:8250, oline:8254, frasl:8260, euro:8364, image:8465, weierp:8472, real:8476, trade:8482, alefsym:8501, larr:8592, uarr:8593, rarr:8594, darr:8595, harr:8596, crarr:8629, lArr:8656, uArr:8657, rArr:8658, dArr:8659, hArr:8660, forall:8704, part:8706, exist:8707, empty:8709, nabla:8711, isin:8712, notin:8713, ni:8715, prod:8719, sum:8721, minus:8722, lowast:8727, radic:8730, prop:8733, infin:8734, ang:8736, and:8743, or:8744, cap:8745, cup:8746, int:8747, there4:8756, sim:8764, cong:8773, asymp:8776, ne:8800, equiv:8801, le:8804, ge:8805, sub:8834, sup:8835, nsub:8836, sube:8838, supe:8839, oplus:8853, otimes:8855, perp:8869, sdot:8901, lceil:8968, rceil:8969, lfloor:8970, rfloor:8971, lang:9001, rang:9002, loz:9674, spades:9824, clubs:9827, hearts:9829, diams:9830 };\n\nexports.htmlVoidElements = \"area,base,br,col,command,embed,hr,img,input,keygen,link,meta,param,source,track,wbr\".split(\",\");\n\nexports.htmlBlockElements = \"address,article,aside,audio,blockquote,canvas,dd,div,dl,fieldset,figcaption,figure,footer,form,h1,h2,h3,h4,h5,h6,header,hgroup,hr,li,noscript,ol,output,p,pre,section,table,tfoot,ul,video\".split(\",\");\n\nexports.htmlUnsafeElements = \"script\".split(\",\");\n\n})();\n",
"type": "application/javascript",
"module-type": "config"
},
"$:/core/modules/deserializers.js": {
"title": "$:/core/modules/deserializers.js",
"text": "/*\\\ntitle: $:/core/modules/deserializers.js\ntype: application/javascript\nmodule-type: tiddlerdeserializer\n\nFunctions to deserialise tiddlers from a block of text\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nUtility function to parse an old-style tiddler DIV in a *.tid file. It looks like this:\n\n<div title=\"Title\" creator=\"JoeBloggs\" modifier=\"JoeBloggs\" created=\"201102111106\" modified=\"201102111310\" tags=\"myTag [[my long tag]]\">\n<pre>The text of the tiddler (without the expected HTML encoding).\n</pre>\n</div>\n\nNote that the field attributes are HTML encoded, but that the body of the <PRE> tag is not encoded.\n\nWhen these tiddler DIVs are encountered within a TiddlyWiki HTML file then the body is encoded in the usual way.\n*/\nvar parseTiddlerDiv = function(text /* [,fields] */) {\n\t// Slot together the default results\n\tvar result = {};\n\tif(arguments.length > 1) {\n\t\tfor(var f=1; f<arguments.length; f++) {\n\t\t\tvar fields = arguments[f];\n\t\t\tfor(var t in fields) {\n\t\t\t\tresult[t] = fields[t];\t\t\n\t\t\t}\n\t\t}\n\t}\n\t// Parse the DIV body\n\tvar startRegExp = /^\\s*<div\\s+([^>]*)>(\\s*<pre>)?/gi,\n\t\tendRegExp,\n\t\tmatch = startRegExp.exec(text);\n\tif(match) {\n\t\t// Old-style DIVs don't have the <pre> tag\n\t\tif(match[2]) {\n\t\t\tendRegExp = /<\\/pre>\\s*<\\/div>\\s*$/gi;\n\t\t} else {\n\t\t\tendRegExp = /<\\/div>\\s*$/gi;\n\t\t}\n\t\tvar endMatch = endRegExp.exec(text);\n\t\tif(endMatch) {\n\t\t\t// Extract the text\n\t\t\tresult.text = text.substring(match.index + match[0].length,endMatch.index);\n\t\t\t// Process the attributes\n\t\t\tvar attrRegExp = /\\s*([^=\\s]+)\\s*=\\s*(?:\"([^\"]*)\"|'([^']*)')/gi,\n\t\t\t\tattrMatch;\n\t\t\tdo {\n\t\t\t\tattrMatch = attrRegExp.exec(match[1]);\n\t\t\t\tif(attrMatch) {\n\t\t\t\t\tvar name = attrMatch[1];\n\t\t\t\t\tvar value = attrMatch[2] !== undefined ? attrMatch[2] : attrMatch[3];\n\t\t\t\t\tresult[name] = value;\n\t\t\t\t}\n\t\t\t} while(attrMatch);\n\t\t\treturn result;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports[\"application/x-tiddler-html-div\"] = function(text,fields) {\n\treturn [parseTiddlerDiv(text,fields)];\n};\n\nexports[\"application/json\"] = function(text,fields) {\n\tvar incoming,\n\t\tresults = [];\n\ttry {\n\t\tincoming = JSON.parse(text);\n\t} catch(e) {\n\t\tincoming = [{\n\t\t\ttitle: \"JSON error: \" + e,\n\t\t\ttext: \"\"\n\t\t}]\n\t}\n\tif(!$tw.utils.isArray(incoming)) {\n\t\tincoming = [incoming];\n\t}\n\tfor(var t=0; t<incoming.length; t++) {\n\t\tvar incomingFields = incoming[t],\n\t\t\tfields = {};\n\t\tfor(var f in incomingFields) {\n\t\t\tif(typeof incomingFields[f] === \"string\") {\n\t\t\t\tfields[f] = incomingFields[f];\n\t\t\t}\n\t\t}\n\t\tresults.push(fields);\n\t}\n\treturn results;\n};\n\n/*\nParse an HTML file into tiddlers. There are three possibilities:\n# A TiddlyWiki classic HTML file containing `text/x-tiddlywiki` tiddlers\n# A TiddlyWiki5 HTML file containing `text/vnd.tiddlywiki` tiddlers\n# An ordinary HTML file\n*/\nexports[\"text/html\"] = function(text,fields) {\n\t// Check if we've got a store area\n\tvar storeAreaMarkerRegExp = /<div id=[\"']?storeArea['\"]?( style=[\"']?display:none;[\"']?)?>/gi,\n\t\tmatch = storeAreaMarkerRegExp.exec(text);\n\tif(match) {\n\t\t// If so, it's either a classic TiddlyWiki file or an unencrypted TW5 file\n\t\t// First read the normal tiddlers\n\t\tvar results = deserializeTiddlyWikiFile(text,storeAreaMarkerRegExp.lastIndex,!!match[1],fields);\n\t\t// Then any system tiddlers\n\t\tvar systemAreaMarkerRegExp = /<div id=[\"']?systemArea['\"]?( style=[\"']?display:none;[\"']?)?>/gi,\n\t\t\tsysMatch = systemAreaMarkerRegExp.exec(text);\n\t\tif(sysMatch) {\n\t\t\tresults.push.apply(results,deserializeTiddlyWikiFile(text,systemAreaMarkerRegExp.lastIndex,!!sysMatch[1],fields));\n\t\t}\n\t\treturn results;\n\t} else {\n\t\t// Check whether we've got an encrypted file\n\t\tvar encryptedStoreArea = $tw.utils.extractEncryptedStoreArea(text);\n\t\tif(encryptedStoreArea) {\n\t\t\t// If so, attempt to decrypt it using the current password\n\t\t\treturn $tw.utils.decryptStoreArea(encryptedStoreArea);\n\t\t} else {\n\t\t\t// It's not a TiddlyWiki so we'll return the entire HTML file as a tiddler\n\t\t\treturn deserializeHtmlFile(text,fields);\n\t\t}\n\t}\n};\n\nfunction deserializeHtmlFile(text,fields) {\n\tvar result = {};\n\t$tw.utils.each(fields,function(value,name) {\n\t\tresult[name] = value;\n\t});\n\tresult.text = text;\n\tresult.type = \"text/html\";\n\treturn [result];\n}\n\nfunction deserializeTiddlyWikiFile(text,storeAreaEnd,isTiddlyWiki5,fields) {\n\tvar results = [],\n\t\tendOfDivRegExp = /(<\\/div>\\s*)/gi,\n\t\tstartPos = storeAreaEnd,\n\t\tdefaultType = isTiddlyWiki5 ? undefined : \"text/x-tiddlywiki\";\n\tendOfDivRegExp.lastIndex = startPos;\n\tvar match = endOfDivRegExp.exec(text);\n\twhile(match) {\n\t\tvar endPos = endOfDivRegExp.lastIndex,\n\t\t\ttiddlerFields = parseTiddlerDiv(text.substring(startPos,endPos),fields,{type: defaultType});\n\t\tif(!tiddlerFields) {\n\t\t\tbreak;\n\t\t}\n\t\t$tw.utils.each(tiddlerFields,function(value,name) {\n\t\t\tif(typeof value === \"string\") {\n\t\t\t\ttiddlerFields[name] = $tw.utils.htmlDecode(value);\n\t\t\t}\n\t\t});\n\t\tif(tiddlerFields.text !== null) {\n\t\t\tresults.push(tiddlerFields);\n\t\t}\n\t\tstartPos = endPos;\n\t\tmatch = endOfDivRegExp.exec(text);\n\t}\n\treturn results;\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "tiddlerdeserializer"
},
"$:/core/modules/editor/engines/framed.js": {
"title": "$:/core/modules/editor/engines/framed.js",
"text": "/*\\\ntitle: $:/core/modules/editor/engines/framed.js\ntype: application/javascript\nmodule-type: library\n\nText editor engine based on a simple input or textarea within an iframe. This is done so that the selection is preserved even when clicking away from the textarea\n\n\\*/\n(function(){\n\n/*jslint node: true,browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\nfunction FramedEngine(options) {\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\t// Create our hidden dummy text area for reading styles\n\tthis.dummyTextArea = this.widget.document.createElement(\"textarea\");\n\tif(this.widget.editClass) {\n\t\tthis.dummyTextArea.className = this.widget.editClass;\n\t}\n\tthis.dummyTextArea.setAttribute(\"hidden\",\"true\");\n\tthis.parentNode.insertBefore(this.dummyTextArea,this.nextSibling);\n\tthis.widget.domNodes.push(this.dummyTextArea);\n\t// Create the iframe\n\tthis.iframeNode = this.widget.document.createElement(\"iframe\");\n\tthis.parentNode.insertBefore(this.iframeNode,this.nextSibling);\n\tthis.iframeDoc = this.iframeNode.contentWindow.document;\n\t// (Firefox requires us to put some empty content in the iframe)\n\tthis.iframeDoc.open();\n\tthis.iframeDoc.write(\"\");\n\tthis.iframeDoc.close();\n\t// Style the iframe\n\tthis.iframeNode.className = this.dummyTextArea.className;\n\tthis.iframeNode.style.border = \"none\";\n\tthis.iframeNode.style.padding = \"0\";\n\tthis.iframeNode.style.resize = \"none\";\n\tthis.iframeDoc.body.style.margin = \"0\";\n\tthis.iframeDoc.body.style.padding = \"0\";\n\tthis.widget.domNodes.push(this.iframeNode);\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.iframeDoc.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.iframeDoc.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\t// Copy the styles from the dummy textarea\n\tthis.copyStyles();\n\t// Add event listeners\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"click\",handlerObject: this,handlerMethod: \"handleClickEvent\"},\n\t\t{name: \"input\",handlerObject: this,handlerMethod: \"handleInputEvent\"},\n\t\t{name: \"keydown\",handlerObject: this.widget,handlerMethod: \"handleKeydownEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.iframeDoc.body.appendChild(this.domNode);\n}\n\n/*\nCopy styles from the dummy text area to the textarea in the iframe\n*/\nFramedEngine.prototype.copyStyles = function() {\n\t// Copy all styles\n\t$tw.utils.copyStyles(this.dummyTextArea,this.domNode);\n\t// Override the ones that should not be set the same as the dummy textarea\n\tthis.domNode.style.display = \"block\";\n\tthis.domNode.style.width = \"100%\";\n\tthis.domNode.style.margin = \"0\";\n\t// In Chrome setting -webkit-text-fill-color overrides the placeholder text colour\n\tthis.domNode.style[\"-webkit-text-fill-color\"] = \"currentcolor\";\n};\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nFramedEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode) {\n\t\t\tthis.domNode.value = text;\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nGet the text of the engine\n*/\nFramedEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nFramedEngine.prototype.fixHeight = function() {\n\t// Make sure styles are updated\n\tthis.copyStyles();\n\t// Adjust height\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\tvar newHeight = $tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t\tthis.iframeNode.style.height = (newHeight + 14) + \"px\"; // +14 for the border on the textarea\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t\tthis.iframeNode.style.height = (fixedHeight + 14) + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nFramedEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a click\n*/\nFramedEngine.prototype.handleClickEvent = function(event) {\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nFramedEngine.prototype.handleInputEvent = function(event) {\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nFramedEngine.prototype.createTextOperation = function() {\n\tvar operation = {\n\t\ttext: this.domNode.value,\n\t\tselStart: this.domNode.selectionStart,\n\t\tselEnd: this.domNode.selectionEnd,\n\t\tcutStart: null,\n\t\tcutEnd: null,\n\t\treplacement: null,\n\t\tnewSelStart: null,\n\t\tnewSelEnd: null\n\t};\n\toperation.selection = operation.text.substring(operation.selStart,operation.selEnd);\n\treturn operation;\n};\n\n/*\nExecute a text operation\n*/\nFramedEngine.prototype.executeTextOperation = function(operation) {\n\t// Perform the required changes to the text area and the underlying tiddler\n\tvar newText = operation.text;\n\tif(operation.replacement !== null) {\n\t\tnewText = operation.text.substring(0,operation.cutStart) + operation.replacement + operation.text.substring(operation.cutEnd);\n\t\t// Attempt to use a execCommand to modify the value of the control\n\t\tif(this.iframeDoc.queryCommandSupported(\"insertText\") && this.iframeDoc.queryCommandSupported(\"delete\") && !$tw.browser.isFirefox) {\n\t\t\tthis.domNode.focus();\n\t\t\tthis.domNode.setSelectionRange(operation.cutStart,operation.cutEnd);\n\t\t\tif(operation.replacement === \"\") {\n\t\t\t\tthis.iframeDoc.execCommand(\"delete\",false,\"\");\n\t\t\t} else {\n\t\t\t\tthis.iframeDoc.execCommand(\"insertText\",false,operation.replacement);\n\t\t\t}\n\t\t} else {\n\t\t\tthis.domNode.value = newText;\n\t\t}\n\t\tthis.domNode.focus();\n\t\tthis.domNode.setSelectionRange(operation.newSelStart,operation.newSelEnd);\n\t}\n\tthis.domNode.focus();\n\treturn newText;\n};\n\nexports.FramedEngine = FramedEngine;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/engines/simple.js": {
"title": "$:/core/modules/editor/engines/simple.js",
"text": "/*\\\ntitle: $:/core/modules/editor/engines/simple.js\ntype: application/javascript\nmodule-type: library\n\nText editor engine based on a simple input or textarea tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\nfunction SimpleEngine(options) {\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.widget.document.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.widget.document.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\tif(this.widget.editClass) {\n\t\tthis.domNode.className = this.widget.editClass;\n\t}\n\t// Add an input event handler\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"focus\", handlerObject: this, handlerMethod: \"handleFocusEvent\"},\n\t\t{name: \"input\", handlerObject: this, handlerMethod: \"handleInputEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.parentNode.insertBefore(this.domNode,this.nextSibling);\n\tthis.widget.domNodes.push(this.domNode);\n}\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nSimpleEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode || text === \"\") {\n\t\t\tthis.domNode.value = text;\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nGet the text of the engine\n*/\nSimpleEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nSimpleEngine.prototype.fixHeight = function() {\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\t$tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nSimpleEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nSimpleEngine.prototype.handleInputEvent = function(event) {\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nHandle a dom \"focus\" event\n*/\nSimpleEngine.prototype.handleFocusEvent = function(event) {\n\tif(this.widget.editFocusPopup) {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: this.domNode,\n\t\t\ttitle: this.widget.editFocusPopup,\n\t\t\twiki: this.widget.wiki,\n\t\t\tforce: true\n\t\t});\n\t}\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nSimpleEngine.prototype.createTextOperation = function() {\n\treturn null;\n};\n\n/*\nExecute a text operation\n*/\nSimpleEngine.prototype.executeTextOperation = function(operation) {\n};\n\nexports.SimpleEngine = SimpleEngine;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/factory.js": {
"title": "$:/core/modules/editor/factory.js",
"text": "/*\\\ntitle: $:/core/modules/editor/factory.js\ntype: application/javascript\nmodule-type: library\n\nFactory for constructing text editor widgets with specified engines for the toolbar and non-toolbar cases\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar DEFAULT_MIN_TEXT_AREA_HEIGHT = \"100px\"; // Minimum height of textareas in pixels\n\n// Configuration tiddlers\nvar HEIGHT_MODE_TITLE = \"$:/config/TextEditor/EditorHeight/Mode\";\nvar ENABLE_TOOLBAR_TITLE = \"$:/config/TextEditor/EnableToolbar\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nfunction editTextWidgetFactory(toolbarEngine,nonToolbarEngine) {\n\n\tvar EditTextWidget = function(parseTreeNode,options) {\n\t\t// Initialise the editor operations if they've not been done already\n\t\tif(!this.editorOperations) {\n\t\t\tEditTextWidget.prototype.editorOperations = {};\n\t\t\t$tw.modules.applyMethods(\"texteditoroperation\",this.editorOperations);\n\t\t}\n\t\tthis.initialise(parseTreeNode,options);\n\t};\n\n\t/*\n\tInherit from the base widget class\n\t*/\n\tEditTextWidget.prototype = new Widget();\n\n\t/*\n\tRender this widget into the DOM\n\t*/\n\tEditTextWidget.prototype.render = function(parent,nextSibling) {\n\t\t// Save the parent dom node\n\t\tthis.parentDomNode = parent;\n\t\t// Compute our attributes\n\t\tthis.computeAttributes();\n\t\t// Execute our logic\n\t\tthis.execute();\n\t\t// Create the wrapper for the toolbar and render its content\n\t\tif(this.editShowToolbar) {\n\t\t\tthis.toolbarNode = this.document.createElement(\"div\");\n\t\t\tthis.toolbarNode.className = \"tc-editor-toolbar\";\n\t\t\tparent.insertBefore(this.toolbarNode,nextSibling);\n\t\t\tthis.renderChildren(this.toolbarNode,null);\n\t\t\tthis.domNodes.push(this.toolbarNode);\n\t\t}\n\t\t// Create our element\n\t\tvar editInfo = this.getEditInfo(),\n\t\t\tEngine = this.editShowToolbar ? toolbarEngine : nonToolbarEngine;\n\t\tthis.engine = new Engine({\n\t\t\t\twidget: this,\n\t\t\t\tvalue: editInfo.value,\n\t\t\t\ttype: editInfo.type,\n\t\t\t\tparentNode: parent,\n\t\t\t\tnextSibling: nextSibling\n\t\t\t});\n\t\t// Call the postRender hook\n\t\tif(this.postRender) {\n\t\t\tthis.postRender();\n\t\t}\n\t\t// Fix height\n\t\tthis.engine.fixHeight();\n\t\t// Focus if required\n\t\tif(this.editFocus === \"true\" || this.editFocus === \"yes\") {\n\t\t\tthis.engine.focus();\n\t\t}\n\t\t// Add widget message listeners\n\t\tthis.addEventListeners([\n\t\t\t{type: \"tm-edit-text-operation\", handler: \"handleEditTextOperationMessage\"}\n\t\t]);\n\t};\n\n\t/*\n\tGet the tiddler being edited and current value\n\t*/\n\tEditTextWidget.prototype.getEditInfo = function() {\n\t\t// Get the edit value\n\t\tvar self = this,\n\t\t\tvalue,\n\t\t\ttype = \"text/plain\",\n\t\t\tupdate;\n\t\tif(this.editIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(this.editTitle,this.editIndex,this.editDefault);\n\t\t\tupdate = function(value) {\n\t\t\t\tvar data = self.wiki.getTiddlerData(self.editTitle,{});\n\t\t\t\tif(data[self.editIndex] !== value) {\n\t\t\t\t\tdata[self.editIndex] = value;\n\t\t\t\t\tself.wiki.setTiddlerData(self.editTitle,data);\n\t\t\t\t}\n\t\t\t};\n\t\t} else {\n\t\t\t// Get the current tiddler and the field name\n\t\t\tvar tiddler = this.wiki.getTiddler(this.editTitle);\n\t\t\tif(tiddler) {\n\t\t\t\t// If we've got a tiddler, the value to display is the field string value\n\t\t\t\tvalue = tiddler.getFieldString(this.editField);\n\t\t\t\tif(this.editField === \"text\") {\n\t\t\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\";\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\t// Otherwise, we need to construct a default value for the editor\n\t\t\t\tswitch(this.editField) {\n\t\t\t\t\tcase \"text\":\n\t\t\t\t\t\tvalue = \"Type the text for the tiddler '\" + this.editTitle + \"'\";\n\t\t\t\t\t\ttype = \"text/vnd.tiddlywiki\";\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"title\":\n\t\t\t\t\t\tvalue = this.editTitle;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tvalue = \"\";\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\tif(this.editDefault !== undefined) {\n\t\t\t\t\tvalue = this.editDefault;\n\t\t\t\t}\n\t\t\t}\n\t\t\tupdate = function(value) {\n\t\t\t\tvar tiddler = self.wiki.getTiddler(self.editTitle),\n\t\t\t\t\tupdateFields = {\n\t\t\t\t\t\ttitle: self.editTitle\n\t\t\t\t\t};\n\t\t\t\tupdateFields[self.editField] = value;\n\t\t\t\tself.wiki.addTiddler(new $tw.Tiddler(self.wiki.getCreationFields(),tiddler,updateFields,self.wiki.getModificationFields()));\n\t\t\t};\n\t\t}\n\t\tif(this.editType) {\n\t\t\ttype = this.editType;\n\t\t}\n\t\treturn {value: value || \"\", type: type, update: update};\n\t};\n\n\t/*\n\tHandle an edit text operation message from the toolbar\n\t*/\n\tEditTextWidget.prototype.handleEditTextOperationMessage = function(event) {\n\t\t// Prepare information about the operation\n\t\tvar operation = this.engine.createTextOperation();\n\t\t// Invoke the handler for the selected operation\n\t\tvar handler = this.editorOperations[event.param];\n\t\tif(handler) {\n\t\t\thandler.call(this,event,operation);\n\t\t}\n\t\t// Execute the operation via the engine\n\t\tvar newText = this.engine.executeTextOperation(operation);\n\t\t// Fix the tiddler height and save changes\n\t\tthis.engine.fixHeight();\n\t\tthis.saveChanges(newText);\n\t};\n\n\t/*\n\tCompute the internal state of the widget\n\t*/\n\tEditTextWidget.prototype.execute = function() {\n\t\t// Get our parameters\n\t\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t\tthis.editField = this.getAttribute(\"field\",\"text\");\n\t\tthis.editIndex = this.getAttribute(\"index\");\n\t\tthis.editDefault = this.getAttribute(\"default\");\n\t\tthis.editClass = this.getAttribute(\"class\");\n\t\tthis.editPlaceholder = this.getAttribute(\"placeholder\");\n\t\tthis.editSize = this.getAttribute(\"size\");\n\t\tthis.editRows = this.getAttribute(\"rows\");\n\t\tthis.editAutoHeight = this.wiki.getTiddlerText(HEIGHT_MODE_TITLE,\"auto\");\n\t\tthis.editAutoHeight = this.getAttribute(\"autoHeight\",this.editAutoHeight === \"auto\" ? \"yes\" : \"no\") === \"yes\";\n\t\tthis.editMinHeight = this.getAttribute(\"minHeight\",DEFAULT_MIN_TEXT_AREA_HEIGHT);\n\t\tthis.editFocusPopup = this.getAttribute(\"focusPopup\");\n\t\tthis.editFocus = this.getAttribute(\"focus\");\n\t\t// Get the default editor element tag and type\n\t\tvar tag,type;\n\t\tif(this.editField === \"text\") {\n\t\t\ttag = \"textarea\";\n\t\t} else {\n\t\t\ttag = \"input\";\n\t\t\tvar fieldModule = $tw.Tiddler.fieldModules[this.editField];\n\t\t\tif(fieldModule && fieldModule.editTag) {\n\t\t\t\ttag = fieldModule.editTag;\n\t\t\t}\n\t\t\tif(fieldModule && fieldModule.editType) {\n\t\t\t\ttype = fieldModule.editType;\n\t\t\t}\n\t\t\ttype = type || \"text\";\n\t\t}\n\t\t// Get the rest of our parameters\n\t\tthis.editTag = this.getAttribute(\"tag\",tag);\n\t\tthis.editType = this.getAttribute(\"type\",type);\n\t\t// Make the child widgets\n\t\tthis.makeChildWidgets();\n\t\t// Determine whether to show the toolbar\n\t\tthis.editShowToolbar = this.wiki.getTiddlerText(ENABLE_TOOLBAR_TITLE,\"yes\");\n\t\tthis.editShowToolbar = (this.editShowToolbar === \"yes\") && !!(this.children && this.children.length > 0) && (!this.document.isTiddlyWikiFakeDom);\n\t};\n\n\t/*\n\tSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n\t*/\n\tEditTextWidget.prototype.refresh = function(changedTiddlers) {\n\t\tvar changedAttributes = this.computeAttributes();\n\t\t// Completely rerender if any of our attributes have changed\n\t\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes[\"default\"] || changedAttributes[\"class\"] || changedAttributes.placeholder || changedAttributes.size || changedAttributes.autoHeight || changedAttributes.minHeight || changedAttributes.focusPopup || changedAttributes.rows || changedTiddlers[HEIGHT_MODE_TITLE] || changedTiddlers[ENABLE_TOOLBAR_TITLE]) {\n\t\t\tthis.refreshSelf();\n\t\t\treturn true;\n\t\t} else if(changedTiddlers[this.editTitle]) {\n\t\t\tvar editInfo = this.getEditInfo();\n\t\t\tthis.updateEditor(editInfo.value,editInfo.type);\n\t\t}\n\t\tthis.engine.fixHeight();\n\t\tif(this.editShowToolbar) {\n\t\t\treturn this.refreshChildren(changedTiddlers);\t\t\t\n\t\t} else {\n\t\t\treturn false;\n\t\t}\n\t};\n\n\t/*\n\tUpdate the editor with new text. This method is separate from updateEditorDomNode()\n\tso that subclasses can override updateEditor() and still use updateEditorDomNode()\n\t*/\n\tEditTextWidget.prototype.updateEditor = function(text,type) {\n\t\tthis.updateEditorDomNode(text,type);\n\t};\n\n\t/*\n\tUpdate the editor dom node with new text\n\t*/\n\tEditTextWidget.prototype.updateEditorDomNode = function(text,type) {\n\t\tthis.engine.setText(text,type);\n\t};\n\n\t/*\n\tSave changes back to the tiddler store\n\t*/\n\tEditTextWidget.prototype.saveChanges = function(text) {\n\t\tvar editInfo = this.getEditInfo();\n\t\tif(text !== editInfo.value) {\n\t\t\teditInfo.update(text);\n\t\t}\n\t};\n\n\t/*\n\tHandle a dom \"keydown\" event, which we'll bubble up to our container for the keyboard widgets benefit\n\t*/\n\tEditTextWidget.prototype.handleKeydownEvent = function(event) {\n\t\t// Check for a keyboard shortcut\n\t\tif(this.toolbarNode) {\n\t\t\tvar shortcutElements = this.toolbarNode.querySelectorAll(\"[data-tw-keyboard-shortcut]\");\n\t\t\tfor(var index=0; index<shortcutElements.length; index++) {\n\t\t\t\tvar el = shortcutElements[index],\n\t\t\t\t\tshortcutData = el.getAttribute(\"data-tw-keyboard-shortcut\"),\n\t\t\t\t\tkeyInfoArray = $tw.keyboardManager.parseKeyDescriptors(shortcutData,{\n\t\t\t\t\t\twiki: this.wiki\n\t\t\t\t\t});\n\t\t\t\tif($tw.keyboardManager.checkKeyDescriptors(event,keyInfoArray)) {\n\t\t\t\t\tvar clickEvent = this.document.createEvent(\"Events\");\n\t\t\t\t clickEvent.initEvent(\"click\",true,false);\n\t\t\t\t el.dispatchEvent(clickEvent);\n\t\t\t\t\tevent.preventDefault();\n\t\t\t\t\tevent.stopPropagation();\n\t\t\t\t\treturn true;\t\t\t\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\t// Propogate the event to the container\n\t\tif(this.propogateKeydownEvent(event)) {\n\t\t\t// Ignore the keydown if it was already handled\n\t\t\tevent.preventDefault();\n\t\t\tevent.stopPropagation();\n\t\t\treturn true;\n\t\t}\n\t\t// Otherwise, process the keydown normally\n\t\treturn false;\n\t};\n\n\t/*\n\tPropogate keydown events to our container for the keyboard widgets benefit\n\t*/\n\tEditTextWidget.prototype.propogateKeydownEvent = function(event) {\n\t\tvar newEvent = this.document.createEventObject ? this.document.createEventObject() : this.document.createEvent(\"Events\");\n\t\tif(newEvent.initEvent) {\n\t\t\tnewEvent.initEvent(\"keydown\", true, true);\n\t\t}\n\t\tnewEvent.keyCode = event.keyCode;\n\t\tnewEvent.which = event.which;\n\t\tnewEvent.metaKey = event.metaKey;\n\t\tnewEvent.ctrlKey = event.ctrlKey;\n\t\tnewEvent.altKey = event.altKey;\n\t\tnewEvent.shiftKey = event.shiftKey;\n\t\treturn !this.parentDomNode.dispatchEvent(newEvent);\n\t};\n\n\treturn EditTextWidget;\n\n}\n\nexports.editTextWidgetFactory = editTextWidgetFactory;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/operations/bitmap/clear.js": {
"title": "$:/core/modules/editor/operations/bitmap/clear.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/clear.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to clear the image\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"clear\"] = function(event) {\n\tvar ctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.globalAlpha = 1;\n\tctx.fillStyle = event.paramObject.colour || \"white\";\n\tctx.fillRect(0,0,this.canvasDomNode.width,this.canvasDomNode.height);\n\t// Save changes\n\tthis.strokeEnd();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/bitmap/resize.js": {
"title": "$:/core/modules/editor/operations/bitmap/resize.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/resize.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to resize the image\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"resize\"] = function(event) {\n\t// Get the new width\n\tvar newWidth = parseInt(event.paramObject.width || this.canvasDomNode.width,10),\n\t\tnewHeight = parseInt(event.paramObject.height || this.canvasDomNode.height,10);\n\t// Update if necessary\n\tif(newWidth > 0 && newHeight > 0 && !(newWidth === this.currCanvas.width && newHeight === this.currCanvas.height)) {\n\t\tthis.changeCanvasSize(newWidth,newHeight);\n\t}\n\t// Update the input controls\n\tthis.refreshToolbar();\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/bitmap/rotate-left.js": {
"title": "$:/core/modules/editor/operations/bitmap/rotate-left.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/rotate-left.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to rotate the image left by 90 degrees\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"rotate-left\"] = function(event) {\n\t// Rotate the canvas left by 90 degrees\n\tthis.rotateCanvasLeft();\n\t// Update the input controls\n\tthis.refreshToolbar();\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/text/excise.js": {
"title": "$:/core/modules/editor/operations/text/excise.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/excise.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to excise the selection to a new tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"excise\"] = function(event,operation) {\n\tvar editTiddler = this.wiki.getTiddler(this.editTitle),\n\t\teditTiddlerTitle = this.editTitle;\n\tif(editTiddler && editTiddler.fields[\"draft.of\"]) {\n\t\teditTiddlerTitle = editTiddler.fields[\"draft.of\"];\n\t}\n\tvar excisionTitle = event.paramObject.title || this.wiki.generateNewTitle(\"New Excision\");\n\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\tthis.wiki.getCreationFields(),\n\t\tthis.wiki.getModificationFields(),\n\t\t{\n\t\t\ttitle: excisionTitle,\n\t\t\ttext: operation.selection,\n\t\t\ttags: event.paramObject.tagnew === \"yes\" ? [editTiddlerTitle] : []\n\t\t}\n\t));\n\toperation.replacement = excisionTitle;\n\tswitch(event.paramObject.type || \"transclude\") {\n\t\tcase \"transclude\":\n\t\t\toperation.replacement = \"{{\" + operation.replacement+ \"}}\";\n\t\t\tbreak;\n\t\tcase \"link\":\n\t\t\toperation.replacement = \"[[\" + operation.replacement+ \"]]\";\n\t\t\tbreak;\n\t\tcase \"macro\":\n\t\t\toperation.replacement = \"<<\" + (event.paramObject.macro || \"translink\") + \" \\\"\\\"\\\"\" + operation.replacement + \"\\\"\\\"\\\">>\";\n\t\t\tbreak;\n\t}\n\toperation.cutStart = operation.selStart;\n\toperation.cutEnd = operation.selEnd;\n\toperation.newSelStart = operation.selStart;\n\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/make-link.js": {
"title": "$:/core/modules/editor/operations/text/make-link.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/make-link.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to make a link\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"make-link\"] = function(event,operation) {\n\tif(operation.selection) {\n\t\toperation.replacement = \"[[\" + operation.selection + \"|\" + event.paramObject.text + \"]]\";\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t} else {\n\t\toperation.replacement = \"[[\" + event.paramObject.text + \"]]\";\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t}\n\toperation.newSelStart = operation.selStart + operation.replacement.length;\n\toperation.newSelEnd = operation.newSelStart;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/prefix-lines.js": {
"title": "$:/core/modules/editor/operations/text/prefix-lines.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/prefix-lines.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to add a prefix to the selected lines\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"prefix-lines\"] = function(event,operation) {\n\t// Cut just past the preceding line break, or the start of the text\n\toperation.cutStart = $tw.utils.findPrecedingLineBreak(operation.text,operation.selStart);\n\t// Cut to just past the following line break, or to the end of the text\n\toperation.cutEnd = $tw.utils.findFollowingLineBreak(operation.text,operation.selEnd);\n\t// Compose the required prefix\n\tvar prefix = $tw.utils.repeat(event.paramObject.character,event.paramObject.count);\n\t// Process each line\n\tvar lines = operation.text.substring(operation.cutStart,operation.cutEnd).split(/\\r?\\n/mg);\n\t$tw.utils.each(lines,function(line,index) {\n\t\t// Remove and count any existing prefix characters\n\t\tvar count = 0;\n\t\twhile(line.charAt(0) === event.paramObject.character) {\n\t\t\tline = line.substring(1);\n\t\t\tcount++;\n\t\t}\n\t\t// Remove any whitespace\n\t\twhile(line.charAt(0) === \" \") {\n\t\t\tline = line.substring(1);\n\t\t}\n\t\t// We're done if we removed the exact required prefix, otherwise add it\n\t\tif(count !== event.paramObject.count) {\n\t\t\t// Apply the prefix\n\t\t\tline = prefix + \" \" + line;\n\t\t}\n\t\t// Save the modified line\n\t\tlines[index] = line;\n\t});\n\t// Stitch the replacement text together and set the selection\n\toperation.replacement = lines.join(\"\\n\");\n\tif(lines.length === 1) {\n\t\toperation.newSelStart = operation.cutStart + operation.replacement.length;\n\t\toperation.newSelEnd = operation.newSelStart;\n\t} else {\n\t\toperation.newSelStart = operation.cutStart;\n\t\toperation.newSelEnd = operation.newSelStart + operation.replacement.length;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/replace-all.js": {
"title": "$:/core/modules/editor/operations/text/replace-all.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/replace-all.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to replace the entire text\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"replace-all\"] = function(event,operation) {\n\toperation.cutStart = 0;\n\toperation.cutEnd = operation.text.length;\n\toperation.replacement = event.paramObject.text;\n\toperation.newSelStart = 0;\n\toperation.newSelEnd = operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/replace-selection.js": {
"title": "$:/core/modules/editor/operations/text/replace-selection.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/replace-selection.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to replace the selection\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"replace-selection\"] = function(event,operation) {\n\toperation.replacement = event.paramObject.text;\n\toperation.cutStart = operation.selStart;\n\toperation.cutEnd = operation.selEnd;\n\toperation.newSelStart = operation.selStart;\n\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/wrap-lines.js": {
"title": "$:/core/modules/editor/operations/text/wrap-lines.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/wrap-lines.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to wrap the selected lines with a prefix and suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"wrap-lines\"] = function(event,operation) {\n\t// Cut just past the preceding line break, or the start of the text\n\toperation.cutStart = $tw.utils.findPrecedingLineBreak(operation.text,operation.selStart);\n\t// Cut to just past the following line break, or to the end of the text\n\toperation.cutEnd = $tw.utils.findFollowingLineBreak(operation.text,operation.selEnd);\n\t// Add the prefix and suffix\n\toperation.replacement = event.paramObject.prefix + \"\\n\" +\n\t\t\t\toperation.text.substring(operation.cutStart,operation.cutEnd) + \"\\n\" +\n\t\t\t\tevent.paramObject.suffix + \"\\n\";\n\toperation.newSelStart = operation.cutStart + event.paramObject.prefix.length + 1;\n\toperation.newSelEnd = operation.newSelStart + (operation.cutEnd - operation.cutStart);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/wrap-selection.js": {
"title": "$:/core/modules/editor/operations/text/wrap-selection.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/wrap-selection.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to wrap the selection with the specified prefix and suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"wrap-selection\"] = function(event,operation) {\n\tif(operation.selStart === operation.selEnd) {\n\t\t// No selection; check if we're within the prefix/suffix\n\t\tif(operation.text.substring(operation.selStart - event.paramObject.prefix.length,operation.selStart + event.paramObject.suffix.length) === event.paramObject.prefix + event.paramObject.suffix) {\n\t\t\t// Remove the prefix and suffix unless they comprise the entire text\n\t\t\tif(operation.selStart > event.paramObject.prefix.length || (operation.selEnd + event.paramObject.suffix.length) < operation.text.length ) {\n\t\t\t\toperation.cutStart = operation.selStart - event.paramObject.prefix.length;\n\t\t\t\toperation.cutEnd = operation.selEnd + event.paramObject.suffix.length;\n\t\t\t\toperation.replacement = \"\";\n\t\t\t\toperation.newSelStart = operation.cutStart;\n\t\t\t\toperation.newSelEnd = operation.newSelStart;\n\t\t\t}\n\t\t} else {\n\t\t\t// Wrap the cursor instead\n\t\t\toperation.cutStart = operation.selStart;\n\t\t\toperation.cutEnd = operation.selEnd;\n\t\t\toperation.replacement = event.paramObject.prefix + event.paramObject.suffix;\n\t\t\toperation.newSelStart = operation.selStart + event.paramObject.prefix.length;\n\t\t\toperation.newSelEnd = operation.newSelStart;\n\t\t}\n\t} else if(operation.text.substring(operation.selStart,operation.selStart + event.paramObject.prefix.length) === event.paramObject.prefix && operation.text.substring(operation.selEnd - event.paramObject.suffix.length,operation.selEnd) === event.paramObject.suffix) {\n\t\t// Prefix and suffix are already present, so remove them\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t\toperation.replacement = operation.selection.substring(event.paramObject.prefix.length,operation.selection.length - event.paramObject.suffix.length);\n\t\toperation.newSelStart = operation.selStart;\n\t\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n\t} else {\n\t\t// Add the prefix and suffix\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t\toperation.replacement = event.paramObject.prefix + operation.selection + event.paramObject.suffix;\n\t\toperation.newSelStart = operation.selStart;\n\t\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/filters/addprefix.js": {
"title": "$:/core/modules/filters/addprefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/addprefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for adding a prefix to each title in the list. This is\nespecially useful in contexts where only a filter expression is allowed\nand macro substitution isn't available.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.addprefix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(operator.operand + title);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/addsuffix.js": {
"title": "$:/core/modules/filters/addsuffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/addsuffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for adding a suffix to each title in the list. This is\nespecially useful in contexts where only a filter expression is allowed\nand macro substitution isn't available.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.addsuffix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title + operator.operand);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/after.js": {
"title": "$:/core/modules/filters/after.js",
"text": "/*\\\ntitle: $:/core/modules/filters/after.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler from the current list that is after the tiddler named in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.after = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar index = results.indexOf(operator.operand);\n\tif(index === -1 || index > (results.length - 2)) {\n\t\treturn [];\n\t} else {\n\t\treturn [results[index + 1]];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/all/current.js": {
"title": "$:/core/modules/filters/all/current.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/current.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[current]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.current = function(source,prefix,options) {\n\tvar currTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\");\n\tif(currTiddlerTitle) {\n\t\treturn [currTiddlerTitle];\n\t} else {\n\t\treturn [];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/missing.js": {
"title": "$:/core/modules/filters/all/missing.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/missing.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[missing]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.missing = function(source,prefix,options) {\n\treturn options.wiki.getMissingTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/orphans.js": {
"title": "$:/core/modules/filters/all/orphans.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/orphans.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[orphans]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.orphans = function(source,prefix,options) {\n\treturn options.wiki.getOrphanTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/shadows.js": {
"title": "$:/core/modules/filters/all/shadows.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/shadows.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[shadows]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadows = function(source,prefix,options) {\n\treturn options.wiki.allShadowTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/tags.js": {
"title": "$:/core/modules/filters/all/tags.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/tags.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[tags]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tags = function(source,prefix,options) {\n\treturn Object.keys(options.wiki.getTagMap());\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/tiddlers.js": {
"title": "$:/core/modules/filters/all/tiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/tiddlers.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[tiddlers]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tiddlers = function(source,prefix,options) {\n\treturn options.wiki.allTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all.js": {
"title": "$:/core/modules/filters/all.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for selecting tiddlers\n\n[all[shadows+tiddlers]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar allFilterOperators;\n\nfunction getAllFilterOperators() {\n\tif(!allFilterOperators) {\n\t\tallFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"allfilteroperator\",allFilterOperators);\n\t}\n\treturn allFilterOperators;\n}\n\n/*\nExport our filter function\n*/\nexports.all = function(source,operator,options) {\n\t// Get our suboperators\n\tvar allFilterOperators = getAllFilterOperators();\n\t// Cycle through the suboperators accumulating their results\n\tvar results = [],\n\t\tsubops = operator.operand.split(\"+\");\n\t// Check for common optimisations\n\tif(subops.length === 1 && subops[0] === \"\") {\n\t\treturn source;\n\t} else if(subops.length === 1 && subops[0] === \"tiddlers\") {\n\t\treturn options.wiki.each;\n\t} else if(subops.length === 1 && subops[0] === \"shadows\") {\n\t\treturn options.wiki.eachShadow;\n\t} else if(subops.length === 2 && subops[0] === \"tiddlers\" && subops[1] === \"shadows\") {\n\t\treturn options.wiki.eachTiddlerPlusShadows;\n\t} else if(subops.length === 2 && subops[0] === \"shadows\" && subops[1] === \"tiddlers\") {\n\t\treturn options.wiki.eachShadowPlusTiddlers;\n\t}\n\t// Do it the hard way\n\tfor(var t=0; t<subops.length; t++) {\n\t\tvar subop = allFilterOperators[subops[t]];\n\t\tif(subop) {\n\t\t\t$tw.utils.pushTop(results,subop(source,operator.prefix,options));\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/backlinks.js": {
"title": "$:/core/modules/filters/backlinks.js",
"text": "/*\\\ntitle: $:/core/modules/filters/backlinks.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning all the backlinks from a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.backlinks = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.getTiddlerBacklinks(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/before.js": {
"title": "$:/core/modules/filters/before.js",
"text": "/*\\\ntitle: $:/core/modules/filters/before.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler from the current list that is before the tiddler named in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.before = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar index = results.indexOf(operator.operand);\n\tif(index <= 0) {\n\t\treturn [];\n\t} else {\n\t\treturn [results[index - 1]];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/commands.js": {
"title": "$:/core/modules/filters/commands.js",
"text": "/*\\\ntitle: $:/core/modules/filters/commands.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the commands available in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.commands = function(source,operator,options) {\n\tvar results = [];\n\t$tw.utils.each($tw.commands,function(commandInfo,name) {\n\t\tresults.push(name);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/count.js": {
"title": "$:/core/modules/filters/count.js",
"text": "/*\\\ntitle: $:/core/modules/filters/count.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the number of entries in the current list.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.count = function(source,operator,options) {\n\tvar count = 0;\n\tsource(function(tiddler,title) {\n\t\tcount++;\n\t});\n\treturn [count + \"\"];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/days.js": {
"title": "$:/core/modules/filters/days.js",
"text": "/*\\\ntitle: $:/core/modules/filters/days.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects tiddlers with a specified date field within a specified date interval.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.days = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldName = operator.suffix || \"modified\",\n\t\tdayInterval = (parseInt(operator.operand,10)||0),\n\t\tdayIntervalSign = $tw.utils.sign(dayInterval),\n\t\ttargetTimeStamp = (new Date()).setHours(0,0,0,0) + 1000*60*60*24*dayInterval,\n\t\tisWithinDays = function(dateField) {\n\t\t\tvar sign = $tw.utils.sign(targetTimeStamp - (new Date(dateField)).setHours(0,0,0,0));\n\t\t\treturn sign === 0 || sign === dayIntervalSign;\n\t\t};\n\n\tif(operator.prefix === \"!\") {\n\t\ttargetTimeStamp = targetTimeStamp - 1000*60*60*24*dayIntervalSign;\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\t\tif(!isWithinDays($tw.utils.parseDate(tiddler.fields[fieldName]))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\t\tif(isWithinDays($tw.utils.parseDate(tiddler.fields[fieldName]))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/each.js": {
"title": "$:/core/modules/filters/each.js",
"text": "/*\\\ntitle: $:/core/modules/filters/each.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects one tiddler for each unique value of the specified field.\nWith suffix \"list\", selects all tiddlers that are values in a specified list field.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.each = function(source,operator,options) {\n\tvar results =[] ,\n\tvalue,values = {},\n\tfield = operator.operand || \"title\";\n\tif(operator.suffix === \"value\" && field === \"title\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!$tw.utils.hop(values,title)) {\n\t\t\t\tvalues[title] = true;\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else if(operator.suffix !== \"list-item\") {\n\t\tif(field === \"title\") {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && !$tw.utils.hop(values,title)) {\n\t\t\t\t\tvalues[title] = true;\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvalue = tiddler.getFieldString(field);\n\t\t\t\t\tif(!$tw.utils.hop(values,value)) {\n\t\t\t\t\t\tvalues[value] = true;\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\t$tw.utils.each(\n\t\t\t\t\toptions.wiki.getTiddlerList(title,field),\n\t\t\t\t\tfunction(value) {\n\t\t\t\t\t\tif(!$tw.utils.hop(values,value)) {\n\t\t\t\t\t\t\tvalues[value] = true;\n\t\t\t\t\t\t\tresults.push(value);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/eachday.js": {
"title": "$:/core/modules/filters/eachday.js",
"text": "/*\\\ntitle: $:/core/modules/filters/eachday.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects one tiddler for each unique day covered by the specified date field\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.eachday = function(source,operator,options) {\n\tvar results = [],\n\t\tvalues = [],\n\t\tfieldName = operator.operand || \"modified\";\n\t// Function to convert a date/time to a date integer\n\tvar toDate = function(value) {\n\t\tvalue = (new Date(value)).setHours(0,0,0,0);\n\t\treturn value+0;\n\t};\n\tsource(function(tiddler,title) {\n\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\tvar value = toDate($tw.utils.parseDate(tiddler.fields[fieldName]));\n\t\t\tif(values.indexOf(value) === -1) {\n\t\t\t\tvalues.push(value);\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/editiondescription.js": {
"title": "$:/core/modules/filters/editiondescription.js",
"text": "/*\\\ntitle: $:/core/modules/filters/editiondescription.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the descriptions of the specified edition names\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.editiondescription = function(source,operator,options) {\n\tvar results = [],\n\t\teditionInfo = $tw.utils.getEditionInfo();\n\tif(editionInfo) {\n\t\tsource(function(tiddler,title) {\n\t\t\tif($tw.utils.hop(editionInfo,title)) {\n\t\t\t\tresults.push(editionInfo[title].description || \"\");\t\t\t\t\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/editions.js": {
"title": "$:/core/modules/filters/editions.js",
"text": "/*\\\ntitle: $:/core/modules/filters/editions.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the available editions in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.editions = function(source,operator,options) {\n\tvar results = [],\n\t\teditionInfo = $tw.utils.getEditionInfo();\n\tif(editionInfo) {\n\t\t$tw.utils.each(editionInfo,function(info,name) {\n\t\t\tresults.push(name);\n\t\t});\n\t}\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/decodeuricomponent.js": {
"title": "$:/core/modules/filters/decodeuricomponent.js",
"text": "/*\\\ntitle: $:/core/modules/filters/decodeuricomponent.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for applying decodeURIComponent() to each item.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter functions\n*/\n\nexports.decodeuricomponent = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(decodeURIComponent(title));\n\t});\n\treturn results;\n};\n\nexports.encodeuricomponent = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(encodeURIComponent(title));\n\t});\n\treturn results;\n};\n\nexports.decodeuri = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(decodeURI(title));\n\t});\n\treturn results;\n};\n\nexports.encodeuri = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(encodeURI(title));\n\t});\n\treturn results;\n};\n\nexports.decodehtml = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.htmlDecode(title));\n\t});\n\treturn results;\n};\n\nexports.encodehtml = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.htmlEncode(title));\n\t});\n\treturn results;\n};\n\nexports.stringify = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.stringify(title));\n\t});\n\treturn results;\n};\n\nexports.jsonstringify = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.jsonStringify(title));\n\t});\n\treturn results;\n};\n\nexports.escaperegexp = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.escapeRegExp(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/enlist.js": {
"title": "$:/core/modules/filters/enlist.js",
"text": "/*\\\ntitle: $:/core/modules/filters/enlist.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning its operand parsed as a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.enlist = function(source,operator,options) {\n\tvar list = $tw.utils.parseStringArray(operator.operand);\n\tif(operator.prefix === \"!\") {\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t\treturn results;\n\t} else {\n\t\treturn list;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/field.js": {
"title": "$:/core/modules/filters/field.js",
"text": "/*\\\ntitle: $:/core/modules/filters/field.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for comparing fields for equality\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.field = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || operator.operator || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tif(operator.regexp) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && !operator.regexp.exec(text)) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && text !== operator.operand) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tif(operator.regexp) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && !!operator.regexp.exec(text)) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && text === operator.operand) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/fields.js": {
"title": "$:/core/modules/filters/fields.js",
"text": "/*\\\ntitle: $:/core/modules/filters/fields.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the fields on the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.fields = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tfor(var fieldName in tiddler.fields) {\n\t\t\t\t$tw.utils.pushTop(results,fieldName);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/get.js": {
"title": "$:/core/modules/filters/get.js",
"text": "/*\\\ntitle: $:/core/modules/filters/get.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for replacing tiddler titles by the value of the field specified in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.get = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tvar value = tiddler.getFieldString(operator.operand);\n\t\t\tif(value) {\n\t\t\t\tresults.push(value);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/getindex.js": {
"title": "$:/core/modules/filters/getindex.js",
"text": "/*\\\ntitle: $:/core/modules/filters/getindex.js\ntype: application/javascript\nmodule-type: filteroperator\n\nreturns the value at a given index of datatiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.getindex = function(source,operator,options) {\n\tvar data,title,results = [];\n\tif(operator.operand){\n\t\tsource(function(tiddler,title) {\n\t\t\ttitle = tiddler ? tiddler.fields.title : title;\n\t\t\tdata = options.wiki.extractTiddlerDataItem(tiddler,operator.operand);\n\t\t\tif(data) {\n\t\t\t\tresults.push(data);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/has.js": {
"title": "$:/core/modules/filters/has.js",
"text": "/*\\\ntitle: $:/core/modules/filters/has.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a tiddler has the specified field\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.has = function(source,operator,options) {\n\tvar results = [],\n\t\tinvert = operator.prefix === \"!\";\n\n\tif(operator.suffix === \"field\") {\n\t\tif(invert) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(!tiddler || (tiddler && (!$tw.utils.hop(tiddler.fields,operator.operand)))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && $tw.utils.hop(tiddler.fields,operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tif(invert) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(!tiddler || !$tw.utils.hop(tiddler.fields,operator.operand) || (tiddler.fields[operator.operand] === \"\")) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && $tw.utils.hop(tiddler.fields,operator.operand) && !(tiddler.fields[operator.operand] === \"\" || tiddler.fields[operator.operand].length === 0)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/haschanged.js": {
"title": "$:/core/modules/filters/haschanged.js",
"text": "/*\\\ntitle: $:/core/modules/filters/haschanged.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returns tiddlers from the list that have a non-zero changecount.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.haschanged = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.getChangeCount(title) === 0) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.getChangeCount(title) > 0) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/indexes.js": {
"title": "$:/core/modules/filters/indexes.js",
"text": "/*\\\ntitle: $:/core/modules/filters/indexes.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the indexes of a data tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.indexes = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar data = options.wiki.getTiddlerDataCached(title);\n\t\tif(data) {\n\t\t\t$tw.utils.pushTop(results,Object.keys(data));\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/insertbefore.js": {
"title": "$:/core/modules/filters/insertbefore.js",
"text": "/*\\\ntitle: $:/core/modules/filters/insertbefore.js\ntype: application/javascript\nmodule-type: filteroperator\n\nInsert an item before another item in a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOrder a list\n*/\nexports.insertbefore = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar target = options.widget && options.widget.getVariable(operator.suffix || \"currentTiddler\");\n\tif(target !== operator.operand) {\n\t\t// Remove the entry from the list if it is present\n\t\tvar pos = results.indexOf(operator.operand);\n\t\tif(pos !== -1) {\n\t\t\tresults.splice(pos,1);\n\t\t}\n\t\t// Insert the entry before the target marker\n\t\tpos = results.indexOf(target);\n\t\tif(pos !== -1) {\n\t\t\tresults.splice(pos,0,operator.operand);\n\t\t} else {\n\t\t\tresults.push(operator.operand);\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/is/current.js": {
"title": "$:/core/modules/filters/is/current.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/current.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[current]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.current = function(source,prefix,options) {\n\tvar results = [],\n\t\tcurrTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\");\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title !== currTiddlerTitle) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title === currTiddlerTitle) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/image.js": {
"title": "$:/core/modules/filters/is/image.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/image.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[image]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.image = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isImageTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isImageTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/missing.js": {
"title": "$:/core/modules/filters/is/missing.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/missing.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[missing]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.missing = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/orphan.js": {
"title": "$:/core/modules/filters/is/orphan.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/orphan.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[orphan]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.orphan = function(source,prefix,options) {\n\tvar results = [],\n\t\torphanTitles = options.wiki.getOrphanTitles();\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(orphanTitles.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(orphanTitles.indexOf(title) !== -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/shadow.js": {
"title": "$:/core/modules/filters/is/shadow.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/shadow.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[shadow]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadow = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isShadowTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isShadowTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/system.js": {
"title": "$:/core/modules/filters/is/system.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/system.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[system]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.system = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isSystemTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isSystemTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/tag.js": {
"title": "$:/core/modules/filters/is/tag.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/tag.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[tag]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tag = function(source,prefix,options) {\n\tvar results = [],\n\t\ttagMap = options.wiki.getTagMap();\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!$tw.utils.hop(tagMap,title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif($tw.utils.hop(tagMap,title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/tiddler.js": {
"title": "$:/core/modules/filters/is/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/tiddler.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[tiddler]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tiddler = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is.js": {
"title": "$:/core/modules/filters/is.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking tiddler properties\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar isFilterOperators;\n\nfunction getIsFilterOperators() {\n\tif(!isFilterOperators) {\n\t\tisFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"isfilteroperator\",isFilterOperators);\n\t}\n\treturn isFilterOperators;\n}\n\n/*\nExport our filter function\n*/\nexports.is = function(source,operator,options) {\n\n\n\tif( !operator.operand) {\n\t\t// Return all tiddlers if the operand is missing\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t\treturn results;\n\t}\n\n\t// Get our isfilteroperators\n\tvar isFilterOperators = getIsFilterOperators(),\n\t subops = operator.operand.split(\"+\"),\n\t\tfilteredResults = {},\n\t\tresults = [];\n\tfor (var t=0; t<subops.length; t++) {\n\t\tvar subop = isFilterOperators[subops[t]];\n\t\tif(subop) {\n\t\t\tfilteredResults[subops[t]] = subop(source,operator.prefix,options);\n\t\t} else {\n\t\t\treturn [$tw.language.getString(\"Error/IsFilterOperator\")];\n\t\t}\n\t\t\n\t}\n\t\n source(function(tiddler,title) {\n for (var t=0; t<subops.length; t++) {\n if (filteredResults[subops[t]].indexOf(title) != -1){\n results.push(title);\n break;\n }\n }\n });\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/limit.js": {
"title": "$:/core/modules/filters/limit.js",
"text": "/*\\\ntitle: $:/core/modules/filters/limit.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for chopping the results to a specified maximum number of entries\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.limit = function(source,operator,options) {\n\tvar results = [];\n\t// Convert to an array\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\t// Slice the array if necessary\n\tvar limit = Math.min(results.length,parseInt(operator.operand,10));\n\tif(operator.prefix === \"!\") {\n\t\tresults = results.slice(-limit);\n\t} else {\n\t\tresults = results.slice(0,limit);\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/links.js": {
"title": "$:/core/modules/filters/links.js",
"text": "/*\\\ntitle: $:/core/modules/filters/links.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning all the links from a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.links = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.getTiddlerLinks(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/list.js": {
"title": "$:/core/modules/filters/list.js",
"text": "/*\\\ntitle: $:/core/modules/filters/list.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddlers whose title is listed in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.list = function(source,operator,options) {\n\tvar results = [],\n\t\ttr = $tw.utils.parseTextReference(operator.operand),\n\t\tcurrTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\"),\n\t\tlist = options.wiki.getTiddlerList(tr.title || currTiddlerTitle,tr.field,tr.index);\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tresults = list;\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/listed.js": {
"title": "$:/core/modules/filters/listed.js",
"text": "/*\\\ntitle: $:/core/modules/filters/listed.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all tiddlers that have the selected tiddlers in a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.listed = function(source,operator,options) {\n\tvar field = operator.operand || \"list\",\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.findListingsOfTiddler(title,field));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/listops.js": {
"title": "$:/core/modules/filters/listops.js",
"text": "/*\\\ntitle: $:/core/modules/filters/listops.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operators for manipulating the current selection list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOrder a list\n*/\nexports.order = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.operand.toLowerCase() === \"reverse\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.unshift(title);\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t}\n\treturn results;\n};\n\n/*\nReverse list\n*/\nexports.reverse = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.unshift(title);\n\t});\n\treturn results;\n};\n\n/*\nFirst entry/entries in list\n*/\nexports.first = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(0,count);\n};\n\n/*\nLast entry/entries in list\n*/\nexports.last = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(-count);\n};\n\n/*\nAll but the first entry/entries of the list\n*/\nexports.rest = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(count);\n};\nexports.butfirst = exports.rest;\nexports.bf = exports.rest;\n\n/*\nAll but the last entry/entries of the list\n*/\nexports.butlast = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(0,-count);\n};\nexports.bl = exports.butlast;\n\n/*\nThe nth member of the list\n*/\nexports.nth = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(count - 1,count);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/lookup.js": {
"title": "$:/core/modules/filters/lookup.js",
"text": "/*\\\ntitle: $:/core/modules/filters/lookup.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that looks up values via a title prefix\n\n[lookup:<field>[<prefix>]]\n\nPrepends the prefix to the selected items and returns the specified field value\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.lookup = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(options.wiki.getTiddlerText(operator.operand + title) || options.wiki.getTiddlerText(operator.operand + operator.suffix));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/minlength.js": {
"title": "$:/core/modules/filters/minlength.js",
"text": "/*\\\ntitle: $:/core/modules/filters/minlength.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for filtering out titles that don't meet the minimum length in the operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.minlength = function(source,operator,options) {\n\tvar results = [],\n\t\tminLength = parseInt(operator.operand || \"\",10) || 0;\n\tsource(function(tiddler,title) {\n\t\tif(title.length >= minLength) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/modules.js": {
"title": "$:/core/modules/filters/modules.js",
"text": "/*\\\ntitle: $:/core/modules/filters/modules.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the titles of the modules of a given type in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.modules = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.each($tw.modules.types[title],function(moduleInfo,moduleName) {\n\t\t\tresults.push(moduleName);\n\t\t});\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/moduletypes.js": {
"title": "$:/core/modules/filters/moduletypes.js",
"text": "/*\\\ntitle: $:/core/modules/filters/moduletypes.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the module types in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.moduletypes = function(source,operator,options) {\n\tvar results = [];\n\t$tw.utils.each($tw.modules.types,function(moduleInfo,type) {\n\t\tresults.push(type);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/next.js": {
"title": "$:/core/modules/filters/next.js",
"text": "/*\\\ntitle: $:/core/modules/filters/next.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler whose title occurs next in the list supplied in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.next = function(source,operator,options) {\n\tvar results = [],\n\t\tlist = options.wiki.getTiddlerList(operator.operand);\n\tsource(function(tiddler,title) {\n\t\tvar match = list.indexOf(title);\n\t\t// increment match and then test if result is in range\n\t\tmatch++;\n\t\tif(match > 0 && match < list.length) {\n\t\t\tresults.push(list[match]);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/plugintiddlers.js": {
"title": "$:/core/modules/filters/plugintiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/filters/plugintiddlers.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the titles of the shadow tiddlers within a plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.plugintiddlers = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar pluginInfo = options.wiki.getPluginInfo(title) || options.wiki.getTiddlerDataCached(title,{tiddlers:[]});\n\t\tif(pluginInfo && pluginInfo.tiddlers) {\n\t\t\t$tw.utils.each(pluginInfo.tiddlers,function(fields,title) {\n\t\t\t\tresults.push(title);\n\t\t\t});\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/prefix.js": {
"title": "$:/core/modules/filters/prefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/prefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a title starts with a prefix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.prefix = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(0,operator.operand.length) !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(0,operator.operand.length) === operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/previous.js": {
"title": "$:/core/modules/filters/previous.js",
"text": "/*\\\ntitle: $:/core/modules/filters/previous.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler whose title occurs immediately prior in the list supplied in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.previous = function(source,operator,options) {\n\tvar results = [],\n\t\tlist = options.wiki.getTiddlerList(operator.operand);\n\tsource(function(tiddler,title) {\n\t\tvar match = list.indexOf(title);\n\t\t// increment match and then test if result is in range\n\t\tmatch--;\n\t\tif(match >= 0) {\n\t\t\tresults.push(list[match]);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/regexp.js": {
"title": "$:/core/modules/filters/regexp.js",
"text": "/*\\\ntitle: $:/core/modules/filters/regexp.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for regexp matching\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.regexp = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase(),\n\t\tregexpString, regexp, flags = \"\", match,\n\t\tgetFieldString = function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\treturn tiddler.getFieldString(fieldname);\n\t\t\t} else if(fieldname === \"title\") {\n\t\t\t\treturn title;\n\t\t\t} else {\n\t\t\t\treturn null;\n\t\t\t}\n\t\t};\n\t// Process flags and construct regexp\n\tregexpString = operator.operand;\n\tmatch = /^\\(\\?([gim]+)\\)/.exec(regexpString);\n\tif(match) {\n\t\tflags = match[1];\n\t\tregexpString = regexpString.substr(match[0].length);\n\t} else {\n\t\tmatch = /\\(\\?([gim]+)\\)$/.exec(regexpString);\n\t\tif(match) {\n\t\t\tflags = match[1];\n\t\t\tregexpString = regexpString.substr(0,regexpString.length - match[0].length);\n\t\t}\n\t}\n\ttry {\n\t\tregexp = new RegExp(regexpString,flags);\n\t} catch(e) {\n\t\treturn [\"\" + e];\n\t}\n\t// Process the incoming tiddlers\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title);\n\t\t\tif(text !== null) {\n\t\t\t\tif(!regexp.exec(text)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title);\n\t\t\tif(text !== null) {\n\t\t\t\tif(!!regexp.exec(text)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/removeprefix.js": {
"title": "$:/core/modules/filters/removeprefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/removeprefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for removing a prefix from each title in the list. Titles that do not start with the prefix are removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.removeprefix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(title.substr(0,operator.operand.length) === operator.operand) {\n\t\t\tresults.push(title.substr(operator.operand.length));\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/removesuffix.js": {
"title": "$:/core/modules/filters/removesuffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/removesuffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for removing a suffix from each title in the list. Titles that do not end with the suffix are removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.removesuffix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(title.substr(-operator.operand.length) === operator.operand) {\n\t\t\tresults.push(title.substr(0,title.length - operator.operand.length));\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/sameday.js": {
"title": "$:/core/modules/filters/sameday.js",
"text": "/*\\\ntitle: $:/core/modules/filters/sameday.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects tiddlers with a modified date field on the same day as the provided value.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.sameday = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldName = operator.suffix || \"modified\",\n\t\ttargetDate = (new Date($tw.utils.parseDate(operator.operand))).setHours(0,0,0,0);\n\t// Function to convert a date/time to a date integer\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tif(tiddler.getFieldDay(fieldName) === targetDate) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/search.js": {
"title": "$:/core/modules/filters/search.js",
"text": "/*\\\ntitle: $:/core/modules/filters/search.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for searching for the text in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.search = function(source,operator,options) {\n\tvar invert = operator.prefix === \"!\";\n\tif(operator.suffix) {\n\t\treturn options.wiki.search(operator.operand,{\n\t\t\tsource: source,\n\t\t\tinvert: invert,\n\t\t\tfield: operator.suffix\n\t\t});\n\t} else {\n\t\treturn options.wiki.search(operator.operand,{\n\t\t\tsource: source,\n\t\t\tinvert: invert\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/shadowsource.js": {
"title": "$:/core/modules/filters/shadowsource.js",
"text": "/*\\\ntitle: $:/core/modules/filters/shadowsource.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the source plugins for shadow tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadowsource = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar source = options.wiki.getShadowSource(title);\n\t\tif(source) {\n\t\t\t$tw.utils.pushTop(results,source);\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/sort.js": {
"title": "$:/core/modules/filters/sort.js",
"text": "/*\\\ntitle: $:/core/modules/filters/sort.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for sorting\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.sort = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",false,false);\n\treturn results;\n};\n\nexports.nsort = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",false,true);\n\treturn results;\n};\n\nexports.sortan = function(source, operator, options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results, operator.operand || \"title\", operator.prefix === \"!\",false,false,true);\n\treturn results;\n};\n\nexports.sortcs = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",true,false);\n\treturn results;\n};\n\nexports.nsortcs = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",true,true);\n\treturn results;\n};\n\nvar prepare_results = function (source) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/splitbefore.js": {
"title": "$:/core/modules/filters/splitbefore.js",
"text": "/*\\\ntitle: $:/core/modules/filters/splitbefore.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that splits each result on the first occurance of the specified separator and returns the unique values.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.splitbefore = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar parts = title.split(operator.operand);\n\t\tif(parts.length === 1) {\n\t\t\t$tw.utils.pushTop(results,parts[0]);\n\t\t} else {\n\t\t\t$tw.utils.pushTop(results,parts[0] + operator.operand);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/storyviews.js": {
"title": "$:/core/modules/filters/storyviews.js",
"text": "/*\\\ntitle: $:/core/modules/filters/storyviews.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the story views in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.storyviews = function(source,operator,options) {\n\tvar results = [],\n\t\tstoryviews = {};\n\t$tw.modules.applyMethods(\"storyview\",storyviews);\n\t$tw.utils.each(storyviews,function(info,name) {\n\t\tresults.push(name);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/subtiddlerfields.js": {
"title": "$:/core/modules/filters/subtiddlerfields.js",
"text": "/*\\\ntitle: $:/core/modules/filters/subtiddlerfields.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the fields on the selected subtiddlers of the plugin named in the operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.subtiddlerfields = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar subtiddler = options.wiki.getSubTiddler(operator.operand,title);\n\t\tif(subtiddler) {\n\t\t\tfor(var fieldName in subtiddler.fields) {\n\t\t\t\t$tw.utils.pushTop(results,fieldName);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/suffix.js": {
"title": "$:/core/modules/filters/suffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/suffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a title ends with a suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.suffix = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(-operator.operand.length) !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(-operator.operand.length) === operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tag.js": {
"title": "$:/core/modules/filters/tag.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tag.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking for the presence of a tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tag = function(source,operator,options) {\n\tvar results = [];\n\tif((operator.suffix || \"\").toLowerCase() === \"strict\" && !operator.operand) {\n\t\t// New semantics:\n\t\t// Always return copy of input if operator.operand is missing\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t} else {\n\t\t// Old semantics:\n\t\tvar tiddlers = options.wiki.getTiddlersWithTag(operator.operand);\n\t\tif(operator.prefix === \"!\") {\n\t\t\t// Returns a copy of the input if operator.operand is missing\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddlers.indexOf(title) === -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\t// Returns empty results if operator.operand is missing\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddlers.indexOf(title) !== -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t\tresults = options.wiki.sortByList(results,operator.operand);\n\t\t}\t\t\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tagging.js": {
"title": "$:/core/modules/filters/tagging.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tagging.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all tiddlers that are tagged with the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tagging = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.getTiddlersWithTag(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tags.js": {
"title": "$:/core/modules/filters/tags.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tags.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all the tags of the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tags = function(source,operator,options) {\n\tvar tags = {};\n\tsource(function(tiddler,title) {\n\t\tvar t, length;\n\t\tif(tiddler && tiddler.fields.tags) {\n\t\t\tfor(t=0, length=tiddler.fields.tags.length; t<length; t++) {\n\t\t\t\ttags[tiddler.fields.tags[t]] = true;\n\t\t\t}\n\t\t}\n\t});\n\treturn Object.keys(tags);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/title.js": {
"title": "$:/core/modules/filters/title.js",
"text": "/*\\\ntitle: $:/core/modules/filters/title.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for comparing title fields for equality\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.title = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields.title !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tresults.push(operator.operand);\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/untagged.js": {
"title": "$:/core/modules/filters/untagged.js",
"text": "/*\\\ntitle: $:/core/modules/filters/untagged.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all the selected tiddlers that are untagged\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.untagged = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && $tw.utils.isArray(tiddler.fields.tags) && tiddler.fields.tags.length > 0) {\n\t\t\t\t$tw.utils.pushTop(results,title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!tiddler || !tiddler.hasField(\"tags\") || ($tw.utils.isArray(tiddler.fields.tags) && tiddler.fields.tags.length === 0)) {\n\t\t\t\t$tw.utils.pushTop(results,title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/wikiparserrules.js": {
"title": "$:/core/modules/filters/wikiparserrules.js",
"text": "/*\\\ntitle: $:/core/modules/filters/wikiparserrules.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the wiki parser rules in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.wikiparserrules = function(source,operator,options) {\n\tvar results = [],\n\t\toperand = operator.operand;\n\t$tw.utils.each($tw.modules.types.wikirule,function(mod) {\n\t\tvar exp = mod.exports;\n\t\tif(!operand || exp.types[operand]) {\n\t\t\tresults.push(exp.name);\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/x-listops.js": {
"title": "$:/core/modules/filters/x-listops.js",
"text": "/*\\\ntitle: $:/core/modules/filters/x-listops.js\ntype: application/javascript\nmodule-type: filteroperator\n\nExtended filter operators to manipulate the current list.\n\n\\*/\n(function () {\n\n /*jslint node: true, browser: true */\n /*global $tw: false */\n \"use strict\";\n\n /*\n Fetch titles from the current list\n */\n var prepare_results = function (source) {\n var results = [];\n source(function (tiddler, title) {\n results.push(title);\n });\n return results;\n };\n\n /*\n Moves a number of items from the tail of the current list before the item named in the operand\n */\n exports.putbefore = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand),\n count = $tw.utils.getInt(operator.suffix,1);\n return (index === -1) ?\n results.slice(0, -1) :\n results.slice(0, index).concat(results.slice(-count)).concat(results.slice(index, -count));\n };\n\n /*\n Moves a number of items from the tail of the current list after the item named in the operand\n */\n exports.putafter = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand),\n count = $tw.utils.getInt(operator.suffix,1);\n return (index === -1) ?\n results.slice(0, -1) :\n results.slice(0, index + 1).concat(results.slice(-count)).concat(results.slice(index + 1, -count));\n };\n\n /*\n Replaces the item named in the operand with a number of items from the tail of the current list\n */\n exports.replace = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand),\n count = $tw.utils.getInt(operator.suffix,1);\n return (index === -1) ?\n results.slice(0, -count) :\n results.slice(0, index).concat(results.slice(-count)).concat(results.slice(index + 1, -count));\n };\n\n /*\n Moves a number of items from the tail of the current list to the head of the list\n */\n exports.putfirst = function (source, operator) {\n var results = prepare_results(source),\n count = $tw.utils.getInt(operator.suffix,1);\n return results.slice(-count).concat(results.slice(0, -count));\n };\n\n /*\n Moves a number of items from the head of the current list to the tail of the list\n */\n exports.putlast = function (source, operator) {\n var results = prepare_results(source),\n count = $tw.utils.getInt(operator.suffix,1);\n return results.slice(count).concat(results.slice(0, count));\n };\n\n /*\n Moves the item named in the operand a number of places forward or backward in the list\n */\n exports.move = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand),\n count = $tw.utils.getInt(operator.suffix,1),\n marker = results.splice(index, 1),\n offset = (index + count) > 0 ? index + count : 0;\n return results.slice(0, offset).concat(marker).concat(results.slice(offset));\n };\n\n /*\n Returns the items from the current list that are after the item named in the operand\n */\n exports.allafter = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand);\n return (index === -1 || index > (results.length - 2)) ? [] :\n (operator.suffix) ? results.slice(index) :\n results.slice(index + 1);\n };\n\n /*\n Returns the items from the current list that are before the item named in the operand\n */\n exports.allbefore = function (source, operator) {\n var results = prepare_results(source),\n index = results.indexOf(operator.operand);\n return (index < 0) ? [] :\n (operator.suffix) ? results.slice(0, index + 1) :\n results.slice(0, index);\n };\n\n /*\n Appends the items listed in the operand array to the tail of the current list\n */\n exports.append = function (source, operator) {\n var append = $tw.utils.parseStringArray(operator.operand, \"true\"),\n results = prepare_results(source),\n count = parseInt(operator.suffix) || append.length;\n return (append.length === 0) ? results :\n (operator.prefix) ? results.concat(append.slice(-count)) :\n results.concat(append.slice(0, count));\n };\n\n /*\n Prepends the items listed in the operand array to the head of the current list\n */\n exports.prepend = function (source, operator) {\n var prepend = $tw.utils.parseStringArray(operator.operand, \"true\"),\n results = prepare_results(source),\n count = $tw.utils.getInt(operator.suffix,prepend.length);\n return (prepend.length === 0) ? results :\n (operator.prefix) ? prepend.slice(-count).concat(results) :\n prepend.slice(0, count).concat(results);\n };\n\n /*\n Returns all items from the current list except the items listed in the operand array\n */\n exports.remove = function (source, operator) {\n var array = $tw.utils.parseStringArray(operator.operand, \"true\"),\n results = prepare_results(source),\n count = parseInt(operator.suffix) || array.length,\n p,\n len,\n index;\n len = array.length - 1;\n for (p = 0; p < count; ++p) {\n if (operator.prefix) {\n index = results.indexOf(array[len - p]);\n } else {\n index = results.indexOf(array[p]);\n }\n if (index !== -1) {\n results.splice(index, 1);\n }\n }\n return results;\n };\n\n /*\n Returns all items from the current list sorted in the order of the items in the operand array\n */\n exports.sortby = function (source, operator) {\n var results = prepare_results(source);\n if (!results || results.length < 2) {\n return results;\n }\n var lookup = $tw.utils.parseStringArray(operator.operand, \"true\");\n results.sort(function (a, b) {\n return lookup.indexOf(a) - lookup.indexOf(b);\n });\n return results;\n };\n\n /*\n Removes all duplicate items from the current list\n */\n exports.unique = function (source, operator) {\n var results = prepare_results(source);\n var set = results.reduce(function (a, b) {\n if (a.indexOf(b) < 0) {\n a.push(b);\n }\n return a;\n }, []);\n return set;\n };\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters.js": {
"title": "$:/core/modules/filters.js",
"text": "/*\\\ntitle: $:/core/modules/filters.js\ntype: application/javascript\nmodule-type: wikimethod\n\nAdds tiddler filtering methods to the $tw.Wiki object.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nParses an operation (i.e. a run) within a filter string\n\toperators: Array of array of operator nodes into which results should be inserted\n\tfilterString: filter string\n\tp: start position within the string\nReturns the new start position, after the parsed operation\n*/\nfunction parseFilterOperation(operators,filterString,p) {\n\tvar nextBracketPos, operator;\n\t// Skip the starting square bracket\n\tif(filterString.charAt(p++) !== \"[\") {\n\t\tthrow \"Missing [ in filter expression\";\n\t}\n\t// Process each operator in turn\n\tdo {\n\t\toperator = {};\n\t\t// Check for an operator prefix\n\t\tif(filterString.charAt(p) === \"!\") {\n\t\t\toperator.prefix = filterString.charAt(p++);\n\t\t}\n\t\t// Get the operator name\n\t\tnextBracketPos = filterString.substring(p).search(/[\\[\\{<\\/]/);\n\t\tif(nextBracketPos === -1) {\n\t\t\tthrow \"Missing [ in filter expression\";\n\t\t}\n\t\tnextBracketPos += p;\n\t\tvar bracket = filterString.charAt(nextBracketPos);\n\t\toperator.operator = filterString.substring(p,nextBracketPos);\n\n\t\t// Any suffix?\n\t\tvar colon = operator.operator.indexOf(':');\n\t\tif(colon > -1) {\n\t\t\toperator.suffix = operator.operator.substring(colon + 1);\n\t\t\toperator.operator = operator.operator.substring(0,colon) || \"field\";\n\t\t}\n\t\t// Empty operator means: title\n\t\telse if(operator.operator === \"\") {\n\t\t\toperator.operator = \"title\";\n\t\t}\n\n\t\tp = nextBracketPos + 1;\n\t\tswitch (bracket) {\n\t\t\tcase \"{\": // Curly brackets\n\t\t\t\toperator.indirect = true;\n\t\t\t\tnextBracketPos = filterString.indexOf(\"}\",p);\n\t\t\t\tbreak;\n\t\t\tcase \"[\": // Square brackets\n\t\t\t\tnextBracketPos = filterString.indexOf(\"]\",p);\n\t\t\t\tbreak;\n\t\t\tcase \"<\": // Angle brackets\n\t\t\t\toperator.variable = true;\n\t\t\t\tnextBracketPos = filterString.indexOf(\">\",p);\n\t\t\t\tbreak;\n\t\t\tcase \"/\": // regexp brackets\n\t\t\t\tvar rex = /^((?:[^\\\\\\/]*|\\\\.)*)\\/(?:\\(([mygi]+)\\))?/g,\n\t\t\t\t\trexMatch = rex.exec(filterString.substring(p));\n\t\t\t\tif(rexMatch) {\n\t\t\t\t\toperator.regexp = new RegExp(rexMatch[1], rexMatch[2]);\n// DEPRECATION WARNING\nconsole.log(\"WARNING: Filter\",operator.operator,\"has a deprecated regexp operand\",operator.regexp);\n\t\t\t\t\tnextBracketPos = p + rex.lastIndex - 1;\n\t\t\t\t}\n\t\t\t\telse {\n\t\t\t\t\tthrow \"Unterminated regular expression in filter expression\";\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t}\n\n\t\tif(nextBracketPos === -1) {\n\t\t\tthrow \"Missing closing bracket in filter expression\";\n\t\t}\n\t\tif(!operator.regexp) {\n\t\t\toperator.operand = filterString.substring(p,nextBracketPos);\n\t\t}\n\t\tp = nextBracketPos + 1;\n\n\t\t// Push this operator\n\t\toperators.push(operator);\n\t} while(filterString.charAt(p) !== \"]\");\n\t// Skip the ending square bracket\n\tif(filterString.charAt(p++) !== \"]\") {\n\t\tthrow \"Missing ] in filter expression\";\n\t}\n\t// Return the parsing position\n\treturn p;\n}\n\n/*\nParse a filter string\n*/\nexports.parseFilter = function(filterString) {\n\tfilterString = filterString || \"\";\n\tvar results = [], // Array of arrays of operator nodes {operator:,operand:}\n\t\tp = 0, // Current position in the filter string\n\t\tmatch;\n\tvar whitespaceRegExp = /(\\s+)/mg,\n\t\toperandRegExp = /((?:\\+|\\-)?)(?:(\\[)|(?:\"([^\"]*)\")|(?:'([^']*)')|([^\\s\\[\\]]+))/mg;\n\twhile(p < filterString.length) {\n\t\t// Skip any whitespace\n\t\twhitespaceRegExp.lastIndex = p;\n\t\tmatch = whitespaceRegExp.exec(filterString);\n\t\tif(match && match.index === p) {\n\t\t\tp = p + match[0].length;\n\t\t}\n\t\t// Match the start of the operation\n\t\tif(p < filterString.length) {\n\t\t\toperandRegExp.lastIndex = p;\n\t\t\tmatch = operandRegExp.exec(filterString);\n\t\t\tif(!match || match.index !== p) {\n\t\t\t\tthrow $tw.language.getString(\"Error/FilterSyntax\");\n\t\t\t}\n\t\t\tvar operation = {\n\t\t\t\tprefix: \"\",\n\t\t\t\toperators: []\n\t\t\t};\n\t\t\tif(match[1]) {\n\t\t\t\toperation.prefix = match[1];\n\t\t\t\tp++;\n\t\t\t}\n\t\t\tif(match[2]) { // Opening square bracket\n\t\t\t\tp = parseFilterOperation(operation.operators,filterString,p);\n\t\t\t} else {\n\t\t\t\tp = match.index + match[0].length;\n\t\t\t}\n\t\t\tif(match[3] || match[4] || match[5]) { // Double quoted string, single quoted string or unquoted title\n\t\t\t\toperation.operators.push(\n\t\t\t\t\t{operator: \"title\", operand: match[3] || match[4] || match[5]}\n\t\t\t\t);\n\t\t\t}\n\t\t\tresults.push(operation);\n\t\t}\n\t}\n\treturn results;\n};\n\nexports.getFilterOperators = function() {\n\tif(!this.filterOperators) {\n\t\t$tw.Wiki.prototype.filterOperators = {};\n\t\t$tw.modules.applyMethods(\"filteroperator\",this.filterOperators);\n\t}\n\treturn this.filterOperators;\n};\n\nexports.filterTiddlers = function(filterString,widget,source) {\n\tvar fn = this.compileFilter(filterString);\n\treturn fn.call(this,source,widget);\n};\n\n/*\nCompile a filter into a function with the signature fn(source,widget) where:\nsource: an iterator function for the source tiddlers, called source(iterator), where iterator is called as iterator(tiddler,title)\nwidget: an optional widget node for retrieving the current tiddler etc.\n*/\nexports.compileFilter = function(filterString) {\n\tvar filterParseTree;\n\ttry {\n\t\tfilterParseTree = this.parseFilter(filterString);\n\t} catch(e) {\n\t\treturn function(source,widget) {\n\t\t\treturn [$tw.language.getString(\"Error/Filter\") + \": \" + e];\n\t\t};\n\t}\n\t// Get the hashmap of filter operator functions\n\tvar filterOperators = this.getFilterOperators();\n\t// Assemble array of functions, one for each operation\n\tvar operationFunctions = [];\n\t// Step through the operations\n\tvar self = this;\n\t$tw.utils.each(filterParseTree,function(operation) {\n\t\t// Create a function for the chain of operators in the operation\n\t\tvar operationSubFunction = function(source,widget) {\n\t\t\tvar accumulator = source,\n\t\t\t\tresults = [],\n\t\t\t\tcurrTiddlerTitle = widget && widget.getVariable(\"currentTiddler\");\n\t\t\t$tw.utils.each(operation.operators,function(operator) {\n\t\t\t\tvar operand = operator.operand,\n\t\t\t\t\toperatorFunction;\n\t\t\t\tif(!operator.operator) {\n\t\t\t\t\toperatorFunction = filterOperators.title;\n\t\t\t\t} else if(!filterOperators[operator.operator]) {\n\t\t\t\t\toperatorFunction = filterOperators.field;\n\t\t\t\t} else {\n\t\t\t\t\toperatorFunction = filterOperators[operator.operator];\n\t\t\t\t}\n\t\t\t\tif(operator.indirect) {\n\t\t\t\t\toperand = self.getTextReference(operator.operand,\"\",currTiddlerTitle);\n\t\t\t\t}\n\t\t\t\tif(operator.variable) {\n\t\t\t\t\toperand = widget.getVariable(operator.operand,{defaultValue: \"\"});\n\t\t\t\t}\n\t\t\t\t// Invoke the appropriate filteroperator module\n\t\t\t\tresults = operatorFunction(accumulator,{\n\t\t\t\t\t\t\toperator: operator.operator,\n\t\t\t\t\t\t\toperand: operand,\n\t\t\t\t\t\t\tprefix: operator.prefix,\n\t\t\t\t\t\t\tsuffix: operator.suffix,\n\t\t\t\t\t\t\tregexp: operator.regexp\n\t\t\t\t\t\t},{\n\t\t\t\t\t\t\twiki: self,\n\t\t\t\t\t\t\twidget: widget\n\t\t\t\t\t\t});\n\t\t\t\tif($tw.utils.isArray(results)) {\n\t\t\t\t\taccumulator = self.makeTiddlerIterator(results);\n\t\t\t\t} else {\n\t\t\t\t\taccumulator = results;\n\t\t\t\t}\n\t\t\t});\n\t\t\tif($tw.utils.isArray(results)) {\n\t\t\t\treturn results;\n\t\t\t} else {\n\t\t\t\tvar resultArray = [];\n\t\t\t\tresults(function(tiddler,title) {\n\t\t\t\t\tresultArray.push(title);\n\t\t\t\t});\n\t\t\t\treturn resultArray;\n\t\t\t}\n\t\t};\n\t\t// Wrap the operator functions in a wrapper function that depends on the prefix\n\t\toperationFunctions.push((function() {\n\t\t\tswitch(operation.prefix || \"\") {\n\t\t\t\tcase \"\": // No prefix means that the operation is unioned into the result\n\t\t\t\t\treturn function(results,source,widget) {\n\t\t\t\t\t\t$tw.utils.pushTop(results,operationSubFunction(source,widget));\n\t\t\t\t\t};\n\t\t\t\tcase \"-\": // The results of this operation are removed from the main result\n\t\t\t\t\treturn function(results,source,widget) {\n\t\t\t\t\t\t$tw.utils.removeArrayEntries(results,operationSubFunction(source,widget));\n\t\t\t\t\t};\n\t\t\t\tcase \"+\": // This operation is applied to the main results so far\n\t\t\t\t\treturn function(results,source,widget) {\n\t\t\t\t\t\t// This replaces all the elements of the array, but keeps the actual array so that references to it are preserved\n\t\t\t\t\t\tsource = self.makeTiddlerIterator(results);\n\t\t\t\t\t\tresults.splice(0,results.length);\n\t\t\t\t\t\t$tw.utils.pushTop(results,operationSubFunction(source,widget));\n\t\t\t\t\t};\n\t\t\t}\n\t\t})());\n\t});\n\t// Return a function that applies the operations to a source iterator of tiddler titles\n\treturn $tw.perf.measure(\"filter\",function filterFunction(source,widget) {\n\t\tif(!source) {\n\t\t\tsource = self.each;\n\t\t} else if(typeof source === \"object\") { // Array or hashmap\n\t\t\tsource = self.makeTiddlerIterator(source);\n\t\t}\n\t\tvar results = [];\n\t\t$tw.utils.each(operationFunctions,function(operationFunction) {\n\t\t\toperationFunction(results,source,widget);\n\t\t});\n\t\treturn results;\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/core/modules/info/platform.js": {
"title": "$:/core/modules/info/platform.js",
"text": "/*\\\ntitle: $:/core/modules/info/platform.js\ntype: application/javascript\nmodule-type: info\n\nInitialise basic platform $:/info/ tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.getInfoTiddlerFields = function() {\n\tvar mapBoolean = function(value) {return value ? \"yes\" : \"no\";},\n\t\tinfoTiddlerFields = [];\n\t// Basics\n\tinfoTiddlerFields.push({title: \"$:/info/browser\", text: mapBoolean(!!$tw.browser)});\n\tinfoTiddlerFields.push({title: \"$:/info/node\", text: mapBoolean(!!$tw.node)});\n\tif($tw.browser) {\n\t\t// Document location\n\t\tvar setLocationProperty = function(name,value) {\n\t\t\t\tinfoTiddlerFields.push({title: \"$:/info/url/\" + name, text: value});\t\t\t\n\t\t\t},\n\t\t\tlocation = document.location;\n\t\tsetLocationProperty(\"full\", (location.toString()).split(\"#\")[0]);\n\t\tsetLocationProperty(\"host\", location.host);\n\t\tsetLocationProperty(\"hostname\", location.hostname);\n\t\tsetLocationProperty(\"protocol\", location.protocol);\n\t\tsetLocationProperty(\"port\", location.port);\n\t\tsetLocationProperty(\"pathname\", location.pathname);\n\t\tsetLocationProperty(\"search\", location.search);\n\t\tsetLocationProperty(\"origin\", location.origin);\n\t\t// Screen size\n\t\tinfoTiddlerFields.push({title: \"$:/info/browser/screen/width\", text: window.screen.width.toString()});\n\t\tinfoTiddlerFields.push({title: \"$:/info/browser/screen/height\", text: window.screen.height.toString()});\n\t}\n\treturn infoTiddlerFields;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "info"
},
"$:/core/modules/keyboard.js": {
"title": "$:/core/modules/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/keyboard.js\ntype: application/javascript\nmodule-type: global\n\nKeyboard handling utilities\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar namedKeys = {\n\t\"cancel\": 3,\n\t\"help\": 6,\n\t\"backspace\": 8,\n\t\"tab\": 9,\n\t\"clear\": 12,\n\t\"return\": 13,\n\t\"enter\": 13,\n\t\"pause\": 19,\n\t\"escape\": 27,\n\t\"space\": 32,\n\t\"page_up\": 33,\n\t\"page_down\": 34,\n\t\"end\": 35,\n\t\"home\": 36,\n\t\"left\": 37,\n\t\"up\": 38,\n\t\"right\": 39,\n\t\"down\": 40,\n\t\"printscreen\": 44,\n\t\"insert\": 45,\n\t\"delete\": 46,\n\t\"0\": 48,\n\t\"1\": 49,\n\t\"2\": 50,\n\t\"3\": 51,\n\t\"4\": 52,\n\t\"5\": 53,\n\t\"6\": 54,\n\t\"7\": 55,\n\t\"8\": 56,\n\t\"9\": 57,\n\t\"firefoxsemicolon\": 59,\n\t\"firefoxequals\": 61,\n\t\"a\": 65,\n\t\"b\": 66,\n\t\"c\": 67,\n\t\"d\": 68,\n\t\"e\": 69,\n\t\"f\": 70,\n\t\"g\": 71,\n\t\"h\": 72,\n\t\"i\": 73,\n\t\"j\": 74,\n\t\"k\": 75,\n\t\"l\": 76,\n\t\"m\": 77,\n\t\"n\": 78,\n\t\"o\": 79,\n\t\"p\": 80,\n\t\"q\": 81,\n\t\"r\": 82,\n\t\"s\": 83,\n\t\"t\": 84,\n\t\"u\": 85,\n\t\"v\": 86,\n\t\"w\": 87,\n\t\"x\": 88,\n\t\"y\": 89,\n\t\"z\": 90,\n\t\"numpad0\": 96,\n\t\"numpad1\": 97,\n\t\"numpad2\": 98,\n\t\"numpad3\": 99,\n\t\"numpad4\": 100,\n\t\"numpad5\": 101,\n\t\"numpad6\": 102,\n\t\"numpad7\": 103,\n\t\"numpad8\": 104,\n\t\"numpad9\": 105,\n\t\"multiply\": 106,\n\t\"add\": 107,\n\t\"separator\": 108,\n\t\"subtract\": 109,\n\t\"decimal\": 110,\n\t\"divide\": 111,\n\t\"f1\": 112,\n\t\"f2\": 113,\n\t\"f3\": 114,\n\t\"f4\": 115,\n\t\"f5\": 116,\n\t\"f6\": 117,\n\t\"f7\": 118,\n\t\"f8\": 119,\n\t\"f9\": 120,\n\t\"f10\": 121,\n\t\"f11\": 122,\n\t\"f12\": 123,\n\t\"f13\": 124,\n\t\"f14\": 125,\n\t\"f15\": 126,\n\t\"f16\": 127,\n\t\"f17\": 128,\n\t\"f18\": 129,\n\t\"f19\": 130,\n\t\"f20\": 131,\n\t\"f21\": 132,\n\t\"f22\": 133,\n\t\"f23\": 134,\n\t\"f24\": 135,\n\t\"firefoxminus\": 173,\n\t\"semicolon\": 186,\n\t\"equals\": 187,\n\t\"comma\": 188,\n\t\"dash\": 189,\n\t\"period\": 190,\n\t\"slash\": 191,\n\t\"backquote\": 192,\n\t\"openbracket\": 219,\n\t\"backslash\": 220,\n\t\"closebracket\": 221,\n\t\"quote\": 222\n};\n\nfunction KeyboardManager(options) {\n\tvar self = this;\n\toptions = options || \"\";\n\t// Save the named key hashmap\n\tthis.namedKeys = namedKeys;\n\t// Create a reverse mapping of code to keyname\n\tthis.keyNames = [];\n\t$tw.utils.each(namedKeys,function(keyCode,name) {\n\t\tself.keyNames[keyCode] = name.substr(0,1).toUpperCase() + name.substr(1);\n\t});\n\t// Save the platform-specific name of the \"meta\" key\n\tthis.metaKeyName = $tw.platform.isMac ? \"cmd-\" : \"win-\";\n}\n\n/*\nReturn an array of keycodes for the modifier keys ctrl, shift, alt, meta\n*/\nKeyboardManager.prototype.getModifierKeys = function() {\n\treturn [\n\t\t16, // Shift\n\t\t17, // Ctrl\n\t\t18, // Alt\n\t\t20, // CAPS LOCK\n\t\t91, // Meta (left)\n\t\t93, // Meta (right)\n\t\t224 // Meta (Firefox)\n\t]\n};\n\n/*\nParses a key descriptor into the structure:\n{\n\tkeyCode: numeric keycode\n\tshiftKey: boolean\n\taltKey: boolean\n\tctrlKey: boolean\n\tmetaKey: boolean\n}\nKey descriptors have the following format:\n\tctrl+enter\n\tctrl+shift+alt+A\n*/\nKeyboardManager.prototype.parseKeyDescriptor = function(keyDescriptor) {\n\tvar components = keyDescriptor.split(/\\+|\\-/),\n\t\tinfo = {\n\t\t\tkeyCode: 0,\n\t\t\tshiftKey: false,\n\t\t\taltKey: false,\n\t\t\tctrlKey: false,\n\t\t\tmetaKey: false\n\t\t};\n\tfor(var t=0; t<components.length; t++) {\n\t\tvar s = components[t].toLowerCase(),\n\t\t\tc = s.charCodeAt(0);\n\t\t// Look for modifier keys\n\t\tif(s === \"ctrl\") {\n\t\t\tinfo.ctrlKey = true;\n\t\t} else if(s === \"shift\") {\n\t\t\tinfo.shiftKey = true;\n\t\t} else if(s === \"alt\") {\n\t\t\tinfo.altKey = true;\n\t\t} else if(s === \"meta\" || s === \"cmd\" || s === \"win\") {\n\t\t\tinfo.metaKey = true;\n\t\t}\n\t\t// Replace named keys with their code\n\t\tif(this.namedKeys[s]) {\n\t\t\tinfo.keyCode = this.namedKeys[s];\n\t\t}\n\t}\n\tif(info.keyCode) {\n\t\treturn info;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nParse a list of key descriptors into an array of keyInfo objects. The key descriptors can be passed as an array of strings or a space separated string\n*/\nKeyboardManager.prototype.parseKeyDescriptors = function(keyDescriptors,options) {\n\tvar self = this;\n\toptions = options || {};\n\toptions.stack = options.stack || [];\n\tvar wiki = options.wiki || $tw.wiki;\n\tif(typeof keyDescriptors === \"string\" && keyDescriptors === \"\") {\n\t\treturn [];\n\t}\n\tif(!$tw.utils.isArray(keyDescriptors)) {\n\t\tkeyDescriptors = keyDescriptors.split(\" \");\n\t}\n\tvar result = [];\n\t$tw.utils.each(keyDescriptors,function(keyDescriptor) {\n\t\t// Look for a named shortcut\n\t\tif(keyDescriptor.substr(0,2) === \"((\" && keyDescriptor.substr(-2,2) === \"))\") {\n\t\t\tif(options.stack.indexOf(keyDescriptor) === -1) {\n\t\t\t\toptions.stack.push(keyDescriptor);\n\t\t\t\tvar name = keyDescriptor.substring(2,keyDescriptor.length - 2),\n\t\t\t\t\tlookupName = function(configName) {\n\t\t\t\t\t\tvar keyDescriptors = wiki.getTiddlerText(\"$:/config/\" + configName + \"/\" + name);\n\t\t\t\t\t\tif(keyDescriptors) {\n\t\t\t\t\t\t\tresult.push.apply(result,self.parseKeyDescriptors(keyDescriptors,options));\n\t\t\t\t\t\t}\n\t\t\t\t\t};\n\t\t\t\tlookupName(\"shortcuts\");\n\t\t\t\tlookupName($tw.platform.isMac ? \"shortcuts-mac\" : \"shortcuts-not-mac\");\n\t\t\t\tlookupName($tw.platform.isWindows ? \"shortcuts-windows\" : \"shortcuts-not-windows\");\n\t\t\t\tlookupName($tw.platform.isLinux ? \"shortcuts-linux\" : \"shortcuts-not-linux\");\n\t\t\t}\n\t\t} else {\n\t\t\tresult.push(self.parseKeyDescriptor(keyDescriptor));\n\t\t}\n\t});\n\treturn result;\n};\n\nKeyboardManager.prototype.getPrintableShortcuts = function(keyInfoArray) {\n\tvar self = this,\n\t\tresult = [];\n\t$tw.utils.each(keyInfoArray,function(keyInfo) {\n\t\tif(keyInfo) {\n\t\t\tresult.push((keyInfo.ctrlKey ? \"ctrl-\" : \"\") + \n\t\t\t\t (keyInfo.shiftKey ? \"shift-\" : \"\") + \n\t\t\t\t (keyInfo.altKey ? \"alt-\" : \"\") + \n\t\t\t\t (keyInfo.metaKey ? self.metaKeyName : \"\") + \n\t\t\t\t (self.keyNames[keyInfo.keyCode]));\n\t\t}\n\t});\n\treturn result;\n}\n\nKeyboardManager.prototype.checkKeyDescriptor = function(event,keyInfo) {\n\treturn keyInfo &&\n\t\t\tevent.keyCode === keyInfo.keyCode && \n\t\t\tevent.shiftKey === keyInfo.shiftKey && \n\t\t\tevent.altKey === keyInfo.altKey && \n\t\t\tevent.ctrlKey === keyInfo.ctrlKey && \n\t\t\tevent.metaKey === keyInfo.metaKey;\n};\n\nKeyboardManager.prototype.checkKeyDescriptors = function(event,keyInfoArray) {\n\tfor(var t=0; t<keyInfoArray.length; t++) {\n\t\tif(this.checkKeyDescriptor(event,keyInfoArray[t])) {\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\nexports.KeyboardManager = KeyboardManager;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/language.js": {
"title": "$:/core/modules/language.js",
"text": "/*\\\ntitle: $:/core/modules/language.js\ntype: application/javascript\nmodule-type: global\n\nThe $tw.Language() manages translateable strings\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreate an instance of the language manager. Options include:\nwiki: wiki from which to retrieve translation tiddlers\n*/\nfunction Language(options) {\n\toptions = options || \"\";\n\tthis.wiki = options.wiki || $tw.wiki;\n}\n\n/*\nReturn a wikified translateable string. The title is automatically prefixed with \"$:/language/\"\nOptions include:\nvariables: optional hashmap of variables to supply to the language wikification\n*/\nLanguage.prototype.getString = function(title,options) {\n\toptions = options || {};\n\ttitle = \"$:/language/\" + title;\n\treturn this.wiki.renderTiddler(\"text/plain\",title,{variables: options.variables});\n};\n\n/*\nReturn a raw, unwikified translateable string. The title is automatically prefixed with \"$:/language/\"\n*/\nLanguage.prototype.getRawString = function(title) {\n\ttitle = \"$:/language/\" + title;\n\treturn this.wiki.getTiddlerText(title);\n};\n\nexports.Language = Language;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/macros/changecount.js": {
"title": "$:/core/modules/macros/changecount.js",
"text": "/*\\\ntitle: $:/core/modules/macros/changecount.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return the changecount for the current tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"changecount\";\n\nexports.params = [];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\treturn this.wiki.getChangeCount(this.getVariable(\"currentTiddler\")) + \"\";\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/contrastcolour.js": {
"title": "$:/core/modules/macros/contrastcolour.js",
"text": "/*\\\ntitle: $:/core/modules/macros/contrastcolour.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to choose which of two colours has the highest contrast with a base colour\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"contrastcolour\";\n\nexports.params = [\n\t{name: \"target\"},\n\t{name: \"fallbackTarget\"},\n\t{name: \"colourA\"},\n\t{name: \"colourB\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(target,fallbackTarget,colourA,colourB) {\n\tvar rgbTarget = $tw.utils.parseCSSColor(target) || $tw.utils.parseCSSColor(fallbackTarget);\n\tif(!rgbTarget) {\n\t\treturn colourA;\n\t}\n\tvar rgbColourA = $tw.utils.parseCSSColor(colourA),\n\t\trgbColourB = $tw.utils.parseCSSColor(colourB);\n\tif(rgbColourA && !rgbColourB) {\n\t\treturn rgbColourA;\n\t}\n\tif(rgbColourB && !rgbColourA) {\n\t\treturn rgbColourB;\n\t}\n\tif(!rgbColourA && !rgbColourB) {\n\t\t// If neither colour is readable, return a crude inverse of the target\n\t\treturn [255 - rgbTarget[0],255 - rgbTarget[1],255 - rgbTarget[2],rgbTarget[3]];\n\t}\n\t// Colour brightness formula derived from http://www.w3.org/WAI/ER/WD-AERT/#color-contrast\n\tvar brightnessTarget = rgbTarget[0] * 0.299 + rgbTarget[1] * 0.587 + rgbTarget[2] * 0.114,\n\t\tbrightnessA = rgbColourA[0] * 0.299 + rgbColourA[1] * 0.587 + rgbColourA[2] * 0.114,\n\t\tbrightnessB = rgbColourB[0] * 0.299 + rgbColourB[1] * 0.587 + rgbColourB[2] * 0.114;\n\treturn Math.abs(brightnessTarget - brightnessA) > Math.abs(brightnessTarget - brightnessB) ? colourA : colourB;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/csvtiddlers.js": {
"title": "$:/core/modules/macros/csvtiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/macros/csvtiddlers.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output tiddlers matching a filter to CSV\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"csvtiddlers\";\n\nexports.params = [\n\t{name: \"filter\"},\n\t{name: \"format\"},\n];\n\n/*\nRun the macro\n*/\nexports.run = function(filter,format) {\n\tvar self = this,\n\t\ttiddlers = this.wiki.filterTiddlers(filter),\n\t\ttiddler,\n\t\tfields = [],\n\t\tt,f;\n\t// Collect all the fields\n\tfor(t=0;t<tiddlers.length; t++) {\n\t\ttiddler = this.wiki.getTiddler(tiddlers[t]);\n\t\tfor(f in tiddler.fields) {\n\t\t\tif(fields.indexOf(f) === -1) {\n\t\t\t\tfields.push(f);\n\t\t\t}\n\t\t}\n\t}\n\t// Sort the fields and bring the standard ones to the front\n\tfields.sort();\n\t\"title text modified modifier created creator\".split(\" \").reverse().forEach(function(value,index) {\n\t\tvar p = fields.indexOf(value);\n\t\tif(p !== -1) {\n\t\t\tfields.splice(p,1);\n\t\t\tfields.unshift(value)\n\t\t}\n\t});\n\t// Output the column headings\n\tvar output = [], row = [];\n\tfields.forEach(function(value) {\n\t\trow.push(quoteAndEscape(value))\n\t});\n\toutput.push(row.join(\",\"));\n\t// Output each tiddler\n\tfor(var t=0;t<tiddlers.length; t++) {\n\t\trow = [];\n\t\ttiddler = this.wiki.getTiddler(tiddlers[t]);\n\t\t\tfor(f=0; f<fields.length; f++) {\n\t\t\t\trow.push(quoteAndEscape(tiddler ? tiddler.getFieldString(fields[f]) || \"\" : \"\"));\n\t\t\t}\n\t\toutput.push(row.join(\",\"));\n\t}\n\treturn output.join(\"\\n\");\n};\n\nfunction quoteAndEscape(value) {\n\treturn \"\\\"\" + value.replace(/\"/mg,\"\\\"\\\"\") + \"\\\"\";\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/displayshortcuts.js": {
"title": "$:/core/modules/macros/displayshortcuts.js",
"text": "/*\\\ntitle: $:/core/modules/macros/displayshortcuts.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to display a list of keyboard shortcuts in human readable form. Notably, it resolves named shortcuts like `((bold))` to the underlying keystrokes.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"displayshortcuts\";\n\nexports.params = [\n\t{name: \"shortcuts\"},\n\t{name: \"prefix\"},\n\t{name: \"separator\"},\n\t{name: \"suffix\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(shortcuts,prefix,separator,suffix) {\n\tvar shortcutArray = $tw.keyboardManager.getPrintableShortcuts($tw.keyboardManager.parseKeyDescriptors(shortcuts,{\n\t\twiki: this.wiki\n\t}));\n\tif(shortcutArray.length > 0) {\n\t\tshortcutArray.sort(function(a,b) {\n\t\t return a.toLowerCase().localeCompare(b.toLowerCase());\n\t\t})\n\t\treturn prefix + shortcutArray.join(separator) + suffix;\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/dumpvariables.js": {
"title": "$:/core/modules/macros/dumpvariables.js",
"text": "/*\\\ntitle: $:/core/modules/macros/dumpvariables.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to dump all active variable values\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"dumpvariables\";\n\nexports.params = [\n];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\tvar output = [\"|!Variable |!Value |\"],\n\t\tvariables = [], variable;\n\tfor(variable in this.variables) {\n\t\tvariables.push(variable);\n\t}\n\tvariables.sort();\n\tfor(var index=0; index<variables.length; index++) {\n\t\tvar variable = variables[index];\n\t\toutput.push(\"|\" + variable + \" |<input size=50 value=<<\" + variable + \">>/> |\")\n\t}\n\treturn output.join(\"\\n\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/jsontiddler.js": {
"title": "$:/core/modules/macros/jsontiddler.js",
"text": "/*\\\ntitle: $:/core/modules/macros/jsontiddler.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output a single tiddler to JSON\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"jsontiddler\";\n\nexports.params = [\n\t{name: \"title\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(title) {\n\ttitle = title || this.getVariable(\"currentTiddler\");\n\tvar tiddler = !!title && this.wiki.getTiddler(title),\n\t\tfields = new Object();\n\tif(tiddler) {\n\t\tfor(var field in tiddler.fields) {\n\t\t\tfields[field] = tiddler.getFieldString(field);\n\t\t}\n\t}\n\treturn JSON.stringify(fields,null,$tw.config.preferences.jsonSpaces);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/jsontiddlers.js": {
"title": "$:/core/modules/macros/jsontiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/macros/jsontiddlers.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output tiddlers matching a filter to JSON\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"jsontiddlers\";\n\nexports.params = [\n\t{name: \"filter\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(filter) {\n\tvar tiddlers = this.wiki.filterTiddlers(filter),\n\t\tdata = [];\n\tfor(var t=0;t<tiddlers.length; t++) {\n\t\tvar tiddler = this.wiki.getTiddler(tiddlers[t]);\n\t\tif(tiddler) {\n\t\t\tvar fields = new Object();\n\t\t\tfor(var field in tiddler.fields) {\n\t\t\t\tfields[field] = tiddler.getFieldString(field);\n\t\t\t}\n\t\t\tdata.push(fields);\n\t\t}\n\t}\n\treturn JSON.stringify(data,null,$tw.config.preferences.jsonSpaces);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/makedatauri.js": {
"title": "$:/core/modules/macros/makedatauri.js",
"text": "/*\\\ntitle: $:/core/modules/macros/makedatauri.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to convert a string of text to a data URI\n\n<<makedatauri text:\"Text to be converted\" type:\"text/vnd.tiddlywiki\">>\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"makedatauri\";\n\nexports.params = [\n\t{name: \"text\"},\n\t{name: \"type\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(text,type) {\n\treturn $tw.utils.makeDataUri(text,type);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/now.js": {
"title": "$:/core/modules/macros/now.js",
"text": "/*\\\ntitle: $:/core/modules/macros/now.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return a formatted version of the current time\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"now\";\n\nexports.params = [\n\t{name: \"format\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(format) {\n\treturn $tw.utils.formatDateString(new Date(),format || \"0hh:0mm, DDth MMM YYYY\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/qualify.js": {
"title": "$:/core/modules/macros/qualify.js",
"text": "/*\\\ntitle: $:/core/modules/macros/qualify.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to qualify a state tiddler title according\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"qualify\";\n\nexports.params = [\n\t{name: \"title\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(title) {\n\treturn title + \"-\" + this.getStateQualifier();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/resolvepath.js": {
"title": "$:/core/modules/macros/resolvepath.js",
"text": "/*\\\ntitle: $:/core/modules/macros/resolvepath.js\ntype: application/javascript\nmodule-type: macro\n\nResolves a relative path for an absolute rootpath.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"resolvepath\";\n\nexports.params = [\n\t{name: \"source\"},\n\t{name: \"root\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(source, root) {\n\treturn $tw.utils.resolvePath(source, root);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/version.js": {
"title": "$:/core/modules/macros/version.js",
"text": "/*\\\ntitle: $:/core/modules/macros/version.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return the TiddlyWiki core version number\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"version\";\n\nexports.params = [];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\treturn $tw.version;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/parsers/audioparser.js": {
"title": "$:/core/modules/parsers/audioparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/audioparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe audio parser parses an audio tiddler into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar AudioParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"audio\",\n\t\t\tattributes: {\n\t\t\t\tcontrols: {type: \"string\", value: \"controls\"}\n\t\t\t}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"audio/ogg\"] = AudioParser;\nexports[\"audio/mpeg\"] = AudioParser;\nexports[\"audio/mp3\"] = AudioParser;\nexports[\"audio/mp4\"] = AudioParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/csvparser.js": {
"title": "$:/core/modules/parsers/csvparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/csvparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe CSV text parser processes CSV files into a table wrapped in a scrollable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar CsvParser = function(type,text,options) {\n\t// Table framework\n\tthis.tree = [{\n\t\t\"type\": \"scrollable\", \"children\": [{\n\t\t\t\"type\": \"element\", \"tag\": \"table\", \"children\": [{\n\t\t\t\t\"type\": \"element\", \"tag\": \"tbody\", \"children\": []\n\t\t\t}], \"attributes\": {\n\t\t\t\t\"class\": {\"type\": \"string\", \"value\": \"tc-csv-table\"}\n\t\t\t}\n\t\t}]\n\t}];\n\t// Split the text into lines\n\tvar lines = text.split(/\\r?\\n/mg),\n\t\ttag = \"th\";\n\tfor(var line=0; line<lines.length; line++) {\n\t\tvar lineText = lines[line];\n\t\tif(lineText) {\n\t\t\tvar row = {\n\t\t\t\t\t\"type\": \"element\", \"tag\": \"tr\", \"children\": []\n\t\t\t\t};\n\t\t\tvar columns = lineText.split(\",\");\n\t\t\tfor(var column=0; column<columns.length; column++) {\n\t\t\t\trow.children.push({\n\t\t\t\t\t\t\"type\": \"element\", \"tag\": tag, \"children\": [{\n\t\t\t\t\t\t\t\"type\": \"text\",\n\t\t\t\t\t\t\t\"text\": columns[column]\n\t\t\t\t\t\t}]\n\t\t\t\t\t});\n\t\t\t}\n\t\t\ttag = \"td\";\n\t\t\tthis.tree[0].children[0].children[0].children.push(row);\n\t\t}\n\t}\n};\n\nexports[\"text/csv\"] = CsvParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/htmlparser.js": {
"title": "$:/core/modules/parsers/htmlparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/htmlparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe HTML parser displays text as raw HTML\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HtmlParser = function(type,text,options) {\n\tvar src;\n\tif(options._canonical_uri) {\n\t\tsrc = options._canonical_uri;\n\t} else if(text) {\n\t\tsrc = \"data:text/html;charset=utf-8,\" + encodeURIComponent(text);\n\t}\n\tthis.tree = [{\n\t\ttype: \"element\",\n\t\ttag: \"iframe\",\n\t\tattributes: {\n\t\t\tsrc: {type: \"string\", value: src},\n\t\t\tsandbox: {type: \"string\", value: \"\"}\n\t\t}\n\t}];\n};\n\nexports[\"text/html\"] = HtmlParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/imageparser.js": {
"title": "$:/core/modules/parsers/imageparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/imageparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe image parser parses an image into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ImageParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"img\",\n\t\t\tattributes: {}\n\t\t};\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\tif(type === \"image/svg+xml\" || type === \".svg\") {\n\t\t\telement.attributes.src = {type: \"string\", value: \"data:image/svg+xml,\" + encodeURIComponent(text)};\n\t\t} else {\n\t\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t\t}\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"image/svg+xml\"] = ImageParser;\nexports[\"image/jpg\"] = ImageParser;\nexports[\"image/jpeg\"] = ImageParser;\nexports[\"image/png\"] = ImageParser;\nexports[\"image/gif\"] = ImageParser;\nexports[\"image/x-icon\"] = ImageParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/utils/parseutils.js": {
"title": "$:/core/modules/utils/parseutils.js",
"text": "/*\\\ntitle: $:/core/modules/utils/parseutils.js\ntype: application/javascript\nmodule-type: utils\n\nUtility functions concerned with parsing text into tokens.\n\nMost functions have the following pattern:\n\n* The parameters are:\n** `source`: the source string being parsed\n** `pos`: the current parse position within the string\n** Any further parameters are used to identify the token that is being parsed\n* The return value is:\n** null if the token was not found at the specified position\n** an object representing the token with the following standard fields:\n*** `type`: string indicating the type of the token\n*** `start`: start position of the token in the source string\n*** `end`: end position of the token in the source string\n*** Any further fields required to describe the token\n\nThe exception is `skipWhiteSpace`, which just returns the position after the whitespace.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nLook for a whitespace token. Returns null if not found, otherwise returns {type: \"whitespace\", start:, end:,}\n*/\nexports.parseWhiteSpace = function(source,pos) {\n\tvar p = pos,c;\n\twhile(true) {\n\t\tc = source.charAt(p);\n\t\tif((c === \" \") || (c === \"\\f\") || (c === \"\\n\") || (c === \"\\r\") || (c === \"\\t\") || (c === \"\\v\") || (c === \"\\u00a0\")) { // Ignores some obscure unicode spaces\n\t\t\tp++;\n\t\t} else {\n\t\t\tbreak;\n\t\t}\n\t}\n\tif(p === pos) {\n\t\treturn null;\n\t} else {\n\t\treturn {\n\t\t\ttype: \"whitespace\",\n\t\t\tstart: pos,\n\t\t\tend: p\n\t\t}\n\t}\n};\n\n/*\nConvenience wrapper for parseWhiteSpace. Returns the position after the whitespace\n*/\nexports.skipWhiteSpace = function(source,pos) {\n\tvar c;\n\twhile(true) {\n\t\tc = source.charAt(pos);\n\t\tif((c === \" \") || (c === \"\\f\") || (c === \"\\n\") || (c === \"\\r\") || (c === \"\\t\") || (c === \"\\v\") || (c === \"\\u00a0\")) { // Ignores some obscure unicode spaces\n\t\t\tpos++;\n\t\t} else {\n\t\t\treturn pos;\n\t\t}\n\t}\n};\n\n/*\nLook for a given string token. Returns null if not found, otherwise returns {type: \"token\", value:, start:, end:,}\n*/\nexports.parseTokenString = function(source,pos,token) {\n\tvar match = source.indexOf(token,pos) === pos;\n\tif(match) {\n\t\treturn {\n\t\t\ttype: \"token\",\n\t\t\tvalue: token,\n\t\t\tstart: pos,\n\t\t\tend: pos + token.length\n\t\t};\n\t}\n\treturn null;\n};\n\n/*\nLook for a token matching a regex. Returns null if not found, otherwise returns {type: \"regexp\", match:, start:, end:,}\n*/\nexports.parseTokenRegExp = function(source,pos,reToken) {\n\tvar node = {\n\t\ttype: \"regexp\",\n\t\tstart: pos\n\t};\n\treToken.lastIndex = pos;\n\tnode.match = reToken.exec(source);\n\tif(node.match && node.match.index === pos) {\n\t\tnode.end = pos + node.match[0].length;\n\t\treturn node;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLook for a string literal. Returns null if not found, otherwise returns {type: \"string\", value:, start:, end:,}\n*/\nexports.parseStringLiteral = function(source,pos) {\n\tvar node = {\n\t\ttype: \"string\",\n\t\tstart: pos\n\t};\n\tvar reString = /(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\")|(?:'([^']*)')/g;\n\treString.lastIndex = pos;\n\tvar match = reString.exec(source);\n\tif(match && match.index === pos) {\n\t\tnode.value = match[1] !== undefined ? match[1] :(\n\t\t\tmatch[2] !== undefined ? match[2] : match[3] \n\t\t\t\t\t);\n\t\tnode.end = pos + match[0].length;\n\t\treturn node;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLook for a macro invocation parameter. Returns null if not found, or {type: \"macro-parameter\", name:, value:, start:, end:}\n*/\nexports.parseMacroParameter = function(source,pos) {\n\tvar node = {\n\t\ttype: \"macro-parameter\",\n\t\tstart: pos\n\t};\n\t// Define our regexp\n\tvar reMacroParameter = /(?:([A-Za-z0-9\\-_]+)\\s*:)?(?:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\\s>\"'=]+)))/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the parameter\n\tvar token = $tw.utils.parseTokenRegExp(source,pos,reMacroParameter);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the parameter details\n\tnode.value = token.match[2] !== undefined ? token.match[2] : (\n\t\t\t\t\ttoken.match[3] !== undefined ? token.match[3] : (\n\t\t\t\t\t\ttoken.match[4] !== undefined ? token.match[4] : (\n\t\t\t\t\t\t\ttoken.match[5] !== undefined ? token.match[5] : (\n\t\t\t\t\t\t\t\ttoken.match[6] !== undefined ? token.match[6] : (\n\t\t\t\t\t\t\t\t\t\"\"\n\t\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t)\n\t\t\t\t);\n\tif(token.match[1]) {\n\t\tnode.name = token.match[1];\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n/*\nLook for a macro invocation. Returns null if not found, or {type: \"macrocall\", name:, parameters:, start:, end:}\n*/\nexports.parseMacroInvocation = function(source,pos) {\n\tvar node = {\n\t\ttype: \"macrocall\",\n\t\tstart: pos,\n\t\tparams: []\n\t};\n\t// Define our regexps\n\tvar reMacroName = /([^\\s>\"'=]+)/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a double less than sign\n\tvar token = $tw.utils.parseTokenString(source,pos,\"<<\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the macro name\n\tvar name = $tw.utils.parseTokenRegExp(source,pos,reMacroName);\n\tif(!name) {\n\t\treturn null;\n\t}\n\tnode.name = name.match[1];\n\tpos = name.end;\n\t// Process parameters\n\tvar parameter = $tw.utils.parseMacroParameter(source,pos);\n\twhile(parameter) {\n\t\tnode.params.push(parameter);\n\t\tpos = parameter.end;\n\t\t// Get the next parameter\n\t\tparameter = $tw.utils.parseMacroParameter(source,pos);\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a double greater than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\">>\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n/*\nLook for an HTML attribute definition. Returns null if not found, otherwise returns {type: \"attribute\", name:, valueType: \"string|indirect|macro\", value:, start:, end:,}\n*/\nexports.parseAttribute = function(source,pos) {\n\tvar node = {\n\t\tstart: pos\n\t};\n\t// Define our regexps\n\tvar reAttributeName = /([^\\/\\s>\"'=]+)/g,\n\t\treUnquotedAttribute = /([^\\/\\s<>\"'=]+)/g,\n\t\treFilteredValue = /\\{\\{\\{(.+?)\\}\\}\\}/g,\n\t\treIndirectValue = /\\{\\{([^\\}]+)\\}\\}/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Get the attribute name\n\tvar name = $tw.utils.parseTokenRegExp(source,pos,reAttributeName);\n\tif(!name) {\n\t\treturn null;\n\t}\n\tnode.name = name.match[1];\n\tpos = name.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for an equals sign\n\tvar token = $tw.utils.parseTokenString(source,pos,\"=\");\n\tif(token) {\n\t\tpos = token.end;\n\t\t// Skip whitespace\n\t\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t\t// Look for a string literal\n\t\tvar stringLiteral = $tw.utils.parseStringLiteral(source,pos);\n\t\tif(stringLiteral) {\n\t\t\tpos = stringLiteral.end;\n\t\t\tnode.type = \"string\";\n\t\t\tnode.value = stringLiteral.value;\n\t\t} else {\n\t\t\t// Look for a filtered value\n\t\t\tvar filteredValue = $tw.utils.parseTokenRegExp(source,pos,reFilteredValue);\n\t\t\tif(filteredValue) {\n\t\t\t\tpos = filteredValue.end;\n\t\t\t\tnode.type = \"filtered\";\n\t\t\t\tnode.filter = filteredValue.match[1];\n\t\t\t} else {\n\t\t\t\t// Look for an indirect value\n\t\t\t\tvar indirectValue = $tw.utils.parseTokenRegExp(source,pos,reIndirectValue);\n\t\t\t\tif(indirectValue) {\n\t\t\t\t\tpos = indirectValue.end;\n\t\t\t\t\tnode.type = \"indirect\";\n\t\t\t\t\tnode.textReference = indirectValue.match[1];\n\t\t\t\t} else {\n\t\t\t\t\t// Look for a unquoted value\n\t\t\t\t\tvar unquotedValue = $tw.utils.parseTokenRegExp(source,pos,reUnquotedAttribute);\n\t\t\t\t\tif(unquotedValue) {\n\t\t\t\t\t\tpos = unquotedValue.end;\n\t\t\t\t\t\tnode.type = \"string\";\n\t\t\t\t\t\tnode.value = unquotedValue.match[1];\n\t\t\t\t\t} else {\n\t\t\t\t\t\t// Look for a macro invocation value\n\t\t\t\t\t\tvar macroInvocation = $tw.utils.parseMacroInvocation(source,pos);\n\t\t\t\t\t\tif(macroInvocation) {\n\t\t\t\t\t\t\tpos = macroInvocation.end;\n\t\t\t\t\t\t\tnode.type = \"macro\";\n\t\t\t\t\t\t\tnode.value = macroInvocation;\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tnode.type = \"string\";\n\t\t\t\t\t\t\tnode.value = \"true\";\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t} else {\n\t\tnode.type = \"string\";\n\t\tnode.value = \"true\";\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/parsers/pdfparser.js": {
"title": "$:/core/modules/parsers/pdfparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/pdfparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe PDF parser embeds a PDF viewer\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ImageParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"embed\",\n\t\t\tattributes: {}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:application/pdf;base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"application/pdf\"] = ImageParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/textparser.js": {
"title": "$:/core/modules/parsers/textparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/textparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe plain text parser processes blocks of source text into a degenerate parse tree consisting of a single text node\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar TextParser = function(type,text,options) {\n\tthis.tree = [{\n\t\ttype: \"codeblock\",\n\t\tattributes: {\n\t\t\tcode: {type: \"string\", value: text},\n\t\t\tlanguage: {type: \"string\", value: type}\n\t\t}\n\t}];\n};\n\nexports[\"text/plain\"] = TextParser;\nexports[\"text/x-tiddlywiki\"] = TextParser;\nexports[\"application/javascript\"] = TextParser;\nexports[\"application/json\"] = TextParser;\nexports[\"text/css\"] = TextParser;\nexports[\"application/x-tiddler-dictionary\"] = TextParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/videoparser.js": {
"title": "$:/core/modules/parsers/videoparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/videoparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe video parser parses a video tiddler into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar VideoParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"video\",\n\t\t\tattributes: {\n\t\t\t\tcontrols: {type: \"string\", value: \"controls\"}\n\t\t\t}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"video/mp4\"] = VideoParser;\nexports[\"video/quicktime\"] = VideoParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/wikiparser/rules/codeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/codeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/codeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for code blocks. For example:\n\n```\n\t```\n\tThis text will not be //wikified//\n\t```\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"codeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match and get language if defined\n\tthis.matchRegExp = /```([\\w-]*)\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /(\\r?\\n```$)/mg;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Look for the end of the block\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the block\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\t// Return the $codeblock widget\n\treturn [{\n\t\t\ttype: \"codeblock\",\n\t\t\tattributes: {\n\t\t\t\t\tcode: {type: \"string\", value: text},\n\t\t\t\t\tlanguage: {type: \"string\", value: this.match[1]}\n\t\t\t}\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/codeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/codeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/codeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for code runs. For example:\n\n```\n\tThis is a `code run`.\n\tThis is another ``code run``\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"codeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(``?)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar reEnd = new RegExp(this.match[1], \"mg\");\n\t// Look for the end marker\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the text\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"code\",\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\ttext: text\n\t\t}]\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/commentblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/commentblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/commentblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for HTML comments. For example:\n\n```\n<!-- This is a comment -->\n```\n\nNote that the syntax for comments is simplified to an opening \"<!--\" sequence and a closing \"-->\" sequence -- HTML itself implements a more complex format (see http://ostermiller.org/findhtmlcomment.html)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"commentblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\tthis.matchRegExp = /<!--/mg;\n\tthis.endMatchRegExp = /-->/mg;\n};\n\nexports.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\tif(this.match) {\n\t\tthis.endMatchRegExp.lastIndex = startPos + this.match[0].length;\n\t\tthis.endMatch = this.endMatchRegExp.exec(this.parser.source);\n\t\tif(this.endMatch) {\n\t\t\treturn this.match.index;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.endMatchRegExp.lastIndex;\n\t// Don't return any elements\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/commentinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/commentinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/commentinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for HTML comments. For example:\n\n```\n<!-- This is a comment -->\n```\n\nNote that the syntax for comments is simplified to an opening \"<!--\" sequence and a closing \"-->\" sequence -- HTML itself implements a more complex format (see http://ostermiller.org/findhtmlcomment.html)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"commentinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\tthis.matchRegExp = /<!--/mg;\n\tthis.endMatchRegExp = /-->/mg;\n};\n\nexports.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\tif(this.match) {\n\t\tthis.endMatchRegExp.lastIndex = startPos + this.match[0].length;\n\t\tthis.endMatch = this.endMatchRegExp.exec(this.parser.source);\n\t\tif(this.endMatch) {\n\t\t\treturn this.match.index;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.endMatchRegExp.lastIndex;\n\t// Don't return any elements\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/dash.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/dash.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/dash.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for dashes. For example:\n\n```\nThis is an en-dash: --\n\nThis is an em-dash: ---\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"dash\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /-{2,3}(?!-)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar dash = this.match[0].length === 2 ? \"–\" : \"—\";\n\treturn [{\n\t\ttype: \"entity\",\n\t\tentity: dash\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/bold.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/bold.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/bold.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - bold. For example:\n\n```\n\tThis is ''bold'' text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except bold \n\\rules only bold \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"bold\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /''/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/''/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"strong\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/italic.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/italic.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/italic.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - italic. For example:\n\n```\n\tThis is //italic// text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except italic\n\\rules only italic\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"italic\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\/\\//mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/\\/\\//mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"em\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - strikethrough. For example:\n\n```\n\tThis is ~~strikethrough~~ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except strikethrough \n\\rules only strikethrough \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"strikethrough\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /~~/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/~~/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"strike\",\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - subscript. For example:\n\n```\n\tThis is ,,subscript,, text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except subscript \n\\rules only subscript \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"subscript\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /,,/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/,,/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"sub\",\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - superscript. For example:\n\n```\n\tThis is ^^superscript^^ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except superscript \n\\rules only superscript \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"superscript\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\^\\^/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/\\^\\^/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"sup\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - underscore. For example:\n\n```\n\tThis is __underscore__ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except underscore \n\\rules only underscore\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"underscore\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /__/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/__/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"u\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/entity.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/entity.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/entity.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for HTML entities. For example:\n\n```\n\tThis is a copyright symbol: ©\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"entity\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(&#?[a-zA-Z0-9]{2,8};)/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar entityString = this.match[1];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Return the entity\n\treturn [{type: \"entity\", entity: this.match[0]}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/extlink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/extlink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/extlink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for external links. For example:\n\n```\nAn external link: https://www.tiddlywiki.com/\n\nA suppressed external link: ~http://www.tiddlyspace.com/\n```\n\nExternal links can be suppressed by preceding them with `~`.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"extlink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /~?(?:file|http|https|mailto|ftp|irc|news|data|skype):[^\\s<>{}\\[\\]`|\"\\\\^]+(?:\\/|\\b)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Create the link unless it is suppressed\n\tif(this.match[0].substr(0,1) === \"~\") {\n\t\treturn [{type: \"text\", text: this.match[0].substr(1)}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tattributes: {\n\t\t\t\thref: {type: \"string\", value: this.match[0]},\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t\ttarget: {type: \"string\", value: \"_blank\"},\n\t\t\t\trel: {type: \"string\", value: \"noopener noreferrer\"}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: this.match[0]\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for block-level filtered transclusion. For example:\n\n```\n{{{ [tag[docs]] }}}\n{{{ [tag[docs]] |tooltip}}}\n{{{ [tag[docs]] ||TemplateTitle}}}\n{{{ [tag[docs]] |tooltip||TemplateTitle}}}\n{{{ [tag[docs]] }}width:40;height:50;}.class.class\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"filteredtranscludeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{\\{([^\\|]+?)(?:\\|([^\\|\\{\\}]+))?(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}([^\\}]*)\\}(?:\\.(\\S+))?(?:\\r?\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar filter = this.match[1],\n\t\ttooltip = this.match[2],\n\t\ttemplate = $tw.utils.trim(this.match[3]),\n\t\tstyle = this.match[4],\n\t\tclasses = this.match[5];\n\t// Return the list widget\n\tvar node = {\n\t\ttype: \"list\",\n\t\tattributes: {\n\t\t\tfilter: {type: \"string\", value: filter}\n\t\t},\n\t\tisBlock: true\n\t};\n\tif(tooltip) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: tooltip};\n\t}\n\tif(template) {\n\t\tnode.attributes.template = {type: \"string\", value: template};\n\t}\n\tif(style) {\n\t\tnode.attributes.style = {type: \"string\", value: style};\n\t}\n\tif(classes) {\n\t\tnode.attributes.itemClass = {type: \"string\", value: classes.split(\".\").join(\" \")};\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for inline filtered transclusion. For example:\n\n```\n{{{ [tag[docs]] }}}\n{{{ [tag[docs]] |tooltip}}}\n{{{ [tag[docs]] ||TemplateTitle}}}\n{{{ [tag[docs]] |tooltip||TemplateTitle}}}\n{{{ [tag[docs]] }}width:40;height:50;}.class.class\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"filteredtranscludeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{\\{([^\\|]+?)(?:\\|([^\\|\\{\\}]+))?(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}([^\\}]*)\\}(?:\\.(\\S+))?/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar filter = this.match[1],\n\t\ttooltip = this.match[2],\n\t\ttemplate = $tw.utils.trim(this.match[3]),\n\t\tstyle = this.match[4],\n\t\tclasses = this.match[5];\n\t// Return the list widget\n\tvar node = {\n\t\ttype: \"list\",\n\t\tattributes: {\n\t\t\tfilter: {type: \"string\", value: filter}\n\t\t}\n\t};\n\tif(tooltip) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: tooltip};\n\t}\n\tif(template) {\n\t\tnode.attributes.template = {type: \"string\", value: template};\n\t}\n\tif(style) {\n\t\tnode.attributes.style = {type: \"string\", value: style};\n\t}\n\tif(classes) {\n\t\tnode.attributes.itemClass = {type: \"string\", value: classes.split(\".\").join(\" \")};\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for marking areas with hard line breaks. For example:\n\n```\n\"\"\"\nThis is some text\nThat is set like\nIt is a Poem\nWhen it is\nClearly\nNot\n\"\"\"\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"hardlinebreaks\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\"\"\"(?:\\r?\\n)?/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /(\"\"\")|(\\r?\\n)/mg,\n\t\ttree = [],\n\t\tmatch;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tdo {\n\t\t// Parse the run up to the terminator\n\t\ttree.push.apply(tree,this.parser.parseInlineRun(reEnd,{eatTerminator: false}));\n\t\t// Redo the terminator match\n\t\treEnd.lastIndex = this.parser.pos;\n\t\tmatch = reEnd.exec(this.parser.source);\n\t\tif(match) {\n\t\t\tthis.parser.pos = reEnd.lastIndex;\n\t\t\t// Add a line break if the terminator was a line break\n\t\t\tif(match[2]) {\n\t\t\t\ttree.push({type: \"element\", tag: \"br\"});\n\t\t\t}\n\t\t}\n\t} while(match && !match[1]);\n\t// Return the nodes\n\treturn tree;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/heading.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/heading.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/heading.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for headings\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"heading\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(!{1,6})/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar headingLevel = this.match[1].length;\n\t// Move past the !s\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse any classes, whitespace and then the heading itself\n\tvar classes = this.parser.parseClasses();\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tvar tree = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// Return the heading\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"h\" + headingLevel, \n\t\tattributes: {\n\t\t\t\"class\": {type: \"string\", value: classes.join(\" \")}\n\t\t},\n\t\tchildren: tree\n\t}];\n};\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/horizrule.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/horizrule.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/horizrule.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for rules. For example:\n\n```\n---\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"horizrule\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /-{3,}\\r?(?:\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\treturn [{type: \"element\", tag: \"hr\"}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/html.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/html.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/html.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for HTML elements and widgets. For example:\n\n{{{\n<aside>\nThis is an HTML5 aside element\n</aside>\n\n<$slider target=\"MyTiddler\">\nThis is a widget invocation\n</$slider>\n\n}}}\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"html\";\nexports.types = {inline: true, block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextTag = this.findNextTag(this.parser.source,startPos,{\n\t\trequireLineBreak: this.is.block\n\t});\n\treturn this.nextTag ? this.nextTag.start : undefined;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Retrieve the most recent match so that recursive calls don't overwrite it\n\tvar tag = this.nextTag;\n\tthis.nextTag = null;\n\t// Advance the parser position to past the tag\n\tthis.parser.pos = tag.end;\n\t// Check for an immediately following double linebreak\n\tvar hasLineBreak = !tag.isSelfClosing && !!$tw.utils.parseTokenRegExp(this.parser.source,this.parser.pos,/([^\\S\\n\\r]*\\r?\\n(?:[^\\S\\n\\r]*\\r?\\n|$))/g);\n\t// Set whether we're in block mode\n\ttag.isBlock = this.is.block || hasLineBreak;\n\t// Parse the body if we need to\n\tif(!tag.isSelfClosing && $tw.config.htmlVoidElements.indexOf(tag.tag) === -1) {\n\t\t\tvar reEndString = \"</\" + $tw.utils.escapeRegExp(tag.tag) + \">\",\n\t\t\t\treEnd = new RegExp(\"(\" + reEndString + \")\",\"mg\");\n\t\tif(hasLineBreak) {\n\t\t\ttag.children = this.parser.parseBlocks(reEndString);\n\t\t} else {\n\t\t\ttag.children = this.parser.parseInlineRun(reEnd);\n\t\t}\n\t\treEnd.lastIndex = this.parser.pos;\n\t\tvar endMatch = reEnd.exec(this.parser.source);\n\t\tif(endMatch && endMatch.index === this.parser.pos) {\n\t\t\tthis.parser.pos = endMatch.index + endMatch[0].length;\n\t\t}\n\t}\n\t// Return the tag\n\treturn [tag];\n};\n\n/*\nLook for an HTML tag. Returns null if not found, otherwise returns {type: \"element\", name:, attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseTag = function(source,pos,options) {\n\toptions = options || {};\n\tvar token,\n\t\tnode = {\n\t\t\ttype: \"element\",\n\t\t\tstart: pos,\n\t\t\tattributes: {}\n\t\t};\n\t// Define our regexps\n\tvar reTagName = /([a-zA-Z0-9\\-\\$]+)/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a less than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\"<\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the tag name\n\ttoken = $tw.utils.parseTokenRegExp(source,pos,reTagName);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tnode.tag = token.match[1];\n\tif(node.tag.slice(1).indexOf(\"$\") !== -1) {\n\t\treturn null;\n\t}\n\tif(node.tag.charAt(0) === \"$\") {\n\t\tnode.type = node.tag.substr(1);\n\t}\n\tpos = token.end;\n\t// Check that the tag is terminated by a space, / or >\n\tif(!$tw.utils.parseWhiteSpace(source,pos) && !(source.charAt(pos) === \"/\") && !(source.charAt(pos) === \">\") ) {\n\t\treturn null;\n\t}\n\t// Process attributes\n\tvar attribute = $tw.utils.parseAttribute(source,pos);\n\twhile(attribute) {\n\t\tnode.attributes[attribute.name] = attribute;\n\t\tpos = attribute.end;\n\t\t// Get the next attribute\n\t\tattribute = $tw.utils.parseAttribute(source,pos);\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a closing slash\n\ttoken = $tw.utils.parseTokenString(source,pos,\"/\");\n\tif(token) {\n\t\tpos = token.end;\n\t\tnode.isSelfClosing = true;\n\t}\n\t// Look for a greater than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\">\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Check for a required line break\n\tif(options.requireLineBreak) {\n\t\ttoken = $tw.utils.parseTokenRegExp(source,pos,/([^\\S\\n\\r]*\\r?\\n(?:[^\\S\\n\\r]*\\r?\\n|$))/g);\n\t\tif(!token) {\n\t\t\treturn null;\n\t\t}\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\nexports.findNextTag = function(source,pos,options) {\n\t// A regexp for finding candidate HTML tags\n\tvar reLookahead = /<([a-zA-Z\\-\\$]+)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a tag\n\t\tvar tag = this.parseTag(source,match.index,options);\n\t\t// Return success\n\t\tif(tag && this.isLegalTag(tag)) {\n\t\t\treturn tag;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\nexports.isLegalTag = function(tag) {\n\t// Widgets are always OK\n\tif(tag.type !== \"element\") {\n\t\treturn true;\n\t// If it's an HTML tag that starts with a dash then it's not legal\n\t} else if(tag.tag.charAt(0) === \"-\") {\n\t\treturn false;\n\t} else {\n\t\t// Otherwise it's OK\n\t\treturn true;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/image.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/image.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/image.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for embedding images. For example:\n\n```\n[img[https://tiddlywiki.com/fractalveg.jpg]]\n[img width=23 height=24 [https://tiddlywiki.com/fractalveg.jpg]]\n[img width={{!!width}} height={{!!height}} [https://tiddlywiki.com/fractalveg.jpg]]\n[img[Description of image|https://tiddlywiki.com/fractalveg.jpg]]\n[img[TiddlerTitle]]\n[img[Description of image|TiddlerTitle]]\n```\n\nGenerates the `<$image>` widget.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"image\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextImage = this.findNextImage(this.parser.source,startPos);\n\treturn this.nextImage ? this.nextImage.start : undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.nextImage.end;\n\tvar node = {\n\t\ttype: \"image\",\n\t\tattributes: this.nextImage.attributes\n\t};\n\treturn [node];\n};\n\n/*\nFind the next image from the current position\n*/\nexports.findNextImage = function(source,pos) {\n\t// A regexp for finding candidate HTML tags\n\tvar reLookahead = /(\\[img)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a tag\n\t\tvar tag = this.parseImage(source,match.index);\n\t\t// Return success\n\t\tif(tag) {\n\t\t\treturn tag;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\n/*\nLook for an image at the specified position. Returns null if not found, otherwise returns {type: \"image\", attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseImage = function(source,pos) {\n\tvar token,\n\t\tnode = {\n\t\t\ttype: \"image\",\n\t\t\tstart: pos,\n\t\t\tattributes: {}\n\t\t};\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[img`\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[img\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Process attributes\n\tif(source.charAt(pos) !== \"[\") {\n\t\tvar attribute = $tw.utils.parseAttribute(source,pos);\n\t\twhile(attribute) {\n\t\t\tnode.attributes[attribute.name] = attribute;\n\t\t\tpos = attribute.end;\n\t\t\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t\t\tif(source.charAt(pos) !== \"[\") {\n\t\t\t\t// Get the next attribute\n\t\t\t\tattribute = $tw.utils.parseAttribute(source,pos);\n\t\t\t} else {\n\t\t\t\tattribute = null;\n\t\t\t}\n\t\t}\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[` after the attributes\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Get the source up to the terminating `]]`\n\ttoken = $tw.utils.parseTokenRegExp(source,pos,/(?:([^|\\]]*?)\\|)?([^\\]]+?)\\]\\]/g);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\tif(token.match[1]) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: token.match[1].trim()};\n\t}\n\tnode.attributes.source = {type: \"string\", value: (token.match[2] || \"\").trim()};\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/list.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/list.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/list.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for lists. For example:\n\n```\n* This is an unordered list\n* It has two items\n\n# This is a numbered list\n## With a subitem\n# And a third item\n\n; This is a term that is being defined\n: This is the definition of that term\n```\n\nNote that lists can be nested arbitrarily:\n\n```\n#** One\n#* Two\n#** Three\n#**** Four\n#**# Five\n#**## Six\n## Seven\n### Eight\n## Nine\n```\n\nA CSS class can be applied to a list item as follows:\n\n```\n* List item one\n*.active List item two has the class `active`\n* List item three\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"list\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /([\\*#;:>]+)/mg;\n};\n\nvar listTypes = {\n\t\"*\": {listTag: \"ul\", itemTag: \"li\"},\n\t\"#\": {listTag: \"ol\", itemTag: \"li\"},\n\t\";\": {listTag: \"dl\", itemTag: \"dt\"},\n\t\":\": {listTag: \"dl\", itemTag: \"dd\"},\n\t\">\": {listTag: \"blockquote\", itemTag: \"p\"}\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Array of parse tree nodes for the previous row of the list\n\tvar listStack = [];\n\t// Cycle through the items in the list\n\twhile(true) {\n\t\t// Match the list marker\n\t\tvar reMatch = /([\\*#;:>]+)/mg;\n\t\treMatch.lastIndex = this.parser.pos;\n\t\tvar match = reMatch.exec(this.parser.source);\n\t\tif(!match || match.index !== this.parser.pos) {\n\t\t\tbreak;\n\t\t}\n\t\t// Check whether the list type of the top level matches\n\t\tvar listInfo = listTypes[match[0].charAt(0)];\n\t\tif(listStack.length > 0 && listStack[0].tag !== listInfo.listTag) {\n\t\t\tbreak;\n\t\t}\n\t\t// Move past the list marker\n\t\tthis.parser.pos = match.index + match[0].length;\n\t\t// Walk through the list markers for the current row\n\t\tfor(var t=0; t<match[0].length; t++) {\n\t\t\tlistInfo = listTypes[match[0].charAt(t)];\n\t\t\t// Remove any stacked up element if we can't re-use it because the list type doesn't match\n\t\t\tif(listStack.length > t && listStack[t].tag !== listInfo.listTag) {\n\t\t\t\tlistStack.splice(t,listStack.length - t);\n\t\t\t}\n\t\t\t// Construct the list element or reuse the previous one at this level\n\t\t\tif(listStack.length <= t) {\n\t\t\t\tvar listElement = {type: \"element\", tag: listInfo.listTag, children: [\n\t\t\t\t\t{type: \"element\", tag: listInfo.itemTag, children: []}\n\t\t\t\t]};\n\t\t\t\t// Link this list element into the last child item of the parent list item\n\t\t\t\tif(t) {\n\t\t\t\t\tvar prevListItem = listStack[t-1].children[listStack[t-1].children.length-1];\n\t\t\t\t\tprevListItem.children.push(listElement);\n\t\t\t\t}\n\t\t\t\t// Save this element in the stack\n\t\t\t\tlistStack[t] = listElement;\n\t\t\t} else if(t === (match[0].length - 1)) {\n\t\t\t\tlistStack[t].children.push({type: \"element\", tag: listInfo.itemTag, children: []});\n\t\t\t}\n\t\t}\n\t\tif(listStack.length > match[0].length) {\n\t\t\tlistStack.splice(match[0].length,listStack.length - match[0].length);\n\t\t}\n\t\t// Process the body of the list item into the last list item\n\t\tvar lastListChildren = listStack[listStack.length-1].children,\n\t\t\tlastListItem = lastListChildren[lastListChildren.length-1],\n\t\t\tclasses = this.parser.parseClasses();\n\t\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\t\tvar tree = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t\tlastListItem.children.push.apply(lastListItem.children,tree);\n\t\tif(classes.length > 0) {\n\t\t\t$tw.utils.addClassToParseTreeNode(lastListItem,classes.join(\" \"));\n\t\t}\n\t\t// Consume any whitespace following the list item\n\t\tthis.parser.skipWhitespace();\n\t}\n\t// Return the root element of the list\n\treturn [listStack[0]];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrocallblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrocallblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrocallblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for block macro calls\n\n```\n<<name value value2>>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrocallblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /<<([^>\\s]+)(?:\\s*)((?:[^>]|(?:>(?!>)))*?)>>(?:\\r?\\n|$)/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar macroName = this.match[1],\n\t\tparamString = this.match[2];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar params = [],\n\t\treParam = /\\s*(?:([A-Za-z0-9\\-_]+)\\s*:)?(?:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\"'\\s]+)))/mg,\n\t\tparamMatch = reParam.exec(paramString);\n\twhile(paramMatch) {\n\t\t// Process this parameter\n\t\tvar paramInfo = {\n\t\t\tvalue: paramMatch[2] || paramMatch[3] || paramMatch[4] || paramMatch[5] || paramMatch[6]\n\t\t};\n\t\tif(paramMatch[1]) {\n\t\t\tparamInfo.name = paramMatch[1];\n\t\t}\n\t\tparams.push(paramInfo);\n\t\t// Find the next match\n\t\tparamMatch = reParam.exec(paramString);\n\t}\n\treturn [{\n\t\ttype: \"macrocall\",\n\t\tname: macroName,\n\t\tparams: params,\n\t\tisBlock: true\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrocallinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrocallinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrocallinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for macro calls\n\n```\n<<name value value2>>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrocallinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /<<([^\\s>]+)\\s*([\\s\\S]*?)>>/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar macroName = this.match[1],\n\t\tparamString = this.match[2];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar params = [],\n\t\treParam = /\\s*(?:([A-Za-z0-9\\-_]+)\\s*:)?(?:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\"'\\s]+)))/mg,\n\t\tparamMatch = reParam.exec(paramString);\n\twhile(paramMatch) {\n\t\t// Process this parameter\n\t\tvar paramInfo = {\n\t\t\tvalue: paramMatch[2] || paramMatch[3] || paramMatch[4] || paramMatch[5]|| paramMatch[6]\n\t\t};\n\t\tif(paramMatch[1]) {\n\t\t\tparamInfo.name = paramMatch[1];\n\t\t}\n\t\tparams.push(paramInfo);\n\t\t// Find the next match\n\t\tparamMatch = reParam.exec(paramString);\n\t}\n\treturn [{\n\t\ttype: \"macrocall\",\n\t\tname: macroName,\n\t\tparams: params\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrodef.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrodef.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrodef.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for macro definitions\n\n```\n\\define name(param:defaultvalue,param2:defaultvalue)\ndefinition text, including $param$ markers\n\\end\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrodef\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\define\\s+([^(\\s]+)\\(\\s*([^)]*)\\)(\\s*\\r?\\n)?/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Move past the macro name and parameters\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse the parameters\n\tvar paramString = this.match[2],\n\t\tparams = [];\n\tif(paramString !== \"\") {\n\t\tvar reParam = /\\s*([A-Za-z0-9\\-_]+)(?:\\s*:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\"'\\s]+)))?/mg,\n\t\t\tparamMatch = reParam.exec(paramString);\n\t\twhile(paramMatch) {\n\t\t\t// Save the parameter details\n\t\t\tvar paramInfo = {name: paramMatch[1]},\n\t\t\t\tdefaultValue = paramMatch[2] || paramMatch[3] || paramMatch[4] || paramMatch[5] || paramMatch[6];\n\t\t\tif(defaultValue) {\n\t\t\t\tparamInfo[\"default\"] = defaultValue;\n\t\t\t}\n\t\t\tparams.push(paramInfo);\n\t\t\t// Look for the next parameter\n\t\t\tparamMatch = reParam.exec(paramString);\n\t\t}\n\t}\n\t// Is this a multiline definition?\n\tvar reEnd;\n\tif(this.match[3]) {\n\t\t// If so, the end of the body is marked with \\end\n\t\treEnd = /(\\r?\\n\\\\end[^\\S\\n\\r]*(?:$|\\r?\\n))/mg;\n\t} else {\n\t\t// Otherwise, the end of the definition is marked by the end of the line\n\t\treEnd = /($|\\r?\\n)/mg;\n\t\t// Move past any whitespace\n\t\tthis.parser.pos = $tw.utils.skipWhiteSpace(this.parser.source,this.parser.pos);\n\t}\n\t// Find the end of the definition\n\treEnd.lastIndex = this.parser.pos;\n\tvar text,\n\t\tendMatch = reEnd.exec(this.parser.source);\n\tif(endMatch) {\n\t\ttext = this.parser.source.substring(this.parser.pos,endMatch.index);\n\t\tthis.parser.pos = endMatch.index + endMatch[0].length;\n\t} else {\n\t\t// We didn't find the end of the definition, so we'll make it blank\n\t\ttext = \"\";\n\t}\n\t// Save the macro definition\n\treturn [{\n\t\ttype: \"set\",\n\t\tattributes: {\n\t\t\tname: {type: \"string\", value: this.match[1]},\n\t\t\tvalue: {type: \"string\", value: text}\n\t\t},\n\t\tchildren: [],\n\t\tparams: params\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/prettyextlink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/prettyextlink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/prettyextlink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for external links. For example:\n\n```\n[ext[https://tiddlywiki.com/fractalveg.jpg]]\n[ext[Tooltip|https://tiddlywiki.com/fractalveg.jpg]]\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"prettyextlink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextLink = this.findNextLink(this.parser.source,startPos);\n\treturn this.nextLink ? this.nextLink.start : undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.nextLink.end;\n\treturn [this.nextLink];\n};\n\n/*\nFind the next link from the current position\n*/\nexports.findNextLink = function(source,pos) {\n\t// A regexp for finding candidate links\n\tvar reLookahead = /(\\[ext\\[)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a link\n\t\tvar link = this.parseLink(source,match.index);\n\t\t// Return success\n\t\tif(link) {\n\t\t\treturn link;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\n/*\nLook for an link at the specified position. Returns null if not found, otherwise returns {type: \"element\", tag: \"a\", attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseLink = function(source,pos) {\n\tvar token,\n\t\ttextNode = {\n\t\t\ttype: \"text\"\n\t\t},\n\t\tnode = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tstart: pos,\n\t\t\tattributes: {\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t},\n\t\t\tchildren: [textNode]\n\t\t};\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[ext[`\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[ext[\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Look ahead for the terminating `]]`\n\tvar closePos = source.indexOf(\"]]\",pos);\n\tif(closePos === -1) {\n\t\treturn null;\n\t}\n\t// Look for a `|` separating the tooltip\n\tvar splitPos = source.indexOf(\"|\",pos);\n\tif(splitPos === -1 || splitPos > closePos) {\n\t\tsplitPos = null;\n\t}\n\t// Pull out the tooltip and URL\n\tvar tooltip, URL;\n\tif(splitPos) {\n\t\tURL = source.substring(splitPos + 1,closePos).trim();\n\t\ttextNode.text = source.substring(pos,splitPos).trim();\n\t} else {\n\t\tURL = source.substring(pos,closePos).trim();\n\t\ttextNode.text = URL;\n\t}\n\tnode.attributes.href = {type: \"string\", value: URL};\n\tnode.attributes.target = {type: \"string\", value: \"_blank\"};\n\tnode.attributes.rel = {type: \"string\", value: \"noopener noreferrer\"};\n\t// Update the end position\n\tnode.end = closePos + 2;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/prettylink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/prettylink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/prettylink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for pretty links. For example:\n\n```\n[[Introduction]]\n\n[[Link description|TiddlerTitle]]\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"prettylink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\[\\[(.*?)(?:\\|(.*?))?\\]\\]/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Process the link\n\tvar text = this.match[1],\n\t\tlink = this.match[2] || text;\n\tif($tw.utils.isLinkExternal(link)) {\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tattributes: {\n\t\t\t\thref: {type: \"string\", value: link},\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t\ttarget: {type: \"string\", value: \"_blank\"},\n\t\t\t\trel: {type: \"string\", value: \"noopener noreferrer\"}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: text\n\t\t\t}]\n\t\t}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"link\",\n\t\t\tattributes: {\n\t\t\t\tto: {type: \"string\", value: link}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: text\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/quoteblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/quoteblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/quoteblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for quote blocks. For example:\n\n```\n\t<<<.optionalClass(es) optional cited from\n\ta quote\n\t<<<\n\t\n\t<<<.optionalClass(es)\n\ta quote\n\t<<< optional cited from\n```\n\nQuotes can be quoted by putting more <s\n\n```\n\t<<<\n\tQuote Level 1\n\t\n\t<<<<\n\tQuoteLevel 2\n\t<<<<\n\t\n\t<<<\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"quoteblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(<<<+)/mg;\n};\n\nexports.parse = function() {\n\tvar classes = [\"tc-quote\"];\n\t// Get all the details of the match\n\tvar reEndString = \"^\" + this.match[1] + \"(?!<)\";\n\t// Move past the <s\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t\n\t// Parse any classes, whitespace and then the optional cite itself\n\tclasses.push.apply(classes, this.parser.parseClasses());\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tvar cite = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// before handling the cite, parse the body of the quote\n\tvar tree= this.parser.parseBlocks(reEndString);\n\t// If we got a cite, put it before the text\n\tif(cite.length > 0) {\n\t\ttree.unshift({\n\t\t\ttype: \"element\",\n\t\t\ttag: \"cite\",\n\t\t\tchildren: cite\n\t\t});\n\t}\n\t// Parse any optional cite\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tcite = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// If we got a cite, push it\n\tif(cite.length > 0) {\n\t\ttree.push({\n\t\t\ttype: \"element\",\n\t\t\ttag: \"cite\",\n\t\t\tchildren: cite\n\t\t});\n\t}\n\t// Return the blockquote element\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"blockquote\",\n\t\tattributes: {\n\t\t\tclass: { type: \"string\", value: classes.join(\" \") },\n\t\t},\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/rules.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/rules.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/rules.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for rules specifications\n\n```\n\\rules except ruleone ruletwo rulethree\n\\rules only ruleone ruletwo rulethree\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"rules\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\rules[^\\S\\n]/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Move past the pragma invocation\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse whitespace delimited tokens terminated by a line break\n\tvar reMatch = /[^\\S\\n]*(\\S+)|(\\r?\\n)/mg,\n\t\ttokens = [];\n\treMatch.lastIndex = this.parser.pos;\n\tvar match = reMatch.exec(this.parser.source);\n\twhile(match && match.index === this.parser.pos) {\n\t\tthis.parser.pos = reMatch.lastIndex;\n\t\t// Exit if we've got the line break\n\t\tif(match[2]) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the token\n\t\tif(match[1]) {\n\t\t\ttokens.push(match[1]);\n\t\t}\n\t\t// Match the next token\n\t\tmatch = reMatch.exec(this.parser.source);\n\t}\n\t// Process the tokens\n\tif(tokens.length > 0) {\n\t\tthis.parser.amendRules(tokens[0],tokens.slice(1));\n\t}\n\t// No parse tree nodes to return\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/styleblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/styleblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/styleblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for assigning styles and classes to paragraphs and other blocks. For example:\n\n```\n@@.myClass\n@@background-color:red;\nThis paragraph will have the CSS class `myClass`.\n\n* The `<ul>` around this list will also have the class `myClass`\n* List item 2\n\n@@\n```\n\nNote that classes and styles can be mixed subject to the rule that styles must precede classes. For example\n\n```\n@@.myFirstClass.mySecondClass\n@@width:100px;.myThirdClass\nThis is a paragraph\n@@\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"styleblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /@@((?:[^\\.\\r\\n\\s:]+:[^\\r\\n;]+;)+)?(?:\\.([^\\r\\n\\s]+))?\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEndString = \"^@@(?:\\\\r?\\\\n)?\";\n\tvar classes = [], styles = [];\n\tdo {\n\t\t// Get the class and style\n\t\tif(this.match[1]) {\n\t\t\tstyles.push(this.match[1]);\n\t\t}\n\t\tif(this.match[2]) {\n\t\t\tclasses.push(this.match[2].split(\".\").join(\" \"));\n\t\t}\n\t\t// Move past the match\n\t\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t\t// Look for another line of classes and styles\n\t\tthis.match = this.matchRegExp.exec(this.parser.source);\n\t} while(this.match && this.match.index === this.parser.pos);\n\t// Parse the body\n\tvar tree = this.parser.parseBlocks(reEndString);\n\tfor(var t=0; t<tree.length; t++) {\n\t\tif(classes.length > 0) {\n\t\t\t$tw.utils.addClassToParseTreeNode(tree[t],classes.join(\" \"));\n\t\t}\n\t\tif(styles.length > 0) {\n\t\t\t$tw.utils.addAttributeToParseTreeNode(tree[t],\"style\",styles.join(\"\"));\n\t\t}\n\t}\n\treturn tree;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/styleinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/styleinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/styleinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for assigning styles and classes to inline runs. For example:\n\n```\n@@.myClass This is some text with a class@@\n@@background-color:red;This is some text with a background colour@@\n@@width:100px;.myClass This is some text with a class and a width@@\n```\n\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"styleinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /@@((?:[^\\.\\r\\n\\s:]+:[^\\r\\n;]+;)+)?(\\.(?:[^\\r\\n\\s]+)\\s+)?/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /@@/g;\n\t// Get the styles and class\n\tvar stylesString = this.match[1],\n\t\tclassString = this.match[2] ? this.match[2].split(\".\").join(\" \") : undefined;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse the run up to the terminator\n\tvar tree = this.parser.parseInlineRun(reEnd,{eatTerminator: true});\n\t// Return the classed span\n\tvar node = {\n\t\ttype: \"element\",\n\t\ttag: \"span\",\n\t\tattributes: {\n\t\t\t\"class\": {type: \"string\", value: \"tc-inline-style\"}\n\t\t},\n\t\tchildren: tree\n\t};\n\tif(classString) {\n\t\t$tw.utils.addClassToParseTreeNode(node,classString);\n\t}\n\tif(stylesString) {\n\t\t$tw.utils.addAttributeToParseTreeNode(node,\"style\",stylesString);\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/syslink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/syslink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/syslink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for system tiddler links.\nCan be suppressed preceding them with `~`.\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"syslink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = new RegExp(\n\t\t\"~?\\\\$:\\\\/[\" +\n\t\t$tw.config.textPrimitives.anyLetter.substr(1,$tw.config.textPrimitives.anyLetter.length - 2) +\n\t\t\"\\/._-]+\",\n\t\t\"mg\"\n\t);\n};\n\nexports.parse = function() {\n\tvar match = this.match[0];\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Create the link unless it is suppressed\n\tif(match.substr(0,1) === \"~\") {\n\t\treturn [{type: \"text\", text: match.substr(1)}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"link\",\n\t\t\tattributes: {\n\t\t\t\tto: {type: \"string\", value: match}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\ttext: match\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/table.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/table.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/table.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for tables.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"table\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\|(?:[^\\n]*)\\|(?:[fhck]?)\\r?(?:\\n|$)/mg;\n};\n\nvar processRow = function(prevColumns) {\n\tvar cellRegExp = /(?:\\|([^\\n\\|]*)\\|)|(\\|[fhck]?\\r?(?:\\n|$))/mg,\n\t\tcellTermRegExp = /((?:\\x20*)\\|)/mg,\n\t\ttree = [],\n\t\tcol = 0,\n\t\tcolSpanCount = 1,\n\t\tprevCell,\n\t\tvAlign;\n\t// Match a single cell\n\tcellRegExp.lastIndex = this.parser.pos;\n\tvar cellMatch = cellRegExp.exec(this.parser.source);\n\twhile(cellMatch && cellMatch.index === this.parser.pos) {\n\t\tif(cellMatch[1] === \"~\") {\n\t\t\t// Rowspan\n\t\t\tvar last = prevColumns[col];\n\t\t\tif(last) {\n\t\t\t\tlast.rowSpanCount++;\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"rowspan\",last.rowSpanCount);\n\t\t\t\tvAlign = $tw.utils.getAttributeValueFromParseTreeNode(last.element,\"valign\",\"center\");\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"valign\",vAlign);\n\t\t\t\tif(colSpanCount > 1) {\n\t\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"colspan\",colSpanCount);\n\t\t\t\t\tcolSpanCount = 1;\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[1] === \">\") {\n\t\t\t// Colspan\n\t\t\tcolSpanCount++;\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[1] === \"<\" && prevCell) {\n\t\t\tcolSpanCount = 1 + $tw.utils.getAttributeValueFromParseTreeNode(prevCell,\"colspan\",1);\n\t\t\t$tw.utils.addAttributeToParseTreeNode(prevCell,\"colspan\",colSpanCount);\n\t\t\tcolSpanCount = 1;\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[2]) {\n\t\t\t// End of row\n\t\t\tif(prevCell && colSpanCount > 1) {\n\t\t\t\tif(prevCell.attributes && prevCell.attributes && prevCell.attributes.colspan) {\n\t\t\t\t\t\tcolSpanCount += prevCell.attributes.colspan.value;\n\t\t\t\t} else {\n\t\t\t\t\tcolSpanCount -= 1;\n\t\t\t\t}\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(prevCell,\"colspan\",colSpanCount);\n\t\t\t}\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t\tbreak;\n\t\t} else {\n\t\t\t// For ordinary cells, step beyond the opening `|`\n\t\t\tthis.parser.pos++;\n\t\t\t// Look for a space at the start of the cell\n\t\t\tvar spaceLeft = false;\n\t\t\tvAlign = null;\n\t\t\tif(this.parser.source.substr(this.parser.pos).search(/^\\^([^\\^]|\\^\\^)/) === 0) {\n\t\t\t\tvAlign = \"top\";\n\t\t\t} else if(this.parser.source.substr(this.parser.pos).search(/^,([^,]|,,)/) === 0) {\n\t\t\t\tvAlign = \"bottom\";\n\t\t\t}\n\t\t\tif(vAlign) {\n\t\t\t\tthis.parser.pos++;\n\t\t\t}\n\t\t\tvar chr = this.parser.source.substr(this.parser.pos,1);\n\t\t\twhile(chr === \" \") {\n\t\t\t\tspaceLeft = true;\n\t\t\t\tthis.parser.pos++;\n\t\t\t\tchr = this.parser.source.substr(this.parser.pos,1);\n\t\t\t}\n\t\t\t// Check whether this is a heading cell\n\t\t\tvar cell;\n\t\t\tif(chr === \"!\") {\n\t\t\t\tthis.parser.pos++;\n\t\t\t\tcell = {type: \"element\", tag: \"th\", children: []};\n\t\t\t} else {\n\t\t\t\tcell = {type: \"element\", tag: \"td\", children: []};\n\t\t\t}\n\t\t\ttree.push(cell);\n\t\t\t// Record information about this cell\n\t\t\tprevCell = cell;\n\t\t\tprevColumns[col] = {rowSpanCount:1,element:cell};\n\t\t\t// Check for a colspan\n\t\t\tif(colSpanCount > 1) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"colspan\",colSpanCount);\n\t\t\t\tcolSpanCount = 1;\n\t\t\t}\n\t\t\t// Parse the cell\n\t\t\tcell.children = this.parser.parseInlineRun(cellTermRegExp,{eatTerminator: true});\n\t\t\t// Set the alignment for the cell\n\t\t\tif(vAlign) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"valign\",vAlign);\n\t\t\t}\n\t\t\tif(this.parser.source.substr(this.parser.pos - 2,1) === \" \") { // spaceRight\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"align\",spaceLeft ? \"center\" : \"left\");\n\t\t\t} else if(spaceLeft) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"align\",\"right\");\n\t\t\t}\n\t\t\t// Move back to the closing `|`\n\t\t\tthis.parser.pos--;\n\t\t}\n\t\tcol++;\n\t\tcellRegExp.lastIndex = this.parser.pos;\n\t\tcellMatch = cellRegExp.exec(this.parser.source);\n\t}\n\treturn tree;\n};\n\nexports.parse = function() {\n\tvar rowContainerTypes = {\"c\":\"caption\", \"h\":\"thead\", \"\":\"tbody\", \"f\":\"tfoot\"},\n\t\ttable = {type: \"element\", tag: \"table\", children: []},\n\t\trowRegExp = /^\\|([^\\n]*)\\|([fhck]?)\\r?(?:\\n|$)/mg,\n\t\trowTermRegExp = /(\\|(?:[fhck]?)\\r?(?:\\n|$))/mg,\n\t\tprevColumns = [],\n\t\tcurrRowType,\n\t\trowContainer,\n\t\trowCount = 0;\n\t// Match the row\n\trowRegExp.lastIndex = this.parser.pos;\n\tvar rowMatch = rowRegExp.exec(this.parser.source);\n\twhile(rowMatch && rowMatch.index === this.parser.pos) {\n\t\tvar rowType = rowMatch[2];\n\t\t// Check if it is a class assignment\n\t\tif(rowType === \"k\") {\n\t\t\t$tw.utils.addClassToParseTreeNode(table,rowMatch[1]);\n\t\t\tthis.parser.pos = rowMatch.index + rowMatch[0].length;\n\t\t} else {\n\t\t\t// Otherwise, create a new row if this one is of a different type\n\t\t\tif(rowType !== currRowType) {\n\t\t\t\trowContainer = {type: \"element\", tag: rowContainerTypes[rowType], children: []};\n\t\t\t\ttable.children.push(rowContainer);\n\t\t\t\tcurrRowType = rowType;\n\t\t\t}\n\t\t\t// Is this a caption row?\n\t\t\tif(currRowType === \"c\") {\n\t\t\t\t// If so, move past the opening `|` of the row\n\t\t\t\tthis.parser.pos++;\n\t\t\t\t// Move the caption to the first row if it isn't already\n\t\t\t\tif(table.children.length !== 1) {\n\t\t\t\t\ttable.children.pop(); // Take rowContainer out of the children array\n\t\t\t\t\ttable.children.splice(0,0,rowContainer); // Insert it at the bottom\t\t\t\t\t\t\n\t\t\t\t}\n\t\t\t\t// Set the alignment - TODO: figure out why TW did this\n//\t\t\t\trowContainer.attributes.align = rowCount === 0 ? \"top\" : \"bottom\";\n\t\t\t\t// Parse the caption\n\t\t\t\trowContainer.children = this.parser.parseInlineRun(rowTermRegExp,{eatTerminator: true});\n\t\t\t} else {\n\t\t\t\t// Create the row\n\t\t\t\tvar theRow = {type: \"element\", tag: \"tr\", children: []};\n\t\t\t\t$tw.utils.addClassToParseTreeNode(theRow,rowCount%2 ? \"oddRow\" : \"evenRow\");\n\t\t\t\trowContainer.children.push(theRow);\n\t\t\t\t// Process the row\n\t\t\t\ttheRow.children = processRow.call(this,prevColumns);\n\t\t\t\tthis.parser.pos = rowMatch.index + rowMatch[0].length;\n\t\t\t\t// Increment the row count\n\t\t\t\trowCount++;\n\t\t\t}\n\t\t}\n\t\trowMatch = rowRegExp.exec(this.parser.source);\n\t}\n\treturn [table];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/transcludeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/transcludeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/transcludeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for block-level transclusion. For example:\n\n```\n{{MyTiddler}}\n{{MyTiddler||TemplateTitle}}\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"transcludeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{([^\\{\\}\\|]*)(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}(?:\\r?\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar template = $tw.utils.trim(this.match[2]),\n\t\ttextRef = $tw.utils.trim(this.match[1]);\n\t// Prepare the transclude widget\n\tvar transcludeNode = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {},\n\t\t\tisBlock: true\n\t\t};\n\t// Prepare the tiddler widget\n\tvar tr, targetTitle, targetField, targetIndex, tiddlerNode;\n\tif(textRef) {\n\t\ttr = $tw.utils.parseTextReference(textRef);\n\t\ttargetTitle = tr.title;\n\t\ttargetField = tr.field;\n\t\ttargetIndex = tr.index;\n\t\ttiddlerNode = {\n\t\t\ttype: \"tiddler\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: targetTitle}\n\t\t\t},\n\t\t\tisBlock: true,\n\t\t\tchildren: [transcludeNode]\n\t\t};\n\t}\n\tif(template) {\n\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: template};\n\t\tif(textRef) {\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t} else {\n\t\tif(textRef) {\n\t\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: targetTitle};\n\t\t\tif(targetField) {\n\t\t\t\ttranscludeNode.attributes.field = {type: \"string\", value: targetField};\n\t\t\t}\n\t\t\tif(targetIndex) {\n\t\t\t\ttranscludeNode.attributes.index = {type: \"string\", value: targetIndex};\n\t\t\t}\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/transcludeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/transcludeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/transcludeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for inline-level transclusion. For example:\n\n```\n{{MyTiddler}}\n{{MyTiddler||TemplateTitle}}\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"transcludeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{([^\\{\\}\\|]*)(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar template = $tw.utils.trim(this.match[2]),\n\t\ttextRef = $tw.utils.trim(this.match[1]);\n\t// Prepare the transclude widget\n\tvar transcludeNode = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {}\n\t\t};\n\t// Prepare the tiddler widget\n\tvar tr, targetTitle, targetField, targetIndex, tiddlerNode;\n\tif(textRef) {\n\t\ttr = $tw.utils.parseTextReference(textRef);\n\t\ttargetTitle = tr.title;\n\t\ttargetField = tr.field;\n\t\ttargetIndex = tr.index;\n\t\ttiddlerNode = {\n\t\t\ttype: \"tiddler\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: targetTitle}\n\t\t\t},\n\t\t\tchildren: [transcludeNode]\n\t\t};\n\t}\n\tif(template) {\n\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: template};\n\t\tif(textRef) {\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t} else {\n\t\tif(textRef) {\n\t\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: targetTitle};\n\t\t\tif(targetField) {\n\t\t\t\ttranscludeNode.attributes.field = {type: \"string\", value: targetField};\n\t\t\t}\n\t\t\tif(targetIndex) {\n\t\t\t\ttranscludeNode.attributes.index = {type: \"string\", value: targetIndex};\n\t\t\t}\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/typedblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/typedblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/typedblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for typed blocks. For example:\n\n```\n$$$.js\nThis will be rendered as JavaScript\n$$$\n\n$$$.svg\n<svg xmlns=\"http://www.w3.org/2000/svg\" width=\"150\" height=\"100\">\n <circle cx=\"100\" cy=\"50\" r=\"40\" stroke=\"black\" stroke-width=\"2\" fill=\"red\" />\n</svg>\n$$$\n\n$$$text/vnd.tiddlywiki>text/html\nThis will be rendered as an //HTML representation// of WikiText\n$$$\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.name = \"typedblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\$\\$\\$([^ >\\r\\n]*)(?: *> *([^ \\r\\n]+))?\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /\\r?\\n\\$\\$\\$\\r?(?:\\n|$)/mg;\n\t// Save the type\n\tvar parseType = this.match[1],\n\t\trenderType = this.match[2];\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Look for the end of the block\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the block\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\t// Parse the block according to the specified type\n\tvar parser = this.parser.wiki.parseText(parseType,text,{defaultType: \"text/plain\"});\n\t// If there's no render type, just return the parse tree\n\tif(!renderType) {\n\t\treturn parser.tree;\n\t} else {\n\t\t// Otherwise, render to the rendertype and return in a <PRE> tag\n\t\tvar widgetNode = this.parser.wiki.makeWidget(parser),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\ttext = renderType === \"text/html\" ? container.innerHTML : container.textContent;\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"pre\",\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\ttext: text\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/whitespace.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/whitespace.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/whitespace.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for whitespace specifications\n\n```\n\\whitespace trim\n\\whitespace notrim\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"whitespace\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\whitespace[^\\S\\n]/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\tvar self = this;\n\t// Move past the pragma invocation\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse whitespace delimited tokens terminated by a line break\n\tvar reMatch = /[^\\S\\n]*(\\S+)|(\\r?\\n)/mg,\n\t\ttokens = [];\n\treMatch.lastIndex = this.parser.pos;\n\tvar match = reMatch.exec(this.parser.source);\n\twhile(match && match.index === this.parser.pos) {\n\t\tthis.parser.pos = reMatch.lastIndex;\n\t\t// Exit if we've got the line break\n\t\tif(match[2]) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the token\n\t\tif(match[1]) {\n\t\t\ttokens.push(match[1]);\n\t\t}\n\t\t// Match the next token\n\t\tmatch = reMatch.exec(this.parser.source);\n\t}\n\t// Process the tokens\n\t$tw.utils.each(tokens,function(token) {\n\t\tswitch(token) {\n\t\t\tcase \"trim\":\n\t\t\t\tself.parser.configTrimWhiteSpace = true;\n\t\t\t\tbreak;\n\t\t\tcase \"notrim\":\n\t\t\t\tself.parser.configTrimWhiteSpace = false;\n\t\t\t\tbreak;\n\t\t}\n\t});\n\t// No parse tree nodes to return\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/wikilink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/wikilink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/wikilink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for wiki links. For example:\n\n```\nAWikiLink\nAnotherLink\n~SuppressedLink\n```\n\nPrecede a camel case word with `~` to prevent it from being recognised as a link.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"wikilink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = new RegExp($tw.config.textPrimitives.unWikiLink + \"?\" + $tw.config.textPrimitives.wikiLink,\"mg\");\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get the details of the match\n\tvar linkText = this.match[0];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// If the link starts with the unwikilink character then just output it as plain text\n\tif(linkText.substr(0,1) === $tw.config.textPrimitives.unWikiLink) {\n\t\treturn [{type: \"text\", text: linkText.substr(1)}];\n\t}\n\t// If the link has been preceded with a blocked letter then don't treat it as a link\n\tif(this.match.index > 0) {\n\t\tvar preRegExp = new RegExp($tw.config.textPrimitives.blockPrefixLetters,\"mg\");\n\t\tpreRegExp.lastIndex = this.match.index-1;\n\t\tvar preMatch = preRegExp.exec(this.parser.source);\n\t\tif(preMatch && preMatch.index === this.match.index-1) {\n\t\t\treturn [{type: \"text\", text: linkText}];\n\t\t}\n\t}\n\treturn [{\n\t\ttype: \"link\",\n\t\tattributes: {\n\t\t\tto: {type: \"string\", value: linkText}\n\t\t},\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\ttext: linkText\n\t\t}]\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/wikiparser.js": {
"title": "$:/core/modules/parsers/wikiparser/wikiparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/wikiparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe wiki text parser processes blocks of source text into a parse tree.\n\nThe parse tree is made up of nested arrays of these JavaScript objects:\n\n\t{type: \"element\", tag: <string>, attributes: {}, children: []} - an HTML element\n\t{type: \"text\", text: <string>} - a text node\n\t{type: \"entity\", value: <string>} - an entity\n\t{type: \"raw\", html: <string>} - raw HTML\n\nAttributes are stored as hashmaps of the following objects:\n\n\t{type: \"string\", value: <string>} - literal string\n\t{type: \"indirect\", textReference: <textReference>} - indirect through a text reference\n\t{type: \"macro\", macro: <TBD>} - indirect through a macro invocation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar WikiParser = function(type,text,options) {\n\tthis.wiki = options.wiki;\n\tvar self = this;\n\t// Check for an externally linked tiddler\n\tif($tw.browser && (text || \"\") === \"\" && options._canonical_uri) {\n\t\tthis.loadRemoteTiddler(options._canonical_uri);\n\t\ttext = $tw.language.getRawString(\"LazyLoadingWarning\");\n\t}\n\t// Initialise the classes if we don't have them already\n\tif(!this.pragmaRuleClasses) {\n\t\tWikiParser.prototype.pragmaRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"pragma\",$tw.WikiRuleBase);\n\t\tthis.setupRules(WikiParser.prototype.pragmaRuleClasses,\"$:/config/WikiParserRules/Pragmas/\");\n\t}\n\tif(!this.blockRuleClasses) {\n\t\tWikiParser.prototype.blockRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"block\",$tw.WikiRuleBase);\n\t\tthis.setupRules(WikiParser.prototype.blockRuleClasses,\"$:/config/WikiParserRules/Block/\");\n\t}\n\tif(!this.inlineRuleClasses) {\n\t\tWikiParser.prototype.inlineRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"inline\",$tw.WikiRuleBase);\n\t\tthis.setupRules(WikiParser.prototype.inlineRuleClasses,\"$:/config/WikiParserRules/Inline/\");\n\t}\n\t// Save the parse text\n\tthis.type = type || \"text/vnd.tiddlywiki\";\n\tthis.source = text || \"\";\n\tthis.sourceLength = this.source.length;\n\t// Flag for ignoring whitespace\n\tthis.configTrimWhiteSpace = false;\n\t// Set current parse position\n\tthis.pos = 0;\n\t// Instantiate the pragma parse rules\n\tthis.pragmaRules = this.instantiateRules(this.pragmaRuleClasses,\"pragma\",0);\n\t// Instantiate the parser block and inline rules\n\tthis.blockRules = this.instantiateRules(this.blockRuleClasses,\"block\",0);\n\tthis.inlineRules = this.instantiateRules(this.inlineRuleClasses,\"inline\",0);\n\t// Parse any pragmas\n\tthis.tree = [];\n\tvar topBranch = this.parsePragmas();\n\t// Parse the text into inline runs or blocks\n\tif(options.parseAsInline) {\n\t\ttopBranch.push.apply(topBranch,this.parseInlineRun());\n\t} else {\n\t\ttopBranch.push.apply(topBranch,this.parseBlocks());\n\t}\n\t// Return the parse tree\n};\n\n/*\n*/\nWikiParser.prototype.loadRemoteTiddler = function(url) {\n\tvar self = this;\n\t$tw.utils.httpRequest({\n\t\turl: url,\n\t\ttype: \"GET\",\n\t\tcallback: function(err,data) {\n\t\t\tif(!err) {\n\t\t\t\tvar tiddlers = self.wiki.deserializeTiddlers(\".tid\",data,self.wiki.getCreationFields());\n\t\t\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\t\t\ttiddler[\"_canonical_uri\"] = url;\n\t\t\t\t});\n\t\t\t\tif(tiddlers) {\n\t\t\t\t\tself.wiki.addTiddlers(tiddlers);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\n*/\nWikiParser.prototype.setupRules = function(proto,configPrefix) {\n\tvar self = this;\n\tif(!$tw.safemode) {\n\t\t$tw.utils.each(proto,function(object,name) {\n\t\t\tif(self.wiki.getTiddlerText(configPrefix + name,\"enable\") !== \"enable\") {\n\t\t\t\tdelete proto[name];\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nInstantiate an array of parse rules\n*/\nWikiParser.prototype.instantiateRules = function(classes,type,startPos) {\n\tvar rulesInfo = [],\n\t\tself = this;\n\t$tw.utils.each(classes,function(RuleClass) {\n\t\t// Instantiate the rule\n\t\tvar rule = new RuleClass(self);\n\t\trule.is = {};\n\t\trule.is[type] = true;\n\t\trule.init(self);\n\t\tvar matchIndex = rule.findNextMatch(startPos);\n\t\tif(matchIndex !== undefined) {\n\t\t\trulesInfo.push({\n\t\t\t\trule: rule,\n\t\t\t\tmatchIndex: matchIndex\n\t\t\t});\n\t\t}\n\t});\n\treturn rulesInfo;\n};\n\n/*\nSkip any whitespace at the current position. Options are:\n\ttreatNewlinesAsNonWhitespace: true if newlines are NOT to be treated as whitespace\n*/\nWikiParser.prototype.skipWhitespace = function(options) {\n\toptions = options || {};\n\tvar whitespaceRegExp = options.treatNewlinesAsNonWhitespace ? /([^\\S\\n]+)/mg : /(\\s+)/mg;\n\twhitespaceRegExp.lastIndex = this.pos;\n\tvar whitespaceMatch = whitespaceRegExp.exec(this.source);\n\tif(whitespaceMatch && whitespaceMatch.index === this.pos) {\n\t\tthis.pos = whitespaceRegExp.lastIndex;\n\t}\n};\n\n/*\nGet the next match out of an array of parse rule instances\n*/\nWikiParser.prototype.findNextMatch = function(rules,startPos) {\n\t// Find the best matching rule by finding the closest match position\n\tvar matchingRule,\n\t\tmatchingRulePos = this.sourceLength;\n\t// Step through each rule\n\tfor(var t=0; t<rules.length; t++) {\n\t\tvar ruleInfo = rules[t];\n\t\t// Ask the rule to get the next match if we've moved past the current one\n\t\tif(ruleInfo.matchIndex !== undefined && ruleInfo.matchIndex < startPos) {\n\t\t\truleInfo.matchIndex = ruleInfo.rule.findNextMatch(startPos);\n\t\t}\n\t\t// Adopt this match if it's closer than the current best match\n\t\tif(ruleInfo.matchIndex !== undefined && ruleInfo.matchIndex <= matchingRulePos) {\n\t\t\tmatchingRule = ruleInfo;\n\t\t\tmatchingRulePos = ruleInfo.matchIndex;\n\t\t}\n\t}\n\treturn matchingRule;\n};\n\n/*\nParse any pragmas at the beginning of a block of parse text\n*/\nWikiParser.prototype.parsePragmas = function() {\n\tvar currentTreeBranch = this.tree;\n\twhile(true) {\n\t\t// Skip whitespace\n\t\tthis.skipWhitespace();\n\t\t// Check for the end of the text\n\t\tif(this.pos >= this.sourceLength) {\n\t\t\tbreak;\n\t\t}\n\t\t// Check if we've arrived at a pragma rule match\n\t\tvar nextMatch = this.findNextMatch(this.pragmaRules,this.pos);\n\t\t// If not, just exit\n\t\tif(!nextMatch || nextMatch.matchIndex !== this.pos) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the pragma rule\n\t\tvar subTree = nextMatch.rule.parse();\n\t\tif(subTree.length > 0) {\n\t\t\t// Quick hack; we only cope with a single parse tree node being returned, which is true at the moment\n\t\t\tcurrentTreeBranch.push.apply(currentTreeBranch,subTree);\n\t\t\tsubTree[0].children = [];\n\t\t\tcurrentTreeBranch = subTree[0].children;\n\t\t}\n\t}\n\treturn currentTreeBranch;\n};\n\n/*\nParse a block from the current position\n\tterminatorRegExpString: optional regular expression string that identifies the end of plain paragraphs. Must not include capturing parenthesis\n*/\nWikiParser.prototype.parseBlock = function(terminatorRegExpString) {\n\tvar terminatorRegExp = terminatorRegExpString ? new RegExp(\"(\" + terminatorRegExpString + \"|\\\\r?\\\\n\\\\r?\\\\n)\",\"mg\") : /(\\r?\\n\\r?\\n)/mg;\n\tthis.skipWhitespace();\n\tif(this.pos >= this.sourceLength) {\n\t\treturn [];\n\t}\n\t// Look for a block rule that applies at the current position\n\tvar nextMatch = this.findNextMatch(this.blockRules,this.pos);\n\tif(nextMatch && nextMatch.matchIndex === this.pos) {\n\t\treturn nextMatch.rule.parse();\n\t}\n\t// Treat it as a paragraph if we didn't find a block rule\n\treturn [{type: \"element\", tag: \"p\", children: this.parseInlineRun(terminatorRegExp)}];\n};\n\n/*\nParse a series of blocks of text until a terminating regexp is encountered or the end of the text\n\tterminatorRegExpString: terminating regular expression\n*/\nWikiParser.prototype.parseBlocks = function(terminatorRegExpString) {\n\tif(terminatorRegExpString) {\n\t\treturn this.parseBlocksTerminated(terminatorRegExpString);\n\t} else {\n\t\treturn this.parseBlocksUnterminated();\n\t}\n};\n\n/*\nParse a block from the current position to the end of the text\n*/\nWikiParser.prototype.parseBlocksUnterminated = function() {\n\tvar tree = [];\n\twhile(this.pos < this.sourceLength) {\n\t\ttree.push.apply(tree,this.parseBlock());\n\t}\n\treturn tree;\n};\n\n/*\nParse blocks of text until a terminating regexp is encountered\n*/\nWikiParser.prototype.parseBlocksTerminated = function(terminatorRegExpString) {\n\tvar terminatorRegExp = new RegExp(\"(\" + terminatorRegExpString + \")\",\"mg\"),\n\t\ttree = [];\n\t// Skip any whitespace\n\tthis.skipWhitespace();\n\t// Check if we've got the end marker\n\tterminatorRegExp.lastIndex = this.pos;\n\tvar match = terminatorRegExp.exec(this.source);\n\t// Parse the text into blocks\n\twhile(this.pos < this.sourceLength && !(match && match.index === this.pos)) {\n\t\tvar blocks = this.parseBlock(terminatorRegExpString);\n\t\ttree.push.apply(tree,blocks);\n\t\t// Skip any whitespace\n\t\tthis.skipWhitespace();\n\t\t// Check if we've got the end marker\n\t\tterminatorRegExp.lastIndex = this.pos;\n\t\tmatch = terminatorRegExp.exec(this.source);\n\t}\n\tif(match && match.index === this.pos) {\n\t\tthis.pos = match.index + match[0].length;\n\t}\n\treturn tree;\n};\n\n/*\nParse a run of text at the current position\n\tterminatorRegExp: a regexp at which to stop the run\n\toptions: see below\nOptions available:\n\teatTerminator: move the parse position past any encountered terminator (default false)\n*/\nWikiParser.prototype.parseInlineRun = function(terminatorRegExp,options) {\n\tif(terminatorRegExp) {\n\t\treturn this.parseInlineRunTerminated(terminatorRegExp,options);\n\t} else {\n\t\treturn this.parseInlineRunUnterminated(options);\n\t}\n};\n\nWikiParser.prototype.parseInlineRunUnterminated = function(options) {\n\tvar tree = [];\n\t// Find the next occurrence of an inline rule\n\tvar nextMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t// Loop around the matches until we've reached the end of the text\n\twhile(this.pos < this.sourceLength && nextMatch) {\n\t\t// Process the text preceding the run rule\n\t\tif(nextMatch.matchIndex > this.pos) {\n\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,nextMatch.matchIndex));\n\t\t\tthis.pos = nextMatch.matchIndex;\n\t\t}\n\t\t// Process the run rule\n\t\ttree.push.apply(tree,nextMatch.rule.parse());\n\t\t// Look for the next run rule\n\t\tnextMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t}\n\t// Process the remaining text\n\tif(this.pos < this.sourceLength) {\n\t\tthis.pushTextWidget(tree,this.source.substr(this.pos));\n\t}\n\tthis.pos = this.sourceLength;\n\treturn tree;\n};\n\nWikiParser.prototype.parseInlineRunTerminated = function(terminatorRegExp,options) {\n\toptions = options || {};\n\tvar tree = [];\n\t// Find the next occurrence of the terminator\n\tterminatorRegExp.lastIndex = this.pos;\n\tvar terminatorMatch = terminatorRegExp.exec(this.source);\n\t// Find the next occurrence of a inlinerule\n\tvar inlineRuleMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t// Loop around until we've reached the end of the text\n\twhile(this.pos < this.sourceLength && (terminatorMatch || inlineRuleMatch)) {\n\t\t// Return if we've found the terminator, and it precedes any inline rule match\n\t\tif(terminatorMatch) {\n\t\t\tif(!inlineRuleMatch || inlineRuleMatch.matchIndex >= terminatorMatch.index) {\n\t\t\t\tif(terminatorMatch.index > this.pos) {\n\t\t\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,terminatorMatch.index));\n\t\t\t\t}\n\t\t\t\tthis.pos = terminatorMatch.index;\n\t\t\t\tif(options.eatTerminator) {\n\t\t\t\t\tthis.pos += terminatorMatch[0].length;\n\t\t\t\t}\n\t\t\t\treturn tree;\n\t\t\t}\n\t\t}\n\t\t// Process any inline rule, along with the text preceding it\n\t\tif(inlineRuleMatch) {\n\t\t\t// Preceding text\n\t\t\tif(inlineRuleMatch.matchIndex > this.pos) {\n\t\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,inlineRuleMatch.matchIndex));\n\t\t\t\tthis.pos = inlineRuleMatch.matchIndex;\n\t\t\t}\n\t\t\t// Process the inline rule\n\t\t\ttree.push.apply(tree,inlineRuleMatch.rule.parse());\n\t\t\t// Look for the next inline rule\n\t\t\tinlineRuleMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t\t\t// Look for the next terminator match\n\t\t\tterminatorRegExp.lastIndex = this.pos;\n\t\t\tterminatorMatch = terminatorRegExp.exec(this.source);\n\t\t}\n\t}\n\t// Process the remaining text\n\tif(this.pos < this.sourceLength) {\n\t\tthis.pushTextWidget(tree,this.source.substr(this.pos));\n\t}\n\tthis.pos = this.sourceLength;\n\treturn tree;\n};\n\n/*\nPush a text widget onto an array, respecting the configTrimWhiteSpace setting\n*/\nWikiParser.prototype.pushTextWidget = function(array,text) {\n\tif(this.configTrimWhiteSpace) {\n\t\ttext = $tw.utils.trim(text);\n\t}\n\tif(text) {\n\t\tarray.push({type: \"text\", text: text});\t\t\n\t}\n};\n\n/*\nParse zero or more class specifiers `.classname`\n*/\nWikiParser.prototype.parseClasses = function() {\n\tvar classRegExp = /\\.([^\\s\\.]+)/mg,\n\t\tclassNames = [];\n\tclassRegExp.lastIndex = this.pos;\n\tvar match = classRegExp.exec(this.source);\n\twhile(match && match.index === this.pos) {\n\t\tthis.pos = match.index + match[0].length;\n\t\tclassNames.push(match[1]);\n\t\tmatch = classRegExp.exec(this.source);\n\t}\n\treturn classNames;\n};\n\n/*\nAmend the rules used by this instance of the parser\n\ttype: `only` keeps just the named rules, `except` keeps all but the named rules\n\tnames: array of rule names\n*/\nWikiParser.prototype.amendRules = function(type,names) {\n\tnames = names || [];\n\t// Define the filter function\n\tvar keepFilter;\n\tif(type === \"only\") {\n\t\tkeepFilter = function(name) {\n\t\t\treturn names.indexOf(name) !== -1;\n\t\t};\n\t} else if(type === \"except\") {\n\t\tkeepFilter = function(name) {\n\t\t\treturn names.indexOf(name) === -1;\n\t\t};\n\t} else {\n\t\treturn;\n\t}\n\t// Define a function to process each of our rule arrays\n\tvar processRuleArray = function(ruleArray) {\n\t\tfor(var t=ruleArray.length-1; t>=0; t--) {\n\t\t\tif(!keepFilter(ruleArray[t].rule.name)) {\n\t\t\t\truleArray.splice(t,1);\n\t\t\t}\n\t\t}\n\t};\n\t// Process each rule array\n\tprocessRuleArray(this.pragmaRules);\n\tprocessRuleArray(this.blockRules);\n\tprocessRuleArray(this.inlineRules);\n};\n\nexports[\"text/vnd.tiddlywiki\"] = WikiParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/wikiparser/rules/wikirulebase.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/wikirulebase.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/wikirulebase.js\ntype: application/javascript\nmodule-type: global\n\nBase class for wiki parser rules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nThis constructor is always overridden with a blank constructor, and so shouldn't be used\n*/\nvar WikiRuleBase = function() {\n};\n\n/*\nTo be overridden by individual rules\n*/\nWikiRuleBase.prototype.init = function(parser) {\n\tthis.parser = parser;\n};\n\n/*\nDefault implementation of findNextMatch uses RegExp matching\n*/\nWikiRuleBase.prototype.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\treturn this.match ? this.match.index : undefined;\n};\n\nexports.WikiRuleBase = WikiRuleBase;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/pluginswitcher.js": {
"title": "$:/core/modules/pluginswitcher.js",
"text": "/*\\\ntitle: $:/core/modules/pluginswitcher.js\ntype: application/javascript\nmodule-type: global\n\nManages switching plugins for themes and languages.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\noptions:\nwiki: wiki store to be used\npluginType: type of plugin to be switched\ncontrollerTitle: title of tiddler used to control switching of this resource\ndefaultPlugins: array of default plugins to be used if nominated plugin isn't found\nonSwitch: callback when plugin is switched (single parameter is array of plugin titles)\n*/\nfunction PluginSwitcher(options) {\n\tthis.wiki = options.wiki;\n\tthis.pluginType = options.pluginType;\n\tthis.controllerTitle = options.controllerTitle;\n\tthis.defaultPlugins = options.defaultPlugins || [];\n\tthis.onSwitch = options.onSwitch;\n\t// Switch to the current plugin\n\tthis.switchPlugins();\n\t// Listen for changes to the selected plugin\n\tvar self = this;\n\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,self.controllerTitle)) {\n\t\t\tself.switchPlugins();\n\t\t}\n\t});\n}\n\nPluginSwitcher.prototype.switchPlugins = function() {\n\t// Get the name of the current theme\n\tvar selectedPluginTitle = this.wiki.getTiddlerText(this.controllerTitle);\n\t// If it doesn't exist, then fallback to one of the default themes\n\tvar index = 0;\n\twhile(!this.wiki.getTiddler(selectedPluginTitle) && index < this.defaultPlugins.length) {\n\t\tselectedPluginTitle = this.defaultPlugins[index++];\n\t}\n\t// Accumulate the titles of the plugins that we need to load\n\tvar plugins = [],\n\t\tself = this,\n\t\taccumulatePlugin = function(title) {\n\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\tif(tiddler && tiddler.isPlugin() && plugins.indexOf(title) === -1) {\n\t\t\t\tplugins.push(title);\n\t\t\t\tvar pluginInfo = JSON.parse(self.wiki.getTiddlerText(title)),\n\t\t\t\t\tdependents = $tw.utils.parseStringArray(tiddler.fields.dependents || \"\");\n\t\t\t\t$tw.utils.each(dependents,function(title) {\n\t\t\t\t\taccumulatePlugin(title);\n\t\t\t\t});\n\t\t\t}\n\t\t};\n\taccumulatePlugin(selectedPluginTitle);\n\t// Unregister any existing theme tiddlers\n\tvar unregisteredTiddlers = $tw.wiki.unregisterPluginTiddlers(this.pluginType);\n\t// Register any new theme tiddlers\n\tvar registeredTiddlers = $tw.wiki.registerPluginTiddlers(this.pluginType,plugins);\n\t// Unpack the current theme tiddlers\n\t$tw.wiki.unpackPluginTiddlers();\n\t// Call the switch handler\n\tif(this.onSwitch) {\n\t\tthis.onSwitch(plugins);\n\t}\n};\n\nexports.PluginSwitcher = PluginSwitcher;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/saver-handler.js": {
"title": "$:/core/modules/saver-handler.js",
"text": "/*\\\ntitle: $:/core/modules/saver-handler.js\ntype: application/javascript\nmodule-type: global\n\nThe saver handler tracks changes to the store and handles saving the entire wiki via saver modules.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInstantiate the saver handler with the following options:\nwiki: wiki to be synced\ndirtyTracking: true if dirty tracking should be performed\n*/\nfunction SaverHandler(options) {\n\tvar self = this;\n\tthis.wiki = options.wiki;\n\tthis.dirtyTracking = options.dirtyTracking;\n\tthis.pendingAutoSave = false;\n\t// Make a logger\n\tthis.logger = new $tw.utils.Logger(\"saver-handler\");\n\t// Initialise our savers\n\tif($tw.browser) {\n\t\tthis.initSavers();\n\t}\n\t// Only do dirty tracking if required\n\tif($tw.browser && this.dirtyTracking) {\n\t\t// Compile the dirty tiddler filter\n\t\tthis.filterFn = this.wiki.compileFilter(this.wiki.getTiddlerText(this.titleSyncFilter));\n\t\t// Count of changes that have not yet been saved\n\t\tthis.numChanges = 0;\n\t\t// Listen out for changes to tiddlers\n\t\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\t\t// Filter the changes so that we only count changes to tiddlers that we care about\n\t\t\tvar filteredChanges = self.filterFn.call(self.wiki,function(iterator) {\n\t\t\t\t$tw.utils.each(changes,function(change,title) {\n\t\t\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\t\t\titerator(tiddler,title);\n\t\t\t\t});\n\t\t\t});\n\t\t\t// Adjust the number of changes\n\t\t\tself.numChanges += filteredChanges.length;\n\t\t\tself.updateDirtyStatus();\n\t\t\t// Do any autosave if one is pending and there's no more change events\n\t\t\tif(self.pendingAutoSave && self.wiki.getSizeOfTiddlerEventQueue() === 0) {\n\t\t\t\t// Check if we're dirty\n\t\t\t\tif(self.numChanges > 0) {\n\t\t\t\t\tself.saveWiki({\n\t\t\t\t\t\tmethod: \"autosave\",\n\t\t\t\t\t\tdownloadType: \"text/plain\"\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tself.pendingAutoSave = false;\n\t\t\t}\n\t\t});\n\t\t// Listen for the autosave event\n\t\t$tw.rootWidget.addEventListener(\"tm-auto-save-wiki\",function(event) {\n\t\t\t// Do the autosave unless there are outstanding tiddler change events\n\t\t\tif(self.wiki.getSizeOfTiddlerEventQueue() === 0) {\n\t\t\t\t// Check if we're dirty\n\t\t\t\tif(self.numChanges > 0) {\n\t\t\t\t\tself.saveWiki({\n\t\t\t\t\t\tmethod: \"autosave\",\n\t\t\t\t\t\tdownloadType: \"text/plain\"\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\t// Otherwise put ourselves in the \"pending autosave\" state and wait for the change event before we do the autosave\n\t\t\t\tself.pendingAutoSave = true;\n\t\t\t}\n\t\t});\n\t\t// Set up our beforeunload handler\n\t\t$tw.addUnloadTask(function(event) {\n\t\t\tvar confirmationMessage;\n\t\t\tif(self.isDirty()) {\n\t\t\t\tconfirmationMessage = $tw.language.getString(\"UnsavedChangesWarning\");\n\t\t\t\tevent.returnValue = confirmationMessage; // Gecko\n\t\t\t}\n\t\t\treturn confirmationMessage;\n\t\t});\n\t}\n\t// Install the save action handlers\n\tif($tw.browser) {\n\t\t$tw.rootWidget.addEventListener(\"tm-save-wiki\",function(event) {\n\t\t\tself.saveWiki({\n\t\t\t\ttemplate: event.param,\n\t\t\t\tdownloadType: \"text/plain\",\n\t\t\t\tvariables: event.paramObject\n\t\t\t});\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-download-file\",function(event) {\n\t\t\tself.saveWiki({\n\t\t\t\tmethod: \"download\",\n\t\t\t\ttemplate: event.param,\n\t\t\t\tdownloadType: \"text/plain\",\n\t\t\t\tvariables: event.paramObject\n\t\t\t});\n\t\t});\n\t}\n}\n\nSaverHandler.prototype.titleSyncFilter = \"$:/config/SaverFilter\";\nSaverHandler.prototype.titleAutoSave = \"$:/config/AutoSave\";\nSaverHandler.prototype.titleSavedNotification = \"$:/language/Notifications/Save/Done\";\n\n/*\nSelect the appropriate saver modules and set them up\n*/\nSaverHandler.prototype.initSavers = function(moduleType) {\n\tmoduleType = moduleType || \"saver\";\n\t// Instantiate the available savers\n\tthis.savers = [];\n\tvar self = this;\n\t$tw.modules.forEachModuleOfType(moduleType,function(title,module) {\n\t\tif(module.canSave(self)) {\n\t\t\tself.savers.push(module.create(self.wiki));\n\t\t}\n\t});\n\t// Sort the savers into priority order\n\tthis.savers.sort(function(a,b) {\n\t\tif(a.info.priority < b.info.priority) {\n\t\t\treturn -1;\n\t\t} else {\n\t\t\tif(a.info.priority > b.info.priority) {\n\t\t\t\treturn +1;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nSave the wiki contents. Options are:\n\tmethod: \"save\", \"autosave\" or \"download\"\n\ttemplate: the tiddler containing the template to save\n\tdownloadType: the content type for the saved file\n*/\nSaverHandler.prototype.saveWiki = function(options) {\n\toptions = options || {};\n\tvar self = this,\n\t\tmethod = options.method || \"save\",\n\t\tvariables = options.variables || {},\n\t\ttemplate = options.template || \"$:/core/save/all\",\n\t\tdownloadType = options.downloadType || \"text/plain\",\n\t\ttext = this.wiki.renderTiddler(downloadType,template,options),\n\t\tcallback = function(err) {\n\t\t\tif(err) {\n\t\t\t\talert($tw.language.getString(\"Error/WhileSaving\") + \":\\n\\n\" + err);\n\t\t\t} else {\n\t\t\t\t// Clear the task queue if we're saving (rather than downloading)\n\t\t\t\tif(method !== \"download\") {\n\t\t\t\t\tself.numChanges = 0;\n\t\t\t\t\tself.updateDirtyStatus();\n\t\t\t\t}\n\t\t\t\t$tw.notifier.display(self.titleSavedNotification);\n\t\t\t\tif(options.callback) {\n\t\t\t\t\toptions.callback();\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\t// Ignore autosave if disabled\n\tif(method === \"autosave\" && this.wiki.getTiddlerText(this.titleAutoSave,\"yes\") !== \"yes\") {\n\t\treturn false;\n\t}\n\t// Call the highest priority saver that supports this method\n\tfor(var t=this.savers.length-1; t>=0; t--) {\n\t\tvar saver = this.savers[t];\n\t\tif(saver.info.capabilities.indexOf(method) !== -1 && saver.save(text,method,callback,{variables: {filename: variables.filename}})) {\n\t\t\tthis.logger.log(\"Saving wiki with method\",method,\"through saver\",saver.info.name);\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\n/*\nChecks whether the wiki is dirty (ie the window shouldn't be closed)\n*/\nSaverHandler.prototype.isDirty = function() {\n\treturn this.numChanges > 0;\n};\n\n/*\nUpdate the document body with the class \"tc-dirty\" if the wiki has unsaved/unsynced changes\n*/\nSaverHandler.prototype.updateDirtyStatus = function() {\n\tif($tw.browser) {\n\t\t$tw.utils.toggleClass(document.body,\"tc-dirty\",this.isDirty());\n\t}\n};\n\nexports.SaverHandler = SaverHandler;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/savers/andtidwiki.js": {
"title": "$:/core/modules/savers/andtidwiki.js",
"text": "/*\\\ntitle: $:/core/modules/savers/andtidwiki.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the AndTidWiki Android app\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar AndTidWiki = function(wiki) {\n};\n\nAndTidWiki.prototype.save = function(text,method,callback) {\n\t// Get the pathname of this document\n\tvar pathname = decodeURIComponent(document.location.toString().split(\"#\")[0]);\n\t// Strip the file://\n\tif(pathname.indexOf(\"file://\") === 0) {\n\t\tpathname = pathname.substr(7);\n\t}\n\t// Strip any query or location part\n\tvar p = pathname.indexOf(\"?\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\tp = pathname.indexOf(\"#\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\t// Save the file\n\twindow.twi.saveFile(pathname,text);\n\t// Call the callback\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nAndTidWiki.prototype.info = {\n\tname: \"andtidwiki\",\n\tpriority: 1600,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.twi && !!window.twi.saveFile;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new AndTidWiki(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/beaker.js": {
"title": "$:/core/modules/savers/beaker.js",
"text": "/*\\\ntitle: $:/core/modules/savers/beaker.js\ntype: application/javascript\nmodule-type: saver\n\nSaves files using the Beaker browser's (https://beakerbrowser.com) Dat protocol (https://datproject.org/)\nCompatible with beaker >= V0.7.2\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSet up the saver\n*/\nvar BeakerSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nBeakerSaver.prototype.save = function(text,method,callback) {\n\tvar dat = new DatArchive(\"\" + window.location),\n\t\tpathname = (\"\" + window.location.pathname).split(\"#\")[0];\n\tdat.stat(pathname).then(function(value) {\n\t\tif(value.isDirectory()) {\n\t\t\tpathname = pathname + \"/index.html\";\n\t\t}\n\t\tdat.writeFile(pathname,text,\"utf8\").then(function(value) {\n\t\t\tcallback(null);\n\t\t},function(reason) {\n\t\t\tcallback(\"Beaker Saver Write Error: \" + reason);\n\t\t});\n\t},function(reason) {\n\t\tcallback(\"Beaker Saver Stat Error: \" + reason);\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nBeakerSaver.prototype.info = {\n\tname: \"beaker\",\n\tpriority: 3000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.DatArchive;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new BeakerSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/download.js": {
"title": "$:/core/modules/savers/download.js",
"text": "/*\\\ntitle: $:/core/modules/savers/download.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via HTML5's download APIs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar DownloadSaver = function(wiki) {\n};\n\nDownloadSaver.prototype.save = function(text,method,callback,options) {\n\toptions = options || {};\n\t// Get the current filename\n\tvar filename = options.variables.filename;\n\tif(!filename) {\n\t\tvar p = document.location.pathname.lastIndexOf(\"/\");\n\t\tif(p !== -1) {\n\t\t\t// We decode the pathname because document.location is URL encoded by the browser\n\t\t\tfilename = decodeURIComponent(document.location.pathname.substr(p+1));\n\t\t}\n\t}\n\tif(!filename) {\n\t\tfilename = \"tiddlywiki.html\";\n\t}\n\t// Set up the link\n\tvar link = document.createElement(\"a\");\n\tif(Blob !== undefined) {\n\t\tvar blob = new Blob([text], {type: \"text/html\"});\n\t\tlink.setAttribute(\"href\", URL.createObjectURL(blob));\n\t} else {\n\t\tlink.setAttribute(\"href\",\"data:text/html,\" + encodeURIComponent(text));\n\t}\n\tlink.setAttribute(\"download\",filename);\n\tdocument.body.appendChild(link);\n\tlink.click();\n\tdocument.body.removeChild(link);\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nDownloadSaver.prototype.info = {\n\tname: \"download\",\n\tpriority: 100\n};\n\nObject.defineProperty(DownloadSaver.prototype.info, \"capabilities\", {\n\tget: function() {\n\t\tvar capabilities = [\"save\", \"download\"];\n\t\tif(($tw.wiki.getTextReference(\"$:/config/DownloadSaver/AutoSave\") || \"\").toLowerCase() === \"yes\") {\n\t\t\tcapabilities.push(\"autosave\");\n\t\t}\n\t\treturn capabilities;\n\t}\n});\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn document.createElement(\"a\").download !== undefined;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new DownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/fsosaver.js": {
"title": "$:/core/modules/savers/fsosaver.js",
"text": "/*\\\ntitle: $:/core/modules/savers/fsosaver.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via MS FileSystemObject ActiveXObject\n\nNote: Since TiddlyWiki's markup contains the MOTW, the FileSystemObject normally won't be available. \nHowever, if the wiki is loaded as an .HTA file (Windows HTML Applications) then the FSO can be used.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar FSOSaver = function(wiki) {\n};\n\nFSOSaver.prototype.save = function(text,method,callback) {\n\t// Get the pathname of this document\n\tvar pathname = unescape(document.location.pathname);\n\t// Test for a Windows path of the form /x:\\blah...\n\tif(/^\\/[A-Z]\\:\\\\[^\\\\]+/i.test(pathname)) {\t// ie: ^/[a-z]:/[^/]+\n\t\t// Remove the leading slash\n\t\tpathname = pathname.substr(1);\n\t} else if(document.location.hostname !== \"\" && /^\\/\\\\[^\\\\]+\\\\[^\\\\]+/i.test(pathname)) {\t// test for \\\\server\\share\\blah... - ^/[^/]+/[^/]+\n\t\t// Remove the leading slash\n\t\tpathname = pathname.substr(1);\n\t\t// reconstruct UNC path\n\t\tpathname = \"\\\\\\\\\" + document.location.hostname + pathname;\n\t} else {\n\t\treturn false;\n\t}\n\t// Save the file (as UTF-16)\n\tvar fso = new ActiveXObject(\"Scripting.FileSystemObject\");\n\tvar file = fso.OpenTextFile(pathname,2,-1,-1);\n\tfile.Write(text);\n\tfile.Close();\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nFSOSaver.prototype.info = {\n\tname: \"FSOSaver\",\n\tpriority: 120,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\ttry {\n\t\treturn (window.location.protocol === \"file:\") && !!(new ActiveXObject(\"Scripting.FileSystemObject\"));\n\t} catch(e) { return false; }\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new FSOSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/manualdownload.js": {
"title": "$:/core/modules/savers/manualdownload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/manualdownload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via HTML5's download APIs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Title of the tiddler containing the download message\nvar downloadInstructionsTitle = \"$:/language/Modals/Download\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar ManualDownloadSaver = function(wiki) {\n};\n\nManualDownloadSaver.prototype.save = function(text,method,callback) {\n\t$tw.modal.display(downloadInstructionsTitle,{\n\t\tdownloadLink: \"data:text/html,\" + encodeURIComponent(text)\n\t});\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nManualDownloadSaver.prototype.info = {\n\tname: \"manualdownload\",\n\tpriority: 0,\n\tcapabilities: [\"save\", \"download\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new ManualDownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/msdownload.js": {
"title": "$:/core/modules/savers/msdownload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/msdownload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via window.navigator.msSaveBlob()\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar MsDownloadSaver = function(wiki) {\n};\n\nMsDownloadSaver.prototype.save = function(text,method,callback) {\n\t// Get the current filename\n\tvar filename = \"tiddlywiki.html\",\n\t\tp = document.location.pathname.lastIndexOf(\"/\");\n\tif(p !== -1) {\n\t\tfilename = document.location.pathname.substr(p+1);\n\t}\n\t// Set up the link\n\tvar blob = new Blob([text], {type: \"text/html\"});\n\twindow.navigator.msSaveBlob(blob,filename);\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nMsDownloadSaver.prototype.info = {\n\tname: \"msdownload\",\n\tpriority: 110,\n\tcapabilities: [\"save\", \"download\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.navigator.msSaveBlob;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new MsDownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/put.js": {
"title": "$:/core/modules/savers/put.js",
"text": "/*\\\ntitle: $:/core/modules/savers/put.js\ntype: application/javascript\nmodule-type: saver\n\nSaves wiki by performing a PUT request to the server\n\nWorks with any server which accepts a PUT request\nto the current URL, such as a WebDAV server.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar PutSaver = function(wiki) {\n\tthis.wiki = wiki;\n\tvar self = this;\n\tvar uri = this.uri();\n\t// Async server probe. Until probe finishes, save will fail fast\n\t// See also https://github.com/Jermolene/TiddlyWiki5/issues/2276\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: \"OPTIONS\",\n\t\tcallback: function(err, data, xhr) {\n\t\t\t// Check DAV header http://www.webdav.org/specs/rfc2518.html#rfc.section.9.1\n\t\t\tif(!err) {\n\t\t\t\tself.serverAcceptsPuts = xhr.status === 200 && !!xhr.getResponseHeader(\"dav\");\n\t\t\t}\n\t\t}\n\t});\n\t// Retrieve ETag if available\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: \"HEAD\",\n\t\tcallback: function(err, data, xhr) {\n\t\t\tif(!err) {\n\t\t\t\tself.etag = xhr.getResponseHeader(\"ETag\");\n\t\t\t}\n\t\t}\n\t});\n};\n\nPutSaver.prototype.uri = function() {\n\treturn document.location.toString().split(\"#\")[0];\n};\n\n// TODO: in case of edit conflict\n// Prompt: Do you want to save over this? Y/N\n// Merging would be ideal, and may be possible using future generic merge flow\nPutSaver.prototype.save = function(text, method, callback) {\n\tif(!this.serverAcceptsPuts) {\n\t\treturn false;\n\t}\n\tvar self = this;\n\tvar headers = { \"Content-Type\": \"text/html;charset=UTF-8\" };\n\tif(this.etag) {\n\t\theaders[\"If-Match\"] = this.etag;\n\t}\n\t$tw.utils.httpRequest({\n\t\turl: this.uri(),\n\t\ttype: \"PUT\",\n\t\theaders: headers,\n\t\tdata: text,\n\t\tcallback: function(err, data, xhr) {\n\t\t\tif(err) {\n\t\t\t\tcallback(err);\n\t\t\t} else if(xhr.status === 200 || xhr.status === 201) {\n\t\t\t\tself.etag = xhr.getResponseHeader(\"ETag\");\n\t\t\t\tcallback(null); // success\n\t\t\t} else if(xhr.status === 412) { // edit conflict\n\t\t\t\tvar message = $tw.language.getString(\"Error/EditConflict\");\n\t\t\t\tcallback(message);\n\t\t\t} else {\n\t\t\t\tcallback(xhr.responseText); // fail\n\t\t\t}\n\t\t}\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nPutSaver.prototype.info = {\n\tname: \"put\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn /^https?:/.test(location.protocol);\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new PutSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/tiddlyfox.js": {
"title": "$:/core/modules/savers/tiddlyfox.js",
"text": "/*\\\ntitle: $:/core/modules/savers/tiddlyfox.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the TiddlyFox file extension\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar TiddlyFoxSaver = function(wiki) {\n};\n\nTiddlyFoxSaver.prototype.save = function(text,method,callback) {\n\tvar messageBox = document.getElementById(\"tiddlyfox-message-box\");\n\tif(messageBox) {\n\t\t// Get the pathname of this document\n\t\tvar pathname = document.location.toString().split(\"#\")[0];\n\t\t// Replace file://localhost/ with file:///\n\t\tif(pathname.indexOf(\"file://localhost/\") === 0) {\n\t\t\tpathname = \"file://\" + pathname.substr(16);\n\t\t}\n\t\t// Windows path file:///x:/blah/blah --> x:\\blah\\blah\n\t\tif(/^file\\:\\/\\/\\/[A-Z]\\:\\//i.test(pathname)) {\n\t\t\t// Remove the leading slash and convert slashes to backslashes\n\t\t\tpathname = pathname.substr(8).replace(/\\//g,\"\\\\\");\n\t\t// Firefox Windows network path file://///server/share/blah/blah --> //server/share/blah/blah\n\t\t} else if(pathname.indexOf(\"file://///\") === 0) {\n\t\t\tpathname = \"\\\\\\\\\" + unescape(pathname.substr(10)).replace(/\\//g,\"\\\\\");\n\t\t// Mac/Unix local path file:///path/path --> /path/path\n\t\t} else if(pathname.indexOf(\"file:///\") === 0) {\n\t\t\tpathname = unescape(pathname.substr(7));\n\t\t// Mac/Unix local path file:/path/path --> /path/path\n\t\t} else if(pathname.indexOf(\"file:/\") === 0) {\n\t\t\tpathname = unescape(pathname.substr(5));\n\t\t// Otherwise Windows networth path file://server/share/path/path --> \\\\server\\share\\path\\path\n\t\t} else {\n\t\t\tpathname = \"\\\\\\\\\" + unescape(pathname.substr(7)).replace(new RegExp(\"/\",\"g\"),\"\\\\\");\n\t\t}\n\t\t// Create the message element and put it in the message box\n\t\tvar message = document.createElement(\"div\");\n\t\tmessage.setAttribute(\"data-tiddlyfox-path\",decodeURIComponent(pathname));\n\t\tmessage.setAttribute(\"data-tiddlyfox-content\",text);\n\t\tmessageBox.appendChild(message);\n\t\t// Add an event handler for when the file has been saved\n\t\tmessage.addEventListener(\"tiddlyfox-have-saved-file\",function(event) {\n\t\t\tcallback(null);\n\t\t}, false);\n\t\t// Create and dispatch the custom event to the extension\n\t\tvar event = document.createEvent(\"Events\");\n\t\tevent.initEvent(\"tiddlyfox-save-file\",true,false);\n\t\tmessage.dispatchEvent(event);\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nInformation about this saver\n*/\nTiddlyFoxSaver.prototype.info = {\n\tname: \"tiddlyfox\",\n\tpriority: 1500,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TiddlyFoxSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/tiddlyie.js": {
"title": "$:/core/modules/savers/tiddlyie.js",
"text": "/*\\\ntitle: $:/core/modules/savers/tiddlyie.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via Internet Explorer BHO extenion (TiddlyIE)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar TiddlyIESaver = function(wiki) {\n};\n\nTiddlyIESaver.prototype.save = function(text,method,callback) {\n\t// Check existence of TiddlyIE BHO extension (note: only works after document is complete)\n\tif(typeof(window.TiddlyIE) != \"undefined\") {\n\t\t// Get the pathname of this document\n\t\tvar pathname = unescape(document.location.pathname);\n\t\t// Test for a Windows path of the form /x:/blah...\n\t\tif(/^\\/[A-Z]\\:\\/[^\\/]+/i.test(pathname)) {\t// ie: ^/[a-z]:/[^/]+ (is this better?: ^/[a-z]:/[^/]+(/[^/]+)*\\.[^/]+ )\n\t\t\t// Remove the leading slash\n\t\t\tpathname = pathname.substr(1);\n\t\t\t// Convert slashes to backslashes\n\t\t\tpathname = pathname.replace(/\\//g,\"\\\\\");\n\t\t} else if(document.hostname !== \"\" && /^\\/[^\\/]+\\/[^\\/]+/i.test(pathname)) {\t// test for \\\\server\\share\\blah... - ^/[^/]+/[^/]+\n\t\t\t// Convert slashes to backslashes\n\t\t\tpathname = pathname.replace(/\\//g,\"\\\\\");\n\t\t\t// reconstruct UNC path\n\t\t\tpathname = \"\\\\\\\\\" + document.location.hostname + pathname;\n\t\t} else return false;\n\t\t// Prompt the user to save the file\n\t\twindow.TiddlyIE.save(pathname, text);\n\t\t// Callback that we succeeded\n\t\tcallback(null);\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nInformation about this saver\n*/\nTiddlyIESaver.prototype.info = {\n\tname: \"tiddlyiesaver\",\n\tpriority: 1500,\n\tcapabilities: [\"save\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn (window.location.protocol === \"file:\");\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TiddlyIESaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/twedit.js": {
"title": "$:/core/modules/savers/twedit.js",
"text": "/*\\\ntitle: $:/core/modules/savers/twedit.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the TWEdit iOS app\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar TWEditSaver = function(wiki) {\n};\n\nTWEditSaver.prototype.save = function(text,method,callback) {\n\t// Bail if we're not running under TWEdit\n\tif(typeof DeviceInfo !== \"object\") {\n\t\treturn false;\n\t}\n\t// Get the pathname of this document\n\tvar pathname = decodeURIComponent(document.location.pathname);\n\t// Strip any query or location part\n\tvar p = pathname.indexOf(\"?\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\tp = pathname.indexOf(\"#\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\t// Remove the leading \"/Documents\" from path\n\tvar prefix = \"/Documents\";\n\tif(pathname.indexOf(prefix) === 0) {\n\t\tpathname = pathname.substr(prefix.length);\n\t}\n\t// Error handler\n\tvar errorHandler = function(event) {\n\t\t// Error\n\t\tcallback($tw.language.getString(\"Error/SavingToTWEdit\") + \": \" + event.target.error.code);\n\t};\n\t// Get the file system\n\twindow.requestFileSystem(LocalFileSystem.PERSISTENT,0,function(fileSystem) {\n\t\t// Now we've got the filesystem, get the fileEntry\n\t\tfileSystem.root.getFile(pathname, {create: true}, function(fileEntry) {\n\t\t\t// Now we've got the fileEntry, create the writer\n\t\t\tfileEntry.createWriter(function(writer) {\n\t\t\t\twriter.onerror = errorHandler;\n\t\t\t\twriter.onwrite = function() {\n\t\t\t\t\tcallback(null);\n\t\t\t\t};\n\t\t\t\twriter.position = 0;\n\t\t\t\twriter.write(text);\n\t\t\t},errorHandler);\n\t\t}, errorHandler);\n\t}, errorHandler);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nTWEditSaver.prototype.info = {\n\tname: \"twedit\",\n\tpriority: 1600,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TWEditSaver(wiki);\n};\n\n/////////////////////////// Hack\n// HACK: This ensures that TWEdit recognises us as a TiddlyWiki document\nif($tw.browser) {\n\twindow.version = {title: \"TiddlyWiki\"};\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/upload.js": {
"title": "$:/core/modules/savers/upload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/upload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via upload to a server.\n\nDesigned to be compatible with BidiX's UploadPlugin at http://tiddlywiki.bidix.info/#UploadPlugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar UploadSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nUploadSaver.prototype.save = function(text,method,callback) {\n\t// Get the various parameters we need\n\tvar backupDir = this.wiki.getTextReference(\"$:/UploadBackupDir\") || \".\",\n\t\tusername = this.wiki.getTextReference(\"$:/UploadName\"),\n\t\tpassword = $tw.utils.getPassword(\"upload\"),\n\t\tuploadDir = this.wiki.getTextReference(\"$:/UploadDir\") || \".\",\n\t\tuploadFilename = this.wiki.getTextReference(\"$:/UploadFilename\") || \"index.html\",\n\t\turl = this.wiki.getTextReference(\"$:/UploadURL\");\n\t// Bail out if we don't have the bits we need\n\tif(!username || username.toString().trim() === \"\" || !password || password.toString().trim() === \"\") {\n\t\treturn false;\n\t}\n\t// Construct the url if not provided\n\tif(!url) {\n\t\turl = \"http://\" + username + \".tiddlyspot.com/store.cgi\";\n\t}\n\t// Assemble the header\n\tvar boundary = \"---------------------------\" + \"AaB03x\";\t\n\tvar uploadFormName = \"UploadPlugin\";\n\tvar head = [];\n\thead.push(\"--\" + boundary + \"\\r\\nContent-disposition: form-data; name=\\\"UploadPlugin\\\"\\r\\n\");\n\thead.push(\"backupDir=\" + backupDir + \";user=\" + username + \";password=\" + password + \";uploaddir=\" + uploadDir + \";;\"); \n\thead.push(\"\\r\\n\" + \"--\" + boundary);\n\thead.push(\"Content-disposition: form-data; name=\\\"userfile\\\"; filename=\\\"\" + uploadFilename + \"\\\"\");\n\thead.push(\"Content-Type: text/html;charset=UTF-8\");\n\thead.push(\"Content-Length: \" + text.length + \"\\r\\n\");\n\thead.push(\"\");\n\t// Assemble the tail and the data itself\n\tvar tail = \"\\r\\n--\" + boundary + \"--\\r\\n\",\n\t\tdata = head.join(\"\\r\\n\") + text + tail;\n\t// Do the HTTP post\n\tvar http = new XMLHttpRequest();\n\thttp.open(\"POST\",url,true,username,password);\n\thttp.setRequestHeader(\"Content-Type\",\"multipart/form-data; charset=UTF-8; boundary=\" + boundary);\n\thttp.onreadystatechange = function() {\n\t\tif(http.readyState == 4 && http.status == 200) {\n\t\t\tif(http.responseText.substr(0,4) === \"0 - \") {\n\t\t\t\tcallback(null);\n\t\t\t} else {\n\t\t\t\tcallback(http.responseText);\n\t\t\t}\n\t\t}\n\t};\n\ttry {\n\t\thttp.send(data);\n\t} catch(ex) {\n\t\treturn callback($tw.language.getString(\"Error/Caption\") + \":\" + ex);\n\t}\n\t$tw.notifier.display(\"$:/language/Notifications/Save/Starting\");\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nUploadSaver.prototype.info = {\n\tname: \"upload\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new UploadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/browser-messaging.js": {
"title": "$:/core/modules/browser-messaging.js",
"text": "/*\\\ntitle: $:/core/modules/browser-messaging.js\ntype: application/javascript\nmodule-type: startup\n\nBrowser message handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"browser-messaging\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n/*\nLoad a specified url as an iframe and call the callback when it is loaded. If the url is already loaded then the existing iframe instance is used\n*/\nfunction loadIFrame(url,callback) {\n\t// Check if iframe already exists\n\tvar iframeInfo = $tw.browserMessaging.iframeInfoMap[url];\n\tif(iframeInfo) {\n\t\t// We've already got the iframe\n\t\tcallback(null,iframeInfo);\n\t} else {\n\t\t// Create the iframe and save it in the list\n\t\tvar iframe = document.createElement(\"iframe\");\n\t\tiframeInfo = {\n\t\t\turl: url,\n\t\t\tstatus: \"loading\",\n\t\t\tdomNode: iframe\n\t\t};\n\t\t$tw.browserMessaging.iframeInfoMap[url] = iframeInfo;\n\t\tsaveIFrameInfoTiddler(iframeInfo);\n\t\t// Add the iframe to the DOM and hide it\n\t\tiframe.style.display = \"none\";\n\t\tiframe.setAttribute(\"library\",\"true\");\n\t\tdocument.body.appendChild(iframe);\n\t\t// Set up onload\n\t\tiframe.onload = function() {\n\t\t\tiframeInfo.status = \"loaded\";\n\t\t\tsaveIFrameInfoTiddler(iframeInfo);\n\t\t\tcallback(null,iframeInfo);\n\t\t};\n\t\tiframe.onerror = function() {\n\t\t\tcallback(\"Cannot load iframe\");\n\t\t};\n\t\ttry {\n\t\t\tiframe.src = url;\n\t\t} catch(ex) {\n\t\t\tcallback(ex);\n\t\t}\n\t}\n}\n\n/*\nUnload library iframe for given url\n*/\nfunction unloadIFrame(url){\n\t$tw.utils.each(document.getElementsByTagName('iframe'), function(iframe) {\n\t\tif(iframe.getAttribute(\"library\") === \"true\" &&\n\t\t iframe.getAttribute(\"src\") === url) {\n\t\t\tiframe.parentNode.removeChild(iframe);\n\t\t}\n\t});\n}\n\nfunction saveIFrameInfoTiddler(iframeInfo) {\n\t$tw.wiki.addTiddler(new $tw.Tiddler($tw.wiki.getCreationFields(),{\n\t\ttitle: \"$:/temp/ServerConnection/\" + iframeInfo.url,\n\t\ttext: iframeInfo.status,\n\t\ttags: [\"$:/tags/ServerConnection\"],\n\t\turl: iframeInfo.url\n\t},$tw.wiki.getModificationFields()));\n}\n\nexports.startup = function() {\n\t// Initialise the store of iframes we've created\n\t$tw.browserMessaging = {\n\t\tiframeInfoMap: {} // Hashmap by URL of {url:,status:\"loading/loaded\",domNode:}\n\t};\n\t// Listen for widget messages to control loading the plugin library\n\t$tw.rootWidget.addEventListener(\"tm-load-plugin-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url;\n\t\tif(url) {\n\t\t\tloadIFrame(url,function(err,iframeInfo) {\n\t\t\t\tif(err) {\n\t\t\t\t\talert($tw.language.getString(\"Error/LoadingPluginLibrary\") + \": \" + url);\n\t\t\t\t} else {\n\t\t\t\t\tiframeInfo.domNode.contentWindow.postMessage({\n\t\t\t\t\t\tverb: \"GET\",\n\t\t\t\t\t\turl: \"recipes/library/tiddlers.json\",\n\t\t\t\t\t\tcookies: {\n\t\t\t\t\t\t\ttype: \"save-info\",\n\t\t\t\t\t\t\tinfoTitlePrefix: paramObject.infoTitlePrefix || \"$:/temp/RemoteAssetInfo/\",\n\t\t\t\t\t\t\turl: url\n\t\t\t\t\t\t}\n\t\t\t\t\t},\"*\");\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\t// Listen for widget messages to control unloading the plugin library\n\t$tw.rootWidget.addEventListener(\"tm-unload-plugin-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url;\n\t\t$tw.browserMessaging.iframeInfoMap[url] = undefined;\n\t\tif(url) {\n\t\t\tunloadIFrame(url);\n\t\t\t$tw.utils.each(\n\t\t\t\t$tw.wiki.filterTiddlers(\"[[$:/temp/ServerConnection/\" + url + \"]] [prefix[$:/temp/RemoteAssetInfo/\" + url + \"/]]\"),\n\t\t\t\tfunction(title) {\n\t\t\t\t\t$tw.wiki.deleteTiddler(title);\n\t\t\t\t}\n\t\t\t);\n\t\t}\n\t});\n\t$tw.rootWidget.addEventListener(\"tm-load-plugin-from-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url,\n\t\t\ttitle = paramObject.title;\n\t\tif(url && title) {\n\t\t\tloadIFrame(url,function(err,iframeInfo) {\n\t\t\t\tif(err) {\n\t\t\t\t\talert($tw.language.getString(\"Error/LoadingPluginLibrary\") + \": \" + url);\n\t\t\t\t} else {\n\t\t\t\t\tiframeInfo.domNode.contentWindow.postMessage({\n\t\t\t\t\t\tverb: \"GET\",\n\t\t\t\t\t\turl: \"recipes/library/tiddlers/\" + encodeURIComponent(title) + \".json\",\n\t\t\t\t\t\tcookies: {\n\t\t\t\t\t\t\ttype: \"save-tiddler\",\n\t\t\t\t\t\t\turl: url\n\t\t\t\t\t\t}\n\t\t\t\t\t},\"*\");\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\t// Listen for window messages from other windows\n\twindow.addEventListener(\"message\",function listener(event){\n\t\tconsole.log(\"browser-messaging: \",document.location.toString())\n\t\tconsole.log(\"browser-messaging: Received message from\",event.origin);\n\t\tconsole.log(\"browser-messaging: Message content\",event.data);\n\t\tswitch(event.data.verb) {\n\t\t\tcase \"GET-RESPONSE\":\n\t\t\t\tif(event.data.status.charAt(0) === \"2\") {\n\t\t\t\t\tif(event.data.cookies) {\n\t\t\t\t\t\tif(event.data.cookies.type === \"save-info\") {\n\t\t\t\t\t\t\tvar tiddlers = JSON.parse(event.data.body);\n\t\t\t\t\t\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\t\t\t\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler($tw.wiki.getCreationFields(),tiddler,{\n\t\t\t\t\t\t\t\t\ttitle: event.data.cookies.infoTitlePrefix + event.data.cookies.url + \"/\" + tiddler.title,\n\t\t\t\t\t\t\t\t\t\"original-title\": tiddler.title,\n\t\t\t\t\t\t\t\t\ttext: \"\",\n\t\t\t\t\t\t\t\t\ttype: \"text/vnd.tiddlywiki\",\n\t\t\t\t\t\t\t\t\t\"original-type\": tiddler.type,\n\t\t\t\t\t\t\t\t\t\"plugin-type\": undefined,\n\t\t\t\t\t\t\t\t\t\"original-plugin-type\": tiddler[\"plugin-type\"],\n\t\t\t\t\t\t\t\t\t\"module-type\": undefined,\n\t\t\t\t\t\t\t\t\t\"original-module-type\": tiddler[\"module-type\"],\n\t\t\t\t\t\t\t\t\ttags: [\"$:/tags/RemoteAssetInfo\"],\n\t\t\t\t\t\t\t\t\t\"original-tags\": $tw.utils.stringifyList(tiddler.tags || []),\n\t\t\t\t\t\t\t\t\t\"server-url\": event.data.cookies.url\n\t\t\t\t\t\t\t\t},$tw.wiki.getModificationFields()));\n\t\t\t\t\t\t\t});\n\t\t\t\t\t\t} else if(event.data.cookies.type === \"save-tiddler\") {\n\t\t\t\t\t\t\tvar tiddler = JSON.parse(event.data.body);\n\t\t\t\t\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler(tiddler));\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t}\n\t},false);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/commands.js": {
"title": "$:/core/modules/startup/commands.js",
"text": "/*\\\ntitle: $:/core/modules/startup/commands.js\ntype: application/javascript\nmodule-type: startup\n\nCommand processing\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"commands\";\nexports.platforms = [\"node\"];\nexports.after = [\"story\"];\nexports.synchronous = false;\n\nexports.startup = function(callback) {\n\t// On the server, start a commander with the command line arguments\n\tvar commander = new $tw.Commander(\n\t\t$tw.boot.argv,\n\t\tfunction(err) {\n\t\t\tif(err) {\n\t\t\t\treturn $tw.utils.error(\"Error: \" + err);\n\t\t\t}\n\t\t\tcallback();\n\t\t},\n\t\t$tw.wiki,\n\t\t{output: process.stdout, error: process.stderr}\n\t);\n\tcommander.execute();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/favicon.js": {
"title": "$:/core/modules/startup/favicon.js",
"text": "/*\\\ntitle: $:/core/modules/startup/favicon.js\ntype: application/javascript\nmodule-type: startup\n\nFavicon handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"favicon\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\t\t\n// Favicon tiddler\nvar FAVICON_TITLE = \"$:/favicon.ico\";\n\nexports.startup = function() {\n\t// Set up the favicon\n\tsetFavicon();\n\t// Reset the favicon when the tiddler changes\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,FAVICON_TITLE)) {\n\t\t\tsetFavicon();\n\t\t}\n\t});\n};\n\nfunction setFavicon() {\n\tvar tiddler = $tw.wiki.getTiddler(FAVICON_TITLE);\n\tif(tiddler) {\n\t\tvar faviconLink = document.getElementById(\"faviconLink\");\n\t\tfaviconLink.setAttribute(\"href\",\"data:\" + tiddler.fields.type + \";base64,\" + tiddler.fields.text);\n\t}\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/info.js": {
"title": "$:/core/modules/startup/info.js",
"text": "/*\\\ntitle: $:/core/modules/startup/info.js\ntype: application/javascript\nmodule-type: startup\n\nInitialise $:/info tiddlers via $:/temp/info-plugin pseudo-plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"info\";\nexports.before = [\"startup\"];\nexports.after = [\"load-modules\"];\nexports.synchronous = true;\n\nexports.startup = function() {\n\t// Collect up the info tiddlers\n\tvar infoTiddlerFields = {};\n\t// Give each info module a chance to fill in as many info tiddlers as they want\n\t$tw.modules.forEachModuleOfType(\"info\",function(title,moduleExports) {\n\t\tif(moduleExports && moduleExports.getInfoTiddlerFields) {\n\t\t\tvar tiddlerFieldsArray = moduleExports.getInfoTiddlerFields(infoTiddlerFields);\n\t\t\t$tw.utils.each(tiddlerFieldsArray,function(fields) {\n\t\t\t\tif(fields) {\n\t\t\t\t\tinfoTiddlerFields[fields.title] = fields;\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\t// Bake the info tiddlers into a plugin\n\tvar fields = {\n\t\ttitle: \"$:/temp/info-plugin\",\n\t\ttype: \"application/json\",\n\t\t\"plugin-type\": \"info\",\n\t\ttext: JSON.stringify({tiddlers: infoTiddlerFields},null,$tw.config.preferences.jsonSpaces)\n\t};\n\t$tw.wiki.addTiddler(new $tw.Tiddler(fields));\n\t$tw.wiki.readPluginInfo();\n\t$tw.wiki.registerPluginTiddlers(\"info\");\n\t$tw.wiki.unpackPluginTiddlers();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/load-modules.js": {
"title": "$:/core/modules/startup/load-modules.js",
"text": "/*\\\ntitle: $:/core/modules/startup/load-modules.js\ntype: application/javascript\nmodule-type: startup\n\nLoad core modules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"load-modules\";\nexports.synchronous = true;\n\nexports.startup = function() {\n\t// Load modules\n\t$tw.modules.applyMethods(\"utils\",$tw.utils);\n\tif($tw.node) {\n\t\t$tw.modules.applyMethods(\"utils-node\",$tw.utils);\n\t}\n\t$tw.modules.applyMethods(\"global\",$tw);\n\t$tw.modules.applyMethods(\"config\",$tw.config);\n\t$tw.Tiddler.fieldModules = $tw.modules.getModulesByTypeAsHashmap(\"tiddlerfield\");\n\t$tw.modules.applyMethods(\"tiddlermethod\",$tw.Tiddler.prototype);\n\t$tw.modules.applyMethods(\"wikimethod\",$tw.Wiki.prototype);\n\t$tw.modules.applyMethods(\"tiddlerdeserializer\",$tw.Wiki.tiddlerDeserializerModules);\n\t$tw.macros = $tw.modules.getModulesByTypeAsHashmap(\"macro\");\n\t$tw.wiki.initParsers();\n\t$tw.Commander.initCommands();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/password.js": {
"title": "$:/core/modules/startup/password.js",
"text": "/*\\\ntitle: $:/core/modules/startup/password.js\ntype: application/javascript\nmodule-type: startup\n\nPassword handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"password\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\nexports.startup = function() {\n\t$tw.rootWidget.addEventListener(\"tm-set-password\",function(event) {\n\t\t$tw.passwordPrompt.createPrompt({\n\t\t\tserviceName: $tw.language.getString(\"Encryption/PromptSetPassword\"),\n\t\t\tnoUserName: true,\n\t\t\tsubmitText: $tw.language.getString(\"Encryption/SetPassword\"),\n\t\t\tcanCancel: true,\n\t\t\trepeatPassword: true,\n\t\t\tcallback: function(data) {\n\t\t\t\tif(data) {\n\t\t\t\t\t$tw.crypto.setPassword(data.password);\n\t\t\t\t}\n\t\t\t\treturn true; // Get rid of the password prompt\n\t\t\t}\n\t\t});\n\t});\n\t$tw.rootWidget.addEventListener(\"tm-clear-password\",function(event) {\n\t\tif($tw.browser) {\n\t\t\tif(!confirm($tw.language.getString(\"Encryption/ConfirmClearPassword\"))) {\n\t\t\t\treturn;\n\t\t\t}\n\t\t}\n\t\t$tw.crypto.setPassword(null);\n\t});\n\t// Ensure that $:/isEncrypted is maintained properly\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,\"$:/isEncrypted\")) {\n\t\t\t$tw.crypto.updateCryptoStateTiddler();\n\t\t}\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/render.js": {
"title": "$:/core/modules/startup/render.js",
"text": "/*\\\ntitle: $:/core/modules/startup/render.js\ntype: application/javascript\nmodule-type: startup\n\nTitle, stylesheet and page rendering\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"render\";\nexports.platforms = [\"browser\"];\nexports.after = [\"story\"];\nexports.synchronous = true;\n\n// Default story and history lists\nvar PAGE_TITLE_TITLE = \"$:/core/wiki/title\";\nvar PAGE_STYLESHEET_TITLE = \"$:/core/ui/PageStylesheet\";\nvar PAGE_TEMPLATE_TITLE = \"$:/core/ui/PageTemplate\";\n\n// Time (in ms) that we defer refreshing changes to draft tiddlers\nvar DRAFT_TIDDLER_TIMEOUT_TITLE = \"$:/config/Drafts/TypingTimeout\";\nvar DRAFT_TIDDLER_TIMEOUT = 400;\n\nexports.startup = function() {\n\t// Set up the title\n\t$tw.titleWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_TITLE_TITLE,{document: $tw.fakeDocument, parseAsInline: true});\n\t$tw.titleContainer = $tw.fakeDocument.createElement(\"div\");\n\t$tw.titleWidgetNode.render($tw.titleContainer,null);\n\tdocument.title = $tw.titleContainer.textContent;\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.titleWidgetNode.refresh(changes,$tw.titleContainer,null)) {\n\t\t\tdocument.title = $tw.titleContainer.textContent;\n\t\t}\n\t});\n\t// Set up the styles\n\t$tw.styleWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_STYLESHEET_TITLE,{document: $tw.fakeDocument});\n\t$tw.styleContainer = $tw.fakeDocument.createElement(\"style\");\n\t$tw.styleWidgetNode.render($tw.styleContainer,null);\n\t$tw.styleElement = document.createElement(\"style\");\n\t$tw.styleElement.innerHTML = $tw.styleContainer.textContent;\n\tdocument.head.insertBefore($tw.styleElement,document.head.firstChild);\n\t$tw.wiki.addEventListener(\"change\",$tw.perf.report(\"styleRefresh\",function(changes) {\n\t\tif($tw.styleWidgetNode.refresh(changes,$tw.styleContainer,null)) {\n\t\t\t$tw.styleElement.innerHTML = $tw.styleContainer.textContent;\n\t\t}\n\t}));\n\t// Display the $:/core/ui/PageTemplate tiddler to kick off the display\n\t$tw.perf.report(\"mainRender\",function() {\n\t\t$tw.pageWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_TEMPLATE_TITLE,{document: document, parentWidget: $tw.rootWidget});\n\t\t$tw.pageContainer = document.createElement(\"div\");\n\t\t$tw.utils.addClass($tw.pageContainer,\"tc-page-container-wrapper\");\n\t\tdocument.body.insertBefore($tw.pageContainer,document.body.firstChild);\n\t\t$tw.pageWidgetNode.render($tw.pageContainer,null);\n \t\t$tw.hooks.invokeHook(\"th-page-refreshed\");\n\t})();\n\t// Prepare refresh mechanism\n\tvar deferredChanges = Object.create(null),\n\t\ttimerId;\n\tfunction refresh() {\n\t\t// Process the refresh\n\t\t$tw.pageWidgetNode.refresh(deferredChanges);\n\t\tdeferredChanges = Object.create(null);\n \t\t$tw.hooks.invokeHook(\"th-page-refreshed\");\n\t}\n\t// Add the change event handler\n\t$tw.wiki.addEventListener(\"change\",$tw.perf.report(\"mainRefresh\",function(changes) {\n\t\t// Check if only drafts have changed\n\t\tvar onlyDraftsHaveChanged = true;\n\t\tfor(var title in changes) {\n\t\t\tvar tiddler = $tw.wiki.getTiddler(title);\n\t\t\tif(!tiddler || !tiddler.hasField(\"draft.of\")) {\n\t\t\t\tonlyDraftsHaveChanged = false;\n\t\t\t}\n\t\t}\n\t\t// Defer the change if only drafts have changed\n\t\tif(timerId) {\n\t\t\tclearTimeout(timerId);\n\t\t}\n\t\ttimerId = null;\n\t\tif(onlyDraftsHaveChanged) {\n\t\t\tvar timeout = parseInt($tw.wiki.getTiddlerText(DRAFT_TIDDLER_TIMEOUT_TITLE,\"\"),10);\n\t\t\tif(isNaN(timeout)) {\n\t\t\t\ttimeout = DRAFT_TIDDLER_TIMEOUT;\n\t\t\t}\n\t\t\ttimerId = setTimeout(refresh,timeout);\n\t\t\t$tw.utils.extend(deferredChanges,changes);\n\t\t} else {\n\t\t\t$tw.utils.extend(deferredChanges,changes);\n\t\t\trefresh();\n\t\t}\n\t}));\n\t// Fix up the link between the root widget and the page container\n\t$tw.rootWidget.domNodes = [$tw.pageContainer];\n\t$tw.rootWidget.children = [$tw.pageWidgetNode];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/rootwidget.js": {
"title": "$:/core/modules/startup/rootwidget.js",
"text": "/*\\\ntitle: $:/core/modules/startup/rootwidget.js\ntype: application/javascript\nmodule-type: startup\n\nSetup the root widget and the core root widget handlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"rootwidget\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.before = [\"story\"];\nexports.synchronous = true;\n\nexports.startup = function() {\n\t// Install the modal message mechanism\n\t$tw.modal = new $tw.utils.Modal($tw.wiki);\n\t$tw.rootWidget.addEventListener(\"tm-modal\",function(event) {\n\t\t$tw.modal.display(event.param,{variables: event.paramObject});\n\t});\n\t// Install the notification mechanism\n\t$tw.notifier = new $tw.utils.Notifier($tw.wiki);\n\t$tw.rootWidget.addEventListener(\"tm-notify\",function(event) {\n\t\t$tw.notifier.display(event.param,{variables: event.paramObject});\n\t});\n\t// Install the copy-to-clipboard mechanism\n\t$tw.rootWidget.addEventListener(\"tm-copy-to-clipboard\",function(event) {\n\t\t$tw.utils.copyToClipboard(event.param);\n\t});\n\t// Install the scroller\n\t$tw.pageScroller = new $tw.utils.PageScroller();\n\t$tw.rootWidget.addEventListener(\"tm-scroll\",function(event) {\n\t\t$tw.pageScroller.handleEvent(event);\n\t});\n\tvar fullscreen = $tw.utils.getFullScreenApis();\n\tif(fullscreen) {\n\t\t$tw.rootWidget.addEventListener(\"tm-full-screen\",function(event) {\n\t\t\tif(document[fullscreen._fullscreenElement]) {\n\t\t\t\tdocument[fullscreen._exitFullscreen]();\n\t\t\t} else {\n\t\t\t\tdocument.documentElement[fullscreen._requestFullscreen](Element.ALLOW_KEYBOARD_INPUT);\n\t\t\t}\n\t\t});\n\t}\n\t// If we're being viewed on a data: URI then give instructions for how to save\n\tif(document.location.protocol === \"data:\") {\n\t\t$tw.rootWidget.dispatchEvent({\n\t\t\ttype: \"tm-modal\",\n\t\t\tparam: \"$:/language/Modals/SaveInstructions\"\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup.js": {
"title": "$:/core/modules/startup.js",
"text": "/*\\\ntitle: $:/core/modules/startup.js\ntype: application/javascript\nmodule-type: startup\n\nMiscellaneous startup logic for both the client and server.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"startup\";\nexports.after = [\"load-modules\"];\nexports.synchronous = true;\n\n// Set to `true` to enable performance instrumentation\nvar PERFORMANCE_INSTRUMENTATION_CONFIG_TITLE = \"$:/config/Performance/Instrumentation\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.startup = function() {\n\tvar modules,n,m,f;\n\t// Minimal browser detection\n\tif($tw.browser) {\n\t\t$tw.browser.isIE = (/msie|trident/i.test(navigator.userAgent));\n\t\t$tw.browser.isFirefox = !!document.mozFullScreenEnabled;\n\t}\n\t// Platform detection\n\t$tw.platform = {};\n\tif($tw.browser) {\n\t\t$tw.platform.isMac = /Mac/.test(navigator.platform);\n\t\t$tw.platform.isWindows = /win/i.test(navigator.platform);\n\t\t$tw.platform.isLinux = /Linux/i.test(navigator.appVersion);\n\t} else {\n\t\tswitch(require(\"os\").platform()) {\n\t\t\tcase \"darwin\":\n\t\t\t\t$tw.platform.isMac = true;\n\t\t\t\tbreak;\n\t\t\tcase \"win32\":\n\t\t\t\t$tw.platform.isWindows = true;\n\t\t\t\tbreak;\n\t\t\tcase \"freebsd\":\n\t\t\t\t$tw.platform.isLinux = true;\n\t\t\t\tbreak;\n\t\t\tcase \"linux\":\n\t\t\t\t$tw.platform.isLinux = true;\n\t\t\t\tbreak;\n\t\t}\n\t}\n\t// Initialise version\n\t$tw.version = $tw.utils.extractVersionInfo();\n\t// Set up the performance framework\n\t$tw.perf = new $tw.Performance($tw.wiki.getTiddlerText(PERFORMANCE_INSTRUMENTATION_CONFIG_TITLE,\"no\") === \"yes\");\n\t// Kick off the language manager and switcher\n\t$tw.language = new $tw.Language();\n\t$tw.languageSwitcher = new $tw.PluginSwitcher({\n\t\twiki: $tw.wiki,\n\t\tpluginType: \"language\",\n\t\tcontrollerTitle: \"$:/language\",\n\t\tdefaultPlugins: [\n\t\t\t\"$:/languages/en-US\"\n\t\t],\n\t\tonSwitch: function(plugins) {\n\t\t\tif($tw.browser) {\n\t\t\t\tvar pluginTiddler = $tw.wiki.getTiddler(plugins[0]);\n\t\t\t\tif(pluginTiddler) {\n\t\t\t\t\tdocument.documentElement.setAttribute(\"dir\",pluginTiddler.getFieldString(\"text-direction\") || \"auto\");\n\t\t\t\t} else {\n\t\t\t\t\tdocument.documentElement.removeAttribute(\"dir\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\t// Kick off the theme manager\n\t$tw.themeManager = new $tw.PluginSwitcher({\n\t\twiki: $tw.wiki,\n\t\tpluginType: \"theme\",\n\t\tcontrollerTitle: \"$:/theme\",\n\t\tdefaultPlugins: [\n\t\t\t\"$:/themes/tiddlywiki/snowwhite\",\n\t\t\t\"$:/themes/tiddlywiki/vanilla\"\n\t\t]\n\t});\n\t// Kick off the keyboard manager\n\t$tw.keyboardManager = new $tw.KeyboardManager();\n\t// Create a root widget for attaching event handlers. By using it as the parentWidget for another widget tree, one can reuse the event handlers\n\t$tw.rootWidget = new widget.widget({\n\t\ttype: \"widget\",\n\t\tchildren: []\n\t},{\n\t\twiki: $tw.wiki,\n\t\tdocument: $tw.browser ? document : $tw.fakeDocument\n\t});\n\t// Execute any startup actions\n\tvar executeStartupTiddlers = function(tag) {\n\t\t$tw.utils.each($tw.wiki.filterTiddlers(\"[all[shadows+tiddlers]tag[\" + tag + \"]!has[draft.of]]\"),function(title) {\n\t\t\t$tw.rootWidget.invokeActionString($tw.wiki.getTiddlerText(title),$tw.rootWidget);\n\t\t});\n\t};\n\texecuteStartupTiddlers(\"$:/tags/StartupAction\");\n\tif($tw.browser) {\n\t\texecuteStartupTiddlers(\"$:/tags/StartupAction/Browser\");\t\t\n\t}\n\tif($tw.node) {\n\t\texecuteStartupTiddlers(\"$:/tags/StartupAction/Node\");\t\t\n\t}\n\t// Clear outstanding tiddler store change events to avoid an unnecessary refresh cycle at startup\n\t$tw.wiki.clearTiddlerEventQueue();\n\t// Find a working syncadaptor\n\t$tw.syncadaptor = undefined;\n\t$tw.modules.forEachModuleOfType(\"syncadaptor\",function(title,module) {\n\t\tif(!$tw.syncadaptor && module.adaptorClass) {\n\t\t\t$tw.syncadaptor = new module.adaptorClass({wiki: $tw.wiki});\n\t\t}\n\t});\n\t// Set up the syncer object if we've got a syncadaptor\n\tif($tw.syncadaptor) {\n\t\t$tw.syncer = new $tw.Syncer({wiki: $tw.wiki, syncadaptor: $tw.syncadaptor});\n\t} \n\t// Setup the saver handler\n\t$tw.saverHandler = new $tw.SaverHandler({wiki: $tw.wiki, dirtyTracking: !$tw.syncadaptor});\n\t// Host-specific startup\n\tif($tw.browser) {\n\t\t// Install the popup manager\n\t\t$tw.popup = new $tw.utils.Popup();\n\t\t// Install the animator\n\t\t$tw.anim = new $tw.utils.Animator();\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/story.js": {
"title": "$:/core/modules/startup/story.js",
"text": "/*\\\ntitle: $:/core/modules/startup/story.js\ntype: application/javascript\nmodule-type: startup\n\nLoad core modules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"story\";\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n// Default story and history lists\nvar DEFAULT_STORY_TITLE = \"$:/StoryList\";\nvar DEFAULT_HISTORY_TITLE = \"$:/HistoryList\";\n\n// Default tiddlers\nvar DEFAULT_TIDDLERS_TITLE = \"$:/DefaultTiddlers\";\n\n// Config\nvar CONFIG_UPDATE_ADDRESS_BAR = \"$:/config/Navigation/UpdateAddressBar\"; // Can be \"no\", \"permalink\", \"permaview\"\nvar CONFIG_UPDATE_HISTORY = \"$:/config/Navigation/UpdateHistory\"; // Can be \"yes\" or \"no\"\n\nexports.startup = function() {\n\t// Open startup tiddlers\n\topenStartupTiddlers();\n\tif($tw.browser) {\n\t\t// Set up location hash update\n\t\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\t\tif($tw.utils.hop(changes,DEFAULT_STORY_TITLE) || $tw.utils.hop(changes,DEFAULT_HISTORY_TITLE)) {\n\t\t\t\tupdateLocationHash({\n\t\t\t\t\tupdateAddressBar: $tw.wiki.getTiddlerText(CONFIG_UPDATE_ADDRESS_BAR,\"permaview\").trim(),\n\t\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim()\n\t\t\t\t});\n\t\t\t}\n\t\t});\n\t\t// Listen for changes to the browser location hash\n\t\twindow.addEventListener(\"hashchange\",function() {\n\t\t\tvar hash = $tw.utils.getLocationHash();\n\t\t\tif(hash !== $tw.locationHash) {\n\t\t\t\t$tw.locationHash = hash;\n\t\t\t\topenStartupTiddlers({defaultToCurrentStory: true});\n\t\t\t}\n\t\t},false);\n\t\t// Listen for the tm-browser-refresh message\n\t\t$tw.rootWidget.addEventListener(\"tm-browser-refresh\",function(event) {\n\t\t\twindow.location.reload(true);\n\t\t});\n\t\t// Listen for the tm-print message\n\t\t$tw.rootWidget.addEventListener(\"tm-print\",function(event) {\n\t\t\t(event.event.view || window).print();\n\t\t});\n\t\t// Listen for the tm-home message\n\t\t$tw.rootWidget.addEventListener(\"tm-home\",function(event) {\n\t\t\twindow.location.hash = \"\";\n\t\t\tvar storyFilter = $tw.wiki.getTiddlerText(DEFAULT_TIDDLERS_TITLE),\n\t\t\t\tstoryList = $tw.wiki.filterTiddlers(storyFilter);\n\t\t\t//invoke any hooks that might change the default story list\n\t\t\tstoryList = $tw.hooks.invokeHook(\"th-opening-default-tiddlers-list\",storyList);\n\t\t\t$tw.wiki.addTiddler({title: DEFAULT_STORY_TITLE, text: \"\", list: storyList},$tw.wiki.getModificationFields());\n\t\t\tif(storyList[0]) {\n\t\t\t\t$tw.wiki.addToHistory(storyList[0]);\t\t\t\t\n\t\t\t}\n\t\t});\n\t\t// Listen for the tm-permalink message\n\t\t$tw.rootWidget.addEventListener(\"tm-permalink\",function(event) {\n\t\t\tupdateLocationHash({\n\t\t\t\tupdateAddressBar: \"permalink\",\n\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim(),\n\t\t\t\ttargetTiddler: event.param || event.tiddlerTitle\n\t\t\t});\n\t\t});\n\t\t// Listen for the tm-permaview message\n\t\t$tw.rootWidget.addEventListener(\"tm-permaview\",function(event) {\n\t\t\tupdateLocationHash({\n\t\t\t\tupdateAddressBar: \"permaview\",\n\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim(),\n\t\t\t\ttargetTiddler: event.param || event.tiddlerTitle\n\t\t\t});\n\t\t});\n\t}\n};\n\n/*\nProcess the location hash to open the specified tiddlers. Options:\ndefaultToCurrentStory: If true, the current story is retained as the default, instead of opening the default tiddlers\n*/\nfunction openStartupTiddlers(options) {\n\toptions = options || {};\n\t// Work out the target tiddler and the story filter. \"null\" means \"unspecified\"\n\tvar target = null,\n\t\tstoryFilter = null;\n\tif($tw.locationHash.length > 1) {\n\t\tvar hash = $tw.locationHash.substr(1),\n\t\t\tsplit = hash.indexOf(\":\");\n\t\tif(split === -1) {\n\t\t\ttarget = decodeURIComponent(hash.trim());\n\t\t} else {\n\t\t\ttarget = decodeURIComponent(hash.substr(0,split).trim());\n\t\t\tstoryFilter = decodeURIComponent(hash.substr(split + 1).trim());\n\t\t}\n\t}\n\t// If the story wasn't specified use the current tiddlers or a blank story\n\tif(storyFilter === null) {\n\t\tif(options.defaultToCurrentStory) {\n\t\t\tvar currStoryList = $tw.wiki.getTiddlerList(DEFAULT_STORY_TITLE);\n\t\t\tstoryFilter = $tw.utils.stringifyList(currStoryList);\n\t\t} else {\n\t\t\tif(target && target !== \"\") {\n\t\t\t\tstoryFilter = \"\";\n\t\t\t} else {\n\t\t\t\tstoryFilter = $tw.wiki.getTiddlerText(DEFAULT_TIDDLERS_TITLE);\n\t\t\t}\n\t\t}\n\t}\n\t// Process the story filter to get the story list\n\tvar storyList = $tw.wiki.filterTiddlers(storyFilter);\n\t// Invoke any hooks that want to change the default story list\n\tstoryList = $tw.hooks.invokeHook(\"th-opening-default-tiddlers-list\",storyList);\n\t// If the target tiddler isn't included then splice it in at the top\n\tif(target && storyList.indexOf(target) === -1) {\n\t\tstoryList.unshift(target);\n\t}\n\t// Save the story list\n\t$tw.wiki.addTiddler({title: DEFAULT_STORY_TITLE, text: \"\", list: storyList},$tw.wiki.getModificationFields());\n\t// If a target tiddler was specified add it to the history stack\n\tif(target && target !== \"\") {\n\t\t// The target tiddler doesn't need double square brackets, but we'll silently remove them if they're present\n\t\tif(target.indexOf(\"[[\") === 0 && target.substr(-2) === \"]]\") {\n\t\t\ttarget = target.substr(2,target.length - 4);\n\t\t}\n\t\t$tw.wiki.addToHistory(target);\n\t} else if(storyList.length > 0) {\n\t\t$tw.wiki.addToHistory(storyList[0]);\n\t}\n}\n\n/*\noptions: See below\noptions.updateAddressBar: \"permalink\", \"permaview\" or \"no\" (defaults to \"permaview\")\noptions.updateHistory: \"yes\" or \"no\" (defaults to \"no\")\noptions.targetTiddler: optional title of target tiddler for permalink\n*/\nfunction updateLocationHash(options) {\n\tif(options.updateAddressBar !== \"no\") {\n\t\t// Get the story and the history stack\n\t\tvar storyList = $tw.wiki.getTiddlerList(DEFAULT_STORY_TITLE),\n\t\t\thistoryList = $tw.wiki.getTiddlerData(DEFAULT_HISTORY_TITLE,[]),\n\t\t\ttargetTiddler = \"\";\n\t\tif(options.targetTiddler) {\n\t\t\ttargetTiddler = options.targetTiddler;\n\t\t} else {\n\t\t\t// The target tiddler is the one at the top of the stack\n\t\t\tif(historyList.length > 0) {\n\t\t\t\ttargetTiddler = historyList[historyList.length-1].title;\n\t\t\t}\n\t\t\t// Blank the target tiddler if it isn't present in the story\n\t\t\tif(storyList.indexOf(targetTiddler) === -1) {\n\t\t\t\ttargetTiddler = \"\";\n\t\t\t}\n\t\t}\n\t\t// Assemble the location hash\n\t\tif(options.updateAddressBar === \"permalink\") {\n\t\t\t$tw.locationHash = \"#\" + encodeURIComponent(targetTiddler);\n\t\t} else {\n\t\t\t$tw.locationHash = \"#\" + encodeURIComponent(targetTiddler) + \":\" + encodeURIComponent($tw.utils.stringifyList(storyList));\n\t\t}\n\t\t// Only change the location hash if we must, thus avoiding unnecessary onhashchange events\n\t\tif($tw.utils.getLocationHash() !== $tw.locationHash) {\n\t\t\tif(options.updateHistory === \"yes\") {\n\t\t\t\t// Assign the location hash so that history is updated\n\t\t\t\twindow.location.hash = $tw.locationHash;\n\t\t\t} else {\n\t\t\t\t// We use replace so that browser history isn't affected\n\t\t\t\twindow.location.replace(window.location.toString().split(\"#\")[0] + $tw.locationHash);\n\t\t\t}\n\t\t}\n\t}\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/windows.js": {
"title": "$:/core/modules/startup/windows.js",
"text": "/*\\\ntitle: $:/core/modules/startup/windows.js\ntype: application/javascript\nmodule-type: startup\n\nSetup root widget handlers for the messages concerned with opening external browser windows\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"windows\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n// Global to keep track of open windows (hashmap by title)\nvar windows = {};\n\nexports.startup = function() {\n\t// Handle open window message\n\t$tw.rootWidget.addEventListener(\"tm-open-window\",function(event) {\n\t\t// Get the parameters\n\t\tvar refreshHandler,\n\t\t\ttitle = event.param || event.tiddlerTitle,\n\t\t\tparamObject = event.paramObject || {},\n\t\t\ttemplate = paramObject.template || \"$:/core/templates/single.tiddler.window\",\n\t\t\twidth = paramObject.width || \"700\",\n\t\t\theight = paramObject.height || \"600\",\n\t\t\tvariables = $tw.utils.extend({},paramObject,{currentTiddler: title});\n\t\t// Open the window\n\t\tvar srcWindow = window.open(\"\",\"external-\" + title,\"scrollbars,width=\" + width + \",height=\" + height),\n\t\t\tsrcDocument = srcWindow.document;\n\t\twindows[title] = srcWindow;\n\t\t// Check for reopening the same window\n\t\tif(srcWindow.haveInitialisedWindow) {\n\t\t\treturn;\n\t\t}\n\t\t// Initialise the document\n\t\tsrcDocument.write(\"<html><head></head><body class='tc-body tc-single-tiddler-window'></body></html>\");\n\t\tsrcDocument.close();\n\t\tsrcDocument.title = title;\n\t\tsrcWindow.addEventListener(\"beforeunload\",function(event) {\n\t\t\tdelete windows[title];\n\t\t\t$tw.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t},false);\n\t\t// Set up the styles\n\t\tvar styleWidgetNode = $tw.wiki.makeTranscludeWidget(\"$:/core/ui/PageStylesheet\",{\n\t\t\t\tdocument: $tw.fakeDocument,\n\t\t\t\tvariables: variables,\n\t\t\t\timportPageMacros: true}),\n\t\t\tstyleContainer = $tw.fakeDocument.createElement(\"style\");\n\t\tstyleWidgetNode.render(styleContainer,null);\n\t\tvar styleElement = srcDocument.createElement(\"style\");\n\t\tstyleElement.innerHTML = styleContainer.textContent;\n\t\tsrcDocument.head.insertBefore(styleElement,srcDocument.head.firstChild);\n\t\t// Render the text of the tiddler\n\t\tvar parser = $tw.wiki.parseTiddler(template),\n\t\t\twidgetNode = $tw.wiki.makeWidget(parser,{document: srcDocument, parentWidget: $tw.rootWidget, variables: variables});\n\t\twidgetNode.render(srcDocument.body,srcDocument.body.firstChild);\n\t\t// Function to handle refreshes\n\t\trefreshHandler = function(changes) {\n\t\t\tif(styleWidgetNode.refresh(changes,styleContainer,null)) {\n\t\t\t\tstyleElement.innerHTML = styleContainer.textContent;\n\t\t\t}\n\t\t\twidgetNode.refresh(changes);\n\t\t};\n\t\t$tw.wiki.addEventListener(\"change\",refreshHandler);\n\t\tsrcWindow.haveInitialisedWindow = true;\n\t});\n\t// Close open windows when unloading main window\n\t$tw.addUnloadTask(function() {\n\t\t$tw.utils.each(windows,function(win) {\n\t\t\twin.close();\n\t\t});\n\t});\n\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/story.js": {
"title": "$:/core/modules/story.js",
"text": "/*\\\ntitle: $:/core/modules/story.js\ntype: application/javascript\nmodule-type: global\n\nLightweight object for managing interactions with the story and history lists.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nConstruct Story object with options:\nwiki: reference to wiki object to use to resolve tiddler titles\nstoryTitle: title of story list tiddler\nhistoryTitle: title of history list tiddler\n*/\nfunction Story(options) {\n\toptions = options || {};\n\tthis.wiki = options.wiki || $tw.wiki;\n\tthis.storyTitle = options.storyTitle || \"$:/StoryList\";\n\tthis.historyTitle = options.historyTitle || \"$:/HistoryList\";\n};\n\nStory.prototype.navigateTiddler = function(navigateTo,navigateFromTitle,navigateFromClientRect) {\n\tthis.addToStory(navigateTo,navigateFromTitle);\n\tthis.addToHistory(navigateTo,navigateFromClientRect);\n};\n\nStory.prototype.getStoryList = function() {\n\treturn this.wiki.getTiddlerList(this.storyTitle) || [];\n};\n\nStory.prototype.addToStory = function(navigateTo,navigateFromTitle,options) {\n\toptions = options || {};\n\tvar storyList = this.getStoryList();\n\t// See if the tiddler is already there\n\tvar slot = storyList.indexOf(navigateTo);\n\t// Quit if it already exists in the story river\n\tif(slot >= 0) {\n\t\treturn;\n\t}\n\t// First we try to find the position of the story element we navigated from\n\tvar fromIndex = storyList.indexOf(navigateFromTitle);\n\tif(fromIndex >= 0) {\n\t\t// The tiddler is added from inside the river\n\t\t// Determine where to insert the tiddler; Fallback is \"below\"\n\t\tswitch(options.openLinkFromInsideRiver) {\n\t\t\tcase \"top\":\n\t\t\t\tslot = 0;\n\t\t\t\tbreak;\n\t\t\tcase \"bottom\":\n\t\t\t\tslot = storyList.length;\n\t\t\t\tbreak;\n\t\t\tcase \"above\":\n\t\t\t\tslot = fromIndex;\n\t\t\t\tbreak;\n\t\t\tcase \"below\": // Intentional fall-through\n\t\t\tdefault:\n\t\t\t\tslot = fromIndex + 1;\n\t\t\t\tbreak;\n\t\t}\n\t} else {\n\t\t// The tiddler is opened from outside the river. Determine where to insert the tiddler; default is \"top\"\n\t\tif(options.openLinkFromOutsideRiver === \"bottom\") {\n\t\t\t// Insert at bottom\n\t\t\tslot = storyList.length;\n\t\t} else {\n\t\t\t// Insert at top\n\t\t\tslot = 0;\n\t\t}\n\t}\n\t// Add the tiddler\n\tstoryList.splice(slot,0,navigateTo);\n\t// Save the story\n\tthis.saveStoryList(storyList);\n};\n\nStory.prototype.saveStoryList = function(storyList) {\n\tvar storyTiddler = this.wiki.getTiddler(this.storyTitle);\n\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\tthis.wiki.getCreationFields(),\n\t\t{title: this.storyTitle},\n\t\tstoryTiddler,\n\t\t{list: storyList},\n\t\tthis.wiki.getModificationFields()\n\t));\n};\n\nStory.prototype.addToHistory = function(navigateTo,navigateFromClientRect) {\n\tvar titles = $tw.utils.isArray(navigateTo) ? navigateTo : [navigateTo];\n\t// Add a new record to the top of the history stack\n\tvar historyList = this.wiki.getTiddlerData(this.historyTitle,[]);\n\t$tw.utils.each(titles,function(title) {\n\t\thistoryList.push({title: title, fromPageRect: navigateFromClientRect});\n\t});\n\tthis.wiki.setTiddlerData(this.historyTitle,historyList,{\"current-tiddler\": titles[titles.length-1]});\n};\n\nStory.prototype.storyCloseTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyCloseAllTiddlers = function() {\n// TBD\n};\n\nStory.prototype.storyCloseOtherTiddlers = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyEditTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyDeleteTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storySaveTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyCancelTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyNewTiddler = function(targetTitle) {\n// TBD\n};\n\nexports.Story = Story;\n\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/storyviews/classic.js": {
"title": "$:/core/modules/storyviews/classic.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/classic.js\ntype: application/javascript\nmodule-type: storyview\n\nViews the story as a linear sequence\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar easing = \"cubic-bezier(0.645, 0.045, 0.355, 1)\"; // From http://easings.net/#easeInOutCubic\n\nvar ClassicStoryView = function(listWidget) {\n\tthis.listWidget = listWidget;\n};\n\nClassicStoryView.prototype.navigateTo = function(historyInfo) {\n\tvar listElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Scroll the node into view\n\tthis.listWidget.dispatchEvent({type: \"tm-scroll\", target: targetElement});\n};\n\nClassicStoryView.prototype.insert = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Get the current height of the tiddler\n\tvar computedStyle = window.getComputedStyle(targetElement),\n\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\tcurrHeight = targetElement.offsetHeight + currMarginTop;\n\t// Reset the margin once the transition is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: \"\"}\n\t\t]);\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{marginBottom: (-currHeight) + \"px\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t// Transition to the final position\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"opacity \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms \" + easing},\n\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n};\n\nClassicStoryView.prototype.remove = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\tremoveElement = function() {\n\t\t\twidget.removeChildDomNodes();\n\t\t};\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Get the current height of the tiddler\n\tvar currWidth = targetElement.offsetWidth,\n\t\tcomputedStyle = window.getComputedStyle(targetElement),\n\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\tcurrHeight = targetElement.offsetHeight + currMarginTop;\n\t// Remove the dom nodes of the widget at the end of the transition\n\tsetTimeout(removeElement,duration);\n\t// Animate the closure\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{transform: \"translateX(0px)\"},\n\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms \" + easing},\n\t\t{transform: \"translateX(-\" + currWidth + \"px)\"},\n\t\t{marginBottom: (-currHeight) + \"px\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n};\n\nexports.classic = ClassicStoryView;\n\n})();",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/storyviews/pop.js": {
"title": "$:/core/modules/storyviews/pop.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/pop.js\ntype: application/javascript\nmodule-type: storyview\n\nAnimates list insertions and removals\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar PopStoryView = function(listWidget) {\n\tthis.listWidget = listWidget;\n};\n\nPopStoryView.prototype.navigateTo = function(historyInfo) {\n\tvar listElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Scroll the node into view\n\tthis.listWidget.dispatchEvent({type: \"tm-scroll\", target: targetElement});\n};\n\nPopStoryView.prototype.insert = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Reset once the transition is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"none\"},\n\t\t\t{transform: \"none\"}\n\t\t]);\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{transform: \"scale(2)\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t// Transition to the final position\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{transform: \"scale(1)\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n};\n\nPopStoryView.prototype.remove = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\tremoveElement = function() {\n\t\t\tif(targetElement.parentNode) {\n\t\t\t\twidget.removeChildDomNodes();\n\t\t\t}\n\t\t};\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Remove the element at the end of the transition\n\tsetTimeout(removeElement,duration);\n\t// Animate the closure\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{transform: \"scale(1)\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{transform: \"scale(0.1)\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n};\n\nexports.pop = PopStoryView;\n\n})();\n",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/storyviews/zoomin.js": {
"title": "$:/core/modules/storyviews/zoomin.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/zoomin.js\ntype: application/javascript\nmodule-type: storyview\n\nZooms between individual tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar easing = \"cubic-bezier(0.645, 0.045, 0.355, 1)\"; // From http://easings.net/#easeInOutCubic\n\nvar ZoominListView = function(listWidget) {\n\tvar self = this;\n\tthis.listWidget = listWidget;\n\t// Get the index of the tiddler that is at the top of the history\n\tvar history = this.listWidget.wiki.getTiddlerDataCached(this.listWidget.historyTitle,[]),\n\t\ttargetTiddler;\n\tif(history.length > 0) {\n\t\ttargetTiddler = history[history.length-1].title;\n\t}\n\t// Make all the tiddlers position absolute, and hide all but the top (or first) one\n\t$tw.utils.each(this.listWidget.children,function(itemWidget,index) {\n\t\tvar domNode = itemWidget.findFirstDomNode();\n\t\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\t\tif(!(domNode instanceof Element)) {\n\t\t\treturn;\n\t\t}\n\t\tif((targetTiddler && targetTiddler !== itemWidget.parseTreeNode.itemTitle) || (!targetTiddler && index)) {\n\t\t\tdomNode.style.display = \"none\";\n\t\t} else {\n\t\t\tself.currentTiddlerDomNode = domNode;\n\t\t}\n\t\t$tw.utils.addClass(domNode,\"tc-storyview-zoomin-tiddler\");\n\t});\n};\n\nZoominListView.prototype.navigateTo = function(historyInfo) {\n\tvar duration = $tw.utils.getAnimationDuration(),\n\t\tlistElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Make the new tiddler be position absolute and visible so that we can measure it\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"block\"},\n\t\t{transformOrigin: \"0 0\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{transition: \"none\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n\t// Get the position of the source node, or use the centre of the window as the source position\n\tvar sourceBounds = historyInfo.fromPageRect || {\n\t\t\tleft: window.innerWidth/2 - 2,\n\t\t\ttop: window.innerHeight/2 - 2,\n\t\t\twidth: window.innerWidth/8,\n\t\t\theight: window.innerHeight/8\n\t\t};\n\t// Try to find the title node in the target tiddler\n\tvar titleDomNode = findTitleDomNode(listItemWidget) || listItemWidget.findFirstDomNode(),\n\t\tzoomBounds = titleDomNode.getBoundingClientRect();\n\t// Compute the transform for the target tiddler to make the title lie over the source rectange\n\tvar targetBounds = targetElement.getBoundingClientRect(),\n\t\tscale = sourceBounds.width / zoomBounds.width,\n\t\tx = sourceBounds.left - targetBounds.left - (zoomBounds.left - targetBounds.left) * scale,\n\t\ty = sourceBounds.top - targetBounds.top - (zoomBounds.top - targetBounds.top) * scale;\n\t// Transform the target tiddler to its starting position\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transform: \"translateX(\" + x + \"px) translateY(\" + y + \"px) scale(\" + scale + \")\"}\n\t]);\n\t// Force layout\n\t$tw.utils.forceLayout(targetElement);\n\t// Apply the ending transitions with a timeout to ensure that the previously applied transformations are applied first\n\tvar self = this,\n\t\tprevCurrentTiddler = this.currentTiddlerDomNode;\n\tthis.currentTiddlerDomNode = targetElement;\n\t// Transform the target tiddler to its natural size\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t{opacity: \"1.0\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{zIndex: \"500\"},\n\t]);\n\t// Transform the previous tiddler out of the way and then hide it\n\tif(prevCurrentTiddler && prevCurrentTiddler !== targetElement) {\n\t\tscale = zoomBounds.width / sourceBounds.width;\n\t\tx = zoomBounds.left - targetBounds.left - (sourceBounds.left - targetBounds.left) * scale;\n\t\ty = zoomBounds.top - targetBounds.top - (sourceBounds.top - targetBounds.top) * scale;\n\t\t$tw.utils.setStyle(prevCurrentTiddler,[\n\t\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t\t{opacity: \"0.0\"},\n\t\t\t{transformOrigin: \"0 0\"},\n\t\t\t{transform: \"translateX(\" + x + \"px) translateY(\" + y + \"px) scale(\" + scale + \")\"},\n\t\t\t{zIndex: \"0\"}\n\t\t]);\n\t\t// Hide the tiddler when the transition has finished\n\t\tsetTimeout(function() {\n\t\t\tif(self.currentTiddlerDomNode !== prevCurrentTiddler) {\n\t\t\t\tprevCurrentTiddler.style.display = \"none\";\n\t\t\t}\n\t\t},duration);\n\t}\n\t// Scroll the target into view\n//\t$tw.pageScroller.scrollIntoView(targetElement);\n};\n\n/*\nFind the first child DOM node of a widget that has the class \"tc-title\"\n*/\nfunction findTitleDomNode(widget,targetClass) {\n\ttargetClass = targetClass || \"tc-title\";\n\tvar domNode = widget.findFirstDomNode();\n\tif(domNode && domNode.querySelector) {\n\t\treturn domNode.querySelector(\".\" + targetClass);\n\t}\n\treturn null;\n}\n\nZoominListView.prototype.insert = function(widget) {\n\tvar targetElement = widget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Make the newly inserted node position absolute and hidden\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"none\"}\n\t]);\n};\n\nZoominListView.prototype.remove = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\tremoveElement = function() {\n\t\t\twidget.removeChildDomNodes();\n\t\t};\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Abandon if hidden\n\tif(targetElement.style.display != \"block\" ) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Set up the tiddler that is being closed\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"block\"},\n\t\t{transformOrigin: \"50% 50%\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{transition: \"none\"},\n\t\t{zIndex: \"0\"}\n\t]);\n\t// We'll move back to the previous or next element in the story\n\tvar toWidget = widget.previousSibling();\n\tif(!toWidget) {\n\t\ttoWidget = widget.nextSibling();\n\t}\n\tvar toWidgetDomNode = toWidget && toWidget.findFirstDomNode();\n\t// Set up the tiddler we're moving back in\n\tif(toWidgetDomNode) {\n\t\t$tw.utils.addClass(toWidgetDomNode,\"tc-storyview-zoomin-tiddler\");\n\t\t$tw.utils.setStyle(toWidgetDomNode,[\n\t\t\t{display: \"block\"},\n\t\t\t{transformOrigin: \"50% 50%\"},\n\t\t\t{transform: \"translateX(0px) translateY(0px) scale(10)\"},\n\t\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t\t{opacity: \"0\"},\n\t\t\t{zIndex: \"500\"}\n\t\t]);\n\t\tthis.currentTiddlerDomNode = toWidgetDomNode;\n\t}\n\t// Animate them both\n\t// Force layout\n\t$tw.utils.forceLayout(this.listWidget.parentDomNode);\n\t// First, the tiddler we're closing\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transformOrigin: \"50% 50%\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(0.1)\"},\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t{opacity: \"0\"},\n\t\t{zIndex: \"0\"}\n\t]);\n\tsetTimeout(removeElement,duration);\n\t// Now the tiddler we're going back to\n\tif(toWidgetDomNode) {\n\t\t$tw.utils.setStyle(toWidgetDomNode,[\n\t\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t\t{opacity: \"1\"}\n\t\t]);\n\t}\n\treturn true; // Indicate that we'll delete the DOM node\n};\n\nexports.zoomin = ZoominListView;\n\n})();\n",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/syncer.js": {
"title": "$:/core/modules/syncer.js",
"text": "/*\\\ntitle: $:/core/modules/syncer.js\ntype: application/javascript\nmodule-type: global\n\nThe syncer tracks changes to the store. If a syncadaptor is used then individual tiddlers are synchronised through it. If there is no syncadaptor then the entire wiki is saved via saver modules.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nDefaults\n*/\nSyncer.prototype.titleIsLoggedIn = \"$:/status/IsLoggedIn\";\nSyncer.prototype.titleUserName = \"$:/status/UserName\";\nSyncer.prototype.titleSyncFilter = \"$:/config/SyncFilter\";\nSyncer.prototype.titleSavedNotification = \"$:/language/Notifications/Save/Done\";\nSyncer.prototype.taskTimerInterval = 1 * 1000; // Interval for sync timer\nSyncer.prototype.throttleInterval = 1 * 1000; // Defer saving tiddlers if they've changed in the last 1s...\nSyncer.prototype.fallbackInterval = 10 * 1000; // Unless the task is older than 10s\nSyncer.prototype.pollTimerInterval = 60 * 1000; // Interval for polling for changes from the adaptor\n\n/*\nInstantiate the syncer with the following options:\nsyncadaptor: reference to syncadaptor to be used\nwiki: wiki to be synced\n*/\nfunction Syncer(options) {\n\tvar self = this;\n\tthis.wiki = options.wiki;\n\tthis.syncadaptor = options.syncadaptor;\n\tthis.disableUI = !!options.disableUI;\n\tthis.titleIsLoggedIn = options.titleIsLoggedIn || this.titleIsLoggedIn;\n\tthis.titleUserName = options.titleUserName || this.titleUserName;\n\tthis.titleSyncFilter = options.titleSyncFilter || this.titleSyncFilter;\n\tthis.titleSavedNotification = options.titleSavedNotification || this.titleSavedNotification;\n\tthis.taskTimerInterval = options.taskTimerInterval || this.taskTimerInterval;\n\tthis.throttleInterval = options.throttleInterval || this.throttleInterval;\n\tthis.fallbackInterval = options.fallbackInterval || this.fallbackInterval;\n\tthis.pollTimerInterval = options.pollTimerInterval || this.pollTimerInterval;\n\tthis.logging = \"logging\" in options ? options.logging : true;\n\t// Make a logger\n\tthis.logger = new $tw.utils.Logger(\"syncer\" + ($tw.browser ? \"-browser\" : \"\") + ($tw.node ? \"-server\" : \"\") + (this.syncadaptor.name ? (\"-\" + this.syncadaptor.name) : \"\"),{\n\t\t\tcolour: \"cyan\",\n\t\t\tenable: this.logging\n\t\t});\n\t// Compile the dirty tiddler filter\n\tthis.filterFn = this.wiki.compileFilter(this.wiki.getTiddlerText(this.titleSyncFilter));\n\t// Record information for known tiddlers\n\tthis.readTiddlerInfo();\n\t// Tasks are {type: \"load\"/\"save\"/\"delete\", title:, queueTime:, lastModificationTime:}\n\tthis.taskQueue = {}; // Hashmap of tasks yet to be performed\n\tthis.taskInProgress = {}; // Hash of tasks in progress\n\tthis.taskTimerId = null; // Timer for task dispatch\n\tthis.pollTimerId = null; // Timer for polling server\n\t// Listen out for changes to tiddlers\n\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\tself.syncToServer(changes);\n\t});\n\t// Browser event handlers\n\tif($tw.browser && !this.disableUI) {\n\t\t// Set up our beforeunload handler\n\t\t$tw.addUnloadTask(function(event) {\n\t\t\tvar confirmationMessage;\n\t\t\tif(self.isDirty()) {\n\t\t\t\tconfirmationMessage = $tw.language.getString(\"UnsavedChangesWarning\");\n\t\t\t\tevent.returnValue = confirmationMessage; // Gecko\n\t\t\t}\n\t\t\treturn confirmationMessage;\n\t\t});\n\t\t// Listen out for login/logout/refresh events in the browser\n\t\t$tw.rootWidget.addEventListener(\"tm-login\",function() {\n\t\t\tself.handleLoginEvent();\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-logout\",function() {\n\t\t\tself.handleLogoutEvent();\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-server-refresh\",function() {\n\t\t\tself.handleRefreshEvent();\n\t\t});\n\t}\n\t// Listen out for lazyLoad events\n\tif(!this.disableUI) {\n\t\tthis.wiki.addEventListener(\"lazyLoad\",function(title) {\n\t\t\tself.handleLazyLoadEvent(title);\n\t\t});\t\t\n\t}\n\t// Get the login status\n\tthis.getStatus(function(err,isLoggedIn) {\n\t\t// Do a sync from the server\n\t\tself.syncFromServer();\n\t});\n}\n\n/*\nRead (or re-read) the latest tiddler info from the store\n*/\nSyncer.prototype.readTiddlerInfo = function() {\n\t// Hashmap by title of {revision:,changeCount:,adaptorInfo:}\n\tthis.tiddlerInfo = {};\n\t// Record information for known tiddlers\n\tvar self = this,\n\t\ttiddlers = this.filterFn.call(this.wiki);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\tself.tiddlerInfo[title] = {\n\t\t\trevision: tiddler.fields.revision,\n\t\t\tadaptorInfo: self.syncadaptor && self.syncadaptor.getTiddlerInfo(tiddler),\n\t\t\tchangeCount: self.wiki.getChangeCount(title),\n\t\t\thasBeenLazyLoaded: false\n\t\t};\n\t});\n};\n\n/*\nCreate an tiddlerInfo structure if it doesn't already exist\n*/\nSyncer.prototype.createTiddlerInfo = function(title) {\n\tif(!$tw.utils.hop(this.tiddlerInfo,title)) {\n\t\tthis.tiddlerInfo[title] = {\n\t\t\trevision: null,\n\t\t\tadaptorInfo: {},\n\t\t\tchangeCount: -1,\n\t\t\thasBeenLazyLoaded: false\n\t\t};\n\t}\n};\n\n/*\nChecks whether the wiki is dirty (ie the window shouldn't be closed)\n*/\nSyncer.prototype.isDirty = function() {\n\treturn (this.numTasksInQueue() > 0) || (this.numTasksInProgress() > 0);\n};\n\n/*\nUpdate the document body with the class \"tc-dirty\" if the wiki has unsaved/unsynced changes\n*/\nSyncer.prototype.updateDirtyStatus = function() {\n\tif($tw.browser && !this.disableUI) {\n\t\t$tw.utils.toggleClass(document.body,\"tc-dirty\",this.isDirty());\n\t}\n};\n\n/*\nSave an incoming tiddler in the store, and updates the associated tiddlerInfo\n*/\nSyncer.prototype.storeTiddler = function(tiddlerFields,hasBeenLazyLoaded) {\n\t// Save the tiddler\n\tvar tiddler = new $tw.Tiddler(this.wiki.getTiddler(tiddlerFields.title),tiddlerFields);\n\tthis.wiki.addTiddler(tiddler);\n\t// Save the tiddler revision and changeCount details\n\tthis.tiddlerInfo[tiddlerFields.title] = {\n\t\trevision: tiddlerFields.revision,\n\t\tadaptorInfo: this.syncadaptor.getTiddlerInfo(tiddler),\n\t\tchangeCount: this.wiki.getChangeCount(tiddlerFields.title),\n\t\thasBeenLazyLoaded: hasBeenLazyLoaded !== undefined ? hasBeenLazyLoaded : true\n\t};\n};\n\nSyncer.prototype.getStatus = function(callback) {\n\tvar self = this;\n\t// Check if the adaptor supports getStatus()\n\tif(this.syncadaptor && this.syncadaptor.getStatus) {\n\t\t// Mark us as not logged in\n\t\tthis.wiki.addTiddler({title: this.titleIsLoggedIn,text: \"no\"});\n\t\t// Get login status\n\t\tthis.syncadaptor.getStatus(function(err,isLoggedIn,username) {\n\t\t\tif(err) {\n\t\t\t\tself.logger.alert(err);\n\t\t\t\treturn;\n\t\t\t}\n\t\t\t// Set the various status tiddlers\n\t\t\tself.wiki.addTiddler({title: self.titleIsLoggedIn,text: isLoggedIn ? \"yes\" : \"no\"});\n\t\t\tif(isLoggedIn) {\n\t\t\t\tself.wiki.addTiddler({title: self.titleUserName,text: username || \"\"});\n\t\t\t} else {\n\t\t\t\tself.wiki.deleteTiddler(self.titleUserName);\n\t\t\t}\n\t\t\t// Invoke the callback\n\t\t\tif(callback) {\n\t\t\t\tcallback(err,isLoggedIn,username);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tcallback(null,true,\"UNAUTHENTICATED\");\n\t}\n};\n\n/*\nSynchronise from the server by reading the skinny tiddler list and queuing up loads for any tiddlers that we don't already have up to date\n*/\nSyncer.prototype.syncFromServer = function() {\n\tif(this.syncadaptor && this.syncadaptor.getSkinnyTiddlers) {\n\t\tthis.logger.log(\"Retrieving skinny tiddler list\");\n\t\tvar self = this;\n\t\tif(this.pollTimerId) {\n\t\t\tclearTimeout(this.pollTimerId);\n\t\t\tthis.pollTimerId = null;\n\t\t}\n\t\tthis.syncadaptor.getSkinnyTiddlers(function(err,tiddlers) {\n\t\t\t// Trigger the next sync\n\t\t\tself.pollTimerId = setTimeout(function() {\n\t\t\t\tself.pollTimerId = null;\n\t\t\t\tself.syncFromServer.call(self);\n\t\t\t},self.pollTimerInterval);\n\t\t\t// Check for errors\n\t\t\tif(err) {\n\t\t\t\tself.logger.alert($tw.language.getString(\"Error/RetrievingSkinny\") + \":\",err);\n\t\t\t\treturn;\n\t\t\t}\n\t\t\t// Process each incoming tiddler\n\t\t\tfor(var t=0; t<tiddlers.length; t++) {\n\t\t\t\t// Get the incoming tiddler fields, and the existing tiddler\n\t\t\t\tvar tiddlerFields = tiddlers[t],\n\t\t\t\t\tincomingRevision = tiddlerFields.revision + \"\",\n\t\t\t\t\ttiddler = self.wiki.getTiddler(tiddlerFields.title),\n\t\t\t\t\ttiddlerInfo = self.tiddlerInfo[tiddlerFields.title],\n\t\t\t\t\tcurrRevision = tiddlerInfo ? tiddlerInfo.revision : null;\n\t\t\t\t// Ignore the incoming tiddler if it's the same as the revision we've already got\n\t\t\t\tif(currRevision !== incomingRevision) {\n\t\t\t\t\t// Do a full load if we've already got a fat version of the tiddler\n\t\t\t\t\tif(tiddler && tiddler.fields.text !== undefined) {\n\t\t\t\t\t\t// Do a full load of this tiddler\n\t\t\t\t\t\tself.enqueueSyncTask({\n\t\t\t\t\t\t\ttype: \"load\",\n\t\t\t\t\t\t\ttitle: tiddlerFields.title\n\t\t\t\t\t\t});\n\t\t\t\t\t} else {\n\t\t\t\t\t\t// Load the skinny version of the tiddler\n\t\t\t\t\t\tself.storeTiddler(tiddlerFields,false);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nSynchronise a set of changes to the server\n*/\nSyncer.prototype.syncToServer = function(changes) {\n\tvar self = this,\n\t\tnow = Date.now(),\n\t\tfilteredChanges = this.filterFn.call(this.wiki,function(callback) {\n\t\t\t$tw.utils.each(changes,function(change,title) {\n\t\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\t\tcallback(tiddler,title);\n\t\t\t});\n\t\t});\n\t$tw.utils.each(changes,function(change,title,object) {\n\t\t// Process the change if it is a deletion of a tiddler we're already syncing, or is on the filtered change list\n\t\tif((change.deleted && $tw.utils.hop(self.tiddlerInfo,title)) || filteredChanges.indexOf(title) !== -1) {\n\t\t\t// Queue a task to sync this tiddler\n\t\t\tself.enqueueSyncTask({\n\t\t\t\ttype: change.deleted ? \"delete\" : \"save\",\n\t\t\t\ttitle: title\n\t\t\t});\n\t\t}\n\t});\n};\n\n/*\nLazily load a skinny tiddler if we can\n*/\nSyncer.prototype.handleLazyLoadEvent = function(title) {\n\t// Don't lazy load the same tiddler twice\n\tvar info = this.tiddlerInfo[title];\n\tif(!info || !info.hasBeenLazyLoaded) {\n\t\tthis.createTiddlerInfo(title);\n\t\tthis.tiddlerInfo[title].hasBeenLazyLoaded = true;\n\t\t// Queue up a sync task to load this tiddler\n\t\tthis.enqueueSyncTask({\n\t\t\ttype: \"load\",\n\t\t\ttitle: title\n\t\t});\t\t\n\t}\n};\n\n/*\nDispay a password prompt and allow the user to login\n*/\nSyncer.prototype.handleLoginEvent = function() {\n\tvar self = this;\n\tthis.getStatus(function(err,isLoggedIn,username) {\n\t\tif(!isLoggedIn) {\n\t\t\t$tw.passwordPrompt.createPrompt({\n\t\t\t\tserviceName: $tw.language.getString(\"LoginToTiddlySpace\"),\n\t\t\t\tcallback: function(data) {\n\t\t\t\t\tself.login(data.username,data.password,function(err,isLoggedIn) {\n\t\t\t\t\t\tself.syncFromServer();\n\t\t\t\t\t});\n\t\t\t\t\treturn true; // Get rid of the password prompt\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n};\n\n/*\nAttempt to login to TiddlyWeb.\n\tusername: username\n\tpassword: password\n\tcallback: invoked with arguments (err,isLoggedIn)\n*/\nSyncer.prototype.login = function(username,password,callback) {\n\tthis.logger.log(\"Attempting to login as\",username);\n\tvar self = this;\n\tif(this.syncadaptor.login) {\n\t\tthis.syncadaptor.login(username,password,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tself.getStatus(function(err,isLoggedIn,username) {\n\t\t\t\tif(callback) {\n\t\t\t\t\tcallback(null,isLoggedIn);\n\t\t\t\t}\n\t\t\t});\n\t\t});\n\t} else {\n\t\tcallback(null,true);\n\t}\n};\n\n/*\nAttempt to log out of TiddlyWeb\n*/\nSyncer.prototype.handleLogoutEvent = function() {\n\tthis.logger.log(\"Attempting to logout\");\n\tvar self = this;\n\tif(this.syncadaptor.logout) {\n\t\tthis.syncadaptor.logout(function(err) {\n\t\t\tif(err) {\n\t\t\t\tself.logger.alert(err);\n\t\t\t} else {\n\t\t\t\tself.getStatus();\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nImmediately refresh from the server\n*/\nSyncer.prototype.handleRefreshEvent = function() {\n\tthis.syncFromServer();\n};\n\n/*\nQueue up a sync task. If there is already a pending task for the tiddler, just update the last modification time\n*/\nSyncer.prototype.enqueueSyncTask = function(task) {\n\tvar self = this,\n\t\tnow = Date.now();\n\t// Set the timestamps on this task\n\ttask.queueTime = now;\n\ttask.lastModificationTime = now;\n\t// Fill in some tiddlerInfo if the tiddler is one we haven't seen before\n\tthis.createTiddlerInfo(task.title);\n\t// Bail if this is a save and the tiddler is already at the changeCount that the server has\n\tif(task.type === \"save\" && this.wiki.getChangeCount(task.title) <= this.tiddlerInfo[task.title].changeCount) {\n\t\treturn;\n\t}\n\t// Check if this tiddler is already in the queue\n\tif($tw.utils.hop(this.taskQueue,task.title)) {\n\t\t// this.logger.log(\"Re-queueing up sync task with type:\",task.type,\"title:\",task.title);\n\t\tvar existingTask = this.taskQueue[task.title];\n\t\t// If so, just update the last modification time\n\t\texistingTask.lastModificationTime = task.lastModificationTime;\n\t\t// If the new task is a save then we upgrade the existing task to a save. Thus a pending load is turned into a save if the tiddler changes locally in the meantime. But a pending save is not modified to become a load\n\t\tif(task.type === \"save\" || task.type === \"delete\") {\n\t\t\texistingTask.type = task.type;\n\t\t}\n\t} else {\n\t\t// this.logger.log(\"Queuing up sync task with type:\",task.type,\"title:\",task.title);\n\t\t// If it is not in the queue, insert it\n\t\tthis.taskQueue[task.title] = task;\n\t\tthis.updateDirtyStatus();\n\t}\n\t// Process the queue\n\t$tw.utils.nextTick(function() {self.processTaskQueue.call(self);});\n};\n\n/*\nReturn the number of tasks in progress\n*/\nSyncer.prototype.numTasksInProgress = function() {\n\treturn $tw.utils.count(this.taskInProgress);\n};\n\n/*\nReturn the number of tasks in the queue\n*/\nSyncer.prototype.numTasksInQueue = function() {\n\treturn $tw.utils.count(this.taskQueue);\n};\n\n/*\nTrigger a timeout if one isn't already outstanding\n*/\nSyncer.prototype.triggerTimeout = function() {\n\tvar self = this;\n\tif(!this.taskTimerId) {\n\t\tthis.taskTimerId = setTimeout(function() {\n\t\t\tself.taskTimerId = null;\n\t\t\tself.processTaskQueue.call(self);\n\t\t},self.taskTimerInterval);\n\t}\n};\n\n/*\nProcess the task queue, performing the next task if appropriate\n*/\nSyncer.prototype.processTaskQueue = function() {\n\tvar self = this;\n\t// Only process a task if the sync adaptor is fully initialised and we're not already performing a task. If we are already performing a task then we'll dispatch the next one when it completes\n\tif((!this.syncadaptor.isReady || this.syncadaptor.isReady()) && this.numTasksInProgress() === 0) {\n\t\t// Choose the next task to perform\n\t\tvar task = this.chooseNextTask();\n\t\t// Perform the task if we had one\n\t\tif(task) {\n\t\t\t// Remove the task from the queue and add it to the in progress list\n\t\t\tdelete this.taskQueue[task.title];\n\t\t\tthis.taskInProgress[task.title] = task;\n\t\t\tthis.updateDirtyStatus();\n\t\t\t// Dispatch the task\n\t\t\tthis.dispatchTask(task,function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\tself.logger.alert(\"Sync error while processing '\" + task.title + \"':\\n\" + err);\n\t\t\t\t}\n\t\t\t\t// Mark that this task is no longer in progress\n\t\t\t\tdelete self.taskInProgress[task.title];\n\t\t\t\tself.updateDirtyStatus();\n\t\t\t\t// Process the next task\n\t\t\t\tself.processTaskQueue.call(self);\n\t\t\t});\n\t\t} else {\n\t\t\t// Make sure we've set a time if there wasn't a task to perform, but we've still got tasks in the queue\n\t\t\tif(this.numTasksInQueue() > 0) {\n\t\t\t\tthis.triggerTimeout();\n\t\t\t}\n\t\t}\n\t}\n};\n\n/*\nChoose the next applicable task\n*/\nSyncer.prototype.chooseNextTask = function() {\n\tvar self = this,\n\t\tcandidateTask = null,\n\t\tnow = Date.now();\n\t// Select the best candidate task\n\t$tw.utils.each(this.taskQueue,function(task,title) {\n\t\t// Exclude the task if there's one of the same name in progress\n\t\tif($tw.utils.hop(self.taskInProgress,title)) {\n\t\t\treturn;\n\t\t}\n\t\t// Exclude the task if it is a save and the tiddler has been modified recently, but not hit the fallback time\n\t\tif(task.type === \"save\" && (now - task.lastModificationTime) < self.throttleInterval &&\n\t\t\t(now - task.queueTime) < self.fallbackInterval) {\n\t\t\treturn;\n\t\t}\n\t\t// Exclude the task if it is newer than the current best candidate\n\t\tif(candidateTask && candidateTask.queueTime < task.queueTime) {\n\t\t\treturn;\n\t\t}\n\t\t// Now this is our best candidate\n\t\tcandidateTask = task;\n\t});\n\treturn candidateTask;\n};\n\n/*\nDispatch a task and invoke the callback\n*/\nSyncer.prototype.dispatchTask = function(task,callback) {\n\tvar self = this;\n\tif(task.type === \"save\") {\n\t\tvar changeCount = this.wiki.getChangeCount(task.title),\n\t\t\ttiddler = this.wiki.getTiddler(task.title);\n\t\tthis.logger.log(\"Dispatching 'save' task:\",task.title);\n\t\tif(tiddler) {\n\t\t\tthis.syncadaptor.saveTiddler(tiddler,function(err,adaptorInfo,revision) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\t// Adjust the info stored about this tiddler\n\t\t\t\tself.tiddlerInfo[task.title] = {\n\t\t\t\t\tchangeCount: changeCount,\n\t\t\t\t\tadaptorInfo: adaptorInfo,\n\t\t\t\t\trevision: revision\n\t\t\t\t};\n\t\t\t\t// Invoke the callback\n\t\t\t\tcallback(null);\n\t\t\t},{\n\t\t\t\ttiddlerInfo: self.tiddlerInfo[task.title]\n\t\t\t});\n\t\t} else {\n\t\t\tthis.logger.log(\" Not Dispatching 'save' task:\",task.title,\"tiddler does not exist\");\n\t\t\treturn callback(null);\n\t\t}\n\t} else if(task.type === \"load\") {\n\t\t// Load the tiddler\n\t\tthis.logger.log(\"Dispatching 'load' task:\",task.title);\n\t\tthis.syncadaptor.loadTiddler(task.title,function(err,tiddlerFields) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\t// Store the tiddler\n\t\t\tif(tiddlerFields) {\n\t\t\t\tself.storeTiddler(tiddlerFields,true);\n\t\t\t}\n\t\t\t// Invoke the callback\n\t\t\tcallback(null);\n\t\t});\n\t} else if(task.type === \"delete\") {\n\t\t// Delete the tiddler\n\t\tthis.logger.log(\"Dispatching 'delete' task:\",task.title);\n\t\tthis.syncadaptor.deleteTiddler(task.title,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tdelete self.tiddlerInfo[task.title];\n\t\t\t// Invoke the callback\n\t\t\tcallback(null);\n\t\t},{\n\t\t\ttiddlerInfo: self.tiddlerInfo[task.title]\n\t\t});\n\t}\n};\n\nexports.Syncer = Syncer;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/tiddler.js": {
"title": "$:/core/modules/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/tiddler.js\ntype: application/javascript\nmodule-type: tiddlermethod\n\nExtension methods for the $tw.Tiddler object (constructor and methods required at boot time are in boot/boot.js)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.hasTag = function(tag) {\n\treturn this.fields.tags && this.fields.tags.indexOf(tag) !== -1;\n};\n\nexports.isPlugin = function() {\n\treturn this.fields.type === \"application/json\" && this.hasField(\"plugin-type\");\n};\n\nexports.isDraft = function() {\n\treturn this.hasField(\"draft.of\");\n};\n\nexports.getFieldString = function(field) {\n\tvar value = this.fields[field];\n\t// Check for a missing field\n\tif(value === undefined || value === null) {\n\t\treturn \"\";\n\t}\n\t// Parse the field with the associated module (if any)\n\tvar fieldModule = $tw.Tiddler.fieldModules[field];\n\tif(fieldModule && fieldModule.stringify) {\n\t\treturn fieldModule.stringify.call(this,value);\n\t} else {\n\t\treturn value.toString();\n\t}\n};\n\n/*\nGet all the fields as a hashmap of strings. Options:\n\texclude: an array of field names to exclude\n*/\nexports.getFieldStrings = function(options) {\n\toptions = options || {};\n\tvar exclude = options.exclude || [];\n\tvar fields = {};\n\tfor(var field in this.fields) {\n\t\tif($tw.utils.hop(this.fields,field)) {\n\t\t\tif(exclude.indexOf(field) === -1) {\n\t\t\t\tfields[field] = this.getFieldString(field);\n\t\t\t}\n\t\t}\n\t}\n\treturn fields;\n};\n\n/*\nGet all the fields as a name:value block. Options:\n\texclude: an array of field names to exclude\n*/\nexports.getFieldStringBlock = function(options) {\n\toptions = options || {};\n\tvar exclude = options.exclude || [];\n\tvar fields = [];\n\tfor(var field in this.fields) {\n\t\tif($tw.utils.hop(this.fields,field)) {\n\t\t\tif(exclude.indexOf(field) === -1) {\n\t\t\t\tfields.push(field + \": \" + this.getFieldString(field));\n\t\t\t}\n\t\t}\n\t}\n\treturn fields.join(\"\\n\");\n};\n\n/*\nCompare two tiddlers for equality\ntiddler: the tiddler to compare\nexcludeFields: array of field names to exclude from the comparison\n*/\nexports.isEqual = function(tiddler,excludeFields) {\n\tif(!(tiddler instanceof $tw.Tiddler)) {\n\t\treturn false;\n\t}\n\texcludeFields = excludeFields || [];\n\tvar self = this,\n\t\tdifferences = []; // Fields that have differences\n\t// Add to the differences array\n\tfunction addDifference(fieldName) {\n\t\t// Check for this field being excluded\n\t\tif(excludeFields.indexOf(fieldName) === -1) {\n\t\t\t// Save the field as a difference\n\t\t\t$tw.utils.pushTop(differences,fieldName);\n\t\t}\n\t}\n\t// Returns true if the two values of this field are equal\n\tfunction isFieldValueEqual(fieldName) {\n\t\tvar valueA = self.fields[fieldName],\n\t\t\tvalueB = tiddler.fields[fieldName];\n\t\t// Check for identical string values\n\t\tif(typeof(valueA) === \"string\" && typeof(valueB) === \"string\" && valueA === valueB) {\n\t\t\treturn true;\n\t\t}\n\t\t// Check for identical array values\n\t\tif($tw.utils.isArray(valueA) && $tw.utils.isArray(valueB) && $tw.utils.isArrayEqual(valueA,valueB)) {\n\t\t\treturn true;\n\t\t}\n\t\t// Otherwise the fields must be different\n\t\treturn false;\n\t}\n\t// Compare our fields\n\tfor(var fieldName in this.fields) {\n\t\tif(!isFieldValueEqual(fieldName)) {\n\t\t\taddDifference(fieldName);\n\t\t}\n\t}\n\t// There's a difference for every field in the other tiddler that we don't have\n\tfor(fieldName in tiddler.fields) {\n\t\tif(!(fieldName in this.fields)) {\n\t\t\taddDifference(fieldName);\n\t\t}\n\t}\n\t// Return whether there were any differences\n\treturn differences.length === 0;\n};\n\nexports.getFieldDay = function(field) {\n\tif(this.cache && this.cache.day && $tw.utils.hop(this.cache.day,field) ) {\n\t\treturn this.cache.day[field];\n\t}\n\tvar day = \"\";\n\tif(this.fields[field]) {\n\t\tday = (new Date($tw.utils.parseDate(this.fields[field]))).setHours(0,0,0,0);\n\t}\n\tthis.cache.day = this.cache.day || {};\n\tthis.cache.day[field] = day;\n\treturn day;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "tiddlermethod"
},
"$:/core/modules/upgraders/plugins.js": {
"title": "$:/core/modules/upgraders/plugins.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/plugins.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that checks that plugins are newer than any already installed version\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar UPGRADE_LIBRARY_TITLE = \"$:/UpgradeLibrary\";\n\nvar BLOCKED_PLUGINS = {\n\t\"$:/themes/tiddlywiki/stickytitles\": {\n\t\tversions: [\"*\"]\n\t},\n\t\"$:/plugins/tiddlywiki/fullscreen\": {\n\t\tversions: [\"*\"]\n\t}\n};\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {},\n\t\tupgradeLibrary,\n\t\tgetLibraryTiddler = function(title) {\n\t\t\tif(!upgradeLibrary) {\n\t\t\t\tupgradeLibrary = wiki.getTiddlerData(UPGRADE_LIBRARY_TITLE,{});\n\t\t\t\tupgradeLibrary.tiddlers = upgradeLibrary.tiddlers || {};\n\t\t\t}\n\t\t\treturn upgradeLibrary.tiddlers[title];\n\t\t};\n\n\t// Go through all the incoming tiddlers\n\t$tw.utils.each(titles,function(title) {\n\t\tvar incomingTiddler = tiddlers[title];\n\t\t// Check if we're dealing with a plugin\n\t\tif(incomingTiddler && incomingTiddler[\"plugin-type\"] && incomingTiddler.version) {\n\t\t\t// Upgrade the incoming plugin if it is in the upgrade library\n\t\t\tvar libraryTiddler = getLibraryTiddler(title);\n\t\t\tif(libraryTiddler && libraryTiddler[\"plugin-type\"] && libraryTiddler.version) {\n\t\t\t\ttiddlers[title] = libraryTiddler;\n\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/Plugins/Upgraded\",{variables: {incoming: incomingTiddler.version, upgraded: libraryTiddler.version}});\n\t\t\t\treturn;\n\t\t\t}\n\t\t\t// Suppress the incoming plugin if it is older than the currently installed one\n\t\t\tvar existingTiddler = wiki.getTiddler(title);\n\t\t\tif(existingTiddler && existingTiddler.hasField(\"plugin-type\") && existingTiddler.hasField(\"version\")) {\n\t\t\t\t// Reject the incoming plugin by blanking all its fields\n\t\t\t\tif($tw.utils.checkVersions(existingTiddler.fields.version,incomingTiddler.version)) {\n\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/Plugins/Suppressed/Version\",{variables: {incoming: incomingTiddler.version, existing: existingTiddler.fields.version}});\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\tif(incomingTiddler && incomingTiddler[\"plugin-type\"]) {\n\t\t\t// Check whether the plugin is on the blocked list\n\t\t\tvar blockInfo = BLOCKED_PLUGINS[title];\n\t\t\tif(blockInfo) {\n\t\t\t\tif(blockInfo.versions.indexOf(\"*\") !== -1 || (incomingTiddler.version && blockInfo.versions.indexOf(incomingTiddler.version) !== -1)) {\n\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/Plugins/Suppressed/Incompatible\");\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/upgraders/system.js": {
"title": "$:/core/modules/upgraders/system.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/system.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that suppresses certain system tiddlers that shouldn't be imported\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar DONT_IMPORT_LIST = [\"$:/StoryList\",\"$:/HistoryList\"],\n\tDONT_IMPORT_PREFIX_LIST = [\"$:/temp/\",\"$:/state/\"];\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {};\n\t// Check for tiddlers on our list\n\t$tw.utils.each(titles,function(title) {\n\t\tif(DONT_IMPORT_LIST.indexOf(title) !== -1) {\n\t\t\ttiddlers[title] = Object.create(null);\n\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/System/Suppressed\");\n\t\t} else {\n\t\t\tfor(var t=0; t<DONT_IMPORT_PREFIX_LIST.length; t++) {\n\t\t\t\tvar prefix = DONT_IMPORT_PREFIX_LIST[t];\n\t\t\t\tif(title.substr(0,prefix.length) === prefix) {\n\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/State/Suppressed\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/upgraders/themetweaks.js": {
"title": "$:/core/modules/upgraders/themetweaks.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/themetweaks.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that handles the change in theme tweak storage introduced in 5.0.14-beta.\n\nPreviously, theme tweaks were stored in two data tiddlers:\n\n* $:/themes/tiddlywiki/vanilla/metrics\n* $:/themes/tiddlywiki/vanilla/settings\n\nNow, each tweak is stored in its own separate tiddler.\n\nThis upgrader copies any values from the old format to the new. The old data tiddlers are not deleted in case they have been used to store additional indexes.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar MAPPINGS = {\n\t\"$:/themes/tiddlywiki/vanilla/metrics\": {\n\t\t\"fontsize\": \"$:/themes/tiddlywiki/vanilla/metrics/fontsize\",\n\t\t\"lineheight\": \"$:/themes/tiddlywiki/vanilla/metrics/lineheight\",\n\t\t\"storyleft\": \"$:/themes/tiddlywiki/vanilla/metrics/storyleft\",\n\t\t\"storytop\": \"$:/themes/tiddlywiki/vanilla/metrics/storytop\",\n\t\t\"storyright\": \"$:/themes/tiddlywiki/vanilla/metrics/storyright\",\n\t\t\"storywidth\": \"$:/themes/tiddlywiki/vanilla/metrics/storywidth\",\n\t\t\"tiddlerwidth\": \"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\"\n\t},\n\t\"$:/themes/tiddlywiki/vanilla/settings\": {\n\t\t\"fontfamily\": \"$:/themes/tiddlywiki/vanilla/settings/fontfamily\"\n\t}\n};\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {};\n\t// Check for tiddlers on our list\n\t$tw.utils.each(titles,function(title) {\n\t\tvar mapping = MAPPINGS[title];\n\t\tif(mapping) {\n\t\t\tvar tiddler = new $tw.Tiddler(tiddlers[title]),\n\t\t\t\ttiddlerData = wiki.getTiddlerDataCached(tiddler,{});\n\t\t\tfor(var index in mapping) {\n\t\t\t\tvar mappedTitle = mapping[index];\n\t\t\t\tif(!tiddlers[mappedTitle] || tiddlers[mappedTitle].title !== mappedTitle) {\n\t\t\t\t\ttiddlers[mappedTitle] = {\n\t\t\t\t\t\ttitle: mappedTitle,\n\t\t\t\t\t\ttext: tiddlerData[index]\n\t\t\t\t\t};\n\t\t\t\t\tmessages[mappedTitle] = $tw.language.getString(\"Import/Upgrader/ThemeTweaks/Created\",{variables: {\n\t\t\t\t\t\tfrom: title + \"##\" + index\n\t\t\t\t\t}});\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/utils/crypto.js": {
"title": "$:/core/modules/utils/crypto.js",
"text": "/*\\\ntitle: $:/core/modules/utils/crypto.js\ntype: application/javascript\nmodule-type: utils\n\nUtility functions related to crypto.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nLook for an encrypted store area in the text of a TiddlyWiki file\n*/\nexports.extractEncryptedStoreArea = function(text) {\n\tvar encryptedStoreAreaStartMarker = \"<pre id=\\\"encryptedStoreArea\\\" type=\\\"text/plain\\\" style=\\\"display:none;\\\">\",\n\t\tencryptedStoreAreaStart = text.indexOf(encryptedStoreAreaStartMarker);\n\tif(encryptedStoreAreaStart !== -1) {\n\t\tvar encryptedStoreAreaEnd = text.indexOf(\"</pre>\",encryptedStoreAreaStart);\n\t\tif(encryptedStoreAreaEnd !== -1) {\n\t\t\treturn $tw.utils.htmlDecode(text.substring(encryptedStoreAreaStart + encryptedStoreAreaStartMarker.length,encryptedStoreAreaEnd-1));\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nAttempt to extract the tiddlers from an encrypted store area using the current password. If the password is not provided then the password in the password store will be used\n*/\nexports.decryptStoreArea = function(encryptedStoreArea,password) {\n\tvar decryptedText = $tw.crypto.decrypt(encryptedStoreArea,password);\n\tif(decryptedText) {\n\t\tvar json = JSON.parse(decryptedText),\n\t\t\ttiddlers = [];\n\t\tfor(var title in json) {\n\t\t\tif(title !== \"$:/isEncrypted\") {\n\t\t\t\ttiddlers.push(json[title]);\n\t\t\t}\n\t\t}\n\t\treturn tiddlers;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n\n/*\nAttempt to extract the tiddlers from an encrypted store area using the current password. If that fails, the user is prompted for a password.\nencryptedStoreArea: text of the TiddlyWiki encrypted store area\ncallback: function(tiddlers) called with the array of decrypted tiddlers\n\nThe following configuration settings are supported:\n\n$tw.config.usePasswordVault: causes any password entered by the user to also be put into the system password vault\n*/\nexports.decryptStoreAreaInteractive = function(encryptedStoreArea,callback,options) {\n\t// Try to decrypt with the current password\n\tvar tiddlers = $tw.utils.decryptStoreArea(encryptedStoreArea);\n\tif(tiddlers) {\n\t\tcallback(tiddlers);\n\t} else {\n\t\t// Prompt for a new password and keep trying\n\t\t$tw.passwordPrompt.createPrompt({\n\t\t\tserviceName: \"Enter a password to decrypt the imported TiddlyWiki\",\n\t\t\tnoUserName: true,\n\t\t\tcanCancel: true,\n\t\t\tsubmitText: \"Decrypt\",\n\t\t\tcallback: function(data) {\n\t\t\t\t// Exit if the user cancelled\n\t\t\t\tif(!data) {\n\t\t\t\t\treturn false;\n\t\t\t\t}\n\t\t\t\t// Attempt to decrypt the tiddlers\n\t\t\t\tvar tiddlers = $tw.utils.decryptStoreArea(encryptedStoreArea,data.password);\n\t\t\t\tif(tiddlers) {\n\t\t\t\t\tif($tw.config.usePasswordVault) {\n\t\t\t\t\t\t$tw.crypto.setPassword(data.password);\n\t\t\t\t\t}\n\t\t\t\t\tcallback(tiddlers);\n\t\t\t\t\t// Exit and remove the password prompt\n\t\t\t\t\treturn true;\n\t\t\t\t} else {\n\t\t\t\t\t// We didn't decrypt everything, so continue to prompt for password\n\t\t\t\t\treturn false;\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/diff-match-patch/diff_match_patch.js": {
"text": "(function(){function diff_match_patch(){this.Diff_Timeout=1;this.Diff_EditCost=4;this.Match_Threshold=.5;this.Match_Distance=1E3;this.Patch_DeleteThreshold=.5;this.Patch_Margin=4;this.Match_MaxBits=32}var DIFF_DELETE=-1,DIFF_INSERT=1,DIFF_EQUAL=0;\ndiff_match_patch.prototype.diff_main=function(a,b,c,d){\"undefined\"==typeof d&&(d=0>=this.Diff_Timeout?Number.MAX_VALUE:(new Date).getTime()+1E3*this.Diff_Timeout);if(null==a||null==b)throw Error(\"Null input. (diff_main)\");if(a==b)return a?[[DIFF_EQUAL,a]]:[];\"undefined\"==typeof c&&(c=!0);var e=c,f=this.diff_commonPrefix(a,b);c=a.substring(0,f);a=a.substring(f);b=b.substring(f);f=this.diff_commonSuffix(a,b);var g=a.substring(a.length-f);a=a.substring(0,a.length-f);b=b.substring(0,b.length-f);a=this.diff_compute_(a,\nb,e,d);c&&a.unshift([DIFF_EQUAL,c]);g&&a.push([DIFF_EQUAL,g]);this.diff_cleanupMerge(a);return a};\ndiff_match_patch.prototype.diff_compute_=function(a,b,c,d){if(!a)return[[DIFF_INSERT,b]];if(!b)return[[DIFF_DELETE,a]];var e=a.length>b.length?a:b,f=a.length>b.length?b:a,g=e.indexOf(f);return-1!=g?(c=[[DIFF_INSERT,e.substring(0,g)],[DIFF_EQUAL,f],[DIFF_INSERT,e.substring(g+f.length)]],a.length>b.length&&(c[0][0]=c[2][0]=DIFF_DELETE),c):1==f.length?[[DIFF_DELETE,a],[DIFF_INSERT,b]]:(e=this.diff_halfMatch_(a,b))?(b=e[1],f=e[3],a=e[4],e=this.diff_main(e[0],e[2],c,d),c=this.diff_main(b,f,c,d),e.concat([[DIFF_EQUAL,\na]],c)):c&&100<a.length&&100<b.length?this.diff_lineMode_(a,b,d):this.diff_bisect_(a,b,d)};\ndiff_match_patch.prototype.diff_lineMode_=function(a,b,c){var d=this.diff_linesToChars_(a,b);a=d.chars1;b=d.chars2;d=d.lineArray;a=this.diff_main(a,b,!1,c);this.diff_charsToLines_(a,d);this.diff_cleanupSemantic(a);a.push([DIFF_EQUAL,\"\"]);for(var e=d=b=0,f=\"\",g=\"\";b<a.length;){switch(a[b][0]){case DIFF_INSERT:e++;g+=a[b][1];break;case DIFF_DELETE:d++;f+=a[b][1];break;case DIFF_EQUAL:if(1<=d&&1<=e){a.splice(b-d-e,d+e);b=b-d-e;d=this.diff_main(f,g,!1,c);for(e=d.length-1;0<=e;e--)a.splice(b,0,d[e]);b+=\nd.length}d=e=0;g=f=\"\"}b++}a.pop();return a};\ndiff_match_patch.prototype.diff_bisect_=function(a,b,c){for(var d=a.length,e=b.length,f=Math.ceil((d+e)/2),g=2*f,h=Array(g),l=Array(g),k=0;k<g;k++)h[k]=-1,l[k]=-1;h[f+1]=0;l[f+1]=0;k=d-e;for(var m=0!=k%2,p=0,x=0,w=0,q=0,t=0;t<f&&!((new Date).getTime()>c);t++){for(var v=-t+p;v<=t-x;v+=2){var n=f+v;var r=v==-t||v!=t&&h[n-1]<h[n+1]?h[n+1]:h[n-1]+1;for(var y=r-v;r<d&&y<e&&a.charAt(r)==b.charAt(y);)r++,y++;h[n]=r;if(r>d)x+=2;else if(y>e)p+=2;else if(m&&(n=f+k-v,0<=n&&n<g&&-1!=l[n])){var u=d-l[n];if(r>=\nu)return this.diff_bisectSplit_(a,b,r,y,c)}}for(v=-t+w;v<=t-q;v+=2){n=f+v;u=v==-t||v!=t&&l[n-1]<l[n+1]?l[n+1]:l[n-1]+1;for(r=u-v;u<d&&r<e&&a.charAt(d-u-1)==b.charAt(e-r-1);)u++,r++;l[n]=u;if(u>d)q+=2;else if(r>e)w+=2;else if(!m&&(n=f+k-v,0<=n&&n<g&&-1!=h[n]&&(r=h[n],y=f+r-n,u=d-u,r>=u)))return this.diff_bisectSplit_(a,b,r,y,c)}}return[[DIFF_DELETE,a],[DIFF_INSERT,b]]};\ndiff_match_patch.prototype.diff_bisectSplit_=function(a,b,c,d,e){var f=a.substring(0,c),g=b.substring(0,d);a=a.substring(c);b=b.substring(d);f=this.diff_main(f,g,!1,e);e=this.diff_main(a,b,!1,e);return f.concat(e)};\ndiff_match_patch.prototype.diff_linesToChars_=function(a,b){function c(a){for(var b=\"\",c=0,f=-1,g=d.length;f<a.length-1;){f=a.indexOf(\"\\n\",c);-1==f&&(f=a.length-1);var h=a.substring(c,f+1);c=f+1;(e.hasOwnProperty?e.hasOwnProperty(h):void 0!==e[h])?b+=String.fromCharCode(e[h]):(b+=String.fromCharCode(g),e[h]=g,d[g++]=h)}return b}var d=[],e={};d[0]=\"\";var f=c(a),g=c(b);return{chars1:f,chars2:g,lineArray:d}};\ndiff_match_patch.prototype.diff_charsToLines_=function(a,b){for(var c=0;c<a.length;c++){for(var d=a[c][1],e=[],f=0;f<d.length;f++)e[f]=b[d.charCodeAt(f)];a[c][1]=e.join(\"\")}};diff_match_patch.prototype.diff_commonPrefix=function(a,b){if(!a||!b||a.charAt(0)!=b.charAt(0))return 0;for(var c=0,d=Math.min(a.length,b.length),e=d,f=0;c<e;)a.substring(f,e)==b.substring(f,e)?f=c=e:d=e,e=Math.floor((d-c)/2+c);return e};\ndiff_match_patch.prototype.diff_commonSuffix=function(a,b){if(!a||!b||a.charAt(a.length-1)!=b.charAt(b.length-1))return 0;for(var c=0,d=Math.min(a.length,b.length),e=d,f=0;c<e;)a.substring(a.length-e,a.length-f)==b.substring(b.length-e,b.length-f)?f=c=e:d=e,e=Math.floor((d-c)/2+c);return e};\ndiff_match_patch.prototype.diff_commonOverlap_=function(a,b){var c=a.length,d=b.length;if(0==c||0==d)return 0;c>d?a=a.substring(c-d):c<d&&(b=b.substring(0,c));c=Math.min(c,d);if(a==b)return c;d=0;for(var e=1;;){var f=a.substring(c-e);f=b.indexOf(f);if(-1==f)return d;e+=f;if(0==f||a.substring(c-e)==b.substring(0,e))d=e,e++}};\ndiff_match_patch.prototype.diff_halfMatch_=function(a,b){function c(a,b,c){for(var d=a.substring(c,c+Math.floor(a.length/4)),e=-1,g=\"\",h,k,l,m;-1!=(e=b.indexOf(d,e+1));){var p=f.diff_commonPrefix(a.substring(c),b.substring(e)),u=f.diff_commonSuffix(a.substring(0,c),b.substring(0,e));g.length<u+p&&(g=b.substring(e-u,e)+b.substring(e,e+p),h=a.substring(0,c-u),k=a.substring(c+p),l=b.substring(0,e-u),m=b.substring(e+p))}return 2*g.length>=a.length?[h,k,l,m,g]:null}if(0>=this.Diff_Timeout)return null;\nvar d=a.length>b.length?a:b,e=a.length>b.length?b:a;if(4>d.length||2*e.length<d.length)return null;var f=this,g=c(d,e,Math.ceil(d.length/4));d=c(d,e,Math.ceil(d.length/2));if(g||d)g=d?g?g[4].length>d[4].length?g:d:d:g;else return null;if(a.length>b.length){d=g[0];e=g[1];var h=g[2];var l=g[3]}else h=g[0],l=g[1],d=g[2],e=g[3];return[d,e,h,l,g[4]]};\ndiff_match_patch.prototype.diff_cleanupSemantic=function(a){for(var b=!1,c=[],d=0,e=null,f=0,g=0,h=0,l=0,k=0;f<a.length;)a[f][0]==DIFF_EQUAL?(c[d++]=f,g=l,h=k,k=l=0,e=a[f][1]):(a[f][0]==DIFF_INSERT?l+=a[f][1].length:k+=a[f][1].length,e&&e.length<=Math.max(g,h)&&e.length<=Math.max(l,k)&&(a.splice(c[d-1],0,[DIFF_DELETE,e]),a[c[d-1]+1][0]=DIFF_INSERT,d--,d--,f=0<d?c[d-1]:-1,k=l=h=g=0,e=null,b=!0)),f++;b&&this.diff_cleanupMerge(a);this.diff_cleanupSemanticLossless(a);for(f=1;f<a.length;){if(a[f-1][0]==\nDIFF_DELETE&&a[f][0]==DIFF_INSERT){b=a[f-1][1];c=a[f][1];d=this.diff_commonOverlap_(b,c);e=this.diff_commonOverlap_(c,b);if(d>=e){if(d>=b.length/2||d>=c.length/2)a.splice(f,0,[DIFF_EQUAL,c.substring(0,d)]),a[f-1][1]=b.substring(0,b.length-d),a[f+1][1]=c.substring(d),f++}else if(e>=b.length/2||e>=c.length/2)a.splice(f,0,[DIFF_EQUAL,b.substring(0,e)]),a[f-1][0]=DIFF_INSERT,a[f-1][1]=c.substring(0,c.length-e),a[f+1][0]=DIFF_DELETE,a[f+1][1]=b.substring(e),f++;f++}f++}};\ndiff_match_patch.prototype.diff_cleanupSemanticLossless=function(a){function b(a,b){if(!a||!b)return 6;var c=a.charAt(a.length-1),d=b.charAt(0),e=c.match(diff_match_patch.nonAlphaNumericRegex_),f=d.match(diff_match_patch.nonAlphaNumericRegex_),g=e&&c.match(diff_match_patch.whitespaceRegex_),h=f&&d.match(diff_match_patch.whitespaceRegex_);c=g&&c.match(diff_match_patch.linebreakRegex_);d=h&&d.match(diff_match_patch.linebreakRegex_);var k=c&&a.match(diff_match_patch.blanklineEndRegex_),l=d&&b.match(diff_match_patch.blanklineStartRegex_);\nreturn k||l?5:c||d?4:e&&!g&&h?3:g||h?2:e||f?1:0}for(var c=1;c<a.length-1;){if(a[c-1][0]==DIFF_EQUAL&&a[c+1][0]==DIFF_EQUAL){var d=a[c-1][1],e=a[c][1],f=a[c+1][1],g=this.diff_commonSuffix(d,e);if(g){var h=e.substring(e.length-g);d=d.substring(0,d.length-g);e=h+e.substring(0,e.length-g);f=h+f}g=d;h=e;for(var l=f,k=b(d,e)+b(e,f);e.charAt(0)===f.charAt(0);){d+=e.charAt(0);e=e.substring(1)+f.charAt(0);f=f.substring(1);var m=b(d,e)+b(e,f);m>=k&&(k=m,g=d,h=e,l=f)}a[c-1][1]!=g&&(g?a[c-1][1]=g:(a.splice(c-\n1,1),c--),a[c][1]=h,l?a[c+1][1]=l:(a.splice(c+1,1),c--))}c++}};diff_match_patch.nonAlphaNumericRegex_=/[^a-zA-Z0-9]/;diff_match_patch.whitespaceRegex_=/\\s/;diff_match_patch.linebreakRegex_=/[\\r\\n]/;diff_match_patch.blanklineEndRegex_=/\\n\\r?\\n$/;diff_match_patch.blanklineStartRegex_=/^\\r?\\n\\r?\\n/;\ndiff_match_patch.prototype.diff_cleanupEfficiency=function(a){for(var b=!1,c=[],d=0,e=null,f=0,g=!1,h=!1,l=!1,k=!1;f<a.length;)a[f][0]==DIFF_EQUAL?(a[f][1].length<this.Diff_EditCost&&(l||k)?(c[d++]=f,g=l,h=k,e=a[f][1]):(d=0,e=null),l=k=!1):(a[f][0]==DIFF_DELETE?k=!0:l=!0,e&&(g&&h&&l&&k||e.length<this.Diff_EditCost/2&&3==g+h+l+k)&&(a.splice(c[d-1],0,[DIFF_DELETE,e]),a[c[d-1]+1][0]=DIFF_INSERT,d--,e=null,g&&h?(l=k=!0,d=0):(d--,f=0<d?c[d-1]:-1,l=k=!1),b=!0)),f++;b&&this.diff_cleanupMerge(a)};\ndiff_match_patch.prototype.diff_cleanupMerge=function(a){a.push([DIFF_EQUAL,\"\"]);for(var b=0,c=0,d=0,e=\"\",f=\"\",g;b<a.length;)switch(a[b][0]){case DIFF_INSERT:d++;f+=a[b][1];b++;break;case DIFF_DELETE:c++;e+=a[b][1];b++;break;case DIFF_EQUAL:1<c+d?(0!==c&&0!==d&&(g=this.diff_commonPrefix(f,e),0!==g&&(0<b-c-d&&a[b-c-d-1][0]==DIFF_EQUAL?a[b-c-d-1][1]+=f.substring(0,g):(a.splice(0,0,[DIFF_EQUAL,f.substring(0,g)]),b++),f=f.substring(g),e=e.substring(g)),g=this.diff_commonSuffix(f,e),0!==g&&(a[b][1]=f.substring(f.length-\ng)+a[b][1],f=f.substring(0,f.length-g),e=e.substring(0,e.length-g))),0===c?a.splice(b-d,c+d,[DIFF_INSERT,f]):0===d?a.splice(b-c,c+d,[DIFF_DELETE,e]):a.splice(b-c-d,c+d,[DIFF_DELETE,e],[DIFF_INSERT,f]),b=b-c-d+(c?1:0)+(d?1:0)+1):0!==b&&a[b-1][0]==DIFF_EQUAL?(a[b-1][1]+=a[b][1],a.splice(b,1)):b++,c=d=0,f=e=\"\"}\"\"===a[a.length-1][1]&&a.pop();c=!1;for(b=1;b<a.length-1;)a[b-1][0]==DIFF_EQUAL&&a[b+1][0]==DIFF_EQUAL&&(a[b][1].substring(a[b][1].length-a[b-1][1].length)==a[b-1][1]?(a[b][1]=a[b-1][1]+a[b][1].substring(0,\na[b][1].length-a[b-1][1].length),a[b+1][1]=a[b-1][1]+a[b+1][1],a.splice(b-1,1),c=!0):a[b][1].substring(0,a[b+1][1].length)==a[b+1][1]&&(a[b-1][1]+=a[b+1][1],a[b][1]=a[b][1].substring(a[b+1][1].length)+a[b+1][1],a.splice(b+1,1),c=!0)),b++;c&&this.diff_cleanupMerge(a)};\ndiff_match_patch.prototype.diff_xIndex=function(a,b){var c=0,d=0,e=0,f=0,g;for(g=0;g<a.length;g++){a[g][0]!==DIFF_INSERT&&(c+=a[g][1].length);a[g][0]!==DIFF_DELETE&&(d+=a[g][1].length);if(c>b)break;e=c;f=d}return a.length!=g&&a[g][0]===DIFF_DELETE?f:f+(b-e)};\ndiff_match_patch.prototype.diff_prettyHtml=function(a){for(var b=[],c=/&/g,d=/</g,e=/>/g,f=/\\n/g,g=0;g<a.length;g++){var h=a[g][0],l=a[g][1].replace(c,\"&\").replace(d,\"<\").replace(e,\">\").replace(f,\"¶<br>\");switch(h){case DIFF_INSERT:b[g]='<ins style=\"background:#e6ffe6;\">'+l+\"</ins>\";break;case DIFF_DELETE:b[g]='<del style=\"background:#ffe6e6;\">'+l+\"</del>\";break;case DIFF_EQUAL:b[g]=\"<span>\"+l+\"</span>\"}}return b.join(\"\")};\ndiff_match_patch.prototype.diff_text1=function(a){for(var b=[],c=0;c<a.length;c++)a[c][0]!==DIFF_INSERT&&(b[c]=a[c][1]);return b.join(\"\")};diff_match_patch.prototype.diff_text2=function(a){for(var b=[],c=0;c<a.length;c++)a[c][0]!==DIFF_DELETE&&(b[c]=a[c][1]);return b.join(\"\")};\ndiff_match_patch.prototype.diff_levenshtein=function(a){for(var b=0,c=0,d=0,e=0;e<a.length;e++){var f=a[e][1];switch(a[e][0]){case DIFF_INSERT:c+=f.length;break;case DIFF_DELETE:d+=f.length;break;case DIFF_EQUAL:b+=Math.max(c,d),d=c=0}}return b+=Math.max(c,d)};\ndiff_match_patch.prototype.diff_toDelta=function(a){for(var b=[],c=0;c<a.length;c++)switch(a[c][0]){case DIFF_INSERT:b[c]=\"+\"+encodeURI(a[c][1]);break;case DIFF_DELETE:b[c]=\"-\"+a[c][1].length;break;case DIFF_EQUAL:b[c]=\"=\"+a[c][1].length}return b.join(\"\\t\").replace(/%20/g,\" \")};\ndiff_match_patch.prototype.diff_fromDelta=function(a,b){for(var c=[],d=0,e=0,f=b.split(/\\t/g),g=0;g<f.length;g++){var h=f[g].substring(1);switch(f[g].charAt(0)){case \"+\":try{c[d++]=[DIFF_INSERT,decodeURI(h)]}catch(k){throw Error(\"Illegal escape in diff_fromDelta: \"+h);}break;case \"-\":case \"=\":var l=parseInt(h,10);if(isNaN(l)||0>l)throw Error(\"Invalid number in diff_fromDelta: \"+h);h=a.substring(e,e+=l);\"=\"==f[g].charAt(0)?c[d++]=[DIFF_EQUAL,h]:c[d++]=[DIFF_DELETE,h];break;default:if(f[g])throw Error(\"Invalid diff operation in diff_fromDelta: \"+\nf[g]);}}if(e!=a.length)throw Error(\"Delta length (\"+e+\") does not equal source text length (\"+a.length+\").\");return c};diff_match_patch.prototype.match_main=function(a,b,c){if(null==a||null==b||null==c)throw Error(\"Null input. (match_main)\");c=Math.max(0,Math.min(c,a.length));return a==b?0:a.length?a.substring(c,c+b.length)==b?c:this.match_bitap_(a,b,c):-1};\ndiff_match_patch.prototype.match_bitap_=function(a,b,c){function d(a,d){var e=a/b.length,g=Math.abs(c-d);return f.Match_Distance?e+g/f.Match_Distance:g?1:e}if(b.length>this.Match_MaxBits)throw Error(\"Pattern too long for this browser.\");var e=this.match_alphabet_(b),f=this,g=this.Match_Threshold,h=a.indexOf(b,c);-1!=h&&(g=Math.min(d(0,h),g),h=a.lastIndexOf(b,c+b.length),-1!=h&&(g=Math.min(d(0,h),g)));var l=1<<b.length-1;h=-1;for(var k,m,p=b.length+a.length,x,w=0;w<b.length;w++){k=0;for(m=p;k<m;)d(w,\nc+m)<=g?k=m:p=m,m=Math.floor((p-k)/2+k);p=m;k=Math.max(1,c-m+1);var q=Math.min(c+m,a.length)+b.length;m=Array(q+2);for(m[q+1]=(1<<w)-1;q>=k;q--){var t=e[a.charAt(q-1)];m[q]=0===w?(m[q+1]<<1|1)&t:(m[q+1]<<1|1)&t|(x[q+1]|x[q])<<1|1|x[q+1];if(m[q]&l&&(t=d(w,q-1),t<=g))if(g=t,h=q-1,h>c)k=Math.max(1,2*c-h);else break}if(d(w+1,c)>g)break;x=m}return h};\ndiff_match_patch.prototype.match_alphabet_=function(a){for(var b={},c=0;c<a.length;c++)b[a.charAt(c)]=0;for(c=0;c<a.length;c++)b[a.charAt(c)]|=1<<a.length-c-1;return b};\ndiff_match_patch.prototype.patch_addContext_=function(a,b){if(0!=b.length){for(var c=b.substring(a.start2,a.start2+a.length1),d=0;b.indexOf(c)!=b.lastIndexOf(c)&&c.length<this.Match_MaxBits-this.Patch_Margin-this.Patch_Margin;)d+=this.Patch_Margin,c=b.substring(a.start2-d,a.start2+a.length1+d);d+=this.Patch_Margin;(c=b.substring(a.start2-d,a.start2))&&a.diffs.unshift([DIFF_EQUAL,c]);(d=b.substring(a.start2+a.length1,a.start2+a.length1+d))&&a.diffs.push([DIFF_EQUAL,d]);a.start1-=c.length;a.start2-=\nc.length;a.length1+=c.length+d.length;a.length2+=c.length+d.length}};\ndiff_match_patch.prototype.patch_make=function(a,b,c){if(\"string\"==typeof a&&\"string\"==typeof b&&\"undefined\"==typeof c){var d=a;b=this.diff_main(d,b,!0);2<b.length&&(this.diff_cleanupSemantic(b),this.diff_cleanupEfficiency(b))}else if(a&&\"object\"==typeof a&&\"undefined\"==typeof b&&\"undefined\"==typeof c)b=a,d=this.diff_text1(b);else if(\"string\"==typeof a&&b&&\"object\"==typeof b&&\"undefined\"==typeof c)d=a;else if(\"string\"==typeof a&&\"string\"==typeof b&&c&&\"object\"==typeof c)d=a,b=c;else throw Error(\"Unknown call format to patch_make.\");\nif(0===b.length)return[];c=[];a=new diff_match_patch.patch_obj;for(var e=0,f=0,g=0,h=d,l=0;l<b.length;l++){var k=b[l][0],m=b[l][1];e||k===DIFF_EQUAL||(a.start1=f,a.start2=g);switch(k){case DIFF_INSERT:a.diffs[e++]=b[l];a.length2+=m.length;d=d.substring(0,g)+m+d.substring(g);break;case DIFF_DELETE:a.length1+=m.length;a.diffs[e++]=b[l];d=d.substring(0,g)+d.substring(g+m.length);break;case DIFF_EQUAL:m.length<=2*this.Patch_Margin&&e&&b.length!=l+1?(a.diffs[e++]=b[l],a.length1+=m.length,a.length2+=m.length):\nm.length>=2*this.Patch_Margin&&e&&(this.patch_addContext_(a,h),c.push(a),a=new diff_match_patch.patch_obj,e=0,h=d,f=g)}k!==DIFF_INSERT&&(f+=m.length);k!==DIFF_DELETE&&(g+=m.length)}e&&(this.patch_addContext_(a,h),c.push(a));return c};\ndiff_match_patch.prototype.patch_deepCopy=function(a){for(var b=[],c=0;c<a.length;c++){var d=a[c],e=new diff_match_patch.patch_obj;e.diffs=[];for(var f=0;f<d.diffs.length;f++)e.diffs[f]=d.diffs[f].slice();e.start1=d.start1;e.start2=d.start2;e.length1=d.length1;e.length2=d.length2;b[c]=e}return b};\ndiff_match_patch.prototype.patch_apply=function(a,b){if(0==a.length)return[b,[]];a=this.patch_deepCopy(a);var c=this.patch_addPadding(a);b=c+b+c;this.patch_splitMax(a);for(var d=0,e=[],f=0;f<a.length;f++){var g=a[f].start2+d,h=this.diff_text1(a[f].diffs),l=-1;if(h.length>this.Match_MaxBits){var k=this.match_main(b,h.substring(0,this.Match_MaxBits),g);-1!=k&&(l=this.match_main(b,h.substring(h.length-this.Match_MaxBits),g+h.length-this.Match_MaxBits),-1==l||k>=l)&&(k=-1)}else k=this.match_main(b,h,\ng);if(-1==k)e[f]=!1,d-=a[f].length2-a[f].length1;else if(e[f]=!0,d=k-g,g=-1==l?b.substring(k,k+h.length):b.substring(k,l+this.Match_MaxBits),h==g)b=b.substring(0,k)+this.diff_text2(a[f].diffs)+b.substring(k+h.length);else if(g=this.diff_main(h,g,!1),h.length>this.Match_MaxBits&&this.diff_levenshtein(g)/h.length>this.Patch_DeleteThreshold)e[f]=!1;else{this.diff_cleanupSemanticLossless(g);h=0;var m;for(l=0;l<a[f].diffs.length;l++){var p=a[f].diffs[l];p[0]!==DIFF_EQUAL&&(m=this.diff_xIndex(g,h));p[0]===\nDIFF_INSERT?b=b.substring(0,k+m)+p[1]+b.substring(k+m):p[0]===DIFF_DELETE&&(b=b.substring(0,k+m)+b.substring(k+this.diff_xIndex(g,h+p[1].length)));p[0]!==DIFF_DELETE&&(h+=p[1].length)}}}b=b.substring(c.length,b.length-c.length);return[b,e]};\ndiff_match_patch.prototype.patch_addPadding=function(a){for(var b=this.Patch_Margin,c=\"\",d=1;d<=b;d++)c+=String.fromCharCode(d);for(d=0;d<a.length;d++)a[d].start1+=b,a[d].start2+=b;d=a[0];var e=d.diffs;if(0==e.length||e[0][0]!=DIFF_EQUAL)e.unshift([DIFF_EQUAL,c]),d.start1-=b,d.start2-=b,d.length1+=b,d.length2+=b;else if(b>e[0][1].length){var f=b-e[0][1].length;e[0][1]=c.substring(e[0][1].length)+e[0][1];d.start1-=f;d.start2-=f;d.length1+=f;d.length2+=f}d=a[a.length-1];e=d.diffs;0==e.length||e[e.length-\n1][0]!=DIFF_EQUAL?(e.push([DIFF_EQUAL,c]),d.length1+=b,d.length2+=b):b>e[e.length-1][1].length&&(f=b-e[e.length-1][1].length,e[e.length-1][1]+=c.substring(0,f),d.length1+=f,d.length2+=f);return c};\ndiff_match_patch.prototype.patch_splitMax=function(a){for(var b=this.Match_MaxBits,c=0;c<a.length;c++)if(!(a[c].length1<=b)){var d=a[c];a.splice(c--,1);for(var e=d.start1,f=d.start2,g=\"\";0!==d.diffs.length;){var h=new diff_match_patch.patch_obj,l=!0;h.start1=e-g.length;h.start2=f-g.length;\"\"!==g&&(h.length1=h.length2=g.length,h.diffs.push([DIFF_EQUAL,g]));for(;0!==d.diffs.length&&h.length1<b-this.Patch_Margin;){g=d.diffs[0][0];var k=d.diffs[0][1];g===DIFF_INSERT?(h.length2+=k.length,f+=k.length,h.diffs.push(d.diffs.shift()),\nl=!1):g===DIFF_DELETE&&1==h.diffs.length&&h.diffs[0][0]==DIFF_EQUAL&&k.length>2*b?(h.length1+=k.length,e+=k.length,l=!1,h.diffs.push([g,k]),d.diffs.shift()):(k=k.substring(0,b-h.length1-this.Patch_Margin),h.length1+=k.length,e+=k.length,g===DIFF_EQUAL?(h.length2+=k.length,f+=k.length):l=!1,h.diffs.push([g,k]),k==d.diffs[0][1]?d.diffs.shift():d.diffs[0][1]=d.diffs[0][1].substring(k.length))}g=this.diff_text2(h.diffs);g=g.substring(g.length-this.Patch_Margin);k=this.diff_text1(d.diffs).substring(0,\nthis.Patch_Margin);\"\"!==k&&(h.length1+=k.length,h.length2+=k.length,0!==h.diffs.length&&h.diffs[h.diffs.length-1][0]===DIFF_EQUAL?h.diffs[h.diffs.length-1][1]+=k:h.diffs.push([DIFF_EQUAL,k]));l||a.splice(++c,0,h)}}};diff_match_patch.prototype.patch_toText=function(a){for(var b=[],c=0;c<a.length;c++)b[c]=a[c];return b.join(\"\")};\ndiff_match_patch.prototype.patch_fromText=function(a){var b=[];if(!a)return b;a=a.split(\"\\n\");for(var c=0,d=/^@@ -(\\d+),?(\\d*) \\+(\\d+),?(\\d*) @@$/;c<a.length;){var e=a[c].match(d);if(!e)throw Error(\"Invalid patch string: \"+a[c]);var f=new diff_match_patch.patch_obj;b.push(f);f.start1=parseInt(e[1],10);\"\"===e[2]?(f.start1--,f.length1=1):\"0\"==e[2]?f.length1=0:(f.start1--,f.length1=parseInt(e[2],10));f.start2=parseInt(e[3],10);\"\"===e[4]?(f.start2--,f.length2=1):\"0\"==e[4]?f.length2=0:(f.start2--,f.length2=\nparseInt(e[4],10));for(c++;c<a.length;){e=a[c].charAt(0);try{var g=decodeURI(a[c].substring(1))}catch(h){throw Error(\"Illegal escape in patch_fromText: \"+g);}if(\"-\"==e)f.diffs.push([DIFF_DELETE,g]);else if(\"+\"==e)f.diffs.push([DIFF_INSERT,g]);else if(\" \"==e)f.diffs.push([DIFF_EQUAL,g]);else if(\"@\"==e)break;else if(\"\"!==e)throw Error('Invalid patch mode \"'+e+'\" in: '+g);c++}}return b};diff_match_patch.patch_obj=function(){this.diffs=[];this.start2=this.start1=null;this.length2=this.length1=0};\ndiff_match_patch.patch_obj.prototype.toString=function(){for(var a=[\"@@ -\"+(0===this.length1?this.start1+\",0\":1==this.length1?this.start1+1:this.start1+1+\",\"+this.length1)+\" +\"+(0===this.length2?this.start2+\",0\":1==this.length2?this.start2+1:this.start2+1+\",\"+this.length2)+\" @@\\n\"],b,c=0;c<this.diffs.length;c++){switch(this.diffs[c][0]){case DIFF_INSERT:b=\"+\";break;case DIFF_DELETE:b=\"-\";break;case DIFF_EQUAL:b=\" \"}a[c+1]=b+encodeURI(this.diffs[c][1])+\"\\n\"}return a.join(\"\").replace(/%20/g,\" \")};\nthis.diff_match_patch=diff_match_patch;this.DIFF_DELETE=DIFF_DELETE;this.DIFF_INSERT=DIFF_INSERT;this.DIFF_EQUAL=DIFF_EQUAL;\n}).call(exports);",
"type": "application/javascript",
"title": "$:/core/modules/utils/diff-match-patch/diff_match_patch.js",
"module-type": "library"
},
"$:/core/modules/utils/dom/animations/slide.js": {
"title": "$:/core/modules/utils/dom/animations/slide.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/animations/slide.js\ntype: application/javascript\nmodule-type: animation\n\nA simple slide animation that varies the height of the element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction slideOpen(domNode,options) {\n\toptions = options || {};\n\tvar duration = options.duration || $tw.utils.getAnimationDuration();\n\t// Get the current height of the domNode\n\tvar computedStyle = window.getComputedStyle(domNode),\n\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\tcurrPaddingBottom = parseInt(computedStyle.paddingBottom,10),\n\t\tcurrPaddingTop = parseInt(computedStyle.paddingTop,10),\n\t\tcurrHeight = domNode.offsetHeight;\n\t// Reset the margin once the transition is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(domNode,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: \"\"},\n\t\t\t{marginTop: \"\"},\n\t\t\t{paddingBottom: \"\"},\n\t\t\t{paddingTop: \"\"},\n\t\t\t{height: \"auto\"},\n\t\t\t{opacity: \"\"}\n\t\t]);\n\t\tif(options.callback) {\n\t\t\toptions.callback();\n\t\t}\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"none\"},\n\t\t{marginTop: \"0px\"},\n\t\t{marginBottom: \"0px\"},\n\t\t{paddingTop: \"0px\"},\n\t\t{paddingBottom: \"0px\"},\n\t\t{height: \"0px\"},\n\t\t{opacity: \"0\"}\n\t]);\n\t$tw.utils.forceLayout(domNode);\n\t// Transition to the final position\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"margin-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"height \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t{marginTop: currMarginTop + \"px\"},\n\t\t{paddingBottom: currPaddingBottom + \"px\"},\n\t\t{paddingTop: currPaddingTop + \"px\"},\n\t\t{height: currHeight + \"px\"},\n\t\t{opacity: \"1\"}\n\t]);\n}\n\nfunction slideClosed(domNode,options) {\n\toptions = options || {};\n\tvar duration = options.duration || $tw.utils.getAnimationDuration(),\n\t\tcurrHeight = domNode.offsetHeight;\n\t// Clear the properties we've set when the animation is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(domNode,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: \"\"},\n\t\t\t{marginTop: \"\"},\n\t\t\t{paddingBottom: \"\"},\n\t\t\t{paddingTop: \"\"},\n\t\t\t{height: \"auto\"},\n\t\t\t{opacity: \"\"}\n\t\t]);\n\t\tif(options.callback) {\n\t\t\toptions.callback();\n\t\t}\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(domNode,[\n\t\t{height: currHeight + \"px\"},\n\t\t{opacity: \"1\"}\n\t]);\n\t$tw.utils.forceLayout(domNode);\n\t// Transition to the final position\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"margin-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"height \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{marginTop: \"0px\"},\n\t\t{marginBottom: \"0px\"},\n\t\t{paddingTop: \"0px\"},\n\t\t{paddingBottom: \"0px\"},\n\t\t{height: \"0px\"},\n\t\t{opacity: \"0\"}\n\t]);\n}\n\nexports.slide = {\n\topen: slideOpen,\n\tclose: slideClosed\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "animation"
},
"$:/core/modules/utils/dom/animator.js": {
"title": "$:/core/modules/utils/dom/animator.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/animator.js\ntype: application/javascript\nmodule-type: utils\n\nOrchestrates animations and transitions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction Animator() {\n\t// Get the registered animation modules\n\tthis.animations = {};\n\t$tw.modules.applyMethods(\"animation\",this.animations);\n}\n\nAnimator.prototype.perform = function(type,domNode,options) {\n\toptions = options || {};\n\t// Find an animation that can handle this type\n\tvar chosenAnimation;\n\t$tw.utils.each(this.animations,function(animation,name) {\n\t\tif($tw.utils.hop(animation,type)) {\n\t\t\tchosenAnimation = animation[type];\n\t\t}\n\t});\n\tif(!chosenAnimation) {\n\t\tchosenAnimation = function(domNode,options) {\n\t\t\tif(options.callback) {\n\t\t\t\toptions.callback();\n\t\t\t}\n\t\t};\n\t}\n\t// Call the animation\n\tchosenAnimation(domNode,options);\n};\n\nexports.Animator = Animator;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/browser.js": {
"title": "$:/core/modules/utils/dom/browser.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/browser.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser feature detection\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSet style properties of an element\n\telement: dom node\n\tstyles: ordered array of {name: value} pairs\n*/\nexports.setStyle = function(element,styles) {\n\tif(element.nodeType === 1) { // Element.ELEMENT_NODE\n\t\tfor(var t=0; t<styles.length; t++) {\n\t\t\tfor(var styleName in styles[t]) {\n\t\t\t\telement.style[$tw.utils.convertStyleNameToPropertyName(styleName)] = styles[t][styleName];\n\t\t\t}\n\t\t}\n\t}\n};\n\n/*\nConverts a standard CSS property name into the local browser-specific equivalent. For example:\n\t\"background-color\" --> \"backgroundColor\"\n\t\"transition\" --> \"webkitTransition\"\n*/\n\nvar styleNameCache = {}; // We'll cache the style name conversions\n\nexports.convertStyleNameToPropertyName = function(styleName) {\n\t// Return from the cache if we can\n\tif(styleNameCache[styleName]) {\n\t\treturn styleNameCache[styleName];\n\t}\n\t// Convert it by first removing any hyphens\n\tvar propertyName = $tw.utils.unHyphenateCss(styleName);\n\t// Then check if it needs a prefix\n\tif($tw.browser && document.body.style[propertyName] === undefined) {\n\t\tvar prefixes = [\"O\",\"MS\",\"Moz\",\"webkit\"];\n\t\tfor(var t=0; t<prefixes.length; t++) {\n\t\t\tvar prefixedName = prefixes[t] + propertyName.substr(0,1).toUpperCase() + propertyName.substr(1);\n\t\t\tif(document.body.style[prefixedName] !== undefined) {\n\t\t\t\tpropertyName = prefixedName;\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t}\n\t// Put it in the cache too\n\tstyleNameCache[styleName] = propertyName;\n\treturn propertyName;\n};\n\n/*\nConverts a JS format CSS property name back into the dashed form used in CSS declarations. For example:\n\t\"backgroundColor\" --> \"background-color\"\n\t\"webkitTransform\" --> \"-webkit-transform\"\n*/\nexports.convertPropertyNameToStyleName = function(propertyName) {\n\t// Rehyphenate the name\n\tvar styleName = $tw.utils.hyphenateCss(propertyName);\n\t// If there's a webkit prefix, add a dash (other browsers have uppercase prefixes, and so get the dash automatically)\n\tif(styleName.indexOf(\"webkit\") === 0) {\n\t\tstyleName = \"-\" + styleName;\n\t} else if(styleName.indexOf(\"-m-s\") === 0) {\n\t\tstyleName = \"-ms\" + styleName.substr(4);\n\t}\n\treturn styleName;\n};\n\n/*\nRound trip a stylename to a property name and back again. For example:\n\t\"transform\" --> \"webkitTransform\" --> \"-webkit-transform\"\n*/\nexports.roundTripPropertyName = function(propertyName) {\n\treturn $tw.utils.convertPropertyNameToStyleName($tw.utils.convertStyleNameToPropertyName(propertyName));\n};\n\n/*\nConverts a standard event name into the local browser specific equivalent. For example:\n\t\"animationEnd\" --> \"webkitAnimationEnd\"\n*/\n\nvar eventNameCache = {}; // We'll cache the conversions\n\nvar eventNameMappings = {\n\t\"transitionEnd\": {\n\t\tcorrespondingCssProperty: \"transition\",\n\t\tmappings: {\n\t\t\ttransition: \"transitionend\",\n\t\t\tOTransition: \"oTransitionEnd\",\n\t\t\tMSTransition: \"msTransitionEnd\",\n\t\t\tMozTransition: \"transitionend\",\n\t\t\twebkitTransition: \"webkitTransitionEnd\"\n\t\t}\n\t},\n\t\"animationEnd\": {\n\t\tcorrespondingCssProperty: \"animation\",\n\t\tmappings: {\n\t\t\tanimation: \"animationend\",\n\t\t\tOAnimation: \"oAnimationEnd\",\n\t\t\tMSAnimation: \"msAnimationEnd\",\n\t\t\tMozAnimation: \"animationend\",\n\t\t\twebkitAnimation: \"webkitAnimationEnd\"\n\t\t}\n\t}\n};\n\nexports.convertEventName = function(eventName) {\n\tif(eventNameCache[eventName]) {\n\t\treturn eventNameCache[eventName];\n\t}\n\tvar newEventName = eventName,\n\t\tmappings = eventNameMappings[eventName];\n\tif(mappings) {\n\t\tvar convertedProperty = $tw.utils.convertStyleNameToPropertyName(mappings.correspondingCssProperty);\n\t\tif(mappings.mappings[convertedProperty]) {\n\t\t\tnewEventName = mappings.mappings[convertedProperty];\n\t\t}\n\t}\n\t// Put it in the cache too\n\teventNameCache[eventName] = newEventName;\n\treturn newEventName;\n};\n\n/*\nReturn the names of the fullscreen APIs\n*/\nexports.getFullScreenApis = function() {\n\tvar d = document,\n\t\tdb = d.body,\n\t\tresult = {\n\t\t\"_requestFullscreen\": db.webkitRequestFullscreen !== undefined ? \"webkitRequestFullscreen\" :\n\t\t\t\t\t\t\tdb.mozRequestFullScreen !== undefined ? \"mozRequestFullScreen\" :\n\t\t\t\t\t\t\tdb.msRequestFullscreen !== undefined ? \"msRequestFullscreen\" :\n\t\t\t\t\t\t\tdb.requestFullscreen !== undefined ? \"requestFullscreen\" : \"\",\n\t\t\"_exitFullscreen\": d.webkitExitFullscreen !== undefined ? \"webkitExitFullscreen\" :\n\t\t\t\t\t\t\td.mozCancelFullScreen !== undefined ? \"mozCancelFullScreen\" :\n\t\t\t\t\t\t\td.msExitFullscreen !== undefined ? \"msExitFullscreen\" :\n\t\t\t\t\t\t\td.exitFullscreen !== undefined ? \"exitFullscreen\" : \"\",\n\t\t\"_fullscreenElement\": d.webkitFullscreenElement !== undefined ? \"webkitFullscreenElement\" :\n\t\t\t\t\t\t\td.mozFullScreenElement !== undefined ? \"mozFullScreenElement\" :\n\t\t\t\t\t\t\td.msFullscreenElement !== undefined ? \"msFullscreenElement\" :\n\t\t\t\t\t\t\td.fullscreenElement !== undefined ? \"fullscreenElement\" : \"\",\n\t\t\"_fullscreenChange\": d.webkitFullscreenElement !== undefined ? \"webkitfullscreenchange\" :\n\t\t\t\t\t\t\td.mozFullScreenElement !== undefined ? \"mozfullscreenchange\" :\n\t\t\t\t\t\t\td.msFullscreenElement !== undefined ? \"MSFullscreenChange\" :\n\t\t\t\t\t\t\td.fullscreenElement !== undefined ? \"fullscreenchange\" : \"\"\n\t};\n\tif(!result._requestFullscreen || !result._exitFullscreen || !result._fullscreenElement || !result._fullscreenChange) {\n\t\treturn null;\n\t} else {\n\t\treturn result;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/csscolorparser.js": {
"title": "$:/core/modules/utils/dom/csscolorparser.js",
"text": "// (c) Dean McNamee <dean@gmail.com>, 2012.\n//\n// https://github.com/deanm/css-color-parser-js\n//\n// Permission is hereby granted, free of charge, to any person obtaining a copy\n// of this software and associated documentation files (the \"Software\"), to\n// deal in the Software without restriction, including without limitation the\n// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or\n// sell copies of the Software, and to permit persons to whom the Software is\n// furnished to do so, subject to the following conditions:\n//\n// The above copyright notice and this permission notice shall be included in\n// all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING\n// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS\n// IN THE SOFTWARE.\n\n// http://www.w3.org/TR/css3-color/\nvar kCSSColorTable = {\n \"transparent\": [0,0,0,0], \"aliceblue\": [240,248,255,1],\n \"antiquewhite\": [250,235,215,1], \"aqua\": [0,255,255,1],\n \"aquamarine\": [127,255,212,1], \"azure\": [240,255,255,1],\n \"beige\": [245,245,220,1], \"bisque\": [255,228,196,1],\n \"black\": [0,0,0,1], \"blanchedalmond\": [255,235,205,1],\n \"blue\": [0,0,255,1], \"blueviolet\": [138,43,226,1],\n \"brown\": [165,42,42,1], \"burlywood\": [222,184,135,1],\n \"cadetblue\": [95,158,160,1], \"chartreuse\": [127,255,0,1],\n \"chocolate\": [210,105,30,1], \"coral\": [255,127,80,1],\n \"cornflowerblue\": [100,149,237,1], \"cornsilk\": [255,248,220,1],\n \"crimson\": [220,20,60,1], \"cyan\": [0,255,255,1],\n \"darkblue\": [0,0,139,1], \"darkcyan\": [0,139,139,1],\n \"darkgoldenrod\": [184,134,11,1], \"darkgray\": [169,169,169,1],\n \"darkgreen\": [0,100,0,1], \"darkgrey\": [169,169,169,1],\n \"darkkhaki\": [189,183,107,1], \"darkmagenta\": [139,0,139,1],\n \"darkolivegreen\": [85,107,47,1], \"darkorange\": [255,140,0,1],\n \"darkorchid\": [153,50,204,1], \"darkred\": [139,0,0,1],\n \"darksalmon\": [233,150,122,1], \"darkseagreen\": [143,188,143,1],\n \"darkslateblue\": [72,61,139,1], \"darkslategray\": [47,79,79,1],\n \"darkslategrey\": [47,79,79,1], \"darkturquoise\": [0,206,209,1],\n \"darkviolet\": [148,0,211,1], \"deeppink\": [255,20,147,1],\n \"deepskyblue\": [0,191,255,1], \"dimgray\": [105,105,105,1],\n \"dimgrey\": [105,105,105,1], \"dodgerblue\": [30,144,255,1],\n \"firebrick\": [178,34,34,1], \"floralwhite\": [255,250,240,1],\n \"forestgreen\": [34,139,34,1], \"fuchsia\": [255,0,255,1],\n \"gainsboro\": [220,220,220,1], \"ghostwhite\": [248,248,255,1],\n \"gold\": [255,215,0,1], \"goldenrod\": [218,165,32,1],\n \"gray\": [128,128,128,1], \"green\": [0,128,0,1],\n \"greenyellow\": [173,255,47,1], \"grey\": [128,128,128,1],\n \"honeydew\": [240,255,240,1], \"hotpink\": [255,105,180,1],\n \"indianred\": [205,92,92,1], \"indigo\": [75,0,130,1],\n \"ivory\": [255,255,240,1], \"khaki\": [240,230,140,1],\n \"lavender\": [230,230,250,1], \"lavenderblush\": [255,240,245,1],\n \"lawngreen\": [124,252,0,1], \"lemonchiffon\": [255,250,205,1],\n \"lightblue\": [173,216,230,1], \"lightcoral\": [240,128,128,1],\n \"lightcyan\": [224,255,255,1], \"lightgoldenrodyellow\": [250,250,210,1],\n \"lightgray\": [211,211,211,1], \"lightgreen\": [144,238,144,1],\n \"lightgrey\": [211,211,211,1], \"lightpink\": [255,182,193,1],\n \"lightsalmon\": [255,160,122,1], \"lightseagreen\": [32,178,170,1],\n \"lightskyblue\": [135,206,250,1], \"lightslategray\": [119,136,153,1],\n \"lightslategrey\": [119,136,153,1], \"lightsteelblue\": [176,196,222,1],\n \"lightyellow\": [255,255,224,1], \"lime\": [0,255,0,1],\n \"limegreen\": [50,205,50,1], \"linen\": [250,240,230,1],\n \"magenta\": [255,0,255,1], \"maroon\": [128,0,0,1],\n \"mediumaquamarine\": [102,205,170,1], \"mediumblue\": [0,0,205,1],\n \"mediumorchid\": [186,85,211,1], \"mediumpurple\": [147,112,219,1],\n \"mediumseagreen\": [60,179,113,1], \"mediumslateblue\": [123,104,238,1],\n \"mediumspringgreen\": [0,250,154,1], \"mediumturquoise\": [72,209,204,1],\n \"mediumvioletred\": [199,21,133,1], \"midnightblue\": [25,25,112,1],\n \"mintcream\": [245,255,250,1], \"mistyrose\": [255,228,225,1],\n \"moccasin\": [255,228,181,1], \"navajowhite\": [255,222,173,1],\n \"navy\": [0,0,128,1], \"oldlace\": [253,245,230,1],\n \"olive\": [128,128,0,1], \"olivedrab\": [107,142,35,1],\n \"orange\": [255,165,0,1], \"orangered\": [255,69,0,1],\n \"orchid\": [218,112,214,1], \"palegoldenrod\": [238,232,170,1],\n \"palegreen\": [152,251,152,1], \"paleturquoise\": [175,238,238,1],\n \"palevioletred\": [219,112,147,1], \"papayawhip\": [255,239,213,1],\n \"peachpuff\": [255,218,185,1], \"peru\": [205,133,63,1],\n \"pink\": [255,192,203,1], \"plum\": [221,160,221,1],\n \"powderblue\": [176,224,230,1], \"purple\": [128,0,128,1],\n \"red\": [255,0,0,1], \"rosybrown\": [188,143,143,1],\n \"royalblue\": [65,105,225,1], \"saddlebrown\": [139,69,19,1],\n \"salmon\": [250,128,114,1], \"sandybrown\": [244,164,96,1],\n \"seagreen\": [46,139,87,1], \"seashell\": [255,245,238,1],\n \"sienna\": [160,82,45,1], \"silver\": [192,192,192,1],\n \"skyblue\": [135,206,235,1], \"slateblue\": [106,90,205,1],\n \"slategray\": [112,128,144,1], \"slategrey\": [112,128,144,1],\n \"snow\": [255,250,250,1], \"springgreen\": [0,255,127,1],\n \"steelblue\": [70,130,180,1], \"tan\": [210,180,140,1],\n \"teal\": [0,128,128,1], \"thistle\": [216,191,216,1],\n \"tomato\": [255,99,71,1], \"turquoise\": [64,224,208,1],\n \"violet\": [238,130,238,1], \"wheat\": [245,222,179,1],\n \"white\": [255,255,255,1], \"whitesmoke\": [245,245,245,1],\n \"yellow\": [255,255,0,1], \"yellowgreen\": [154,205,50,1]}\n\nfunction clamp_css_byte(i) { // Clamp to integer 0 .. 255.\n i = Math.round(i); // Seems to be what Chrome does (vs truncation).\n return i < 0 ? 0 : i > 255 ? 255 : i;\n}\n\nfunction clamp_css_float(f) { // Clamp to float 0.0 .. 1.0.\n return f < 0 ? 0 : f > 1 ? 1 : f;\n}\n\nfunction parse_css_int(str) { // int or percentage.\n if (str[str.length - 1] === '%')\n return clamp_css_byte(parseFloat(str) / 100 * 255);\n return clamp_css_byte(parseInt(str));\n}\n\nfunction parse_css_float(str) { // float or percentage.\n if (str[str.length - 1] === '%')\n return clamp_css_float(parseFloat(str) / 100);\n return clamp_css_float(parseFloat(str));\n}\n\nfunction css_hue_to_rgb(m1, m2, h) {\n if (h < 0) h += 1;\n else if (h > 1) h -= 1;\n\n if (h * 6 < 1) return m1 + (m2 - m1) * h * 6;\n if (h * 2 < 1) return m2;\n if (h * 3 < 2) return m1 + (m2 - m1) * (2/3 - h) * 6;\n return m1;\n}\n\nfunction parseCSSColor(css_str) {\n // Remove all whitespace, not compliant, but should just be more accepting.\n var str = css_str.replace(/ /g, '').toLowerCase();\n\n // Color keywords (and transparent) lookup.\n if (str in kCSSColorTable) return kCSSColorTable[str].slice(); // dup.\n\n // #abc and #abc123 syntax.\n if (str[0] === '#') {\n if (str.length === 4) {\n var iv = parseInt(str.substr(1), 16); // TODO(deanm): Stricter parsing.\n if (!(iv >= 0 && iv <= 0xfff)) return null; // Covers NaN.\n return [((iv & 0xf00) >> 4) | ((iv & 0xf00) >> 8),\n (iv & 0xf0) | ((iv & 0xf0) >> 4),\n (iv & 0xf) | ((iv & 0xf) << 4),\n 1];\n } else if (str.length === 7) {\n var iv = parseInt(str.substr(1), 16); // TODO(deanm): Stricter parsing.\n if (!(iv >= 0 && iv <= 0xffffff)) return null; // Covers NaN.\n return [(iv & 0xff0000) >> 16,\n (iv & 0xff00) >> 8,\n iv & 0xff,\n 1];\n }\n\n return null;\n }\n\n var op = str.indexOf('('), ep = str.indexOf(')');\n if (op !== -1 && ep + 1 === str.length) {\n var fname = str.substr(0, op);\n var params = str.substr(op+1, ep-(op+1)).split(',');\n var alpha = 1; // To allow case fallthrough.\n switch (fname) {\n case 'rgba':\n if (params.length !== 4) return null;\n alpha = parse_css_float(params.pop());\n // Fall through.\n case 'rgb':\n if (params.length !== 3) return null;\n return [parse_css_int(params[0]),\n parse_css_int(params[1]),\n parse_css_int(params[2]),\n alpha];\n case 'hsla':\n if (params.length !== 4) return null;\n alpha = parse_css_float(params.pop());\n // Fall through.\n case 'hsl':\n if (params.length !== 3) return null;\n var h = (((parseFloat(params[0]) % 360) + 360) % 360) / 360; // 0 .. 1\n // NOTE(deanm): According to the CSS spec s/l should only be\n // percentages, but we don't bother and let float or percentage.\n var s = parse_css_float(params[1]);\n var l = parse_css_float(params[2]);\n var m2 = l <= 0.5 ? l * (s + 1) : l + s - l * s;\n var m1 = l * 2 - m2;\n return [clamp_css_byte(css_hue_to_rgb(m1, m2, h+1/3) * 255),\n clamp_css_byte(css_hue_to_rgb(m1, m2, h) * 255),\n clamp_css_byte(css_hue_to_rgb(m1, m2, h-1/3) * 255),\n alpha];\n default:\n return null;\n }\n }\n\n return null;\n}\n\ntry { exports.parseCSSColor = parseCSSColor } catch(e) { }\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom.js": {
"title": "$:/core/modules/utils/dom.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom.js\ntype: application/javascript\nmodule-type: utils\n\nVarious static DOM-related utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nDetermines whether element 'a' contains element 'b'\nCode thanks to John Resig, http://ejohn.org/blog/comparing-document-position/\n*/\nexports.domContains = function(a,b) {\n\treturn a.contains ?\n\t\ta !== b && a.contains(b) :\n\t\t!!(a.compareDocumentPosition(b) & 16);\n};\n\nexports.removeChildren = function(node) {\n\twhile(node.hasChildNodes()) {\n\t\tnode.removeChild(node.firstChild);\n\t}\n};\n\nexports.hasClass = function(el,className) {\n\treturn el && el.className && el.className.toString().split(\" \").indexOf(className) !== -1;\n};\n\nexports.addClass = function(el,className) {\n\tvar c = el.className.split(\" \");\n\tif(c.indexOf(className) === -1) {\n\t\tc.push(className);\n\t}\n\tel.className = c.join(\" \");\n};\n\nexports.removeClass = function(el,className) {\n\tvar c = el.className.split(\" \"),\n\t\tp = c.indexOf(className);\n\tif(p !== -1) {\n\t\tc.splice(p,1);\n\t\tel.className = c.join(\" \");\n\t}\n};\n\nexports.toggleClass = function(el,className,status) {\n\tif(status === undefined) {\n\t\tstatus = !exports.hasClass(el,className);\n\t}\n\tif(status) {\n\t\texports.addClass(el,className);\n\t} else {\n\t\texports.removeClass(el,className);\n\t}\n};\n\n/*\nGet the first parent element that has scrollbars or use the body as fallback.\n*/\nexports.getScrollContainer = function(el) {\n\tvar doc = el.ownerDocument;\n\twhile(el.parentNode) {\t\n\t\tel = el.parentNode;\n\t\tif(el.scrollTop) {\n\t\t\treturn el;\n\t\t}\n\t}\n\treturn doc.body;\n};\n\n/*\nGet the scroll position of the viewport\nReturns:\n\t{\n\t\tx: horizontal scroll position in pixels,\n\t\ty: vertical scroll position in pixels\n\t}\n*/\nexports.getScrollPosition = function() {\n\tif(\"scrollX\" in window) {\n\t\treturn {x: window.scrollX, y: window.scrollY};\n\t} else {\n\t\treturn {x: document.documentElement.scrollLeft, y: document.documentElement.scrollTop};\n\t}\n};\n\n/*\nAdjust the height of a textarea to fit its content, preserving scroll position, and return the height\n*/\nexports.resizeTextAreaToFit = function(domNode,minHeight) {\n\t// Get the scroll container and register the current scroll position\n\tvar container = $tw.utils.getScrollContainer(domNode),\n\t\tscrollTop = container.scrollTop;\n // Measure the specified minimum height\n\tdomNode.style.height = minHeight;\n\tvar measuredHeight = domNode.offsetHeight || parseInt(minHeight,10);\n\t// Set its height to auto so that it snaps to the correct height\n\tdomNode.style.height = \"auto\";\n\t// Calculate the revised height\n\tvar newHeight = Math.max(domNode.scrollHeight + domNode.offsetHeight - domNode.clientHeight,measuredHeight);\n\t// Only try to change the height if it has changed\n\tif(newHeight !== domNode.offsetHeight) {\n\t\tdomNode.style.height = newHeight + \"px\";\n\t\t// Make sure that the dimensions of the textarea are recalculated\n\t\t$tw.utils.forceLayout(domNode);\n\t\t// Set the container to the position we registered at the beginning\n\t\tcontainer.scrollTop = scrollTop;\n\t}\n\treturn newHeight;\n};\n\n/*\nGets the bounding rectangle of an element in absolute page coordinates\n*/\nexports.getBoundingPageRect = function(element) {\n\tvar scrollPos = $tw.utils.getScrollPosition(),\n\t\tclientRect = element.getBoundingClientRect();\n\treturn {\n\t\tleft: clientRect.left + scrollPos.x,\n\t\twidth: clientRect.width,\n\t\tright: clientRect.right + scrollPos.x,\n\t\ttop: clientRect.top + scrollPos.y,\n\t\theight: clientRect.height,\n\t\tbottom: clientRect.bottom + scrollPos.y\n\t};\n};\n\n/*\nSaves a named password in the browser\n*/\nexports.savePassword = function(name,password) {\n\ttry {\n\t\tif(window.localStorage) {\n\t\t\tlocalStorage.setItem(\"tw5-password-\" + name,password);\n\t\t}\n\t} catch(e) {\n\t}\n};\n\n/*\nRetrieve a named password from the browser\n*/\nexports.getPassword = function(name) {\n\ttry {\n\t\treturn window.localStorage ? localStorage.getItem(\"tw5-password-\" + name) : \"\";\n\t} catch(e) {\n\t\treturn \"\";\n\t}\n};\n\n/*\nForce layout of a dom node and its descendents\n*/\nexports.forceLayout = function(element) {\n\tvar dummy = element.offsetWidth;\n};\n\n/*\nPulse an element for debugging purposes\n*/\nexports.pulseElement = function(element) {\n\t// Event handler to remove the class at the end\n\telement.addEventListener($tw.browser.animationEnd,function handler(event) {\n\t\telement.removeEventListener($tw.browser.animationEnd,handler,false);\n\t\t$tw.utils.removeClass(element,\"pulse\");\n\t},false);\n\t// Apply the pulse class\n\t$tw.utils.removeClass(element,\"pulse\");\n\t$tw.utils.forceLayout(element);\n\t$tw.utils.addClass(element,\"pulse\");\n};\n\n/*\nAttach specified event handlers to a DOM node\ndomNode: where to attach the event handlers\nevents: array of event handlers to be added (see below)\nEach entry in the events array is an object with these properties:\nhandlerFunction: optional event handler function\nhandlerObject: optional event handler object\nhandlerMethod: optionally specifies object handler method name (defaults to `handleEvent`)\n*/\nexports.addEventListeners = function(domNode,events) {\n\t$tw.utils.each(events,function(eventInfo) {\n\t\tvar handler;\n\t\tif(eventInfo.handlerFunction) {\n\t\t\thandler = eventInfo.handlerFunction;\n\t\t} else if(eventInfo.handlerObject) {\n\t\t\tif(eventInfo.handlerMethod) {\n\t\t\t\thandler = function(event) {\n\t\t\t\t\teventInfo.handlerObject[eventInfo.handlerMethod].call(eventInfo.handlerObject,event);\n\t\t\t\t};\t\n\t\t\t} else {\n\t\t\t\thandler = eventInfo.handlerObject;\n\t\t\t}\n\t\t}\n\t\tdomNode.addEventListener(eventInfo.name,handler,false);\n\t});\n};\n\n/*\nGet the computed styles applied to an element as an array of strings of individual CSS properties\n*/\nexports.getComputedStyles = function(domNode) {\n\tvar textAreaStyles = window.getComputedStyle(domNode,null),\n\t\tstyleDefs = [],\n\t\tname;\n\tfor(var t=0; t<textAreaStyles.length; t++) {\n\t\tname = textAreaStyles[t];\n\t\tstyleDefs.push(name + \": \" + textAreaStyles.getPropertyValue(name) + \";\");\n\t}\n\treturn styleDefs;\n};\n\n/*\nApply a set of styles passed as an array of strings of individual CSS properties\n*/\nexports.setStyles = function(domNode,styleDefs) {\n\tdomNode.style.cssText = styleDefs.join(\"\");\n};\n\n/*\nCopy the computed styles from a source element to a destination element\n*/\nexports.copyStyles = function(srcDomNode,dstDomNode) {\n\t$tw.utils.setStyles(dstDomNode,$tw.utils.getComputedStyles(srcDomNode));\n};\n\n/*\nCopy plain text to the clipboard on browsers that support it\n*/\nexports.copyToClipboard = function(text,options) {\n\toptions = options || {};\n\tvar textArea = document.createElement(\"textarea\");\n\ttextArea.style.position = \"fixed\";\n\ttextArea.style.top = 0;\n\ttextArea.style.left = 0;\n\ttextArea.style.fontSize = \"12pt\";\n\ttextArea.style.width = \"2em\";\n\ttextArea.style.height = \"2em\";\n\ttextArea.style.padding = 0;\n\ttextArea.style.border = \"none\";\n\ttextArea.style.outline = \"none\";\n\ttextArea.style.boxShadow = \"none\";\n\ttextArea.style.background = \"transparent\";\n\ttextArea.value = text;\n\tdocument.body.appendChild(textArea);\n\ttextArea.select();\n\ttextArea.setSelectionRange(0,text.length);\n\tvar succeeded = false;\n\ttry {\n\t\tsucceeded = document.execCommand(\"copy\");\n\t} catch (err) {\n\t}\n\tif(!options.doNotNotify) {\n\t\t$tw.notifier.display(succeeded ? \"$:/language/Notifications/CopiedToClipboard/Succeeded\" : \"$:/language/Notifications/CopiedToClipboard/Failed\");\n\t}\n\tdocument.body.removeChild(textArea);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/dragndrop.js": {
"title": "$:/core/modules/utils/dom/dragndrop.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/dragndrop.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser data transfer utilities, used with the clipboard and drag and drop\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOptions:\n\ndomNode: dom node to make draggable\ndragImageType: \"pill\" or \"dom\"\ndragTiddlerFn: optional function to retrieve the title of tiddler to drag\ndragFilterFn: optional function to retreive the filter defining a list of tiddlers to drag\nwidget: widget to use as the contect for the filter\n*/\nexports.makeDraggable = function(options) {\n\tvar dragImageType = options.dragImageType || \"dom\",\n\t\tdragImage,\n\t\tdomNode = options.domNode;\n\t// Make the dom node draggable (not necessary for anchor tags)\n\tif((domNode.tagName || \"\").toLowerCase() !== \"a\") {\n\t\tdomNode.setAttribute(\"draggable\",\"true\");\t\t\n\t}\n\t// Add event handlers\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"dragstart\", handlerFunction: function(event) {\n\t\t\tif(event.dataTransfer === undefined) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t\t// Collect the tiddlers being dragged\n\t\t\tvar dragTiddler = options.dragTiddlerFn && options.dragTiddlerFn(),\n\t\t\t\tdragFilter = options.dragFilterFn && options.dragFilterFn(),\n\t\t\t\ttitles = dragTiddler ? [dragTiddler] : [],\n\t\t\t \tstartActions = options.startActions;\n\t\t\tif(dragFilter) {\n\t\t\t\ttitles.push.apply(titles,options.widget.wiki.filterTiddlers(dragFilter,options.widget));\n\t\t\t}\n\t\t\tvar titleString = $tw.utils.stringifyList(titles);\n\t\t\t// Check that we've something to drag\n\t\t\tif(titles.length > 0 && event.target === domNode) {\n\t\t\t\t// Mark the drag in progress\n\t\t\t\t$tw.dragInProgress = domNode;\n\t\t\t\t// Set the dragging class on the element being dragged\n\t\t\t\t$tw.utils.addClass(event.target,\"tc-dragging\");\n\t\t\t\t// Invoke drag-start actions if given\n\t\t\t\tif(startActions !== undefined) {\n\t\t\t\t\toptions.widget.invokeActionString(startActions,options.widget,event,{actionTiddler: titleString});\n\t\t\t\t}\n\t\t\t\t// Create the drag image elements\n\t\t\t\tdragImage = options.widget.document.createElement(\"div\");\n\t\t\t\tdragImage.className = \"tc-tiddler-dragger\";\n\t\t\t\tvar inner = options.widget.document.createElement(\"div\");\n\t\t\t\tinner.className = \"tc-tiddler-dragger-inner\";\n\t\t\t\tinner.appendChild(options.widget.document.createTextNode(\n\t\t\t\t\ttitles.length === 1 ? \n\t\t\t\t\t\ttitles[0] :\n\t\t\t\t\t\ttitles.length + \" tiddlers\"\n\t\t\t\t));\n\t\t\t\tdragImage.appendChild(inner);\n\t\t\t\toptions.widget.document.body.appendChild(dragImage);\n\t\t\t\t// Set the data transfer properties\n\t\t\t\tvar dataTransfer = event.dataTransfer;\n\t\t\t\t// Set up the image\n\t\t\t\tdataTransfer.effectAllowed = \"all\";\n\t\t\t\tif(dataTransfer.setDragImage) {\n\t\t\t\t\tif(dragImageType === \"pill\") {\n\t\t\t\t\t\tdataTransfer.setDragImage(dragImage.firstChild,-16,-16);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tvar r = domNode.getBoundingClientRect();\n\t\t\t\t\t\tdataTransfer.setDragImage(domNode,event.clientX-r.left,event.clientY-r.top);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// Set up the data transfer\n\t\t\t\tif(dataTransfer.clearData) {\n\t\t\t\t\tdataTransfer.clearData();\t\t\t\t\t\n\t\t\t\t}\n\t\t\t\tvar jsonData = [];\n\t\t\t\tif(titles.length > 1) {\n\t\t\t\t\ttitles.forEach(function(title) {\n\t\t\t\t\t\tjsonData.push(options.widget.wiki.getTiddlerAsJson(title));\n\t\t\t\t\t});\n\t\t\t\t\tjsonData = \"[\" + jsonData.join(\",\") + \"]\";\n\t\t\t\t} else {\n\t\t\t\t\tjsonData = options.widget.wiki.getTiddlerAsJson(titles[0]);\n\t\t\t\t}\n\t\t\t\t// IE doesn't like these content types\n\t\t\t\tif(!$tw.browser.isIE) {\n\t\t\t\t\tdataTransfer.setData(\"text/vnd.tiddler\",jsonData);\n\t\t\t\t\tdataTransfer.setData(\"text/plain\",titleString);\n\t\t\t\t\tdataTransfer.setData(\"text/x-moz-url\",\"data:text/vnd.tiddler,\" + encodeURIComponent(jsonData));\n\t\t\t\t}\n\t\t\t\tdataTransfer.setData(\"URL\",\"data:text/vnd.tiddler,\" + encodeURIComponent(jsonData));\n\t\t\t\tdataTransfer.setData(\"Text\",titleString);\n\t\t\t\tevent.stopPropagation();\n\t\t\t}\n\t\t\treturn false;\n\t\t}},\n\t\t{name: \"dragend\", handlerFunction: function(event) {\n\t\t\tif(event.target === domNode) {\n\t\t\t\t// Collect the tiddlers being dragged\n\t\t\t\tvar dragTiddler = options.dragTiddlerFn && options.dragTiddlerFn(),\n\t\t\t\t\tdragFilter = options.dragFilterFn && options.dragFilterFn(),\n\t\t\t\t\ttitles = dragTiddler ? [dragTiddler] : [],\n\t\t\t \t\tendActions = options.endActions;\n\t\t\t\tif(dragFilter) {\n\t\t\t\t\ttitles.push.apply(titles,options.widget.wiki.filterTiddlers(dragFilter,options.widget));\n\t\t\t\t}\n\t\t\t\tvar titleString = $tw.utils.stringifyList(titles);\n\t\t\t\t$tw.dragInProgress = null;\n\t\t\t\t// Invoke drag-end actions if given\n\t\t\t\tif(endActions !== undefined) {\n\t\t\t\t\toptions.widget.invokeActionString(endActions,options.widget,event,{actionTiddler: titleString});\n\t\t\t\t}\n\t\t\t\t// Remove the dragging class on the element being dragged\n\t\t\t\t$tw.utils.removeClass(event.target,\"tc-dragging\");\n\t\t\t\t// Delete the drag image element\n\t\t\t\tif(dragImage) {\n\t\t\t\t\tdragImage.parentNode.removeChild(dragImage);\n\t\t\t\t\tdragImage = null;\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn false;\n\t\t}}\n\t]);\n};\n\nexports.importDataTransfer = function(dataTransfer,fallbackTitle,callback) {\n\t// Try each provided data type in turn\n\tfor(var t=0; t<importDataTypes.length; t++) {\n\t\tif(!$tw.browser.isIE || importDataTypes[t].IECompatible) {\n\t\t\t// Get the data\n\t\t\tvar dataType = importDataTypes[t];\n\t\t\t\tvar data = dataTransfer.getData(dataType.type);\n\t\t\t// Import the tiddlers in the data\n\t\t\tif(data !== \"\" && data !== null) {\n\t\t\t\tif($tw.log.IMPORT) {\n\t\t\t\t\tconsole.log(\"Importing data type '\" + dataType.type + \"', data: '\" + data + \"'\")\n\t\t\t\t}\n\t\t\t\tvar tiddlerFields = dataType.toTiddlerFieldsArray(data,fallbackTitle);\n\t\t\t\tcallback(tiddlerFields);\n\t\t\t\treturn;\n\t\t\t}\n\t\t}\n\t}\n};\n\nvar importDataTypes = [\n\t{type: \"text/vnd.tiddler\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn parseJSONTiddlers(data,fallbackTitle);\n\t}},\n\t{type: \"URL\", IECompatible: true, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\t// Check for tiddler data URI\n\t\tvar match = decodeURIComponent(data).match(/^data\\:text\\/vnd\\.tiddler,(.*)/i);\n\t\tif(match) {\n\t\t\treturn parseJSONTiddlers(match[1],fallbackTitle);\n\t\t} else {\n\t\t\treturn [{title: fallbackTitle, text: data}]; // As URL string\n\t\t}\n\t}},\n\t{type: \"text/x-moz-url\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\t// Check for tiddler data URI\n\t\tvar match = decodeURIComponent(data).match(/^data\\:text\\/vnd\\.tiddler,(.*)/i);\n\t\tif(match) {\n\t\t\treturn parseJSONTiddlers(match[1],fallbackTitle);\n\t\t} else {\n\t\t\treturn [{title: fallbackTitle, text: data}]; // As URL string\n\t\t}\n\t}},\n\t{type: \"text/html\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"text/plain\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"Text\", IECompatible: true, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"text/uri-list\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}}\n];\n\nfunction parseJSONTiddlers(json,fallbackTitle) {\n\tvar data = JSON.parse(json);\n\tif(!$tw.utils.isArray(data)) {\n\t\tdata = [data];\n\t}\n\tdata.forEach(function(fields) {\n\t\tfields.title = fields.title || fallbackTitle;\n\t});\n\treturn data;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/http.js": {
"title": "$:/core/modules/utils/dom/http.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/http.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser HTTP support\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nA quick and dirty HTTP function; to be refactored later. Options are:\n\turl: URL to retrieve\n\ttype: GET, PUT, POST etc\n\tcallback: function invoked with (err,data)\n\treturnProp: string name of the property to return as first argument of callback\n*/\nexports.httpRequest = function(options) {\n\tvar type = options.type || \"GET\",\n\t\theaders = options.headers || {accept: \"application/json\"},\n\t\treturnProp = options.returnProp || \"responseText\",\n\t\trequest = new XMLHttpRequest(),\n\t\tdata = \"\",\n\t\tf,results;\n\t// Massage the data hashmap into a string\n\tif(options.data) {\n\t\tif(typeof options.data === \"string\") { // Already a string\n\t\t\tdata = options.data;\n\t\t} else { // A hashmap of strings\n\t\t\tresults = [];\n\t\t\t$tw.utils.each(options.data,function(dataItem,dataItemTitle) {\n\t\t\t\tresults.push(dataItemTitle + \"=\" + encodeURIComponent(dataItem));\n\t\t\t});\n\t\t\tdata = results.join(\"&\");\n\t\t}\n\t}\n\t// Set up the state change handler\n\trequest.onreadystatechange = function() {\n\t\tif(this.readyState === 4) {\n\t\t\tif(this.status === 200 || this.status === 201 || this.status === 204) {\n\t\t\t\t// Success!\n\t\t\t\toptions.callback(null,this[returnProp],this);\n\t\t\t\treturn;\n\t\t\t}\n\t\t// Something went wrong\n\t\toptions.callback($tw.language.getString(\"Error/XMLHttpRequest\") + \": \" + this.status);\n\t\t}\n\t};\n\t// Make the request\n\trequest.open(type,options.url,true);\n\tif(headers) {\n\t\t$tw.utils.each(headers,function(header,headerTitle,object) {\n\t\t\trequest.setRequestHeader(headerTitle,header);\n\t\t});\n\t}\n\tif(data && !$tw.utils.hop(headers,\"Content-type\")) {\n\t\trequest.setRequestHeader(\"Content-type\",\"application/x-www-form-urlencoded; charset=UTF-8\");\n\t}\n\ttry {\n\t\trequest.send(data);\n\t} catch(e) {\n\t\toptions.callback(e);\n\t}\n\treturn request;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/keyboard.js": {
"title": "$:/core/modules/utils/dom/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/keyboard.js\ntype: application/javascript\nmodule-type: utils\n\nKeyboard utilities; now deprecated. Instead, use $tw.keyboardManager\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n[\"parseKeyDescriptor\",\"checkKeyDescriptor\"].forEach(function(method) {\n\texports[method] = function() {\n\t\tif($tw.keyboardManager) {\n\t\t\treturn $tw.keyboardManager[method].apply($tw.keyboardManager,Array.prototype.slice.call(arguments,0));\n\t\t} else {\n\t\t\treturn null\n\t\t}\n\t};\n});\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/modal.js": {
"title": "$:/core/modules/utils/dom/modal.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/modal.js\ntype: application/javascript\nmodule-type: utils\n\nModal message mechanism\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nvar Modal = function(wiki) {\n\tthis.wiki = wiki;\n\tthis.modalCount = 0;\n};\n\n/*\nDisplay a modal dialogue\n\ttitle: Title of tiddler to display\n\toptions: see below\nOptions include:\n\tdownloadLink: Text of a big download link to include\n*/\nModal.prototype.display = function(title,options) {\n\toptions = options || {};\n\tvar self = this,\n\t\trefreshHandler,\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\ttiddler = this.wiki.getTiddler(title);\n\t// Don't do anything if the tiddler doesn't exist\n\tif(!tiddler) {\n\t\treturn;\n\t}\n\t// Create the variables\n\tvar variables = $tw.utils.extend({currentTiddler: title},options.variables);\n\t// Create the wrapper divs\n\tvar wrapper = document.createElement(\"div\"),\n\t\tmodalBackdrop = document.createElement(\"div\"),\n\t\tmodalWrapper = document.createElement(\"div\"),\n\t\tmodalHeader = document.createElement(\"div\"),\n\t\theaderTitle = document.createElement(\"h3\"),\n\t\tmodalBody = document.createElement(\"div\"),\n\t\tmodalLink = document.createElement(\"a\"),\n\t\tmodalFooter = document.createElement(\"div\"),\n\t\tmodalFooterHelp = document.createElement(\"span\"),\n\t\tmodalFooterButtons = document.createElement(\"span\");\n\t// Up the modal count and adjust the body class\n\tthis.modalCount++;\n\tthis.adjustPageClass();\n\t// Add classes\n\t$tw.utils.addClass(wrapper,\"tc-modal-wrapper\");\n\t$tw.utils.addClass(modalBackdrop,\"tc-modal-backdrop\");\n\t$tw.utils.addClass(modalWrapper,\"tc-modal\");\n\t$tw.utils.addClass(modalHeader,\"tc-modal-header\");\n\t$tw.utils.addClass(modalBody,\"tc-modal-body\");\n\t$tw.utils.addClass(modalFooter,\"tc-modal-footer\");\n\t// Join them together\n\twrapper.appendChild(modalBackdrop);\n\twrapper.appendChild(modalWrapper);\n\tmodalHeader.appendChild(headerTitle);\n\tmodalWrapper.appendChild(modalHeader);\n\tmodalWrapper.appendChild(modalBody);\n\tmodalFooter.appendChild(modalFooterHelp);\n\tmodalFooter.appendChild(modalFooterButtons);\n\tmodalWrapper.appendChild(modalFooter);\n\t// Render the title of the message\n\tvar headerWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tfield: \"subtitle\",\n\t\tmode: \"inline\",\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\tattributes: {\n\t\t\t\ttext: {\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: title\n\t\t}}}],\n\t\tparentWidget: $tw.rootWidget,\n\t\tdocument: document,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\theaderWidgetNode.render(headerTitle,null);\n\t// Render the body of the message\n\tvar bodyWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tparentWidget: $tw.rootWidget,\n\t\tdocument: document,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\tbodyWidgetNode.render(modalBody,null);\n\t// Setup the link if present\n\tif(options.downloadLink) {\n\t\tmodalLink.href = options.downloadLink;\n\t\tmodalLink.appendChild(document.createTextNode(\"Right-click to save changes\"));\n\t\tmodalBody.appendChild(modalLink);\n\t}\n\t// Render the footer of the message\n\tif(tiddler && tiddler.fields && tiddler.fields.help) {\n\t\tvar link = document.createElement(\"a\");\n\t\tlink.setAttribute(\"href\",tiddler.fields.help);\n\t\tlink.setAttribute(\"target\",\"_blank\");\n\t\tlink.setAttribute(\"rel\",\"noopener noreferrer\");\n\t\tlink.appendChild(document.createTextNode(\"Help\"));\n\t\tmodalFooterHelp.appendChild(link);\n\t\tmodalFooterHelp.style.float = \"left\";\n\t}\n\tvar footerWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tfield: \"footer\",\n\t\tmode: \"inline\",\n\t\tchildren: [{\n\t\t\ttype: \"button\",\n\t\t\tattributes: {\n\t\t\t\tmessage: {\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: \"tm-close-tiddler\"\n\t\t\t\t}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\tattributes: {\n\t\t\t\t\ttext: {\n\t\t\t\t\t\ttype: \"string\",\n\t\t\t\t\t\tvalue: $tw.language.getString(\"Buttons/Close/Caption\")\n\t\t\t}}}\n\t\t]}],\n\t\tparentWidget: $tw.rootWidget,\n\t\tdocument: document,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\tfooterWidgetNode.render(modalFooterButtons,null);\n\t// Set up the refresh handler\n\trefreshHandler = function(changes) {\n\t\theaderWidgetNode.refresh(changes,modalHeader,null);\n\t\tbodyWidgetNode.refresh(changes,modalBody,null);\n\t\tfooterWidgetNode.refresh(changes,modalFooterButtons,null);\n\t};\n\tthis.wiki.addEventListener(\"change\",refreshHandler);\n\t// Add the close event handler\n\tvar closeHandler = function(event) {\n\t\t// Remove our refresh handler\n\t\tself.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t// Decrease the modal count and adjust the body class\n\t\tself.modalCount--;\n\t\tself.adjustPageClass();\n\t\t// Force layout and animate the modal message away\n\t\t$tw.utils.forceLayout(modalBackdrop);\n\t\t$tw.utils.forceLayout(modalWrapper);\n\t\t$tw.utils.setStyle(modalBackdrop,[\n\t\t\t{opacity: \"0\"}\n\t\t]);\n\t\t$tw.utils.setStyle(modalWrapper,[\n\t\t\t{transform: \"translateY(\" + window.innerHeight + \"px)\"}\n\t\t]);\n\t\t// Set up an event for the transition end\n\t\twindow.setTimeout(function() {\n\t\t\tif(wrapper.parentNode) {\n\t\t\t\t// Remove the modal message from the DOM\n\t\t\t\tdocument.body.removeChild(wrapper);\n\t\t\t}\n\t\t},duration);\n\t\t// Don't let anyone else handle the tm-close-tiddler message\n\t\treturn false;\n\t};\n\theaderWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\tbodyWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\tfooterWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\t// Set the initial styles for the message\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{opacity: \"0\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transformOrigin: \"0% 0%\"},\n\t\t{transform: \"translateY(\" + (-window.innerHeight) + \"px)\"}\n\t]);\n\t// Put the message into the document\n\tdocument.body.appendChild(wrapper);\n\t// Set up animation for the styles\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{transition: \"opacity \" + duration + \"ms ease-out\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out\"}\n\t]);\n\t// Force layout\n\t$tw.utils.forceLayout(modalBackdrop);\n\t$tw.utils.forceLayout(modalWrapper);\n\t// Set final animated styles\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{opacity: \"0.7\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transform: \"translateY(0px)\"}\n\t]);\n};\n\nModal.prototype.adjustPageClass = function() {\n\tif($tw.pageContainer) {\n\t\t$tw.utils.toggleClass($tw.pageContainer,\"tc-modal-displayed\",this.modalCount > 0);\n\t}\n};\n\nexports.Modal = Modal;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/notifier.js": {
"title": "$:/core/modules/utils/dom/notifier.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/notifier.js\ntype: application/javascript\nmodule-type: utils\n\nNotifier mechanism\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nvar Notifier = function(wiki) {\n\tthis.wiki = wiki;\n};\n\n/*\nDisplay a notification\n\ttitle: Title of tiddler containing the notification text\n\toptions: see below\nOptions include:\n*/\nNotifier.prototype.display = function(title,options) {\n\toptions = options || {};\n\t// Create the wrapper divs\n\tvar self = this,\n\t\tnotification = document.createElement(\"div\"),\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\trefreshHandler;\n\t// Don't do anything if the tiddler doesn't exist\n\tif(!tiddler) {\n\t\treturn;\n\t}\n\t// Add classes\n\t$tw.utils.addClass(notification,\"tc-notification\");\n\t// Create the variables\n\tvar variables = $tw.utils.extend({currentTiddler: title},options.variables);\n\t// Render the body of the notification\n\tvar widgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tparentWidget: $tw.rootWidget,\n\t\tdocument: document,\n\t\tvariables: variables,\n\t\timportPageMacros: true});\n\twidgetNode.render(notification,null);\n\trefreshHandler = function(changes) {\n\t\twidgetNode.refresh(changes,notification,null);\n\t};\n\tthis.wiki.addEventListener(\"change\",refreshHandler);\n\t// Set the initial styles for the notification\n\t$tw.utils.setStyle(notification,[\n\t\t{opacity: \"0\"},\n\t\t{transformOrigin: \"0% 0%\"},\n\t\t{transform: \"translateY(\" + (-window.innerHeight) + \"px)\"},\n\t\t{transition: \"opacity \" + duration + \"ms ease-out, \" + $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out\"}\n\t]);\n\t// Add the notification to the DOM\n\tdocument.body.appendChild(notification);\n\t// Force layout\n\t$tw.utils.forceLayout(notification);\n\t// Set final animated styles\n\t$tw.utils.setStyle(notification,[\n\t\t{opacity: \"1.0\"},\n\t\t{transform: \"translateY(0px)\"}\n\t]);\n\t// Set a timer to remove the notification\n\twindow.setTimeout(function() {\n\t\t// Remove our change event handler\n\t\tself.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t// Force layout and animate the notification away\n\t\t$tw.utils.forceLayout(notification);\n\t\t$tw.utils.setStyle(notification,[\n\t\t\t{opacity: \"0.0\"},\n\t\t\t{transform: \"translateX(\" + (notification.offsetWidth) + \"px)\"}\n\t\t]);\n\t\t// Remove the modal message from the DOM once the transition ends\n\t\tsetTimeout(function() {\n\t\t\tif(notification.parentNode) {\n\t\t\t\tdocument.body.removeChild(notification);\n\t\t\t}\n\t\t},duration);\n\t},$tw.config.preferences.notificationDuration);\n};\n\nexports.Notifier = Notifier;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/popup.js": {
"title": "$:/core/modules/utils/dom/popup.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/popup.js\ntype: application/javascript\nmodule-type: utils\n\nModule that creates a $tw.utils.Popup object prototype that manages popups in the browser\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreates a Popup object with these options:\n\trootElement: the DOM element to which the popup zapper should be attached\n*/\nvar Popup = function(options) {\n\toptions = options || {};\n\tthis.rootElement = options.rootElement || document.documentElement;\n\tthis.popups = []; // Array of {title:,wiki:,domNode:} objects\n};\n\n/*\nTrigger a popup open or closed. Parameters are in a hashmap:\n\ttitle: title of the tiddler where the popup details are stored\n\tdomNode: dom node to which the popup will be positioned\n\twiki: wiki\n\tforce: if specified, forces the popup state to true or false (instead of toggling it)\n*/\nPopup.prototype.triggerPopup = function(options) {\n\t// Check if this popup is already active\n\tvar index = this.findPopup(options.title);\n\t// Compute the new state\n\tvar state = index === -1;\n\tif(options.force !== undefined) {\n\t\tstate = options.force;\n\t}\n\t// Show or cancel the popup according to the new state\n\tif(state) {\n\t\tthis.show(options);\n\t} else {\n\t\tthis.cancel(index);\n\t}\n};\n\nPopup.prototype.findPopup = function(title) {\n\tvar index = -1;\n\tfor(var t=0; t<this.popups.length; t++) {\n\t\tif(this.popups[t].title === title) {\n\t\t\tindex = t;\n\t\t}\n\t}\n\treturn index;\n};\n\nPopup.prototype.handleEvent = function(event) {\n\tif(event.type === \"click\") {\n\t\t// Find out what was clicked on\n\t\tvar info = this.popupInfo(event.target),\n\t\t\tcancelLevel = info.popupLevel - 1;\n\t\t// Don't remove the level that was clicked on if we clicked on a handle\n\t\tif(info.isHandle) {\n\t\t\tcancelLevel++;\n\t\t}\n\t\t// Cancel\n\t\tthis.cancel(cancelLevel);\n\t}\n};\n\n/*\nFind the popup level containing a DOM node. Returns:\npopupLevel: count of the number of nested popups containing the specified element\nisHandle: true if the specified element is within a popup handle\n*/\nPopup.prototype.popupInfo = function(domNode) {\n\tvar isHandle = false,\n\t\tpopupCount = 0,\n\t\tnode = domNode;\n\t// First check ancestors to see if we're within a popup handle\n\twhile(node) {\n\t\tif($tw.utils.hasClass(node,\"tc-popup-handle\")) {\n\t\t\tisHandle = true;\n\t\t\tpopupCount++;\n\t\t}\n\t\tif($tw.utils.hasClass(node,\"tc-popup-keep\")) {\n\t\t\tisHandle = true;\n\t\t}\n\t\tnode = node.parentNode;\n\t}\n\t// Then count the number of ancestor popups\n\tnode = domNode;\n\twhile(node) {\n\t\tif($tw.utils.hasClass(node,\"tc-popup\")) {\n\t\t\tpopupCount++;\n\t\t}\n\t\tnode = node.parentNode;\n\t}\n\tvar info = {\n\t\tpopupLevel: popupCount,\n\t\tisHandle: isHandle\n\t};\n\treturn info;\n};\n\n/*\nDisplay a popup by adding it to the stack\n*/\nPopup.prototype.show = function(options) {\n\t// Find out what was clicked on\n\tvar info = this.popupInfo(options.domNode);\n\t// Cancel any higher level popups\n\tthis.cancel(info.popupLevel);\n\t// Store the popup details if not already there\n\tif(this.findPopup(options.title) === -1) {\n\t\tthis.popups.push({\n\t\t\ttitle: options.title,\n\t\t\twiki: options.wiki,\n\t\t\tdomNode: options.domNode\n\t\t});\n\t}\n\t// Set the state tiddler\n\toptions.wiki.setTextReference(options.title,\n\t\t\t\"(\" + options.domNode.offsetLeft + \",\" + options.domNode.offsetTop + \",\" + \n\t\t\t\toptions.domNode.offsetWidth + \",\" + options.domNode.offsetHeight + \")\");\n\t// Add the click handler if we have any popups\n\tif(this.popups.length > 0) {\n\t\tthis.rootElement.addEventListener(\"click\",this,true);\t\t\n\t}\n};\n\n/*\nCancel all popups at or above a specified level or DOM node\nlevel: popup level to cancel (0 cancels all popups)\n*/\nPopup.prototype.cancel = function(level) {\n\tvar numPopups = this.popups.length;\n\tlevel = Math.max(0,Math.min(level,numPopups));\n\tfor(var t=level; t<numPopups; t++) {\n\t\tvar popup = this.popups.pop();\n\t\tif(popup.title) {\n\t\t\tpopup.wiki.deleteTiddler(popup.title);\n\t\t}\n\t}\n\tif(this.popups.length === 0) {\n\t\tthis.rootElement.removeEventListener(\"click\",this,false);\n\t}\n};\n\n/*\nReturns true if the specified title and text identifies an active popup\n*/\nPopup.prototype.readPopupState = function(text) {\n\tvar popupLocationRegExp = /^\\((-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+)\\)$/;\n\treturn popupLocationRegExp.test(text);\n};\n\nexports.Popup = Popup;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/scroller.js": {
"title": "$:/core/modules/utils/dom/scroller.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/scroller.js\ntype: application/javascript\nmodule-type: utils\n\nModule that creates a $tw.utils.Scroller object prototype that manages scrolling in the browser\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nEvent handler for when the `tm-scroll` event hits the document body\n*/\nvar PageScroller = function() {\n\tthis.idRequestFrame = null;\n\tthis.requestAnimationFrame = window.requestAnimationFrame ||\n\t\twindow.webkitRequestAnimationFrame ||\n\t\twindow.mozRequestAnimationFrame ||\n\t\tfunction(callback) {\n\t\t\treturn window.setTimeout(callback, 1000/60);\n\t\t};\n\tthis.cancelAnimationFrame = window.cancelAnimationFrame ||\n\t\twindow.webkitCancelAnimationFrame ||\n\t\twindow.webkitCancelRequestAnimationFrame ||\n\t\twindow.mozCancelAnimationFrame ||\n\t\twindow.mozCancelRequestAnimationFrame ||\n\t\tfunction(id) {\n\t\t\twindow.clearTimeout(id);\n\t\t};\n};\n\nPageScroller.prototype.cancelScroll = function() {\n\tif(this.idRequestFrame) {\n\t\tthis.cancelAnimationFrame.call(window,this.idRequestFrame);\n\t\tthis.idRequestFrame = null;\n\t}\n};\n\n/*\nHandle an event\n*/\nPageScroller.prototype.handleEvent = function(event) {\n\tif(event.type === \"tm-scroll\") {\n\t\treturn this.scrollIntoView(event.target);\n\t}\n\treturn true;\n};\n\n/*\nHandle a scroll event hitting the page document\n*/\nPageScroller.prototype.scrollIntoView = function(element) {\n\tvar self = this,\n\t\tduration = $tw.utils.getAnimationDuration();\n\t// Now get ready to scroll the body\n\tthis.cancelScroll();\n\tthis.startTime = Date.now();\n\t// Get the client bounds of the element and adjust by the scroll position\n\tvar getBounds = function() {\n\t\t\tvar clientBounds = element.getBoundingClientRect(),\n\t\t\t\tscrollPosition = $tw.utils.getScrollPosition();\n\t\t\treturn {\n\t\t\t\tleft: clientBounds.left + scrollPosition.x,\n\t\t\t\ttop: clientBounds.top + scrollPosition.y,\n\t\t\t\twidth: clientBounds.width,\n\t\t\t\theight: clientBounds.height\n\t\t\t};\n\t\t},\n\t\t// We'll consider the horizontal and vertical scroll directions separately via this function\n\t\t// targetPos/targetSize - position and size of the target element\n\t\t// currentPos/currentSize - position and size of the current scroll viewport\n\t\t// returns: new position of the scroll viewport\n\t\tgetEndPos = function(targetPos,targetSize,currentPos,currentSize) {\n\t\t\tvar newPos = targetPos;\n\t\t\t// If we are scrolling within 50 pixels of the top/left then snap to zero\n\t\t\tif(newPos < 50) {\n\t\t\t\tnewPos = 0;\n\t\t\t}\n\t\t\treturn newPos;\n\t\t},\n\t\tdrawFrame = function drawFrame() {\n\t\t\tvar t;\n\t\t\tif(duration <= 0) {\n\t\t\t\tt = 1;\n\t\t\t} else {\n\t\t\t\tt = ((Date.now()) - self.startTime) / duration;\t\n\t\t\t}\n\t\t\tif(t >= 1) {\n\t\t\t\tself.cancelScroll();\n\t\t\t\tt = 1;\n\t\t\t}\n\t\t\tt = $tw.utils.slowInSlowOut(t);\n\t\t\tvar scrollPosition = $tw.utils.getScrollPosition(),\n\t\t\t\tbounds = getBounds(),\n\t\t\t\tendX = getEndPos(bounds.left,bounds.width,scrollPosition.x,window.innerWidth),\n\t\t\t\tendY = getEndPos(bounds.top,bounds.height,scrollPosition.y,window.innerHeight);\n\t\t\twindow.scrollTo(scrollPosition.x + (endX - scrollPosition.x) * t,scrollPosition.y + (endY - scrollPosition.y) * t);\n\t\t\tif(t < 1) {\n\t\t\t\tself.idRequestFrame = self.requestAnimationFrame.call(window,drawFrame);\n\t\t\t}\n\t\t};\n\tdrawFrame();\n};\n\nexports.PageScroller = PageScroller;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/edition-info.js": {
"title": "$:/core/modules/utils/edition-info.js",
"text": "/*\\\ntitle: $:/core/modules/utils/edition-info.js\ntype: application/javascript\nmodule-type: utils-node\n\nInformation about the available editions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar fs = require(\"fs\"),\n\tpath = require(\"path\");\n\nvar editionInfo;\n\nexports.getEditionInfo = function() {\n\tif(!editionInfo) {\n\t\t// Enumerate the edition paths\n\t\tvar editionPaths = $tw.getLibraryItemSearchPaths($tw.config.editionsPath,$tw.config.editionsEnvVar);\n\t\teditionInfo = {};\n\t\tfor(var editionIndex=0; editionIndex<editionPaths.length; editionIndex++) {\n\t\t\tvar editionPath = editionPaths[editionIndex];\n\t\t\t// Enumerate the folders\n\t\t\tvar entries = fs.readdirSync(editionPath);\n\t\t\tfor(var entryIndex=0; entryIndex<entries.length; entryIndex++) {\n\t\t\t\tvar entry = entries[entryIndex];\n\t\t\t\t// Check if directories have a valid tiddlywiki.info\n\t\t\t\tif(!editionInfo[entry] && $tw.utils.isDirectory(path.resolve(editionPath,entry))) {\n\t\t\t\t\tvar info;\n\t\t\t\t\ttry {\n\t\t\t\t\t\tinfo = JSON.parse(fs.readFileSync(path.resolve(editionPath,entry,\"tiddlywiki.info\"),\"utf8\"));\n\t\t\t\t\t} catch(ex) {\n\t\t\t\t\t}\n\t\t\t\t\tif(info) {\n\t\t\t\t\t\teditionInfo[entry] = info;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\treturn editionInfo;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils-node"
},
"$:/core/modules/utils/fakedom.js": {
"title": "$:/core/modules/utils/fakedom.js",
"text": "/*\\\ntitle: $:/core/modules/utils/fakedom.js\ntype: application/javascript\nmodule-type: global\n\nA barebones implementation of DOM interfaces needed by the rendering mechanism.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Sequence number used to enable us to track objects for testing\nvar sequenceNumber = null;\n\nvar bumpSequenceNumber = function(object) {\n\tif(sequenceNumber !== null) {\n\t\tobject.sequenceNumber = sequenceNumber++;\n\t}\n};\n\nvar TW_TextNode = function(text) {\n\tbumpSequenceNumber(this);\n\tthis.textContent = text + \"\";\n};\n\nObject.defineProperty(TW_TextNode.prototype, \"nodeType\", {\n\tget: function() {\n\t\treturn 3;\n\t}\n});\n\nObject.defineProperty(TW_TextNode.prototype, \"formattedTextContent\", {\n\tget: function() {\n\t\treturn this.textContent.replace(/(\\r?\\n)/g,\"\");\n\t}\n});\n\nvar TW_Element = function(tag,namespace) {\n\tbumpSequenceNumber(this);\n\tthis.isTiddlyWikiFakeDom = true;\n\tthis.tag = tag;\n\tthis.attributes = {};\n\tthis.isRaw = false;\n\tthis.children = [];\n\tthis.style = {};\n\tthis.namespaceURI = namespace || \"http://www.w3.org/1999/xhtml\";\n};\n\nObject.defineProperty(TW_Element.prototype, \"nodeType\", {\n\tget: function() {\n\t\treturn 1;\n\t}\n});\n\nTW_Element.prototype.getAttribute = function(name) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot getAttribute on a raw TW_Element\";\n\t}\n\treturn this.attributes[name];\n};\n\nTW_Element.prototype.setAttribute = function(name,value) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot setAttribute on a raw TW_Element\";\n\t}\n\tthis.attributes[name] = value + \"\";\n};\n\nTW_Element.prototype.setAttributeNS = function(namespace,name,value) {\n\tthis.setAttribute(name,value);\n};\n\nTW_Element.prototype.removeAttribute = function(name) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot removeAttribute on a raw TW_Element\";\n\t}\n\tif($tw.utils.hop(this.attributes,name)) {\n\t\tdelete this.attributes[name];\n\t}\n};\n\nTW_Element.prototype.appendChild = function(node) {\n\tthis.children.push(node);\n\tnode.parentNode = this;\n};\n\nTW_Element.prototype.insertBefore = function(node,nextSibling) {\n\tif(nextSibling) {\n\t\tvar p = this.children.indexOf(nextSibling);\n\t\tif(p !== -1) {\n\t\t\tthis.children.splice(p,0,node);\n\t\t\tnode.parentNode = this;\n\t\t} else {\n\t\t\tthis.appendChild(node);\n\t\t}\n\t} else {\n\t\tthis.appendChild(node);\n\t}\n};\n\nTW_Element.prototype.removeChild = function(node) {\n\tvar p = this.children.indexOf(node);\n\tif(p !== -1) {\n\t\tthis.children.splice(p,1);\n\t}\n};\n\nTW_Element.prototype.hasChildNodes = function() {\n\treturn !!this.children.length;\n};\n\nObject.defineProperty(TW_Element.prototype, \"childNodes\", {\n\tget: function() {\n\t\treturn this.children;\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"firstChild\", {\n\tget: function() {\n\t\treturn this.children[0];\n\t}\n});\n\nTW_Element.prototype.addEventListener = function(type,listener,useCapture) {\n\t// Do nothing\n};\n\nObject.defineProperty(TW_Element.prototype, \"tagName\", {\n\tget: function() {\n\t\treturn this.tag || \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"className\", {\n\tget: function() {\n\t\treturn this.attributes[\"class\"] || \"\";\n\t},\n\tset: function(value) {\n\t\tthis.attributes[\"class\"] = value + \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"value\", {\n\tget: function() {\n\t\treturn this.attributes.value || \"\";\n\t},\n\tset: function(value) {\n\t\tthis.attributes.value = value + \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"outerHTML\", {\n\tget: function() {\n\t\tvar output = [],attr,a,v;\n\t\toutput.push(\"<\",this.tag);\n\t\tif(this.attributes) {\n\t\t\tattr = [];\n\t\t\tfor(a in this.attributes) {\n\t\t\t\tattr.push(a);\n\t\t\t}\n\t\t\tattr.sort();\n\t\t\tfor(a=0; a<attr.length; a++) {\n\t\t\t\tv = this.attributes[attr[a]];\n\t\t\t\tif(v !== undefined) {\n\t\t\t\t\toutput.push(\" \",attr[a],\"=\\\"\",$tw.utils.htmlEncode(v),\"\\\"\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\tif(this.style) {\n\t\t\tvar style = [];\n\t\t\tfor(var s in this.style) {\n\t\t\t\tstyle.push(s + \":\" + this.style[s] + \";\");\n\t\t\t}\n\t\t\tif(style.length > 0) {\n\t\t\t\toutput.push(\" style=\\\"\",style.join(\"\"),\"\\\"\")\n\t\t\t}\n\t\t}\n\t\toutput.push(\">\");\n\t\tif($tw.config.htmlVoidElements.indexOf(this.tag) === -1) {\n\t\t\toutput.push(this.innerHTML);\n\t\t\toutput.push(\"</\",this.tag,\">\");\n\t\t}\n\t\treturn output.join(\"\");\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"innerHTML\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\treturn this.rawHTML;\n\t\t} else {\n\t\t\tvar b = [];\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tif(node instanceof TW_Element) {\n\t\t\t\t\tb.push(node.outerHTML);\n\t\t\t\t} else if(node instanceof TW_TextNode) {\n\t\t\t\t\tb.push($tw.utils.htmlEncode(node.textContent));\n\t\t\t\t}\n\t\t\t});\n\t\t\treturn b.join(\"\");\n\t\t}\n\t},\n\tset: function(value) {\n\t\tthis.isRaw = true;\n\t\tthis.rawHTML = value;\n\t\tthis.rawTextContent = null;\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"textInnerHTML\", {\n\tset: function(value) {\n\t\tif(this.isRaw) {\n\t\t\tthis.rawTextContent = value;\n\t\t} else {\n\t\t\tthrow \"Cannot set textInnerHTML of a non-raw TW_Element\";\n\t\t}\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"textContent\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\tif(this.rawTextContent === null) {\n\t\t\t\treturn \"\";\n\t\t\t} else {\n\t\t\t\treturn this.rawTextContent;\n\t\t\t}\n\t\t} else {\n\t\t\tvar b = [];\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tb.push(node.textContent);\n\t\t\t});\n\t\t\treturn b.join(\"\");\n\t\t}\n\t},\n\tset: function(value) {\n\t\tthis.children = [new TW_TextNode(value)];\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"formattedTextContent\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\treturn \"\";\n\t\t} else {\n\t\t\tvar b = [],\n\t\t\t\tisBlock = $tw.config.htmlBlockElements.indexOf(this.tag) !== -1;\n\t\t\tif(isBlock) {\n\t\t\t\tb.push(\"\\n\");\n\t\t\t}\n\t\t\tif(this.tag === \"li\") {\n\t\t\t\tb.push(\"* \");\n\t\t\t}\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tb.push(node.formattedTextContent);\n\t\t\t});\n\t\t\tif(isBlock) {\n\t\t\t\tb.push(\"\\n\");\n\t\t\t}\n\t\t\treturn b.join(\"\");\n\t\t}\n\t}\n});\n\nvar document = {\n\tsetSequenceNumber: function(value) {\n\t\tsequenceNumber = value;\n\t},\n\tcreateElementNS: function(namespace,tag) {\n\t\treturn new TW_Element(tag,namespace);\n\t},\n\tcreateElement: function(tag) {\n\t\treturn new TW_Element(tag);\n\t},\n\tcreateTextNode: function(text) {\n\t\treturn new TW_TextNode(text);\n\t},\n\tcompatMode: \"CSS1Compat\", // For KaTeX to know that we're not a browser in quirks mode\n\tisTiddlyWikiFakeDom: true\n};\n\nexports.fakeDocument = document;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/utils/filesystem.js": {
"title": "$:/core/modules/utils/filesystem.js",
"text": "/*\\\ntitle: $:/core/modules/utils/filesystem.js\ntype: application/javascript\nmodule-type: utils-node\n\nFile system utilities\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar fs = require(\"fs\"),\n\tpath = require(\"path\");\n\n/*\nRecursively (and synchronously) copy a directory and all its content\n*/\nexports.copyDirectory = function(srcPath,dstPath) {\n\t// Remove any trailing path separators\n\tsrcPath = $tw.utils.removeTrailingSeparator(srcPath);\n\tdstPath = $tw.utils.removeTrailingSeparator(dstPath);\n\t// Create the destination directory\n\tvar err = $tw.utils.createDirectory(dstPath);\n\tif(err) {\n\t\treturn err;\n\t}\n\t// Function to copy a folder full of files\n\tvar copy = function(srcPath,dstPath) {\n\t\tvar srcStats = fs.lstatSync(srcPath),\n\t\t\tdstExists = fs.existsSync(dstPath);\n\t\tif(srcStats.isFile()) {\n\t\t\t$tw.utils.copyFile(srcPath,dstPath);\n\t\t} else if(srcStats.isDirectory()) {\n\t\t\tvar items = fs.readdirSync(srcPath);\n\t\t\tfor(var t=0; t<items.length; t++) {\n\t\t\t\tvar item = items[t],\n\t\t\t\t\terr = copy(srcPath + path.sep + item,dstPath + path.sep + item);\n\t\t\t\tif(err) {\n\t\t\t\t\treturn err;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t};\n\tcopy(srcPath,dstPath);\n\treturn null;\n};\n\n/*\nCopy a file\n*/\nvar FILE_BUFFER_LENGTH = 64 * 1024,\n\tfileBuffer;\n\nexports.copyFile = function(srcPath,dstPath) {\n\t// Create buffer if required\n\tif(!fileBuffer) {\n\t\tfileBuffer = new Buffer(FILE_BUFFER_LENGTH);\n\t}\n\t// Create any directories in the destination\n\t$tw.utils.createDirectory(path.dirname(dstPath));\n\t// Copy the file\n\tvar srcFile = fs.openSync(srcPath,\"r\"),\n\t\tdstFile = fs.openSync(dstPath,\"w\"),\n\t\tbytesRead = 1,\n\t\tpos = 0;\n\twhile (bytesRead > 0) {\n\t\tbytesRead = fs.readSync(srcFile,fileBuffer,0,FILE_BUFFER_LENGTH,pos);\n\t\tfs.writeSync(dstFile,fileBuffer,0,bytesRead);\n\t\tpos += bytesRead;\n\t}\n\tfs.closeSync(srcFile);\n\tfs.closeSync(dstFile);\n\treturn null;\n};\n\n/*\nRemove trailing path separator\n*/\nexports.removeTrailingSeparator = function(dirPath) {\n\tvar len = dirPath.length;\n\tif(dirPath.charAt(len-1) === path.sep) {\n\t\tdirPath = dirPath.substr(0,len-1);\n\t}\n\treturn dirPath;\n};\n\n/*\nRecursively create a directory\n*/\nexports.createDirectory = function(dirPath) {\n\tif(dirPath.substr(dirPath.length-1,1) !== path.sep) {\n\t\tdirPath = dirPath + path.sep;\n\t}\n\tvar pos = 1;\n\tpos = dirPath.indexOf(path.sep,pos);\n\twhile(pos !== -1) {\n\t\tvar subDirPath = dirPath.substr(0,pos);\n\t\tif(!$tw.utils.isDirectory(subDirPath)) {\n\t\t\ttry {\n\t\t\t\tfs.mkdirSync(subDirPath);\n\t\t\t} catch(e) {\n\t\t\t\treturn \"Error creating directory '\" + subDirPath + \"'\";\n\t\t\t}\n\t\t}\n\t\tpos = dirPath.indexOf(path.sep,pos + 1);\n\t}\n\treturn null;\n};\n\n/*\nRecursively create directories needed to contain a specified file\n*/\nexports.createFileDirectories = function(filePath) {\n\treturn $tw.utils.createDirectory(path.dirname(filePath));\n};\n\n/*\nRecursively delete a directory\n*/\nexports.deleteDirectory = function(dirPath) {\n\tif(fs.existsSync(dirPath)) {\n\t\tvar entries = fs.readdirSync(dirPath);\n\t\tfor(var entryIndex=0; entryIndex<entries.length; entryIndex++) {\n\t\t\tvar currPath = dirPath + path.sep + entries[entryIndex];\n\t\t\tif(fs.lstatSync(currPath).isDirectory()) {\n\t\t\t\t$tw.utils.deleteDirectory(currPath);\n\t\t\t} else {\n\t\t\t\tfs.unlinkSync(currPath);\n\t\t\t}\n\t\t}\n\tfs.rmdirSync(dirPath);\n\t}\n\treturn null;\n};\n\n/*\nCheck if a path identifies a directory\n*/\nexports.isDirectory = function(dirPath) {\n\treturn fs.existsSync(dirPath) && fs.statSync(dirPath).isDirectory();\n};\n\n/*\nCheck if a path identifies a directory that is empty\n*/\nexports.isDirectoryEmpty = function(dirPath) {\n\tif(!$tw.utils.isDirectory(dirPath)) {\n\t\treturn false;\n\t}\n\tvar files = fs.readdirSync(dirPath),\n\t\tempty = true;\n\t$tw.utils.each(files,function(file,index) {\n\t\tif(file.charAt(0) !== \".\") {\n\t\t\tempty = false;\n\t\t}\n\t});\n\treturn empty;\n};\n\n/*\nRecursively delete a tree of empty directories\n*/\nexports.deleteEmptyDirs = function(dirpath,callback) {\n\tvar self = this;\n\tfs.readdir(dirpath,function(err,files) {\n\t\tif(err) {\n\t\t\treturn callback(err);\n\t\t}\n\t\tif(files.length > 0) {\n\t\t\treturn callback(null);\n\t\t}\n\t\tfs.rmdir(dirpath,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tself.deleteEmptyDirs(path.dirname(dirpath),callback);\n\t\t});\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils-node"
},
"$:/core/modules/utils/logger.js": {
"title": "$:/core/modules/utils/logger.js",
"text": "/*\\\ntitle: $:/core/modules/utils/logger.js\ntype: application/javascript\nmodule-type: utils\n\nA basic logging implementation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ALERT_TAG = \"$:/tags/Alert\";\n\n/*\nMake a new logger\n*/\nfunction Logger(componentName,options) {\n\toptions = options || {};\n\tthis.componentName = componentName || \"\";\n\tthis.colour = options.colour || \"white\";\n\tthis.enable = \"enable\" in options ? options.enable : true;\n}\n\n/*\nLog a message\n*/\nLogger.prototype.log = function(/* args */) {\n\tif(this.enable && console !== undefined && console.log !== undefined) {\n\t\treturn Function.apply.call(console.log, console, [$tw.utils.terminalColour(this.colour),this.componentName + \":\"].concat(Array.prototype.slice.call(arguments,0)).concat($tw.utils.terminalColour()));\n\t}\n};\n\n/*\nAlert a message\n*/\nLogger.prototype.alert = function(/* args */) {\n\tif(this.enable) {\n\t\t// Prepare the text of the alert\n\t\tvar text = Array.prototype.join.call(arguments,\" \");\n\t\t// Create alert tiddlers in the browser\n\t\tif($tw.browser) {\n\t\t\t// Check if there is an existing alert with the same text and the same component\n\t\t\tvar existingAlerts = $tw.wiki.getTiddlersWithTag(ALERT_TAG),\n\t\t\t\talertFields,\n\t\t\t\texistingCount,\n\t\t\t\tself = this;\n\t\t\t$tw.utils.each(existingAlerts,function(title) {\n\t\t\t\tvar tiddler = $tw.wiki.getTiddler(title);\n\t\t\t\tif(tiddler.fields.text === text && tiddler.fields.component === self.componentName && tiddler.fields.modified && (!alertFields || tiddler.fields.modified < alertFields.modified)) {\n\t\t\t\t\t\talertFields = $tw.utils.extend({},tiddler.fields);\n\t\t\t\t}\n\t\t\t});\n\t\t\tif(alertFields) {\n\t\t\t\texistingCount = alertFields.count || 1;\n\t\t\t} else {\n\t\t\t\talertFields = {\n\t\t\t\t\ttitle: $tw.wiki.generateNewTitle(\"$:/temp/alerts/alert\",{prefix: \"\"}),\n\t\t\t\t\ttext: text,\n\t\t\t\t\ttags: [ALERT_TAG],\n\t\t\t\t\tcomponent: this.componentName\n\t\t\t\t};\n\t\t\t\texistingCount = 0;\n\t\t\t}\n\t\t\talertFields.modified = new Date();\n\t\t\tif(++existingCount > 1) {\n\t\t\t\talertFields.count = existingCount;\n\t\t\t} else {\n\t\t\t\talertFields.count = undefined;\n\t\t\t}\n\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler(alertFields));\n\t\t\t// Log the alert as well\n\t\t\tthis.log.apply(this,Array.prototype.slice.call(arguments,0));\n\t\t} else {\n\t\t\t// Print an orange message to the console if not in the browser\n\t\t\tconsole.error(\"\\x1b[1;33m\" + text + \"\\x1b[0m\");\n\t\t}\t\t\n\t}\n};\n\nexports.Logger = Logger;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/parsetree.js": {
"title": "$:/core/modules/utils/parsetree.js",
"text": "/*\\\ntitle: $:/core/modules/utils/parsetree.js\ntype: application/javascript\nmodule-type: utils\n\nParse tree utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.addAttributeToParseTreeNode = function(node,name,value) {\n\tnode.attributes = node.attributes || {};\n\tnode.attributes[name] = {type: \"string\", value: value};\n};\n\nexports.getAttributeValueFromParseTreeNode = function(node,name,defaultValue) {\n\tif(node.attributes && node.attributes[name] && node.attributes[name].value !== undefined) {\n\t\treturn node.attributes[name].value;\n\t}\n\treturn defaultValue;\n};\n\nexports.addClassToParseTreeNode = function(node,classString) {\n\tvar classes = [];\n\tnode.attributes = node.attributes || {};\n\tnode.attributes[\"class\"] = node.attributes[\"class\"] || {type: \"string\", value: \"\"};\n\tif(node.attributes[\"class\"].type === \"string\") {\n\t\tif(node.attributes[\"class\"].value !== \"\") {\n\t\t\tclasses = node.attributes[\"class\"].value.split(\" \");\n\t\t}\n\t\tif(classString !== \"\") {\n\t\t\t$tw.utils.pushTop(classes,classString.split(\" \"));\n\t\t}\n\t\tnode.attributes[\"class\"].value = classes.join(\" \");\n\t}\n};\n\nexports.addStyleToParseTreeNode = function(node,name,value) {\n\t\tnode.attributes = node.attributes || {};\n\t\tnode.attributes.style = node.attributes.style || {type: \"string\", value: \"\"};\n\t\tif(node.attributes.style.type === \"string\") {\n\t\t\tnode.attributes.style.value += name + \":\" + value + \";\";\n\t\t}\n};\n\nexports.findParseTreeNode = function(nodeArray,search) {\n\tfor(var t=0; t<nodeArray.length; t++) {\n\t\tif(nodeArray[t].type === search.type && nodeArray[t].tag === search.tag) {\n\t\t\treturn nodeArray[t];\n\t\t}\n\t}\n\treturn undefined;\n};\n\n/*\nHelper to get the text of a parse tree node or array of nodes\n*/\nexports.getParseTreeText = function getParseTreeText(tree) {\n\tvar output = [];\n\tif($tw.utils.isArray(tree)) {\n\t\t$tw.utils.each(tree,function(node) {\n\t\t\toutput.push(getParseTreeText(node));\n\t\t});\n\t} else {\n\t\tif(tree.type === \"text\") {\n\t\t\toutput.push(tree.text);\n\t\t}\n\t\tif(tree.children) {\n\t\t\treturn getParseTreeText(tree.children);\n\t\t}\n\t}\n\treturn output.join(\"\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/performance.js": {
"title": "$:/core/modules/utils/performance.js",
"text": "/*\\\ntitle: $:/core/modules/utils/performance.js\ntype: application/javascript\nmodule-type: global\n\nPerformance measurement.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction Performance(enabled) {\n\tthis.enabled = !!enabled;\n\tthis.measures = {}; // Hashmap of current values of measurements\n\tthis.logger = new $tw.utils.Logger(\"performance\");\n}\n\n/*\nWrap performance reporting around a top level function\n*/\nPerformance.prototype.report = function(name,fn) {\n\tvar self = this;\n\tif(this.enabled) {\n\t\treturn function() {\n\t\t\tself.measures = {};\n\t\t\tvar startTime = $tw.utils.timer(),\n\t\t\t\tresult = fn.apply(this,arguments);\n\t\t\tself.logger.log(name + \": \" + $tw.utils.timer(startTime).toFixed(2) + \"ms\");\n\t\t\tfor(var m in self.measures) {\n\t\t\t\tself.logger.log(\"+\" + m + \": \" + self.measures[m].toFixed(2) + \"ms\");\n\t\t\t}\n\t\t\treturn result;\n\t\t};\n\t} else {\n\t\treturn fn;\n\t}\n};\n\n/*\nWrap performance measurements around a subfunction\n*/\nPerformance.prototype.measure = function(name,fn) {\n\tvar self = this;\n\tif(this.enabled) {\n\t\treturn function() {\n\t\t\tvar startTime = $tw.utils.timer(),\n\t\t\t\tresult = fn.apply(this,arguments),\n\t\t\t\tvalue = self.measures[name] || 0;\n\t\t\tself.measures[name] = value + $tw.utils.timer(startTime);\n\t\t\treturn result;\n\t\t};\n\t} else {\n\t\treturn fn;\n\t}\n};\n\nexports.Performance = Performance;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/utils/pluginmaker.js": {
"title": "$:/core/modules/utils/pluginmaker.js",
"text": "/*\\\ntitle: $:/core/modules/utils/pluginmaker.js\ntype: application/javascript\nmodule-type: utils\n\nA quick and dirty way to pack up plugins within the browser.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nRepack a plugin, and then delete any non-shadow payload tiddlers\n*/\nexports.repackPlugin = function(title,additionalTiddlers,excludeTiddlers) {\n\tadditionalTiddlers = additionalTiddlers || [];\n\texcludeTiddlers = excludeTiddlers || [];\n\t// Get the plugin tiddler\n\tvar pluginTiddler = $tw.wiki.getTiddler(title);\n\tif(!pluginTiddler) {\n\t\tthrow \"No such tiddler as \" + title;\n\t}\n\t// Extract the JSON\n\tvar jsonPluginTiddler;\n\ttry {\n\t\tjsonPluginTiddler = JSON.parse(pluginTiddler.fields.text);\n\t} catch(e) {\n\t\tthrow \"Cannot parse plugin tiddler \" + title + \"\\n\" + $tw.language.getString(\"Error/Caption\") + \": \" + e;\n\t}\n\t// Get the list of tiddlers\n\tvar tiddlers = Object.keys(jsonPluginTiddler.tiddlers);\n\t// Add the additional tiddlers\n\t$tw.utils.pushTop(tiddlers,additionalTiddlers);\n\t// Remove any excluded tiddlers\n\tfor(var t=tiddlers.length-1; t>=0; t--) {\n\t\tif(excludeTiddlers.indexOf(tiddlers[t]) !== -1) {\n\t\t\ttiddlers.splice(t,1);\n\t\t}\n\t}\n\t// Pack up the tiddlers into a block of JSON\n\tvar plugins = {};\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = $tw.wiki.getTiddler(title),\n\t\t\tfields = {};\n\t\t$tw.utils.each(tiddler.fields,function (value,name) {\n\t\t\tfields[name] = tiddler.getFieldString(name);\n\t\t});\n\t\tplugins[title] = fields;\n\t});\n\t// Retrieve and bump the version number\n\tvar pluginVersion = $tw.utils.parseVersion(pluginTiddler.getFieldString(\"version\") || \"0.0.0\") || {\n\t\t\tmajor: \"0\",\n\t\t\tminor: \"0\",\n\t\t\tpatch: \"0\"\n\t\t};\n\tpluginVersion.patch++;\n\tvar version = pluginVersion.major + \".\" + pluginVersion.minor + \".\" + pluginVersion.patch;\n\tif(pluginVersion.prerelease) {\n\t\tversion += \"-\" + pluginVersion.prerelease;\n\t}\n\tif(pluginVersion.build) {\n\t\tversion += \"+\" + pluginVersion.build;\n\t}\n\t// Save the tiddler\n\t$tw.wiki.addTiddler(new $tw.Tiddler(pluginTiddler,{text: JSON.stringify({tiddlers: plugins},null,4), version: version}));\n\t// Delete any non-shadow constituent tiddlers\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tif($tw.wiki.tiddlerExists(title)) {\n\t\t\t$tw.wiki.deleteTiddler(title);\n\t\t}\n\t});\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\t// Return a heartwarming confirmation\n\treturn \"Plugin \" + title + \" successfully saved\";\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/transliterate.js": {
"title": "$:/core/modules/utils/transliterate.js",
"text": "/*\\\ntitle: $:/core/modules/utils/transliterate.js\ntype: application/javascript\nmodule-type: utils\n\nTransliteration static utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nTransliterate string to ASCII\n\n(Some pairs taken from http://semplicewebsites.com/removing-accents-javascript)\n*/\nexports.transliterationPairs = {\n\t\"Á\":\"A\",\n\t\"Ă\":\"A\",\n\t\"Ắ\":\"A\",\n\t\"Ặ\":\"A\",\n\t\"Ằ\":\"A\",\n\t\"Ẳ\":\"A\",\n\t\"Ẵ\":\"A\",\n\t\"Ǎ\":\"A\",\n\t\"Â\":\"A\",\n\t\"Ấ\":\"A\",\n\t\"Ậ\":\"A\",\n\t\"Ầ\":\"A\",\n\t\"Ẩ\":\"A\",\n\t\"Ẫ\":\"A\",\n\t\"Ä\":\"A\",\n\t\"Ǟ\":\"A\",\n\t\"Ȧ\":\"A\",\n\t\"Ǡ\":\"A\",\n\t\"Ạ\":\"A\",\n\t\"Ȁ\":\"A\",\n\t\"À\":\"A\",\n\t\"Ả\":\"A\",\n\t\"Ȃ\":\"A\",\n\t\"Ā\":\"A\",\n\t\"Ą\":\"A\",\n\t\"Å\":\"A\",\n\t\"Ǻ\":\"A\",\n\t\"Ḁ\":\"A\",\n\t\"Ⱥ\":\"A\",\n\t\"Ã\":\"A\",\n\t\"Ꜳ\":\"AA\",\n\t\"Æ\":\"AE\",\n\t\"Ǽ\":\"AE\",\n\t\"Ǣ\":\"AE\",\n\t\"Ꜵ\":\"AO\",\n\t\"Ꜷ\":\"AU\",\n\t\"Ꜹ\":\"AV\",\n\t\"Ꜻ\":\"AV\",\n\t\"Ꜽ\":\"AY\",\n\t\"Ḃ\":\"B\",\n\t\"Ḅ\":\"B\",\n\t\"Ɓ\":\"B\",\n\t\"Ḇ\":\"B\",\n\t\"Ƀ\":\"B\",\n\t\"Ƃ\":\"B\",\n\t\"Ć\":\"C\",\n\t\"Č\":\"C\",\n\t\"Ç\":\"C\",\n\t\"Ḉ\":\"C\",\n\t\"Ĉ\":\"C\",\n\t\"Ċ\":\"C\",\n\t\"Ƈ\":\"C\",\n\t\"Ȼ\":\"C\",\n\t\"Ď\":\"D\",\n\t\"Ḑ\":\"D\",\n\t\"Ḓ\":\"D\",\n\t\"Ḋ\":\"D\",\n\t\"Ḍ\":\"D\",\n\t\"Ɗ\":\"D\",\n\t\"Ḏ\":\"D\",\n\t\"Dz\":\"D\",\n\t\"Dž\":\"D\",\n\t\"Đ\":\"D\",\n\t\"Ƌ\":\"D\",\n\t\"DZ\":\"DZ\",\n\t\"DŽ\":\"DZ\",\n\t\"É\":\"E\",\n\t\"Ĕ\":\"E\",\n\t\"Ě\":\"E\",\n\t\"Ȩ\":\"E\",\n\t\"Ḝ\":\"E\",\n\t\"Ê\":\"E\",\n\t\"Ế\":\"E\",\n\t\"Ệ\":\"E\",\n\t\"Ề\":\"E\",\n\t\"Ể\":\"E\",\n\t\"Ễ\":\"E\",\n\t\"Ḙ\":\"E\",\n\t\"Ë\":\"E\",\n\t\"Ė\":\"E\",\n\t\"Ẹ\":\"E\",\n\t\"Ȅ\":\"E\",\n\t\"È\":\"E\",\n\t\"Ẻ\":\"E\",\n\t\"Ȇ\":\"E\",\n\t\"Ē\":\"E\",\n\t\"Ḗ\":\"E\",\n\t\"Ḕ\":\"E\",\n\t\"Ę\":\"E\",\n\t\"Ɇ\":\"E\",\n\t\"Ẽ\":\"E\",\n\t\"Ḛ\":\"E\",\n\t\"Ꝫ\":\"ET\",\n\t\"Ḟ\":\"F\",\n\t\"Ƒ\":\"F\",\n\t\"Ǵ\":\"G\",\n\t\"Ğ\":\"G\",\n\t\"Ǧ\":\"G\",\n\t\"Ģ\":\"G\",\n\t\"Ĝ\":\"G\",\n\t\"Ġ\":\"G\",\n\t\"Ɠ\":\"G\",\n\t\"Ḡ\":\"G\",\n\t\"Ǥ\":\"G\",\n\t\"Ḫ\":\"H\",\n\t\"Ȟ\":\"H\",\n\t\"Ḩ\":\"H\",\n\t\"Ĥ\":\"H\",\n\t\"Ⱨ\":\"H\",\n\t\"Ḧ\":\"H\",\n\t\"Ḣ\":\"H\",\n\t\"Ḥ\":\"H\",\n\t\"Ħ\":\"H\",\n\t\"Í\":\"I\",\n\t\"Ĭ\":\"I\",\n\t\"Ǐ\":\"I\",\n\t\"Î\":\"I\",\n\t\"Ï\":\"I\",\n\t\"Ḯ\":\"I\",\n\t\"İ\":\"I\",\n\t\"Ị\":\"I\",\n\t\"Ȉ\":\"I\",\n\t\"Ì\":\"I\",\n\t\"Ỉ\":\"I\",\n\t\"Ȋ\":\"I\",\n\t\"Ī\":\"I\",\n\t\"Į\":\"I\",\n\t\"Ɨ\":\"I\",\n\t\"Ĩ\":\"I\",\n\t\"Ḭ\":\"I\",\n\t\"Ꝺ\":\"D\",\n\t\"Ꝼ\":\"F\",\n\t\"Ᵹ\":\"G\",\n\t\"Ꞃ\":\"R\",\n\t\"Ꞅ\":\"S\",\n\t\"Ꞇ\":\"T\",\n\t\"Ꝭ\":\"IS\",\n\t\"Ĵ\":\"J\",\n\t\"Ɉ\":\"J\",\n\t\"Ḱ\":\"K\",\n\t\"Ǩ\":\"K\",\n\t\"Ķ\":\"K\",\n\t\"Ⱪ\":\"K\",\n\t\"Ꝃ\":\"K\",\n\t\"Ḳ\":\"K\",\n\t\"Ƙ\":\"K\",\n\t\"Ḵ\":\"K\",\n\t\"Ꝁ\":\"K\",\n\t\"Ꝅ\":\"K\",\n\t\"Ĺ\":\"L\",\n\t\"Ƚ\":\"L\",\n\t\"Ľ\":\"L\",\n\t\"Ļ\":\"L\",\n\t\"Ḽ\":\"L\",\n\t\"Ḷ\":\"L\",\n\t\"Ḹ\":\"L\",\n\t\"Ⱡ\":\"L\",\n\t\"Ꝉ\":\"L\",\n\t\"Ḻ\":\"L\",\n\t\"Ŀ\":\"L\",\n\t\"Ɫ\":\"L\",\n\t\"Lj\":\"L\",\n\t\"Ł\":\"L\",\n\t\"LJ\":\"LJ\",\n\t\"Ḿ\":\"M\",\n\t\"Ṁ\":\"M\",\n\t\"Ṃ\":\"M\",\n\t\"Ɱ\":\"M\",\n\t\"Ń\":\"N\",\n\t\"Ň\":\"N\",\n\t\"Ņ\":\"N\",\n\t\"Ṋ\":\"N\",\n\t\"Ṅ\":\"N\",\n\t\"Ṇ\":\"N\",\n\t\"Ǹ\":\"N\",\n\t\"Ɲ\":\"N\",\n\t\"Ṉ\":\"N\",\n\t\"Ƞ\":\"N\",\n\t\"Nj\":\"N\",\n\t\"Ñ\":\"N\",\n\t\"NJ\":\"NJ\",\n\t\"Ó\":\"O\",\n\t\"Ŏ\":\"O\",\n\t\"Ǒ\":\"O\",\n\t\"Ô\":\"O\",\n\t\"Ố\":\"O\",\n\t\"Ộ\":\"O\",\n\t\"Ồ\":\"O\",\n\t\"Ổ\":\"O\",\n\t\"Ỗ\":\"O\",\n\t\"Ö\":\"O\",\n\t\"Ȫ\":\"O\",\n\t\"Ȯ\":\"O\",\n\t\"Ȱ\":\"O\",\n\t\"Ọ\":\"O\",\n\t\"Ő\":\"O\",\n\t\"Ȍ\":\"O\",\n\t\"Ò\":\"O\",\n\t\"Ỏ\":\"O\",\n\t\"Ơ\":\"O\",\n\t\"Ớ\":\"O\",\n\t\"Ợ\":\"O\",\n\t\"Ờ\":\"O\",\n\t\"Ở\":\"O\",\n\t\"Ỡ\":\"O\",\n\t\"Ȏ\":\"O\",\n\t\"Ꝋ\":\"O\",\n\t\"Ꝍ\":\"O\",\n\t\"Ō\":\"O\",\n\t\"Ṓ\":\"O\",\n\t\"Ṑ\":\"O\",\n\t\"Ɵ\":\"O\",\n\t\"Ǫ\":\"O\",\n\t\"Ǭ\":\"O\",\n\t\"Ø\":\"O\",\n\t\"Ǿ\":\"O\",\n\t\"Õ\":\"O\",\n\t\"Ṍ\":\"O\",\n\t\"Ṏ\":\"O\",\n\t\"Ȭ\":\"O\",\n\t\"Ƣ\":\"OI\",\n\t\"Ꝏ\":\"OO\",\n\t\"Ɛ\":\"E\",\n\t\"Ɔ\":\"O\",\n\t\"Ȣ\":\"OU\",\n\t\"Ṕ\":\"P\",\n\t\"Ṗ\":\"P\",\n\t\"Ꝓ\":\"P\",\n\t\"Ƥ\":\"P\",\n\t\"Ꝕ\":\"P\",\n\t\"Ᵽ\":\"P\",\n\t\"Ꝑ\":\"P\",\n\t\"Ꝙ\":\"Q\",\n\t\"Ꝗ\":\"Q\",\n\t\"Ŕ\":\"R\",\n\t\"Ř\":\"R\",\n\t\"Ŗ\":\"R\",\n\t\"Ṙ\":\"R\",\n\t\"Ṛ\":\"R\",\n\t\"Ṝ\":\"R\",\n\t\"Ȑ\":\"R\",\n\t\"Ȓ\":\"R\",\n\t\"Ṟ\":\"R\",\n\t\"Ɍ\":\"R\",\n\t\"Ɽ\":\"R\",\n\t\"Ꜿ\":\"C\",\n\t\"Ǝ\":\"E\",\n\t\"Ś\":\"S\",\n\t\"Ṥ\":\"S\",\n\t\"Š\":\"S\",\n\t\"Ṧ\":\"S\",\n\t\"Ş\":\"S\",\n\t\"Ŝ\":\"S\",\n\t\"Ș\":\"S\",\n\t\"Ṡ\":\"S\",\n\t\"Ṣ\":\"S\",\n\t\"Ṩ\":\"S\",\n\t\"Ť\":\"T\",\n\t\"Ţ\":\"T\",\n\t\"Ṱ\":\"T\",\n\t\"Ț\":\"T\",\n\t\"Ⱦ\":\"T\",\n\t\"Ṫ\":\"T\",\n\t\"Ṭ\":\"T\",\n\t\"Ƭ\":\"T\",\n\t\"Ṯ\":\"T\",\n\t\"Ʈ\":\"T\",\n\t\"Ŧ\":\"T\",\n\t\"Ɐ\":\"A\",\n\t\"Ꞁ\":\"L\",\n\t\"Ɯ\":\"M\",\n\t\"Ʌ\":\"V\",\n\t\"Ꜩ\":\"TZ\",\n\t\"Ú\":\"U\",\n\t\"Ŭ\":\"U\",\n\t\"Ǔ\":\"U\",\n\t\"Û\":\"U\",\n\t\"Ṷ\":\"U\",\n\t\"Ü\":\"U\",\n\t\"Ǘ\":\"U\",\n\t\"Ǚ\":\"U\",\n\t\"Ǜ\":\"U\",\n\t\"Ǖ\":\"U\",\n\t\"Ṳ\":\"U\",\n\t\"Ụ\":\"U\",\n\t\"Ű\":\"U\",\n\t\"Ȕ\":\"U\",\n\t\"Ù\":\"U\",\n\t\"Ủ\":\"U\",\n\t\"Ư\":\"U\",\n\t\"Ứ\":\"U\",\n\t\"Ự\":\"U\",\n\t\"Ừ\":\"U\",\n\t\"Ử\":\"U\",\n\t\"Ữ\":\"U\",\n\t\"Ȗ\":\"U\",\n\t\"Ū\":\"U\",\n\t\"Ṻ\":\"U\",\n\t\"Ų\":\"U\",\n\t\"Ů\":\"U\",\n\t\"Ũ\":\"U\",\n\t\"Ṹ\":\"U\",\n\t\"Ṵ\":\"U\",\n\t\"Ꝟ\":\"V\",\n\t\"Ṿ\":\"V\",\n\t\"Ʋ\":\"V\",\n\t\"Ṽ\":\"V\",\n\t\"Ꝡ\":\"VY\",\n\t\"Ẃ\":\"W\",\n\t\"Ŵ\":\"W\",\n\t\"Ẅ\":\"W\",\n\t\"Ẇ\":\"W\",\n\t\"Ẉ\":\"W\",\n\t\"Ẁ\":\"W\",\n\t\"Ⱳ\":\"W\",\n\t\"Ẍ\":\"X\",\n\t\"Ẋ\":\"X\",\n\t\"Ý\":\"Y\",\n\t\"Ŷ\":\"Y\",\n\t\"Ÿ\":\"Y\",\n\t\"Ẏ\":\"Y\",\n\t\"Ỵ\":\"Y\",\n\t\"Ỳ\":\"Y\",\n\t\"Ƴ\":\"Y\",\n\t\"Ỷ\":\"Y\",\n\t\"Ỿ\":\"Y\",\n\t\"Ȳ\":\"Y\",\n\t\"Ɏ\":\"Y\",\n\t\"Ỹ\":\"Y\",\n\t\"Ź\":\"Z\",\n\t\"Ž\":\"Z\",\n\t\"Ẑ\":\"Z\",\n\t\"Ⱬ\":\"Z\",\n\t\"Ż\":\"Z\",\n\t\"Ẓ\":\"Z\",\n\t\"Ȥ\":\"Z\",\n\t\"Ẕ\":\"Z\",\n\t\"Ƶ\":\"Z\",\n\t\"IJ\":\"IJ\",\n\t\"Œ\":\"OE\",\n\t\"ᴀ\":\"A\",\n\t\"ᴁ\":\"AE\",\n\t\"ʙ\":\"B\",\n\t\"ᴃ\":\"B\",\n\t\"ᴄ\":\"C\",\n\t\"ᴅ\":\"D\",\n\t\"ᴇ\":\"E\",\n\t\"ꜰ\":\"F\",\n\t\"ɢ\":\"G\",\n\t\"ʛ\":\"G\",\n\t\"ʜ\":\"H\",\n\t\"ɪ\":\"I\",\n\t\"ʁ\":\"R\",\n\t\"ᴊ\":\"J\",\n\t\"ᴋ\":\"K\",\n\t\"ʟ\":\"L\",\n\t\"ᴌ\":\"L\",\n\t\"ᴍ\":\"M\",\n\t\"ɴ\":\"N\",\n\t\"ᴏ\":\"O\",\n\t\"ɶ\":\"OE\",\n\t\"ᴐ\":\"O\",\n\t\"ᴕ\":\"OU\",\n\t\"ᴘ\":\"P\",\n\t\"ʀ\":\"R\",\n\t\"ᴎ\":\"N\",\n\t\"ᴙ\":\"R\",\n\t\"ꜱ\":\"S\",\n\t\"ᴛ\":\"T\",\n\t\"ⱻ\":\"E\",\n\t\"ᴚ\":\"R\",\n\t\"ᴜ\":\"U\",\n\t\"ᴠ\":\"V\",\n\t\"ᴡ\":\"W\",\n\t\"ʏ\":\"Y\",\n\t\"ᴢ\":\"Z\",\n\t\"á\":\"a\",\n\t\"ă\":\"a\",\n\t\"ắ\":\"a\",\n\t\"ặ\":\"a\",\n\t\"ằ\":\"a\",\n\t\"ẳ\":\"a\",\n\t\"ẵ\":\"a\",\n\t\"ǎ\":\"a\",\n\t\"â\":\"a\",\n\t\"ấ\":\"a\",\n\t\"ậ\":\"a\",\n\t\"ầ\":\"a\",\n\t\"ẩ\":\"a\",\n\t\"ẫ\":\"a\",\n\t\"ä\":\"a\",\n\t\"ǟ\":\"a\",\n\t\"ȧ\":\"a\",\n\t\"ǡ\":\"a\",\n\t\"ạ\":\"a\",\n\t\"ȁ\":\"a\",\n\t\"à\":\"a\",\n\t\"ả\":\"a\",\n\t\"ȃ\":\"a\",\n\t\"ā\":\"a\",\n\t\"ą\":\"a\",\n\t\"ᶏ\":\"a\",\n\t\"ẚ\":\"a\",\n\t\"å\":\"a\",\n\t\"ǻ\":\"a\",\n\t\"ḁ\":\"a\",\n\t\"ⱥ\":\"a\",\n\t\"ã\":\"a\",\n\t\"ꜳ\":\"aa\",\n\t\"æ\":\"ae\",\n\t\"ǽ\":\"ae\",\n\t\"ǣ\":\"ae\",\n\t\"ꜵ\":\"ao\",\n\t\"ꜷ\":\"au\",\n\t\"ꜹ\":\"av\",\n\t\"ꜻ\":\"av\",\n\t\"ꜽ\":\"ay\",\n\t\"ḃ\":\"b\",\n\t\"ḅ\":\"b\",\n\t\"ɓ\":\"b\",\n\t\"ḇ\":\"b\",\n\t\"ᵬ\":\"b\",\n\t\"ᶀ\":\"b\",\n\t\"ƀ\":\"b\",\n\t\"ƃ\":\"b\",\n\t\"ɵ\":\"o\",\n\t\"ć\":\"c\",\n\t\"č\":\"c\",\n\t\"ç\":\"c\",\n\t\"ḉ\":\"c\",\n\t\"ĉ\":\"c\",\n\t\"ɕ\":\"c\",\n\t\"ċ\":\"c\",\n\t\"ƈ\":\"c\",\n\t\"ȼ\":\"c\",\n\t\"ď\":\"d\",\n\t\"ḑ\":\"d\",\n\t\"ḓ\":\"d\",\n\t\"ȡ\":\"d\",\n\t\"ḋ\":\"d\",\n\t\"ḍ\":\"d\",\n\t\"ɗ\":\"d\",\n\t\"ᶑ\":\"d\",\n\t\"ḏ\":\"d\",\n\t\"ᵭ\":\"d\",\n\t\"ᶁ\":\"d\",\n\t\"đ\":\"d\",\n\t\"ɖ\":\"d\",\n\t\"ƌ\":\"d\",\n\t\"ı\":\"i\",\n\t\"ȷ\":\"j\",\n\t\"ɟ\":\"j\",\n\t\"ʄ\":\"j\",\n\t\"dz\":\"dz\",\n\t\"dž\":\"dz\",\n\t\"é\":\"e\",\n\t\"ĕ\":\"e\",\n\t\"ě\":\"e\",\n\t\"ȩ\":\"e\",\n\t\"ḝ\":\"e\",\n\t\"ê\":\"e\",\n\t\"ế\":\"e\",\n\t\"ệ\":\"e\",\n\t\"ề\":\"e\",\n\t\"ể\":\"e\",\n\t\"ễ\":\"e\",\n\t\"ḙ\":\"e\",\n\t\"ë\":\"e\",\n\t\"ė\":\"e\",\n\t\"ẹ\":\"e\",\n\t\"ȅ\":\"e\",\n\t\"è\":\"e\",\n\t\"ẻ\":\"e\",\n\t\"ȇ\":\"e\",\n\t\"ē\":\"e\",\n\t\"ḗ\":\"e\",\n\t\"ḕ\":\"e\",\n\t\"ⱸ\":\"e\",\n\t\"ę\":\"e\",\n\t\"ᶒ\":\"e\",\n\t\"ɇ\":\"e\",\n\t\"ẽ\":\"e\",\n\t\"ḛ\":\"e\",\n\t\"ꝫ\":\"et\",\n\t\"ḟ\":\"f\",\n\t\"ƒ\":\"f\",\n\t\"ᵮ\":\"f\",\n\t\"ᶂ\":\"f\",\n\t\"ǵ\":\"g\",\n\t\"ğ\":\"g\",\n\t\"ǧ\":\"g\",\n\t\"ģ\":\"g\",\n\t\"ĝ\":\"g\",\n\t\"ġ\":\"g\",\n\t\"ɠ\":\"g\",\n\t\"ḡ\":\"g\",\n\t\"ᶃ\":\"g\",\n\t\"ǥ\":\"g\",\n\t\"ḫ\":\"h\",\n\t\"ȟ\":\"h\",\n\t\"ḩ\":\"h\",\n\t\"ĥ\":\"h\",\n\t\"ⱨ\":\"h\",\n\t\"ḧ\":\"h\",\n\t\"ḣ\":\"h\",\n\t\"ḥ\":\"h\",\n\t\"ɦ\":\"h\",\n\t\"ẖ\":\"h\",\n\t\"ħ\":\"h\",\n\t\"ƕ\":\"hv\",\n\t\"í\":\"i\",\n\t\"ĭ\":\"i\",\n\t\"ǐ\":\"i\",\n\t\"î\":\"i\",\n\t\"ï\":\"i\",\n\t\"ḯ\":\"i\",\n\t\"ị\":\"i\",\n\t\"ȉ\":\"i\",\n\t\"ì\":\"i\",\n\t\"ỉ\":\"i\",\n\t\"ȋ\":\"i\",\n\t\"ī\":\"i\",\n\t\"į\":\"i\",\n\t\"ᶖ\":\"i\",\n\t\"ɨ\":\"i\",\n\t\"ĩ\":\"i\",\n\t\"ḭ\":\"i\",\n\t\"ꝺ\":\"d\",\n\t\"ꝼ\":\"f\",\n\t\"ᵹ\":\"g\",\n\t\"ꞃ\":\"r\",\n\t\"ꞅ\":\"s\",\n\t\"ꞇ\":\"t\",\n\t\"ꝭ\":\"is\",\n\t\"ǰ\":\"j\",\n\t\"ĵ\":\"j\",\n\t\"ʝ\":\"j\",\n\t\"ɉ\":\"j\",\n\t\"ḱ\":\"k\",\n\t\"ǩ\":\"k\",\n\t\"ķ\":\"k\",\n\t\"ⱪ\":\"k\",\n\t\"ꝃ\":\"k\",\n\t\"ḳ\":\"k\",\n\t\"ƙ\":\"k\",\n\t\"ḵ\":\"k\",\n\t\"ᶄ\":\"k\",\n\t\"ꝁ\":\"k\",\n\t\"ꝅ\":\"k\",\n\t\"ĺ\":\"l\",\n\t\"ƚ\":\"l\",\n\t\"ɬ\":\"l\",\n\t\"ľ\":\"l\",\n\t\"ļ\":\"l\",\n\t\"ḽ\":\"l\",\n\t\"ȴ\":\"l\",\n\t\"ḷ\":\"l\",\n\t\"ḹ\":\"l\",\n\t\"ⱡ\":\"l\",\n\t\"ꝉ\":\"l\",\n\t\"ḻ\":\"l\",\n\t\"ŀ\":\"l\",\n\t\"ɫ\":\"l\",\n\t\"ᶅ\":\"l\",\n\t\"ɭ\":\"l\",\n\t\"ł\":\"l\",\n\t\"lj\":\"lj\",\n\t\"ſ\":\"s\",\n\t\"ẜ\":\"s\",\n\t\"ẛ\":\"s\",\n\t\"ẝ\":\"s\",\n\t\"ḿ\":\"m\",\n\t\"ṁ\":\"m\",\n\t\"ṃ\":\"m\",\n\t\"ɱ\":\"m\",\n\t\"ᵯ\":\"m\",\n\t\"ᶆ\":\"m\",\n\t\"ń\":\"n\",\n\t\"ň\":\"n\",\n\t\"ņ\":\"n\",\n\t\"ṋ\":\"n\",\n\t\"ȵ\":\"n\",\n\t\"ṅ\":\"n\",\n\t\"ṇ\":\"n\",\n\t\"ǹ\":\"n\",\n\t\"ɲ\":\"n\",\n\t\"ṉ\":\"n\",\n\t\"ƞ\":\"n\",\n\t\"ᵰ\":\"n\",\n\t\"ᶇ\":\"n\",\n\t\"ɳ\":\"n\",\n\t\"ñ\":\"n\",\n\t\"nj\":\"nj\",\n\t\"ó\":\"o\",\n\t\"ŏ\":\"o\",\n\t\"ǒ\":\"o\",\n\t\"ô\":\"o\",\n\t\"ố\":\"o\",\n\t\"ộ\":\"o\",\n\t\"ồ\":\"o\",\n\t\"ổ\":\"o\",\n\t\"ỗ\":\"o\",\n\t\"ö\":\"o\",\n\t\"ȫ\":\"o\",\n\t\"ȯ\":\"o\",\n\t\"ȱ\":\"o\",\n\t\"ọ\":\"o\",\n\t\"ő\":\"o\",\n\t\"ȍ\":\"o\",\n\t\"ò\":\"o\",\n\t\"ỏ\":\"o\",\n\t\"ơ\":\"o\",\n\t\"ớ\":\"o\",\n\t\"ợ\":\"o\",\n\t\"ờ\":\"o\",\n\t\"ở\":\"o\",\n\t\"ỡ\":\"o\",\n\t\"ȏ\":\"o\",\n\t\"ꝋ\":\"o\",\n\t\"ꝍ\":\"o\",\n\t\"ⱺ\":\"o\",\n\t\"ō\":\"o\",\n\t\"ṓ\":\"o\",\n\t\"ṑ\":\"o\",\n\t\"ǫ\":\"o\",\n\t\"ǭ\":\"o\",\n\t\"ø\":\"o\",\n\t\"ǿ\":\"o\",\n\t\"õ\":\"o\",\n\t\"ṍ\":\"o\",\n\t\"ṏ\":\"o\",\n\t\"ȭ\":\"o\",\n\t\"ƣ\":\"oi\",\n\t\"ꝏ\":\"oo\",\n\t\"ɛ\":\"e\",\n\t\"ᶓ\":\"e\",\n\t\"ɔ\":\"o\",\n\t\"ᶗ\":\"o\",\n\t\"ȣ\":\"ou\",\n\t\"ṕ\":\"p\",\n\t\"ṗ\":\"p\",\n\t\"ꝓ\":\"p\",\n\t\"ƥ\":\"p\",\n\t\"ᵱ\":\"p\",\n\t\"ᶈ\":\"p\",\n\t\"ꝕ\":\"p\",\n\t\"ᵽ\":\"p\",\n\t\"ꝑ\":\"p\",\n\t\"ꝙ\":\"q\",\n\t\"ʠ\":\"q\",\n\t\"ɋ\":\"q\",\n\t\"ꝗ\":\"q\",\n\t\"ŕ\":\"r\",\n\t\"ř\":\"r\",\n\t\"ŗ\":\"r\",\n\t\"ṙ\":\"r\",\n\t\"ṛ\":\"r\",\n\t\"ṝ\":\"r\",\n\t\"ȑ\":\"r\",\n\t\"ɾ\":\"r\",\n\t\"ᵳ\":\"r\",\n\t\"ȓ\":\"r\",\n\t\"ṟ\":\"r\",\n\t\"ɼ\":\"r\",\n\t\"ᵲ\":\"r\",\n\t\"ᶉ\":\"r\",\n\t\"ɍ\":\"r\",\n\t\"ɽ\":\"r\",\n\t\"ↄ\":\"c\",\n\t\"ꜿ\":\"c\",\n\t\"ɘ\":\"e\",\n\t\"ɿ\":\"r\",\n\t\"ś\":\"s\",\n\t\"ṥ\":\"s\",\n\t\"š\":\"s\",\n\t\"ṧ\":\"s\",\n\t\"ş\":\"s\",\n\t\"ŝ\":\"s\",\n\t\"ș\":\"s\",\n\t\"ṡ\":\"s\",\n\t\"ṣ\":\"s\",\n\t\"ṩ\":\"s\",\n\t\"ʂ\":\"s\",\n\t\"ᵴ\":\"s\",\n\t\"ᶊ\":\"s\",\n\t\"ȿ\":\"s\",\n\t\"ɡ\":\"g\",\n\t\"ᴑ\":\"o\",\n\t\"ᴓ\":\"o\",\n\t\"ᴝ\":\"u\",\n\t\"ť\":\"t\",\n\t\"ţ\":\"t\",\n\t\"ṱ\":\"t\",\n\t\"ț\":\"t\",\n\t\"ȶ\":\"t\",\n\t\"ẗ\":\"t\",\n\t\"ⱦ\":\"t\",\n\t\"ṫ\":\"t\",\n\t\"ṭ\":\"t\",\n\t\"ƭ\":\"t\",\n\t\"ṯ\":\"t\",\n\t\"ᵵ\":\"t\",\n\t\"ƫ\":\"t\",\n\t\"ʈ\":\"t\",\n\t\"ŧ\":\"t\",\n\t\"ᵺ\":\"th\",\n\t\"ɐ\":\"a\",\n\t\"ᴂ\":\"ae\",\n\t\"ǝ\":\"e\",\n\t\"ᵷ\":\"g\",\n\t\"ɥ\":\"h\",\n\t\"ʮ\":\"h\",\n\t\"ʯ\":\"h\",\n\t\"ᴉ\":\"i\",\n\t\"ʞ\":\"k\",\n\t\"ꞁ\":\"l\",\n\t\"ɯ\":\"m\",\n\t\"ɰ\":\"m\",\n\t\"ᴔ\":\"oe\",\n\t\"ɹ\":\"r\",\n\t\"ɻ\":\"r\",\n\t\"ɺ\":\"r\",\n\t\"ⱹ\":\"r\",\n\t\"ʇ\":\"t\",\n\t\"ʌ\":\"v\",\n\t\"ʍ\":\"w\",\n\t\"ʎ\":\"y\",\n\t\"ꜩ\":\"tz\",\n\t\"ú\":\"u\",\n\t\"ŭ\":\"u\",\n\t\"ǔ\":\"u\",\n\t\"û\":\"u\",\n\t\"ṷ\":\"u\",\n\t\"ü\":\"u\",\n\t\"ǘ\":\"u\",\n\t\"ǚ\":\"u\",\n\t\"ǜ\":\"u\",\n\t\"ǖ\":\"u\",\n\t\"ṳ\":\"u\",\n\t\"ụ\":\"u\",\n\t\"ű\":\"u\",\n\t\"ȕ\":\"u\",\n\t\"ù\":\"u\",\n\t\"ủ\":\"u\",\n\t\"ư\":\"u\",\n\t\"ứ\":\"u\",\n\t\"ự\":\"u\",\n\t\"ừ\":\"u\",\n\t\"ử\":\"u\",\n\t\"ữ\":\"u\",\n\t\"ȗ\":\"u\",\n\t\"ū\":\"u\",\n\t\"ṻ\":\"u\",\n\t\"ų\":\"u\",\n\t\"ᶙ\":\"u\",\n\t\"ů\":\"u\",\n\t\"ũ\":\"u\",\n\t\"ṹ\":\"u\",\n\t\"ṵ\":\"u\",\n\t\"ᵫ\":\"ue\",\n\t\"ꝸ\":\"um\",\n\t\"ⱴ\":\"v\",\n\t\"ꝟ\":\"v\",\n\t\"ṿ\":\"v\",\n\t\"ʋ\":\"v\",\n\t\"ᶌ\":\"v\",\n\t\"ⱱ\":\"v\",\n\t\"ṽ\":\"v\",\n\t\"ꝡ\":\"vy\",\n\t\"ẃ\":\"w\",\n\t\"ŵ\":\"w\",\n\t\"ẅ\":\"w\",\n\t\"ẇ\":\"w\",\n\t\"ẉ\":\"w\",\n\t\"ẁ\":\"w\",\n\t\"ⱳ\":\"w\",\n\t\"ẘ\":\"w\",\n\t\"ẍ\":\"x\",\n\t\"ẋ\":\"x\",\n\t\"ᶍ\":\"x\",\n\t\"ý\":\"y\",\n\t\"ŷ\":\"y\",\n\t\"ÿ\":\"y\",\n\t\"ẏ\":\"y\",\n\t\"ỵ\":\"y\",\n\t\"ỳ\":\"y\",\n\t\"ƴ\":\"y\",\n\t\"ỷ\":\"y\",\n\t\"ỿ\":\"y\",\n\t\"ȳ\":\"y\",\n\t\"ẙ\":\"y\",\n\t\"ɏ\":\"y\",\n\t\"ỹ\":\"y\",\n\t\"ź\":\"z\",\n\t\"ž\":\"z\",\n\t\"ẑ\":\"z\",\n\t\"ʑ\":\"z\",\n\t\"ⱬ\":\"z\",\n\t\"ż\":\"z\",\n\t\"ẓ\":\"z\",\n\t\"ȥ\":\"z\",\n\t\"ẕ\":\"z\",\n\t\"ᵶ\":\"z\",\n\t\"ᶎ\":\"z\",\n\t\"ʐ\":\"z\",\n\t\"ƶ\":\"z\",\n\t\"ɀ\":\"z\",\n\t\"ff\":\"ff\",\n\t\"ffi\":\"ffi\",\n\t\"ffl\":\"ffl\",\n\t\"fi\":\"fi\",\n\t\"fl\":\"fl\",\n\t\"ij\":\"ij\",\n\t\"œ\":\"oe\",\n\t\"st\":\"st\",\n\t\"ₐ\":\"a\",\n\t\"ₑ\":\"e\",\n\t\"ᵢ\":\"i\",\n\t\"ⱼ\":\"j\",\n\t\"ₒ\":\"o\",\n\t\"ᵣ\":\"r\",\n\t\"ᵤ\":\"u\",\n\t\"ᵥ\":\"v\",\n\t\"ₓ\":\"x\",\n\t\"Ё\":\"YO\",\n\t\"Й\":\"I\",\n\t\"Ц\":\"TS\",\n\t\"У\":\"U\",\n\t\"К\":\"K\",\n\t\"Е\":\"E\",\n\t\"Н\":\"N\",\n\t\"Г\":\"G\",\n\t\"Ш\":\"SH\",\n\t\"Щ\":\"SCH\",\n\t\"З\":\"Z\",\n\t\"Х\":\"H\",\n\t\"Ъ\":\"'\",\n\t\"ё\":\"yo\",\n\t\"й\":\"i\",\n\t\"ц\":\"ts\",\n\t\"у\":\"u\",\n\t\"к\":\"k\",\n\t\"е\":\"e\",\n\t\"н\":\"n\",\n\t\"г\":\"g\",\n\t\"ш\":\"sh\",\n\t\"щ\":\"sch\",\n\t\"з\":\"z\",\n\t\"х\":\"h\",\n\t\"ъ\":\"'\",\n\t\"Ф\":\"F\",\n\t\"Ы\":\"I\",\n\t\"В\":\"V\",\n\t\"А\":\"a\",\n\t\"П\":\"P\",\n\t\"Р\":\"R\",\n\t\"О\":\"O\",\n\t\"Л\":\"L\",\n\t\"Д\":\"D\",\n\t\"Ж\":\"ZH\",\n\t\"Э\":\"E\",\n\t\"ф\":\"f\",\n\t\"ы\":\"i\",\n\t\"в\":\"v\",\n\t\"а\":\"a\",\n\t\"п\":\"p\",\n\t\"р\":\"r\",\n\t\"о\":\"o\",\n\t\"л\":\"l\",\n\t\"д\":\"d\",\n\t\"ж\":\"zh\",\n\t\"э\":\"e\",\n\t\"Я\":\"Ya\",\n\t\"Ч\":\"CH\",\n\t\"С\":\"S\",\n\t\"М\":\"M\",\n\t\"И\":\"I\",\n\t\"Т\":\"T\",\n\t\"Ь\":\"'\",\n\t\"Б\":\"B\",\n\t\"Ю\":\"YU\",\n\t\"я\":\"ya\",\n\t\"ч\":\"ch\",\n\t\"с\":\"s\",\n\t\"м\":\"m\",\n\t\"и\":\"i\",\n\t\"т\":\"t\",\n\t\"ь\":\"'\",\n\t\"б\":\"b\",\n\t\"ю\":\"yu\"\n};\n\nexports.transliterate = function(str) {\n\treturn str.replace(/[^A-Za-z0-9\\[\\] ]/g,function(ch) {\n\t\treturn exports.transliterationPairs[ch] || ch\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/utils.js": {
"title": "$:/core/modules/utils/utils.js",
"text": "/*\\\ntitle: $:/core/modules/utils/utils.js\ntype: application/javascript\nmodule-type: utils\n\nVarious static utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nDisplay a message, in colour if we're on a terminal\n*/\nexports.log = function(text,colour) {\n\tconsole.log($tw.node ? exports.terminalColour(colour) + text + exports.terminalColour() : text);\n};\n\nexports.terminalColour = function(colour) {\n\tif(!$tw.browser && $tw.node && process.stdout.isTTY) {\n\t\tif(colour) {\n\t\t\tvar code = exports.terminalColourLookup[colour];\n\t\t\tif(code) {\n\t\t\t\treturn \"\\x1b[\" + code + \"m\";\n\t\t\t}\n\t\t} else {\n\t\t\treturn \"\\x1b[0m\"; // Cancel colour\n\t\t}\n\t}\n\treturn \"\";\n};\n\nexports.terminalColourLookup = {\n\t\"black\": \"0;30\",\n\t\"red\": \"0;31\",\n\t\"green\": \"0;32\",\n\t\"brown/orange\": \"0;33\",\n\t\"blue\": \"0;34\",\n\t\"purple\": \"0;35\",\n\t\"cyan\": \"0;36\",\n\t\"light gray\": \"0;37\"\n};\n\n/*\nDisplay a warning, in colour if we're on a terminal\n*/\nexports.warning = function(text) {\n\texports.log(text,\"brown/orange\");\n};\n\n/*\nReturn the integer represented by the str (string).\nReturn the dflt (default) parameter if str is not a base-10 number.\n*/\nexports.getInt = function(str,deflt) {\n\tvar i = parseInt(str,10);\n\treturn isNaN(i) ? deflt : i;\n}\n\n/*\nRepeatedly replaces a substring within a string. Like String.prototype.replace, but without any of the default special handling of $ sequences in the replace string\n*/\nexports.replaceString = function(text,search,replace) {\n\treturn text.replace(search,function() {\n\t\treturn replace;\n\t});\n};\n\n/*\nRepeats a string\n*/\nexports.repeat = function(str,count) {\n\tvar result = \"\";\n\tfor(var t=0;t<count;t++) {\n\t\tresult += str;\n\t}\n\treturn result;\n};\n\n/*\nTrim whitespace from the start and end of a string\nThanks to Steven Levithan, http://blog.stevenlevithan.com/archives/faster-trim-javascript\n*/\nexports.trim = function(str) {\n\tif(typeof str === \"string\") {\n\t\treturn str.replace(/^\\s\\s*/, '').replace(/\\s\\s*$/, '');\n\t} else {\n\t\treturn str;\n\t}\n};\n\n/*\nFind the line break preceding a given position in a string\nReturns position immediately after that line break, or the start of the string\n*/\nexports.findPrecedingLineBreak = function(text,pos) {\n\tvar result = text.lastIndexOf(\"\\n\",pos - 1);\n\tif(result === -1) {\n\t\tresult = 0;\n\t} else {\n\t\tresult++;\n\t\tif(text.charAt(result) === \"\\r\") {\n\t\t\tresult++;\n\t\t}\n\t}\n\treturn result;\n};\n\n/*\nFind the line break following a given position in a string\n*/\nexports.findFollowingLineBreak = function(text,pos) {\n\t// Cut to just past the following line break, or to the end of the text\n\tvar result = text.indexOf(\"\\n\",pos);\n\tif(result === -1) {\n\t\tresult = text.length;\n\t} else {\n\t\tif(text.charAt(result) === \"\\r\") {\n\t\t\tresult++;\n\t\t}\n\t}\n\treturn result;\n};\n\n/*\nReturn the number of keys in an object\n*/\nexports.count = function(object) {\n\treturn Object.keys(object || {}).length;\n};\n\n/*\nCheck if an array is equal by value and by reference.\n*/\nexports.isArrayEqual = function(array1,array2) {\n\tif(array1 === array2) {\n\t\treturn true;\n\t}\n\tarray1 = array1 || [];\n\tarray2 = array2 || [];\n\tif(array1.length !== array2.length) {\n\t\treturn false;\n\t}\n\treturn array1.every(function(value,index) {\n\t\treturn value === array2[index];\n\t});\n};\n\n/*\nPush entries onto an array, removing them first if they already exist in the array\n\tarray: array to modify (assumed to be free of duplicates)\n\tvalue: a single value to push or an array of values to push\n*/\nexports.pushTop = function(array,value) {\n\tvar t,p;\n\tif($tw.utils.isArray(value)) {\n\t\t// Remove any array entries that are duplicated in the new values\n\t\tif(value.length !== 0) {\n\t\t\tif(array.length !== 0) {\n\t\t\t\tif(value.length < array.length) {\n\t\t\t\t\tfor(t=0; t<value.length; t++) {\n\t\t\t\t\t\tp = array.indexOf(value[t]);\n\t\t\t\t\t\tif(p !== -1) {\n\t\t\t\t\t\t\tarray.splice(p,1);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tfor(t=array.length-1; t>=0; t--) {\n\t\t\t\t\t\tp = value.indexOf(array[t]);\n\t\t\t\t\t\tif(p !== -1) {\n\t\t\t\t\t\t\tarray.splice(t,1);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Push the values on top of the main array\n\t\t\tarray.push.apply(array,value);\n\t\t}\n\t} else {\n\t\tp = array.indexOf(value);\n\t\tif(p !== -1) {\n\t\t\tarray.splice(p,1);\n\t\t}\n\t\tarray.push(value);\n\t}\n\treturn array;\n};\n\n/*\nRemove entries from an array\n\tarray: array to modify\n\tvalue: a single value to remove, or an array of values to remove\n*/\nexports.removeArrayEntries = function(array,value) {\n\tvar t,p;\n\tif($tw.utils.isArray(value)) {\n\t\tfor(t=0; t<value.length; t++) {\n\t\t\tp = array.indexOf(value[t]);\n\t\t\tif(p !== -1) {\n\t\t\t\tarray.splice(p,1);\n\t\t\t}\n\t\t}\n\t} else {\n\t\tp = array.indexOf(value);\n\t\tif(p !== -1) {\n\t\t\tarray.splice(p,1);\n\t\t}\n\t}\n};\n\n/*\nCheck whether any members of a hashmap are present in another hashmap\n*/\nexports.checkDependencies = function(dependencies,changes) {\n\tvar hit = false;\n\t$tw.utils.each(changes,function(change,title) {\n\t\tif($tw.utils.hop(dependencies,title)) {\n\t\t\thit = true;\n\t\t}\n\t});\n\treturn hit;\n};\n\nexports.extend = function(object /* [, src] */) {\n\t$tw.utils.each(Array.prototype.slice.call(arguments, 1), function(source) {\n\t\tif(source) {\n\t\t\tfor(var property in source) {\n\t\t\t\tobject[property] = source[property];\n\t\t\t}\n\t\t}\n\t});\n\treturn object;\n};\n\nexports.deepCopy = function(object) {\n\tvar result,t;\n\tif($tw.utils.isArray(object)) {\n\t\t// Copy arrays\n\t\tresult = object.slice(0);\n\t} else if(typeof object === \"object\") {\n\t\tresult = {};\n\t\tfor(t in object) {\n\t\t\tif(object[t] !== undefined) {\n\t\t\t\tresult[t] = $tw.utils.deepCopy(object[t]);\n\t\t\t}\n\t\t}\n\t} else {\n\t\tresult = object;\n\t}\n\treturn result;\n};\n\nexports.extendDeepCopy = function(object,extendedProperties) {\n\tvar result = $tw.utils.deepCopy(object),t;\n\tfor(t in extendedProperties) {\n\t\tif(extendedProperties[t] !== undefined) {\n\t\t\tresult[t] = $tw.utils.deepCopy(extendedProperties[t]);\n\t\t}\n\t}\n\treturn result;\n};\n\nexports.deepFreeze = function deepFreeze(object) {\n\tvar property, key;\n\tif(object) {\n\t\tObject.freeze(object);\n\t\tfor(key in object) {\n\t\t\tproperty = object[key];\n\t\t\tif($tw.utils.hop(object,key) && (typeof property === \"object\") && !Object.isFrozen(property)) {\n\t\t\t\tdeepFreeze(property);\n\t\t\t}\n\t\t}\n\t}\n};\n\nexports.slowInSlowOut = function(t) {\n\treturn (1 - ((Math.cos(t * Math.PI) + 1) / 2));\n};\n\nexports.formatDateString = function(date,template) {\n\tvar result = \"\",\n\t\tt = template,\n\t\tmatches = [\n\t\t\t[/^0hh12/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getHours12(date));\n\t\t\t}],\n\t\t\t[/^wYYYY/, function() {\n\t\t\t\treturn $tw.utils.getYearForWeekNo(date);\n\t\t\t}],\n\t\t\t[/^hh12/, function() {\n\t\t\t\treturn $tw.utils.getHours12(date);\n\t\t\t}],\n\t\t\t[/^DDth/, function() {\n\t\t\t\treturn date.getDate() + $tw.utils.getDaySuffix(date);\n\t\t\t}],\n\t\t\t[/^YYYY/, function() {\n\t\t\t\treturn date.getFullYear();\n\t\t\t}],\n\t\t\t[/^0hh/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getHours());\n\t\t\t}],\n\t\t\t[/^0mm/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMinutes());\n\t\t\t}],\n\t\t\t[/^0ss/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getSeconds());\n\t\t\t}],\n\t\t\t[/^0XXX/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMilliseconds());\n\t\t\t}],\n\t\t\t[/^0DD/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getDate());\n\t\t\t}],\n\t\t\t[/^0MM/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMonth()+1);\n\t\t\t}],\n\t\t\t[/^0WW/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getWeek(date));\n\t\t\t}],\n\t\t\t[/^ddd/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Short/Day/\" + date.getDay());\n\t\t\t}],\n\t\t\t[/^mmm/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Short/Month/\" + (date.getMonth() + 1));\n\t\t\t}],\n\t\t\t[/^DDD/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Long/Day/\" + date.getDay());\n\t\t\t}],\n\t\t\t[/^MMM/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Long/Month/\" + (date.getMonth() + 1));\n\t\t\t}],\n\t\t\t[/^TZD/, function() {\n\t\t\t\tvar tz = date.getTimezoneOffset(),\n\t\t\t\tatz = Math.abs(tz);\n\t\t\t\treturn (tz < 0 ? '+' : '-') + $tw.utils.pad(Math.floor(atz / 60)) + ':' + $tw.utils.pad(atz % 60);\n\t\t\t}],\n\t\t\t[/^wYY/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getYearForWeekNo(date) - 2000);\n\t\t\t}],\n\t\t\t[/^[ap]m/, function() {\n\t\t\t\treturn $tw.utils.getAmPm(date).toLowerCase();\n\t\t\t}],\n\t\t\t[/^hh/, function() {\n\t\t\t\treturn date.getHours();\n\t\t\t}],\n\t\t\t[/^mm/, function() {\n\t\t\t\treturn date.getMinutes();\n\t\t\t}],\n\t\t\t[/^ss/, function() {\n\t\t\t\treturn date.getSeconds();\n\t\t\t}],\n\t\t\t[/^XXX/, function() {\n\t\t\t\treturn date.getMilliseconds();\n\t\t\t}],\n\t\t\t[/^[AP]M/, function() {\n\t\t\t\treturn $tw.utils.getAmPm(date).toUpperCase();\n\t\t\t}],\n\t\t\t[/^DD/, function() {\n\t\t\t\treturn date.getDate();\n\t\t\t}],\n\t\t\t[/^MM/, function() {\n\t\t\t\treturn date.getMonth() + 1;\n\t\t\t}],\n\t\t\t[/^WW/, function() {\n\t\t\t\treturn $tw.utils.getWeek(date);\n\t\t\t}],\n\t\t\t[/^YY/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getFullYear() - 2000);\n\t\t\t}]\n\t\t];\n\t// If the user wants everything in UTC, shift the datestamp\n\t// Optimize for format string that essentially means\n\t// 'return raw UTC (tiddlywiki style) date string.'\n\tif(t.indexOf(\"[UTC]\") == 0 ) {\n\t\tif(t == \"[UTC]YYYY0MM0DD0hh0mm0ssXXX\")\n\t\t\treturn $tw.utils.stringifyDate(new Date());\n\t\tvar offset = date.getTimezoneOffset() ; // in minutes\n\t\tdate = new Date(date.getTime()+offset*60*1000) ;\n\t\tt = t.substr(5) ;\n\t}\n\twhile(t.length){\n\t\tvar matchString = \"\";\n\t\t$tw.utils.each(matches, function(m) {\n\t\t\tvar match = m[0].exec(t);\n\t\t\tif(match) {\n\t\t\t\tmatchString = m[1].call();\n\t\t\t\tt = t.substr(match[0].length);\n\t\t\t\treturn false;\n\t\t\t}\n\t\t});\n\t\tif(matchString) {\n\t\t\tresult += matchString;\n\t\t} else {\n\t\t\tresult += t.charAt(0);\n\t\t\tt = t.substr(1);\n\t\t}\n\t}\n\tresult = result.replace(/\\\\(.)/g,\"$1\");\n\treturn result;\n};\n\nexports.getAmPm = function(date) {\n\treturn $tw.language.getString(\"Date/Period/\" + (date.getHours() >= 12 ? \"pm\" : \"am\"));\n};\n\nexports.getDaySuffix = function(date) {\n\treturn $tw.language.getString(\"Date/DaySuffix/\" + date.getDate());\n};\n\nexports.getWeek = function(date) {\n\tvar dt = new Date(date.getTime());\n\tvar d = dt.getDay();\n\tif(d === 0) {\n\t\td = 7; // JavaScript Sun=0, ISO Sun=7\n\t}\n\tdt.setTime(dt.getTime() + (4 - d) * 86400000);// shift day to Thurs of same week to calculate weekNo\n\tvar x = new Date(dt.getFullYear(),0,1);\n\tvar n = Math.floor((dt.getTime() - x.getTime()) / 86400000);\n\treturn Math.floor(n / 7) + 1;\n};\n\nexports.getYearForWeekNo = function(date) {\n\tvar dt = new Date(date.getTime());\n\tvar d = dt.getDay();\n\tif(d === 0) {\n\t\td = 7; // JavaScript Sun=0, ISO Sun=7\n\t}\n\tdt.setTime(dt.getTime() + (4 - d) * 86400000);// shift day to Thurs of same week\n\treturn dt.getFullYear();\n};\n\nexports.getHours12 = function(date) {\n\tvar h = date.getHours();\n\treturn h > 12 ? h-12 : ( h > 0 ? h : 12 );\n};\n\n/*\nConvert a date delta in milliseconds into a string representation of \"23 seconds ago\", \"27 minutes ago\" etc.\n\tdelta: delta in milliseconds\nReturns an object with these members:\n\tdescription: string describing the delta period\n\tupdatePeriod: time in millisecond until the string will be inaccurate\n*/\nexports.getRelativeDate = function(delta) {\n\tvar futurep = false;\n\tif(delta < 0) {\n\t\tdelta = -1 * delta;\n\t\tfuturep = true;\n\t}\n\tvar units = [\n\t\t{name: \"Years\", duration: 365 * 24 * 60 * 60 * 1000},\n\t\t{name: \"Months\", duration: (365/12) * 24 * 60 * 60 * 1000},\n\t\t{name: \"Days\", duration: 24 * 60 * 60 * 1000},\n\t\t{name: \"Hours\", duration: 60 * 60 * 1000},\n\t\t{name: \"Minutes\", duration: 60 * 1000},\n\t\t{name: \"Seconds\", duration: 1000}\n\t];\n\tfor(var t=0; t<units.length; t++) {\n\t\tvar result = Math.floor(delta / units[t].duration);\n\t\tif(result >= 2) {\n\t\t\treturn {\n\t\t\t\tdelta: delta,\n\t\t\t\tdescription: $tw.language.getString(\n\t\t\t\t\t\"RelativeDate/\" + (futurep ? \"Future\" : \"Past\") + \"/\" + units[t].name,\n\t\t\t\t\t{variables:\n\t\t\t\t\t\t{period: result.toString()}\n\t\t\t\t\t}\n\t\t\t\t),\n\t\t\t\tupdatePeriod: units[t].duration\n\t\t\t};\n\t\t}\n\t}\n\treturn {\n\t\tdelta: delta,\n\t\tdescription: $tw.language.getString(\n\t\t\t\"RelativeDate/\" + (futurep ? \"Future\" : \"Past\") + \"/Second\",\n\t\t\t{variables:\n\t\t\t\t{period: \"1\"}\n\t\t\t}\n\t\t),\n\t\tupdatePeriod: 1000\n\t};\n};\n\n// Convert & to \"&\", < to \"<\", > to \">\", \" to \""\"\nexports.htmlEncode = function(s) {\n\tif(s) {\n\t\treturn s.toString().replace(/&/mg,\"&\").replace(/</mg,\"<\").replace(/>/mg,\">\").replace(/\\\"/mg,\""\");\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n// Converts all HTML entities to their character equivalents\nexports.entityDecode = function(s) {\n\tvar converter = String.fromCodePoint || String.fromCharCode,\n\t\te = s.substr(1,s.length-2); // Strip the & and the ;\n\tif(e.charAt(0) === \"#\") {\n\t\tif(e.charAt(1) === \"x\" || e.charAt(1) === \"X\") {\n\t\t\treturn converter(parseInt(e.substr(2),16));\n\t\t} else {\n\t\t\treturn converter(parseInt(e.substr(1),10));\n\t\t}\n\t} else {\n\t\tvar c = $tw.config.htmlEntities[e];\n\t\tif(c) {\n\t\t\treturn converter(c);\n\t\t} else {\n\t\t\treturn s; // Couldn't convert it as an entity, just return it raw\n\t\t}\n\t}\n};\n\nexports.unescapeLineBreaks = function(s) {\n\treturn s.replace(/\\\\n/mg,\"\\n\").replace(/\\\\b/mg,\" \").replace(/\\\\s/mg,\"\\\\\").replace(/\\r/mg,\"\");\n};\n\n/*\n * Returns an escape sequence for given character. Uses \\x for characters <=\n * 0xFF to save space, \\u for the rest.\n *\n * The code needs to be in sync with th code template in the compilation\n * function for \"action\" nodes.\n */\n// Copied from peg.js, thanks to David Majda\nexports.escape = function(ch) {\n\tvar charCode = ch.charCodeAt(0);\n\tif(charCode <= 0xFF) {\n\t\treturn '\\\\x' + $tw.utils.pad(charCode.toString(16).toUpperCase());\n\t} else {\n\t\treturn '\\\\u' + $tw.utils.pad(charCode.toString(16).toUpperCase(),4);\n\t}\n};\n\n// Turns a string into a legal JavaScript string\n// Copied from peg.js, thanks to David Majda\nexports.stringify = function(s) {\n\t/*\n\t* ECMA-262, 5th ed., 7.8.4: All characters may appear literally in a string\n\t* literal except for the closing quote character, backslash, carriage return,\n\t* line separator, paragraph separator, and line feed. Any character may\n\t* appear in the form of an escape sequence.\n\t*\n\t* For portability, we also escape all non-ASCII characters.\n\t*/\n\treturn (s || \"\")\n\t\t.replace(/\\\\/g, '\\\\\\\\') // backslash\n\t\t.replace(/\"/g, '\\\\\"') // double quote character\n\t\t.replace(/'/g, \"\\\\'\") // single quote character\n\t\t.replace(/\\r/g, '\\\\r') // carriage return\n\t\t.replace(/\\n/g, '\\\\n') // line feed\n\t\t.replace(/[\\x00-\\x1f\\x80-\\uFFFF]/g, exports.escape); // non-ASCII characters\n};\n\n// Turns a string into a legal JSON string\n// Derived from peg.js, thanks to David Majda\nexports.jsonStringify = function(s) {\n\t// See http://www.json.org/\n\treturn (s || \"\")\n\t\t.replace(/\\\\/g, '\\\\\\\\') // backslash\n\t\t.replace(/\"/g, '\\\\\"') // double quote character\n\t\t.replace(/\\r/g, '\\\\r') // carriage return\n\t\t.replace(/\\n/g, '\\\\n') // line feed\n\t\t.replace(/\\x08/g, '\\\\b') // backspace\n\t\t.replace(/\\x0c/g, '\\\\f') // formfeed\n\t\t.replace(/\\t/g, '\\\\t') // tab\n\t\t.replace(/[\\x00-\\x1f\\x80-\\uFFFF]/g,function(s) {\n\t\t\treturn '\\\\u' + $tw.utils.pad(s.charCodeAt(0).toString(16).toUpperCase(),4);\n\t\t}); // non-ASCII characters\n};\n\n/*\nEscape the RegExp special characters with a preceding backslash\n*/\nexports.escapeRegExp = function(s) {\n return s.replace(/[\\-\\/\\\\\\^\\$\\*\\+\\?\\.\\(\\)\\|\\[\\]\\{\\}]/g, '\\\\$&');\n};\n\n// Checks whether a link target is external, i.e. not a tiddler title\nexports.isLinkExternal = function(to) {\n\tvar externalRegExp = /^(?:file|http|https|mailto|ftp|irc|news|data|skype):[^\\s<>{}\\[\\]`|\"\\\\^]+(?:\\/|\\b)/i;\n\treturn externalRegExp.test(to);\n};\n\nexports.nextTick = function(fn) {\n/*global window: false */\n\tif(typeof process === \"undefined\") {\n\t\t// Apparently it would be faster to use postMessage - http://dbaron.org/log/20100309-faster-timeouts\n\t\twindow.setTimeout(fn,4);\n\t} else {\n\t\tprocess.nextTick(fn);\n\t}\n};\n\n/*\nConvert a hyphenated CSS property name into a camel case one\n*/\nexports.unHyphenateCss = function(propName) {\n\treturn propName.replace(/-([a-z])/gi, function(match0,match1) {\n\t\treturn match1.toUpperCase();\n\t});\n};\n\n/*\nConvert a camelcase CSS property name into a dashed one (\"backgroundColor\" --> \"background-color\")\n*/\nexports.hyphenateCss = function(propName) {\n\treturn propName.replace(/([A-Z])/g, function(match0,match1) {\n\t\treturn \"-\" + match1.toLowerCase();\n\t});\n};\n\n/*\nParse a text reference of one of these forms:\n* title\n* !!field\n* title!!field\n* title##index\n* etc\nReturns an object with the following fields, all optional:\n* title: tiddler title\n* field: tiddler field name\n* index: JSON property index\n*/\nexports.parseTextReference = function(textRef) {\n\t// Separate out the title, field name and/or JSON indices\n\tvar reTextRef = /(?:(.*?)!!(.+))|(?:(.*?)##(.+))|(.*)/mg,\n\t\tmatch = reTextRef.exec(textRef),\n\t\tresult = {};\n\tif(match && reTextRef.lastIndex === textRef.length) {\n\t\t// Return the parts\n\t\tif(match[1]) {\n\t\t\tresult.title = match[1];\n\t\t}\n\t\tif(match[2]) {\n\t\t\tresult.field = match[2];\n\t\t}\n\t\tif(match[3]) {\n\t\t\tresult.title = match[3];\n\t\t}\n\t\tif(match[4]) {\n\t\t\tresult.index = match[4];\n\t\t}\n\t\tif(match[5]) {\n\t\t\tresult.title = match[5];\n\t\t}\n\t} else {\n\t\t// If we couldn't parse it\n\t\tresult.title = textRef\n\t}\n\treturn result;\n};\n\n/*\nChecks whether a string is a valid fieldname\n*/\nexports.isValidFieldName = function(name) {\n\tif(!name || typeof name !== \"string\") {\n\t\treturn false;\n\t}\n\tname = name.toLowerCase().trim();\n\tvar fieldValidatorRegEx = /^[a-z0-9\\-\\._]+$/mg;\n\treturn fieldValidatorRegEx.test(name);\n};\n\n/*\nExtract the version number from the meta tag or from the boot file\n*/\n\n// Browser version\nexports.extractVersionInfo = function() {\n\tif($tw.packageInfo) {\n\t\treturn $tw.packageInfo.version;\n\t} else {\n\t\tvar metatags = document.getElementsByTagName(\"meta\");\n\t\tfor(var t=0; t<metatags.length; t++) {\n\t\t\tvar m = metatags[t];\n\t\t\tif(m.name === \"tiddlywiki-version\") {\n\t\t\t\treturn m.content;\n\t\t\t}\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nGet the animation duration in ms\n*/\nexports.getAnimationDuration = function() {\n\treturn parseInt($tw.wiki.getTiddlerText(\"$:/config/AnimationDuration\",\"400\"),10);\n};\n\n/*\nHash a string to a number\nDerived from http://stackoverflow.com/a/15710692\n*/\nexports.hashString = function(str) {\n\treturn str.split(\"\").reduce(function(a,b) {\n\t\ta = ((a << 5) - a) + b.charCodeAt(0);\n\t\treturn a & a;\n\t},0);\n};\n\n/*\nDecode a base64 string\n*/\nexports.base64Decode = function(string64) {\n\tif($tw.browser) {\n\t\t// TODO\n\t\tthrow \"$tw.utils.base64Decode() doesn't work in the browser\";\n\t} else {\n\t\treturn (new Buffer(string64,\"base64\")).toString();\n\t}\n};\n\n/*\nConvert a hashmap into a tiddler dictionary format sequence of name:value pairs\n*/\nexports.makeTiddlerDictionary = function(data) {\n\tvar output = [];\n\tfor(var name in data) {\n\t\toutput.push(name + \": \" + data[name]);\n\t}\n\treturn output.join(\"\\n\");\n};\n\n/*\nHigh resolution microsecond timer for profiling\n*/\nexports.timer = function(base) {\n\tvar m;\n\tif($tw.node) {\n\t\tvar r = process.hrtime();\n\t\tm = r[0] * 1e3 + (r[1] / 1e6);\n\t} else if(window.performance) {\n\t\tm = performance.now();\n\t} else {\n\t\tm = Date.now();\n\t}\n\tif(typeof base !== \"undefined\") {\n\t\tm = m - base;\n\t}\n\treturn m;\n};\n\n/*\nConvert text and content type to a data URI\n*/\nexports.makeDataUri = function(text,type) {\n\ttype = type || \"text/vnd.tiddlywiki\";\n\tvar typeInfo = $tw.config.contentTypeInfo[type] || $tw.config.contentTypeInfo[\"text/plain\"],\n\t\tisBase64 = typeInfo.encoding === \"base64\",\n\t\tparts = [];\n\tparts.push(\"data:\");\n\tparts.push(type);\n\tparts.push(isBase64 ? \";base64\" : \"\");\n\tparts.push(\",\");\n\tparts.push(isBase64 ? text : encodeURIComponent(text));\n\treturn parts.join(\"\");\n};\n\n/*\nUseful for finding out the fully escaped CSS selector equivalent to a given tag. For example:\n\n$tw.utils.tagToCssSelector(\"$:/tags/Stylesheet\") --> tc-tagged-\\%24\\%3A\\%2Ftags\\%2FStylesheet\n*/\nexports.tagToCssSelector = function(tagName) {\n\treturn \"tc-tagged-\" + encodeURIComponent(tagName).replace(/[!\"#$%&'()*+,\\-./:;<=>?@[\\\\\\]^`{\\|}~,]/mg,function(c) {\n\t\treturn \"\\\\\" + c;\n\t});\n};\n\n/*\nIE does not have sign function\n*/\nexports.sign = Math.sign || function(x) {\n\tx = +x; // convert to a number\n\tif (x === 0 || isNaN(x)) {\n\t\treturn x;\n\t}\n\treturn x > 0 ? 1 : -1;\n};\n\n/*\nIE does not have an endsWith function\n*/\nexports.strEndsWith = function(str,ending,position) {\n\tif(str.endsWith) {\n\t\treturn str.endsWith(ending,position);\n\t} else {\n\t\tif (typeof position !== 'number' || !isFinite(position) || Math.floor(position) !== position || position > str.length) {\n\t\t\tposition = str.length;\n\t\t}\n\t\tposition -= ending.length;\n\t\tvar lastIndex = str.indexOf(ending, position);\n\t\treturn lastIndex !== -1 && lastIndex === position;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/widgets/action-createtiddler.js": {
"title": "$:/core/modules/widgets/action-createtiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-createtiddler.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to create a new tiddler with a unique name and specified fields.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CreateTiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCreateTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCreateTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nCreateTiddlerWidget.prototype.execute = function() {\n\tthis.actionBaseTitle = this.getAttribute(\"$basetitle\");\n\tthis.actionSaveTitle = this.getAttribute(\"$savetitle\");\n\tthis.actionTimestamp = this.getAttribute(\"$timestamp\",\"yes\") === \"yes\";\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nCreateTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) > 0) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nCreateTiddlerWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar title = this.wiki.generateNewTitle(this.actionBaseTitle),\n\t\tfields = {},\n\t\tcreationFields,\n\t\tmodificationFields;\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tfields[name] = attribute;\n\t\t}\n\t});\n\tif(this.actionTimestamp) {\n\t\tcreationFields = this.wiki.getCreationFields();\n\t\tmodificationFields = this.wiki.getModificationFields();\n\t}\n\tvar tiddler = this.wiki.addTiddler(new $tw.Tiddler(creationFields,fields,modificationFields,{title: title}));\n\tif(this.actionSaveTitle) {\n\t\tthis.wiki.setTextReference(this.actionSaveTitle,title,this.getVariable(\"currentTiddler\"));\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-createtiddler\"] = CreateTiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-deletefield.js": {
"title": "$:/core/modules/widgets/action-deletefield.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-deletefield.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to delete fields of a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DeleteFieldWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDeleteFieldWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDeleteFieldWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nDeleteFieldWidget.prototype.execute = function() {\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.actionField = this.getAttribute(\"$field\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nDeleteFieldWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$tiddler\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nDeleteFieldWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar self = this,\n\t\ttiddler = this.wiki.getTiddler(self.actionTiddler),\n\t\tremoveFields = {},\n\t\thasChanged = false;\n\tif(this.actionField) {\n\t\tremoveFields[this.actionField] = undefined;\n\t\tif(this.actionField in tiddler.fields) {\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\tif(tiddler) {\n\t\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\t\tif(name.charAt(0) !== \"$\" && name !== \"title\") {\n\t\t\t\tremoveFields[name] = undefined;\n\t\t\t\thasChanged = true;\n\t\t\t}\n\t\t});\n\t\tif(hasChanged) {\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),tiddler,removeFields,this.wiki.getModificationFields()));\t\t\t\n\t\t}\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-deletefield\"] = DeleteFieldWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-deletetiddler.js": {
"title": "$:/core/modules/widgets/action-deletetiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-deletetiddler.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to delete a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DeleteTiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDeleteTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDeleteTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nDeleteTiddlerWidget.prototype.execute = function() {\n\tthis.actionFilter = this.getAttribute(\"$filter\");\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nDeleteTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$filter\"] || changedAttributes[\"$tiddler\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nDeleteTiddlerWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar tiddlers = [];\n\tif(this.actionFilter) {\n\t\ttiddlers = this.wiki.filterTiddlers(this.actionFilter,this);\n\t}\n\tif(this.actionTiddler) {\n\t\ttiddlers.push(this.actionTiddler);\n\t}\n\tfor(var t=0; t<tiddlers.length; t++) {\n\t\tthis.wiki.deleteTiddler(tiddlers[t]);\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-deletetiddler\"] = DeleteTiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-listops.js": {
"title": "$:/core/modules/widgets/action-listops.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-listops.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to apply list operations to any tiddler field (defaults to the 'list' field of the current tiddler)\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar ActionListopsWidget = function(parseTreeNode, options) {\n\tthis.initialise(parseTreeNode, options);\n};\n/**\n * Inherit from the base widget class\n */\nActionListopsWidget.prototype = new Widget();\n/**\n * Render this widget into the DOM\n */\nActionListopsWidget.prototype.render = function(parent, nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n/**\n * Compute the internal state of the widget\n */\nActionListopsWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.target = this.getAttribute(\"$tiddler\", this.getVariable(\n\t\t\"currentTiddler\"));\n\tthis.filter = this.getAttribute(\"$filter\");\n\tthis.subfilter = this.getAttribute(\"$subfilter\");\n\tthis.listField = this.getAttribute(\"$field\", \"list\");\n\tthis.listIndex = this.getAttribute(\"$index\");\n\tthis.filtertags = this.getAttribute(\"$tags\");\n};\n/**\n * \tRefresh the widget by ensuring our attributes are up to date\n */\nActionListopsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.$tiddler || changedAttributes.$filter ||\n\t\tchangedAttributes.$subfilter || changedAttributes.$field ||\n\t\tchangedAttributes.$index || changedAttributes.$tags) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n/**\n * \tInvoke the action associated with this widget\n */\nActionListopsWidget.prototype.invokeAction = function(triggeringWidget,\n\tevent) {\n\t//Apply the specified filters to the lists\n\tvar field = this.listField,\n\t\tindex,\n\t\ttype = \"!!\",\n\t\tlist = this.listField;\n\tif(this.listIndex) {\n\t\tfield = undefined;\n\t\tindex = this.listIndex;\n\t\ttype = \"##\";\n\t\tlist = this.listIndex;\n\t}\n\tif(this.filter) {\n\t\tthis.wiki.setText(this.target, field, index, $tw.utils.stringifyList(\n\t\t\tthis.wiki\n\t\t\t.filterTiddlers(this.filter, this)));\n\t}\n\tif(this.subfilter) {\n\t\tvar subfilter = \"[list[\" + this.target + type + list + \"]] \" + this.subfilter;\n\t\tthis.wiki.setText(this.target, field, index, $tw.utils.stringifyList(\n\t\t\tthis.wiki\n\t\t\t.filterTiddlers(subfilter, this)));\n\t}\n\tif(this.filtertags) {\n\t\tvar tiddler = this.wiki.getTiddler(this.target),\n\t\t\toldtags = tiddler ? (tiddler.fields.tags || []).slice(0) : [],\n\t\t\ttagfilter = \"[list[\" + this.target + \"!!tags]] \" + this.filtertags,\n\t\t\tnewtags = this.wiki.filterTiddlers(tagfilter,this);\n\t\tif($tw.utils.stringifyList(oldtags.sort()) !== $tw.utils.stringifyList(newtags.sort())) {\n\t\t\tthis.wiki.setText(this.target,\"tags\",undefined,$tw.utils.stringifyList(newtags));\t\t\t\n\t\t}\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-listops\"] = ActionListopsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-navigate.js": {
"title": "$:/core/modules/widgets/action-navigate.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-navigate.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to navigate to a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar NavigateWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nNavigateWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nNavigateWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nNavigateWidget.prototype.execute = function() {\n\tthis.actionTo = this.getAttribute(\"$to\");\n\tthis.actionScroll = this.getAttribute(\"$scroll\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nNavigateWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$to\"] || changedAttributes[\"$scroll\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nNavigateWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar bounds = triggeringWidget && triggeringWidget.getBoundingClientRect && triggeringWidget.getBoundingClientRect(),\n\t\tsuppressNavigation = event.metaKey || event.ctrlKey || (event.button === 1);\n\tif(this.actionScroll === \"yes\") {\n\t\tsuppressNavigation = false;\n\t} else if(this.actionScroll === \"no\") {\n\t\tsuppressNavigation = true;\n\t}\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.actionTo === undefined ? this.getVariable(\"currentTiddler\") : this.actionTo,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: triggeringWidget,\n\t\tnavigateFromClientRect: bounds && { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: suppressNavigation\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-navigate\"] = NavigateWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-sendmessage.js": {
"title": "$:/core/modules/widgets/action-sendmessage.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-sendmessage.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to send a message\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SendMessageWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSendMessageWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSendMessageWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nSendMessageWidget.prototype.execute = function() {\n\tthis.actionMessage = this.getAttribute(\"$message\");\n\tthis.actionParam = this.getAttribute(\"$param\");\n\tthis.actionName = this.getAttribute(\"$name\");\n\tthis.actionValue = this.getAttribute(\"$value\",\"\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nSendMessageWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(Object.keys(changedAttributes).length) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nSendMessageWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\t// Get the string parameter\n\tvar param = this.actionParam;\n\t// Assemble the attributes as a hashmap\n\tvar paramObject = Object.create(null);\n\tvar count = 0;\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tparamObject[name] = attribute;\n\t\t\tcount++;\n\t\t}\n\t});\n\t// Add name/value pair if present\n\tif(this.actionName) {\n\t\tparamObject[this.actionName] = this.actionValue;\n\t}\n\t// Dispatch the message\n\tthis.dispatchEvent({\n\t\ttype: this.actionMessage,\n\t\tparam: param,\n\t\tparamObject: paramObject,\n\t\ttiddlerTitle: this.getVariable(\"currentTiddler\"),\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tevent: event\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-sendmessage\"] = SendMessageWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-setfield.js": {
"title": "$:/core/modules/widgets/action-setfield.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-setfield.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to set a single field or index on a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SetFieldWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSetFieldWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSetFieldWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nSetFieldWidget.prototype.execute = function() {\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.actionField = this.getAttribute(\"$field\");\n\tthis.actionIndex = this.getAttribute(\"$index\");\n\tthis.actionValue = this.getAttribute(\"$value\");\n\tthis.actionTimestamp = this.getAttribute(\"$timestamp\",\"yes\") === \"yes\";\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nSetFieldWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$tiddler\"] || changedAttributes[\"$field\"] || changedAttributes[\"$index\"] || changedAttributes[\"$value\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nSetFieldWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar self = this,\n\t\toptions = {};\n\toptions.suppressTimestamp = !this.actionTimestamp;\n\tif((typeof this.actionField == \"string\") || (typeof this.actionIndex == \"string\") || (typeof this.actionValue == \"string\")) {\n\t\tthis.wiki.setText(this.actionTiddler,this.actionField,this.actionIndex,this.actionValue,options);\n\t}\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tself.wiki.setText(self.actionTiddler,name,undefined,attribute,options);\n\t\t}\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-setfield\"] = SetFieldWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/browse.js": {
"title": "$:/core/modules/widgets/browse.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/browse.js\ntype: application/javascript\nmodule-type: widget\n\nBrowse widget for browsing for files to import\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar BrowseWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nBrowseWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nBrowseWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar domNode = this.document.createElement(\"input\");\n\tdomNode.setAttribute(\"type\",\"file\");\n\tif(this.browseMultiple) {\n\t\tdomNode.setAttribute(\"multiple\",\"multiple\");\n\t}\n\tif(this.tooltip) {\n\t\tdomNode.setAttribute(\"title\",this.tooltip);\n\t}\n\t// Nw.js supports \"nwsaveas\" to force a \"save as\" dialogue that allows a new or existing file to be selected\n\tif(this.nwsaveas) {\n\t\tdomNode.setAttribute(\"nwsaveas\",this.nwsaveas);\n\t}\n\t// Nw.js supports \"webkitdirectory\" and \"nwdirectory\" to allow a directory to be selected\n\tif(this.webkitdirectory) {\n\t\tdomNode.setAttribute(\"webkitdirectory\",this.webkitdirectory);\n\t}\n\tif(this.nwdirectory) {\n\t\tdomNode.setAttribute(\"nwdirectory\",this.nwdirectory);\n\t}\n\t// Add a click event handler\n\tdomNode.addEventListener(\"change\",function (event) {\n\t\tif(self.message) {\n\t\t\tself.dispatchEvent({type: self.message, param: self.param, files: event.target.files});\n\t\t} else {\n\t\t\tself.wiki.readFiles(event.target.files,{\n\t\t\t\tcallback: function(tiddlerFieldsArray) {\n\t\t\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray)});\n\t\t\t\t},\n\t\t\t\tdeserializer: self.deserializer\n\t\t\t});\n\t\t}\n\t\treturn false;\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nBrowseWidget.prototype.execute = function() {\n\tthis.browseMultiple = this.getAttribute(\"multiple\");\n\tthis.deserializer = this.getAttribute(\"deserializer\");\n\tthis.message = this.getAttribute(\"message\");\n\tthis.param = this.getAttribute(\"param\");\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis.nwsaveas = this.getAttribute(\"nwsaveas\");\n\tthis.webkitdirectory = this.getAttribute(\"webkitdirectory\");\n\tthis.nwdirectory = this.getAttribute(\"nwdirectory\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nBrowseWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.browse = BrowseWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/button.js": {
"title": "$:/core/modules/widgets/button.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/button.js\ntype: application/javascript\nmodule-type: widget\n\nButton widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ButtonWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nButtonWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nButtonWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar tag = \"button\";\n\tif(this.buttonTag && $tw.config.htmlUnsafeElements.indexOf(this.buttonTag) === -1) {\n\t\ttag = this.buttonTag;\n\t}\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = this[\"class\"].split(\" \") || [],\n\t\tisPoppedUp = this.popup && this.isPoppedUp();\n\tif(this.selectedClass) {\n\t\tif(this.set && this.setTo && this.isSelected()) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t\tif(isPoppedUp) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t}\n\tif(isPoppedUp) {\n\t\t$tw.utils.pushTop(classes,\"tc-popup-handle\");\n\t}\n\tdomNode.className = classes.join(\" \");\n\t// Assign other attributes\n\tif(this.style) {\n\t\tdomNode.setAttribute(\"style\",this.style);\n\t}\n\tif(this.tooltip) {\n\t\tdomNode.setAttribute(\"title\",this.tooltip);\n\t}\n\tif(this[\"aria-label\"]) {\n\t\tdomNode.setAttribute(\"aria-label\",this[\"aria-label\"]);\n\t}\n\t// Add a click event handler\n\tdomNode.addEventListener(\"click\",function (event) {\n\t\tvar handled = false;\n\t\tif(self.invokeActions(self,event)) {\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.to) {\n\t\t\tself.navigateTo(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.message) {\n\t\t\tself.dispatchMessage(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.popup) {\n\t\t\tself.triggerPopup(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.set) {\n\t\t\tself.setTiddler();\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.actions) {\n\t\t\tself.invokeActionString(self.actions,self,event);\n\t\t}\n\t\tif(handled) {\n\t\t\tevent.preventDefault();\n\t\t\tevent.stopPropagation();\n\t\t}\n\t\treturn handled;\n\t},false);\n\t// Make it draggable if required\n\tif(this.dragTiddler || this.dragFilter) {\n\t\t$tw.utils.makeDraggable({\n\t\t\tdomNode: domNode,\n\t\t\tdragTiddlerFn: function() {return self.dragTiddler;},\n\t\t\tdragFilterFn: function() {return self.dragFilter;},\n\t\t\twidget: this\n\t\t});\n\t}\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nWe don't allow actions to propagate because we trigger actions ourselves\n*/\nButtonWidget.prototype.allowActionPropagation = function() {\n\treturn false;\n};\n\nButtonWidget.prototype.getBoundingClientRect = function() {\n\treturn this.domNodes[0].getBoundingClientRect();\n};\n\nButtonWidget.prototype.isSelected = function() {\n return this.wiki.getTextReference(this.set,this.defaultSetValue,this.getVariable(\"currentTiddler\")) === this.setTo;\n};\n\nButtonWidget.prototype.isPoppedUp = function() {\n\tvar tiddler = this.wiki.getTiddler(this.popup);\n\tvar result = tiddler && tiddler.fields.text ? $tw.popup.readPopupState(tiddler.fields.text) : false;\n\treturn result;\n};\n\nButtonWidget.prototype.navigateTo = function(event) {\n\tvar bounds = this.getBoundingClientRect();\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.to,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: this,\n\t\tnavigateFromClientRect: { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: event.metaKey || event.ctrlKey || (event.button === 1),\n\t\tevent: event\n\t});\n};\n\nButtonWidget.prototype.dispatchMessage = function(event) {\n\tthis.dispatchEvent({type: this.message, param: this.param, tiddlerTitle: this.getVariable(\"currentTiddler\"), event: event});\n};\n\nButtonWidget.prototype.triggerPopup = function(event) {\n\t$tw.popup.triggerPopup({\n\t\tdomNode: this.domNodes[0],\n\t\ttitle: this.popup,\n\t\twiki: this.wiki\n\t});\n};\n\nButtonWidget.prototype.setTiddler = function() {\n\tthis.wiki.setTextReference(this.set,this.setTo,this.getVariable(\"currentTiddler\"));\n};\n\n/*\nCompute the internal state of the widget\n*/\nButtonWidget.prototype.execute = function() {\n\t// Get attributes\n\tthis.actions = this.getAttribute(\"actions\");\n\tthis.to = this.getAttribute(\"to\");\n\tthis.message = this.getAttribute(\"message\");\n\tthis.param = this.getAttribute(\"param\");\n\tthis.set = this.getAttribute(\"set\");\n\tthis.setTo = this.getAttribute(\"setTo\");\n\tthis.popup = this.getAttribute(\"popup\");\n\tthis.hover = this.getAttribute(\"hover\");\n\tthis[\"class\"] = this.getAttribute(\"class\",\"\");\n\tthis[\"aria-label\"] = this.getAttribute(\"aria-label\");\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis.style = this.getAttribute(\"style\");\n\tthis.selectedClass = this.getAttribute(\"selectedClass\");\n\tthis.defaultSetValue = this.getAttribute(\"default\",\"\");\n\tthis.buttonTag = this.getAttribute(\"tag\");\n\tthis.dragTiddler = this.getAttribute(\"dragTiddler\");\n\tthis.dragFilter = this.getAttribute(\"dragFilter\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nButtonWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.to || changedAttributes.message || changedAttributes.param || changedAttributes.set || changedAttributes.setTo || changedAttributes.popup || changedAttributes.hover || changedAttributes[\"class\"] || changedAttributes.selectedClass || changedAttributes.style || changedAttributes.dragFilter || changedAttributes.dragTiddler || (this.set && changedTiddlers[this.set]) || (this.popup && changedTiddlers[this.popup])) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.button = ButtonWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/checkbox.js": {
"title": "$:/core/modules/widgets/checkbox.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/checkbox.js\ntype: application/javascript\nmodule-type: widget\n\nCheckbox widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CheckboxWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCheckboxWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCheckboxWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create our elements\n\tthis.labelDomNode = this.document.createElement(\"label\");\n\tthis.labelDomNode.setAttribute(\"class\",this.checkboxClass);\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"checkbox\");\n\tif(this.getValue()) {\n\t\tthis.inputDomNode.setAttribute(\"checked\",\"true\");\n\t}\n\tthis.labelDomNode.appendChild(this.inputDomNode);\n\tthis.spanDomNode = this.document.createElement(\"span\");\n\tthis.labelDomNode.appendChild(this.spanDomNode);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.labelDomNode,nextSibling);\n\tthis.renderChildren(this.spanDomNode,null);\n\tthis.domNodes.push(this.labelDomNode);\n};\n\nCheckboxWidget.prototype.getValue = function() {\n\tvar tiddler = this.wiki.getTiddler(this.checkboxTitle);\n\tif(tiddler) {\n\t\tif(this.checkboxTag) {\n\t\t\tif(this.checkboxInvertTag) {\n\t\t\t\treturn !tiddler.hasTag(this.checkboxTag);\n\t\t\t} else {\n\t\t\t\treturn tiddler.hasTag(this.checkboxTag);\n\t\t\t}\n\t\t}\n\t\tif(this.checkboxField) {\n\t\t\tvar value;\n\t\t\tif($tw.utils.hop(tiddler.fields,this.checkboxField)) {\n\t\t\t\tvalue = tiddler.fields[this.checkboxField] || \"\";\n\t\t\t} else {\n\t\t\t\tvalue = this.checkboxDefault || \"\";\n\t\t\t}\n\t\t\tif(value === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(value === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t\tif(this.checkboxIndex) {\n\t\t\tvar value = this.wiki.extractTiddlerDataItem(tiddler,this.checkboxIndex,this.checkboxDefault || \"\");\n\t\t\tif(value === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(value === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t} else {\n\t\tif(this.checkboxTag) {\n\t\t\treturn false;\n\t\t}\n\t\tif(this.checkboxField) {\n\t\t\tif(this.checkboxDefault === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(this.checkboxDefault === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\nCheckboxWidget.prototype.handleChangeEvent = function(event) {\n\tvar checked = this.inputDomNode.checked,\n\t\ttiddler = this.wiki.getTiddler(this.checkboxTitle),\n\t\tfallbackFields = {text: \"\"},\n\t\tnewFields = {title: this.checkboxTitle},\n\t\thasChanged = false,\n\t\ttagCheck = false,\n\t\thasTag = tiddler && tiddler.hasTag(this.checkboxTag),\n\t\tvalue = checked ? this.checkboxChecked : this.checkboxUnchecked;\n\tif(this.checkboxTag && this.checkboxInvertTag === \"yes\") {\n\t\ttagCheck = hasTag === checked;\n\t} else {\n\t\ttagCheck = hasTag !== checked;\n\t}\n\t// Set the tag if specified\n\tif(this.checkboxTag && (!tiddler || tagCheck)) {\n\t\tnewFields.tags = tiddler ? (tiddler.fields.tags || []).slice(0) : [];\n\t\tvar pos = newFields.tags.indexOf(this.checkboxTag);\n\t\tif(pos !== -1) {\n\t\t\tnewFields.tags.splice(pos,1);\n\t\t}\n\t\tif(this.checkboxInvertTag === \"yes\" && !checked) {\n\t\t\tnewFields.tags.push(this.checkboxTag);\n\t\t} else if(this.checkboxInvertTag !== \"yes\" && checked) {\n\t\t\tnewFields.tags.push(this.checkboxTag);\n\t\t}\n\t\thasChanged = true;\n\t}\n\t// Set the field if specified\n\tif(this.checkboxField) {\n\t\tif(!tiddler || tiddler.fields[this.checkboxField] !== value) {\n\t\t\tnewFields[this.checkboxField] = value;\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\t// Set the index if specified\n\tif(this.checkboxIndex) {\n\t\tvar indexValue = this.wiki.extractTiddlerDataItem(this.checkboxTitle,this.checkboxIndex);\n\t\tif(!tiddler || indexValue !== value) {\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\tif(hasChanged) {\n\t\tif(this.checkboxIndex) {\n\t\t\tthis.wiki.setText(this.checkboxTitle,\"\",this.checkboxIndex,value);\n\t\t} else {\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),fallbackFields,tiddler,newFields,this.wiki.getModificationFields()));\n\t\t}\n\t}\n\t// Trigger actions\n\tif(this.checkboxActions) {\n\t\tthis.invokeActionString(this.checkboxActions,this,event);\n\t}\n\tif(this.checkboxUncheckActions && !checked) {\n\t\tthis.invokeActionString(this.checkboxUncheckActions,this,event);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nCheckboxWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.checkboxActions = this.getAttribute(\"actions\");\n\tthis.checkboxUncheckActions = this.getAttribute(\"uncheckactions\");\n\tthis.checkboxTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.checkboxTag = this.getAttribute(\"tag\");\n\tthis.checkboxField = this.getAttribute(\"field\");\n\tthis.checkboxIndex = this.getAttribute(\"index\");\n\tthis.checkboxChecked = this.getAttribute(\"checked\");\n\tthis.checkboxUnchecked = this.getAttribute(\"unchecked\");\n\tthis.checkboxDefault = this.getAttribute(\"default\");\n\tthis.checkboxClass = this.getAttribute(\"class\",\"\");\n\tthis.checkboxInvertTag = this.getAttribute(\"invertTag\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCheckboxWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.tag || changedAttributes.invertTag || changedAttributes.field || changedAttributes.index || changedAttributes.checked || changedAttributes.unchecked || changedAttributes[\"default\"] || changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false;\n\t\tif(changedTiddlers[this.checkboxTitle]) {\n\t\t\tthis.inputDomNode.checked = this.getValue();\n\t\t\trefreshed = true;\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\nexports.checkbox = CheckboxWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/codeblock.js": {
"title": "$:/core/modules/widgets/codeblock.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/codeblock.js\ntype: application/javascript\nmodule-type: widget\n\nCode block node widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CodeBlockWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCodeBlockWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCodeBlockWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar codeNode = this.document.createElement(\"code\"),\n\t\tdomNode = this.document.createElement(\"pre\");\n\tcodeNode.appendChild(this.document.createTextNode(this.getAttribute(\"code\")));\n\tdomNode.appendChild(codeNode);\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.domNodes.push(domNode);\n\tif(this.postRender) {\n\t\tthis.postRender();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nCodeBlockWidget.prototype.execute = function() {\n\tthis.language = this.getAttribute(\"language\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCodeBlockWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.codeblock = CodeBlockWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/count.js": {
"title": "$:/core/modules/widgets/count.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/count.js\ntype: application/javascript\nmodule-type: widget\n\nCount widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CountWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCountWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCountWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.currentCount);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nCountWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.filter = this.getAttribute(\"filter\");\n\t// Execute the filter\n\tif(this.filter) {\n\t\tthis.currentCount = this.wiki.filterTiddlers(this.filter,this).length;\n\t} else {\n\t\tthis.currentCount = undefined;\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCountWidget.prototype.refresh = function(changedTiddlers) {\n\t// Re-execute the filter to get the count\n\tthis.computeAttributes();\n\tvar oldCount = this.currentCount;\n\tthis.execute();\n\tif(this.currentCount !== oldCount) {\n\t\t// Regenerate and rerender the widget and replace the existing DOM node\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n\n};\n\nexports.count = CountWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/diff-text.js": {
"title": "$:/core/modules/widgets/diff-text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/diff-text.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to display a diff between two texts\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget,\n\tdmp = require(\"$:/core/modules/utils/diff-match-patch/diff_match_patch.js\");\n\nvar DiffTextWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDiffTextWidget.prototype = new Widget();\n\nDiffTextWidget.prototype.invisibleCharacters = {\n\t\"\\n\": \"↩︎\\n\",\n\t\"\\r\": \"⇠\",\n\t\"\\t\": \"⇥\\t\"\n};\n\n/*\nRender this widget into the DOM\n*/\nDiffTextWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create the diff\n\tvar dmpObject = new dmp.diff_match_patch(),\n\t\tdiffs = dmpObject.diff_main(this.getAttribute(\"source\"),this.getAttribute(\"dest\"));\n\t// Apply required cleanup\n\tswitch(this.getAttribute(\"cleanup\",\"semantic\")) {\n\t\tcase \"none\":\n\t\t\t// No cleanup\n\t\t\tbreak;\n\t\tcase \"efficiency\":\n\t\t\tdmpObject.diff_cleanupEfficiency(diffs);\n\t\t\tbreak;\n\t\tdefault: // case \"semantic\"\n\t\t\tdmpObject.diff_cleanupSemantic(diffs);\n\t\t\tbreak;\n\t}\n\t// Create the elements\n\tvar domContainer = this.document.createElement(\"div\"), \n\t\tdomDiff = this.createDiffDom(diffs);\n\tparent.insertBefore(domContainer,nextSibling);\n\t// Set variables\n\tthis.setVariable(\"diff-count\",diffs.reduce(function(acc,diff) {\n\t\tif(diff[0] !== dmp.DIFF_EQUAL) {\n\t\t\tacc++;\n\t\t}\n\t\treturn acc;\n\t},0).toString());\n\t// Render child widgets\n\tthis.renderChildren(domContainer,null);\n\t// Render the diff\n\tdomContainer.appendChild(domDiff);\n\t// Save our container\n\tthis.domNodes.push(domContainer);\n};\n\n/*\nCreate DOM elements representing a list of diffs\n*/\nDiffTextWidget.prototype.createDiffDom = function(diffs) {\n\tvar self = this;\n\t// Create the element and assign the attributes\n\tvar domPre = this.document.createElement(\"pre\"),\n\t\tdomCode = this.document.createElement(\"code\");\n\t$tw.utils.each(diffs,function(diff) {\n\t\tvar tag = diff[0] === dmp.DIFF_INSERT ? \"ins\" : (diff[0] === dmp.DIFF_DELETE ? \"del\" : \"span\"),\n\t\t\tclassName = diff[0] === dmp.DIFF_INSERT ? \"tc-diff-insert\" : (diff[0] === dmp.DIFF_DELETE ? \"tc-diff-delete\" : \"tc-diff-equal\"),\n\t\t\tdom = self.document.createElement(tag),\n\t\t\ttext = diff[1],\n\t\t\tcurrPos = 0,\n\t\t\tre = /([\\x00-\\x1F])/mg,\n\t\t\tmatch = re.exec(text),\n\t\t\tspan,\n\t\t\tprintable;\n\t\tdom.className = className;\n\t\twhile(match) {\n\t\t\tif(currPos < match.index) {\n\t\t\t\tdom.appendChild(self.document.createTextNode(text.slice(currPos,match.index)));\n\t\t\t}\n\t\t\tspan = self.document.createElement(\"span\");\n\t\t\tspan.className = \"tc-diff-invisible\";\n\t\t\tprintable = self.invisibleCharacters[match[0]] || (\"[0x\" + match[0].charCodeAt(0).toString(16) + \"]\");\n\t\t\tspan.appendChild(self.document.createTextNode(printable));\n\t\t\tdom.appendChild(span);\n\t\t\tcurrPos = match.index + match[0].length;\n\t\t\tmatch = re.exec(text);\n\t\t}\n\t\tif(currPos < text.length) {\n\t\t\tdom.appendChild(self.document.createTextNode(text.slice(currPos)));\n\t\t}\n\t\tdomCode.appendChild(dom);\n\t});\n\tdomPre.appendChild(domCode);\n\treturn domPre;\n};\n\n/*\nCompute the internal state of the widget\n*/\nDiffTextWidget.prototype.execute = function() {\n\t// Make child widgets\n\tvar parseTreeNodes;\n\tif(this.parseTreeNode && this.parseTreeNode.children && this.parseTreeNode.children.length > 0) {\n\t\tparseTreeNodes = this.parseTreeNode.children;\n\t} else {\n\t\tparseTreeNodes = [{\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: \"$:/language/Diffs/CountMessage\"}\n\t\t\t}\n\t\t}];\n\t}\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDiffTextWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.source || changedAttributes.dest || changedAttributes.cleanup) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports[\"diff-text\"] = DiffTextWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/draggable.js": {
"title": "$:/core/modules/widgets/draggable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/draggable.js\ntype: application/javascript\nmodule-type: widget\n\nDraggable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DraggableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDraggableWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDraggableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Sanitise the specified tag\n\tvar tag = this.draggableTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"div\";\n\t}\n\t// Create our element\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = [\"tc-draggable\"];\n\tif(this.draggableClasses) {\n\t\tclasses.push(this.draggableClasses);\n\t}\n\tdomNode.setAttribute(\"class\",classes.join(\" \"));\n\t// Add event handlers\n\t$tw.utils.makeDraggable({\n\t\tdomNode: domNode,\n\t\tdragTiddlerFn: function() {return self.getAttribute(\"tiddler\");},\n\t\tdragFilterFn: function() {return self.getAttribute(\"filter\");},\n\t\tstartActions: self.startActions,\n\t\tendActions: self.endActions,\n\t\twidget: this\n\t});\n\t// Insert the link into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nDraggableWidget.prototype.execute = function() {\n\t// Pick up our attributes\n\tthis.draggableTag = this.getAttribute(\"tag\",\"div\");\n\tthis.draggableClasses = this.getAttribute(\"class\");\n\tthis.startActions = this.getAttribute(\"startactions\");\n\tthis.endActions = this.getAttribute(\"endactions\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDraggableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedTiddlers.tag || changedTiddlers[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.draggable = DraggableWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/droppable.js": {
"title": "$:/core/modules/widgets/droppable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/droppable.js\ntype: application/javascript\nmodule-type: widget\n\nDroppable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DroppableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDroppableWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDroppableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.droppableTag && $tw.config.htmlUnsafeElements.indexOf(this.droppableTag) === -1) {\n\t\ttag = this.droppableTag;\n\t}\n\t// Create element and assign classes\n\tvar domNode = this.document.createElement(tag),\n\t\tclasses = (this[\"class\"] || \"\").split(\" \");\n\tclasses.push(\"tc-droppable\");\n\tdomNode.className = classes.join(\" \");\n\t// Add event handlers\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"dragenter\", handlerObject: this, handlerMethod: \"handleDragEnterEvent\"},\n\t\t{name: \"dragover\", handlerObject: this, handlerMethod: \"handleDragOverEvent\"},\n\t\t{name: \"dragleave\", handlerObject: this, handlerMethod: \"handleDragLeaveEvent\"},\n\t\t{name: \"drop\", handlerObject: this, handlerMethod: \"handleDropEvent\"}\n\t]);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n\t// Stack of outstanding enter/leave events\n\tthis.currentlyEntered = [];\n};\n\nDroppableWidget.prototype.enterDrag = function(event) {\n\tif(this.currentlyEntered.indexOf(event.target) === -1) {\n\t\tthis.currentlyEntered.push(event.target);\n\t}\n\t// If we're entering for the first time we need to apply highlighting\n\t$tw.utils.addClass(this.domNodes[0],\"tc-dragover\");\n};\n\nDroppableWidget.prototype.leaveDrag = function(event) {\n\tvar pos = this.currentlyEntered.indexOf(event.target);\n\tif(pos !== -1) {\n\t\tthis.currentlyEntered.splice(pos,1);\n\t}\n\t// Remove highlighting if we're leaving externally. The hacky second condition is to resolve a problem with Firefox whereby there is an erroneous dragenter event if the node being dragged is within the dropzone\n\tif(this.currentlyEntered.length === 0 || (this.currentlyEntered.length === 1 && this.currentlyEntered[0] === $tw.dragInProgress)) {\n\t\tthis.currentlyEntered = [];\n\t\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t}\n};\n\nDroppableWidget.prototype.handleDragEnterEvent = function(event) {\n\tthis.enterDrag(event);\n\t// Tell the browser that we're ready to handle the drop\n\tevent.preventDefault();\n\t// Tell the browser not to ripple the drag up to any parent drop handlers\n\tevent.stopPropagation();\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDragOverEvent = function(event) {\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Tell the browser that we're still interested in the drop\n\tevent.preventDefault();\n\t// Set the drop effect\n\tevent.dataTransfer.dropEffect = this.droppableEffect;\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDragLeaveEvent = function(event) {\n\tthis.leaveDrag(event);\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDropEvent = function(event) {\n\tvar self = this;\n\tthis.leaveDrag(event);\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\tvar dataTransfer = event.dataTransfer;\n\t// Remove highlighting\n\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t// Try to import the various data types we understand\n\t$tw.utils.importDataTransfer(dataTransfer,null,function(fieldsArray) {\n\t\tfieldsArray.forEach(function(fields) {\n\t\t\tself.performActions(fields.title || fields.text,event);\n\t\t});\n\t});\n\t// Tell the browser that we handled the drop\n\tevent.preventDefault();\n\t// Stop the drop ripple up to any parent handlers\n\tevent.stopPropagation();\n\treturn false;\n};\n\nDroppableWidget.prototype.performActions = function(title,event) {\n\tif(this.droppableActions) {\n\t\tvar modifierKey = event.ctrlKey && ! event.shiftKey ? \"ctrl\" : event.shiftKey && !event.ctrlKey ? \"shift\" : \n\t\t\t\tevent.ctrlKey && event.shiftKey ? \"ctrl-shift\" : \"normal\" ;\n\t\tthis.invokeActionString(this.droppableActions,this,event,{actionTiddler: title, modifier: modifierKey});\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nDroppableWidget.prototype.execute = function() {\n\tthis.droppableActions = this.getAttribute(\"actions\");\n\tthis.droppableEffect = this.getAttribute(\"effect\",\"copy\");\n\tthis.droppableTag = this.getAttribute(\"tag\");\n\tthis.droppableClass = this.getAttribute(\"class\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDroppableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"class\"] || changedAttributes.tag) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.droppable = DroppableWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/dropzone.js": {
"title": "$:/core/modules/widgets/dropzone.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/dropzone.js\ntype: application/javascript\nmodule-type: widget\n\nDropzone widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DropZoneWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDropZoneWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDropZoneWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar domNode = this.document.createElement(\"div\");\n\tdomNode.className = \"tc-dropzone\";\n\t// Add event handlers\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"dragenter\", handlerObject: this, handlerMethod: \"handleDragEnterEvent\"},\n\t\t{name: \"dragover\", handlerObject: this, handlerMethod: \"handleDragOverEvent\"},\n\t\t{name: \"dragleave\", handlerObject: this, handlerMethod: \"handleDragLeaveEvent\"},\n\t\t{name: \"drop\", handlerObject: this, handlerMethod: \"handleDropEvent\"},\n\t\t{name: \"paste\", handlerObject: this, handlerMethod: \"handlePasteEvent\"}\n\t]);\n\tdomNode.addEventListener(\"click\",function (event) {\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n\t// Stack of outstanding enter/leave events\n\tthis.currentlyEntered = [];\n};\n\nDropZoneWidget.prototype.enterDrag = function(event) {\n\tif(this.currentlyEntered.indexOf(event.target) === -1) {\n\t\tthis.currentlyEntered.push(event.target);\n\t}\n\t// If we're entering for the first time we need to apply highlighting\n\t$tw.utils.addClass(this.domNodes[0],\"tc-dragover\");\n};\n\nDropZoneWidget.prototype.leaveDrag = function(event) {\n\tvar pos = this.currentlyEntered.indexOf(event.target);\n\tif(pos !== -1) {\n\t\tthis.currentlyEntered.splice(pos,1);\n\t}\n\t// Remove highlighting if we're leaving externally\n\tif(this.currentlyEntered.length === 0) {\n\t\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t}\n};\n\nDropZoneWidget.prototype.handleDragEnterEvent = function(event) {\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\tthis.enterDrag(event);\n\t// Tell the browser that we're ready to handle the drop\n\tevent.preventDefault();\n\t// Tell the browser not to ripple the drag up to any parent drop handlers\n\tevent.stopPropagation();\n};\n\nDropZoneWidget.prototype.handleDragOverEvent = function(event) {\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\t// Tell the browser that we're still interested in the drop\n\tevent.preventDefault();\n\tevent.dataTransfer.dropEffect = \"copy\"; // Explicitly show this is a copy\n};\n\nDropZoneWidget.prototype.handleDragLeaveEvent = function(event) {\n\tthis.leaveDrag(event);\n};\n\nDropZoneWidget.prototype.handleDropEvent = function(event) {\n\tvar self = this,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray)});\n\t\t};\n\tthis.leaveDrag(event);\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\tvar self = this,\n\t\tdataTransfer = event.dataTransfer;\n\t// Remove highlighting\n\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t// Import any files in the drop\n\tvar numFiles = 0;\n\tif(dataTransfer.files) {\n\t\tnumFiles = this.wiki.readFiles(dataTransfer.files,{\n\t\t\tcallback: readFileCallback,\n\t\t\tdeserializer: this.dropzoneDeserializer\n\t\t});\n\t}\n\t// Try to import the various data types we understand\n\tif(numFiles === 0) {\n\t\t$tw.utils.importDataTransfer(dataTransfer,this.wiki.generateNewTitle(\"Untitled\"),readFileCallback);\n\t}\n\t// Tell the browser that we handled the drop\n\tevent.preventDefault();\n\t// Stop the drop ripple up to any parent handlers\n\tevent.stopPropagation();\n};\n\nDropZoneWidget.prototype.handlePasteEvent = function(event) {\n\tvar self = this,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray)});\n\t\t};\n\t// Let the browser handle it if we're in a textarea or input box\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) == -1) {\n\t\tvar self = this,\n\t\t\titems = event.clipboardData.items;\n\t\t// Enumerate the clipboard items\n\t\tfor(var t = 0; t<items.length; t++) {\n\t\t\tvar item = items[t];\n\t\t\tif(item.kind === \"file\") {\n\t\t\t\t// Import any files\n\t\t\t\tthis.wiki.readFile(item.getAsFile(),{\n\t\t\t\t\tcallback: readFileCallback,\n\t\t\t\t\tdeserializer: this.dropzoneDeserializer\n\t\t\t\t});\n\t\t\t} else if(item.kind === \"string\") {\n\t\t\t\t// Create tiddlers from string items\n\t\t\t\tvar type = item.type;\n\t\t\t\titem.getAsString(function(str) {\n\t\t\t\t\tvar tiddlerFields = {\n\t\t\t\t\t\ttitle: self.wiki.generateNewTitle(\"Untitled\"),\n\t\t\t\t\t\ttext: str,\n\t\t\t\t\t\ttype: type\n\t\t\t\t\t};\n\t\t\t\t\tif($tw.log.IMPORT) {\n\t\t\t\t\t\tconsole.log(\"Importing string '\" + str + \"', type: '\" + type + \"'\");\n\t\t\t\t\t}\n\t\t\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify([tiddlerFields])});\n\t\t\t\t});\n\t\t\t}\n\t\t}\n\t\t// Tell the browser that we've handled the paste\n\t\tevent.stopPropagation();\n\t\tevent.preventDefault();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nDropZoneWidget.prototype.execute = function() {\n\tthis.dropzoneDeserializer = this.getAttribute(\"deserializer\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDropZoneWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.dropzone = DropZoneWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-binary.js": {
"title": "$:/core/modules/widgets/edit-binary.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-binary.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-binary widget; placeholder for editing binary tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar BINARY_WARNING_MESSAGE = \"$:/core/ui/BinaryWarning\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditBinaryWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditBinaryWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditBinaryWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditBinaryWidget.prototype.execute = function() {\n\t// Construct the child widgets\n\tthis.makeChildWidgets([{\n\t\ttype: \"transclude\",\n\t\tattributes: {\n\t\t\ttiddler: {type: \"string\", value: BINARY_WARNING_MESSAGE}\n\t\t}\n\t}]);\n};\n\n/*\nRefresh by refreshing our child widget\n*/\nEditBinaryWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports[\"edit-binary\"] = EditBinaryWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-bitmap.js": {
"title": "$:/core/modules/widgets/edit-bitmap.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-bitmap.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-bitmap widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Default image sizes\nvar DEFAULT_IMAGE_WIDTH = 600,\n\tDEFAULT_IMAGE_HEIGHT = 370;\n\n// Configuration tiddlers\nvar LINE_WIDTH_TITLE = \"$:/config/BitmapEditor/LineWidth\",\n\tLINE_COLOUR_TITLE = \"$:/config/BitmapEditor/Colour\",\n\tLINE_OPACITY_TITLE = \"$:/config/BitmapEditor/Opacity\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditBitmapWidget = function(parseTreeNode,options) {\n\t// Initialise the editor operations if they've not been done already\n\tif(!this.editorOperations) {\n\t\tEditBitmapWidget.prototype.editorOperations = {};\n\t\t$tw.modules.applyMethods(\"bitmapeditoroperation\",this.editorOperations);\n\t}\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditBitmapWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditBitmapWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create the wrapper for the toolbar and render its content\n\tthis.toolbarNode = this.document.createElement(\"div\");\n\tthis.toolbarNode.className = \"tc-editor-toolbar\";\n\tparent.insertBefore(this.toolbarNode,nextSibling);\n\tthis.domNodes.push(this.toolbarNode);\n\t// Create the on-screen canvas\n\tthis.canvasDomNode = $tw.utils.domMaker(\"canvas\",{\n\t\tdocument: this.document,\n\t\t\"class\":\"tc-edit-bitmapeditor\",\n\t\teventListeners: [{\n\t\t\tname: \"touchstart\", handlerObject: this, handlerMethod: \"handleTouchStartEvent\"\n\t\t},{\n\t\t\tname: \"touchmove\", handlerObject: this, handlerMethod: \"handleTouchMoveEvent\"\n\t\t},{\n\t\t\tname: \"touchend\", handlerObject: this, handlerMethod: \"handleTouchEndEvent\"\n\t\t},{\n\t\t\tname: \"mousedown\", handlerObject: this, handlerMethod: \"handleMouseDownEvent\"\n\t\t},{\n\t\t\tname: \"mousemove\", handlerObject: this, handlerMethod: \"handleMouseMoveEvent\"\n\t\t},{\n\t\t\tname: \"mouseup\", handlerObject: this, handlerMethod: \"handleMouseUpEvent\"\n\t\t}]\n\t});\n\t// Set the width and height variables\n\tthis.setVariable(\"tv-bitmap-editor-width\",this.canvasDomNode.width + \"px\");\n\tthis.setVariable(\"tv-bitmap-editor-height\",this.canvasDomNode.height + \"px\");\n\t// Render toolbar child widgets\n\tthis.renderChildren(this.toolbarNode,null);\n\t// // Insert the elements into the DOM\n\tparent.insertBefore(this.canvasDomNode,nextSibling);\n\tthis.domNodes.push(this.canvasDomNode);\n\t// Load the image into the canvas\n\tif($tw.browser) {\n\t\tthis.loadCanvas();\n\t}\n\t// Add widget message listeners\n\tthis.addEventListeners([\n\t\t{type: \"tm-edit-bitmap-operation\", handler: \"handleEditBitmapOperationMessage\"}\n\t]);\n};\n\n/*\nHandle an edit bitmap operation message from the toolbar\n*/\nEditBitmapWidget.prototype.handleEditBitmapOperationMessage = function(event) {\n\t// Invoke the handler\n\tvar handler = this.editorOperations[event.param];\n\tif(handler) {\n\t\thandler.call(this,event);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditBitmapWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nJust refresh the toolbar\n*/\nEditBitmapWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nSet the bitmap size variables and refresh the toolbar\n*/\nEditBitmapWidget.prototype.refreshToolbar = function() {\n\t// Set the width and height variables\n\tthis.setVariable(\"tv-bitmap-editor-width\",this.canvasDomNode.width + \"px\");\n\tthis.setVariable(\"tv-bitmap-editor-height\",this.canvasDomNode.height + \"px\");\n\t// Refresh each of our child widgets\n\t$tw.utils.each(this.children,function(childWidget) {\n\t\tchildWidget.refreshSelf();\n\t});\n};\n\nEditBitmapWidget.prototype.loadCanvas = function() {\n\tvar tiddler = this.wiki.getTiddler(this.editTitle),\n\t\tcurrImage = new Image();\n\t// Set up event handlers for loading the image\n\tvar self = this;\n\tcurrImage.onload = function() {\n\t\t// Copy the image to the on-screen canvas\n\t\tself.initCanvas(self.canvasDomNode,currImage.width,currImage.height,currImage);\n\t\t// And also copy the current bitmap to the off-screen canvas\n\t\tself.currCanvas = self.document.createElement(\"canvas\");\n\t\tself.initCanvas(self.currCanvas,currImage.width,currImage.height,currImage);\n\t\t// Set the width and height input boxes\n\t\tself.refreshToolbar();\n\t};\n\tcurrImage.onerror = function() {\n\t\t// Set the on-screen canvas size and clear it\n\t\tself.initCanvas(self.canvasDomNode,DEFAULT_IMAGE_WIDTH,DEFAULT_IMAGE_HEIGHT);\n\t\t// Set the off-screen canvas size and clear it\n\t\tself.currCanvas = self.document.createElement(\"canvas\");\n\t\tself.initCanvas(self.currCanvas,DEFAULT_IMAGE_WIDTH,DEFAULT_IMAGE_HEIGHT);\n\t\t// Set the width and height input boxes\n\t\tself.refreshToolbar();\n\t};\n\t// Get the current bitmap into an image object\n\tcurrImage.src = \"data:\" + tiddler.fields.type + \";base64,\" + tiddler.fields.text;\n};\n\nEditBitmapWidget.prototype.initCanvas = function(canvas,width,height,image) {\n\tcanvas.width = width;\n\tcanvas.height = height;\n\tvar ctx = canvas.getContext(\"2d\");\n\tif(image) {\n\t\tctx.drawImage(image,0,0);\n\t} else {\n\t\tctx.fillStyle = \"#fff\";\n\t\tctx.fillRect(0,0,canvas.width,canvas.height);\n\t}\n};\n\n/*\n** Change the size of the canvas, preserving the current image\n*/\nEditBitmapWidget.prototype.changeCanvasSize = function(newWidth,newHeight) {\n\t// Create and size a new canvas\n\tvar newCanvas = this.document.createElement(\"canvas\");\n\tthis.initCanvas(newCanvas,newWidth,newHeight);\n\t// Copy the old image\n\tvar ctx = newCanvas.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n\t// Set the new canvas as the current one\n\tthis.currCanvas = newCanvas;\n\t// Set the size of the onscreen canvas\n\tthis.canvasDomNode.width = newWidth;\n\tthis.canvasDomNode.height = newHeight;\n\t// Paint the onscreen canvas with the offscreen canvas\n\tctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n};\n\n/*\n** Rotate the canvas left by 90 degrees\n*/\nEditBitmapWidget.prototype.rotateCanvasLeft = function() {\n\t// Get the current size of the image\n\tvar origWidth = this.currCanvas.width,\n\t\torigHeight = this.currCanvas.height;\n\t// Create and size a new canvas\n\tvar newCanvas = this.document.createElement(\"canvas\"),\n\t\tnewWidth = origHeight,\n\t\tnewHeight = origWidth;\n\tthis.initCanvas(newCanvas,newWidth,newHeight);\n\t// Copy the old image\n\tvar ctx = newCanvas.getContext(\"2d\");\n\tctx.save();\n\tctx.translate(newWidth / 2,newHeight / 2);\n\tctx.rotate(-Math.PI / 2);\n\tctx.drawImage(this.currCanvas,-origWidth / 2,-origHeight / 2);\n\tctx.restore();\n\t// Set the new canvas as the current one\n\tthis.currCanvas = newCanvas;\n\t// Set the size of the onscreen canvas\n\tthis.canvasDomNode.width = newWidth;\n\tthis.canvasDomNode.height = newHeight;\n\t// Paint the onscreen canvas with the offscreen canvas\n\tctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n};\n\nEditBitmapWidget.prototype.handleTouchStartEvent = function(event) {\n\tthis.brushDown = true;\n\tthis.strokeStart(event.touches[0].clientX,event.touches[0].clientY);\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleTouchMoveEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.strokeMove(event.touches[0].clientX,event.touches[0].clientY);\n\t}\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleTouchEndEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.brushDown = false;\n\t\tthis.strokeEnd();\n\t}\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleMouseDownEvent = function(event) {\n\tthis.strokeStart(event.clientX,event.clientY);\n\tthis.brushDown = true;\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleMouseMoveEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.strokeMove(event.clientX,event.clientY);\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn false;\n\t}\n\treturn true;\n};\n\nEditBitmapWidget.prototype.handleMouseUpEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.brushDown = false;\n\t\tthis.strokeEnd();\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn false;\n\t}\n\treturn true;\n};\n\nEditBitmapWidget.prototype.adjustCoordinates = function(x,y) {\n\tvar canvasRect = this.canvasDomNode.getBoundingClientRect(),\n\t\tscale = this.canvasDomNode.width/canvasRect.width;\n\treturn {x: (x - canvasRect.left) * scale, y: (y - canvasRect.top) * scale};\n};\n\nEditBitmapWidget.prototype.strokeStart = function(x,y) {\n\t// Start off a new stroke\n\tthis.stroke = [this.adjustCoordinates(x,y)];\n};\n\nEditBitmapWidget.prototype.strokeMove = function(x,y) {\n\tvar ctx = this.canvasDomNode.getContext(\"2d\"),\n\t\tt;\n\t// Add the new position to the end of the stroke\n\tthis.stroke.push(this.adjustCoordinates(x,y));\n\t// Redraw the previous image\n\tctx.drawImage(this.currCanvas,0,0);\n\t// Render the stroke\n\tctx.globalAlpha = parseFloat(this.wiki.getTiddlerText(LINE_OPACITY_TITLE,\"1.0\"));\n\tctx.strokeStyle = this.wiki.getTiddlerText(LINE_COLOUR_TITLE,\"#ff0\");\n\tctx.lineWidth = parseFloat(this.wiki.getTiddlerText(LINE_WIDTH_TITLE,\"3\"));\n\tctx.lineCap = \"round\";\n\tctx.lineJoin = \"round\";\n\tctx.beginPath();\n\tctx.moveTo(this.stroke[0].x,this.stroke[0].y);\n\tfor(t=1; t<this.stroke.length-1; t++) {\n\t\tvar s1 = this.stroke[t],\n\t\t\ts2 = this.stroke[t-1],\n\t\t\ttx = (s1.x + s2.x)/2,\n\t\t\tty = (s1.y + s2.y)/2;\n\t\tctx.quadraticCurveTo(s2.x,s2.y,tx,ty);\n\t}\n\tctx.stroke();\n};\n\nEditBitmapWidget.prototype.strokeEnd = function() {\n\t// Copy the bitmap to the off-screen canvas\n\tvar ctx = this.currCanvas.getContext(\"2d\");\n\tctx.drawImage(this.canvasDomNode,0,0);\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\nEditBitmapWidget.prototype.saveChanges = function() {\n\tvar tiddler = this.wiki.getTiddler(this.editTitle);\n\tif(tiddler) {\n\t\t// data URIs look like \"data:<type>;base64,<text>\"\n\t\tvar dataURL = this.canvasDomNode.toDataURL(tiddler.fields.type),\n\t\t\tposColon = dataURL.indexOf(\":\"),\n\t\t\tposSemiColon = dataURL.indexOf(\";\"),\n\t\t\tposComma = dataURL.indexOf(\",\"),\n\t\t\ttype = dataURL.substring(posColon+1,posSemiColon),\n\t\t\ttext = dataURL.substring(posComma+1);\n\t\tvar update = {type: type, text: text};\n\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getModificationFields(),tiddler,update,this.wiki.getCreationFields()));\n\t}\n};\n\nexports[\"edit-bitmap\"] = EditBitmapWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-shortcut.js": {
"title": "$:/core/modules/widgets/edit-shortcut.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-shortcut.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to display an editable keyboard shortcut\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditShortcutWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditShortcutWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditShortcutWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.inputNode = this.document.createElement(\"input\");\n\t// Assign classes\n\tif(this.shortcutClass) {\n\t\tthis.inputNode.className = this.shortcutClass;\t\t\n\t}\n\t// Assign other attributes\n\tif(this.shortcutStyle) {\n\t\tthis.inputNode.setAttribute(\"style\",this.shortcutStyle);\n\t}\n\tif(this.shortcutTooltip) {\n\t\tthis.inputNode.setAttribute(\"title\",this.shortcutTooltip);\n\t}\n\tif(this.shortcutPlaceholder) {\n\t\tthis.inputNode.setAttribute(\"placeholder\",this.shortcutPlaceholder);\n\t}\n\tif(this.shortcutAriaLabel) {\n\t\tthis.inputNode.setAttribute(\"aria-label\",this.shortcutAriaLabel);\n\t}\n\t// Assign the current shortcut\n\tthis.updateInputNode();\n\t// Add event handlers\n\t$tw.utils.addEventListeners(this.inputNode,[\n\t\t{name: \"keydown\", handlerObject: this, handlerMethod: \"handleKeydownEvent\"}\n\t]);\n\t// Link into the DOM\n\tparent.insertBefore(this.inputNode,nextSibling);\n\tthis.domNodes.push(this.inputNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditShortcutWidget.prototype.execute = function() {\n\tthis.shortcutTiddler = this.getAttribute(\"tiddler\");\n\tthis.shortcutField = this.getAttribute(\"field\");\n\tthis.shortcutIndex = this.getAttribute(\"index\");\n\tthis.shortcutPlaceholder = this.getAttribute(\"placeholder\");\n\tthis.shortcutDefault = this.getAttribute(\"default\",\"\");\n\tthis.shortcutClass = this.getAttribute(\"class\");\n\tthis.shortcutStyle = this.getAttribute(\"style\");\n\tthis.shortcutTooltip = this.getAttribute(\"tooltip\");\n\tthis.shortcutAriaLabel = this.getAttribute(\"aria-label\");\n};\n\n/*\nUpdate the value of the input node\n*/\nEditShortcutWidget.prototype.updateInputNode = function() {\n\tif(this.shortcutField) {\n\t\tvar tiddler = this.wiki.getTiddler(this.shortcutTiddler);\n\t\tif(tiddler && $tw.utils.hop(tiddler.fields,this.shortcutField)) {\n\t\t\tthis.inputNode.value = tiddler.getFieldString(this.shortcutField);\n\t\t} else {\n\t\t\tthis.inputNode.value = this.shortcutDefault;\n\t\t}\n\t} else if(this.shortcutIndex) {\n\t\tthis.inputNode.value = this.wiki.extractTiddlerDataItem(this.shortcutTiddler,this.shortcutIndex,this.shortcutDefault);\n\t} else {\n\t\tthis.inputNode.value = this.wiki.getTiddlerText(this.shortcutTiddler,this.shortcutDefault);\n\t}\n};\n\n/*\nHandle a dom \"keydown\" event\n*/\nEditShortcutWidget.prototype.handleKeydownEvent = function(event) {\n\t// Ignore shift, ctrl, meta, alt\n\tif(event.keyCode && $tw.keyboardManager.getModifierKeys().indexOf(event.keyCode) === -1) {\n\t\t// Get the shortcut text representation\n\t\tvar value = $tw.keyboardManager.getPrintableShortcuts([{\n\t\t\tctrlKey: event.ctrlKey,\n\t\t\tshiftKey: event.shiftKey,\n\t\t\taltKey: event.altKey,\n\t\t\tmetaKey: event.metaKey,\n\t\t\tkeyCode: event.keyCode\n\t\t}]);\n\t\tif(value.length > 0) {\n\t\t\tthis.wiki.setText(this.shortcutTiddler,this.shortcutField,this.shortcutIndex,value[0]);\n\t\t}\n\t\t// Ignore the keydown if it was already handled\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn true;\t\t\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget needed re-rendering\n*/\nEditShortcutWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.placeholder || changedAttributes[\"default\"] || changedAttributes[\"class\"] || changedAttributes.style || changedAttributes.tooltip || changedAttributes[\"aria-label\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedTiddlers[this.shortcutTiddler]) {\n\t\tthis.updateInputNode();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports[\"edit-shortcut\"] = EditShortcutWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-text.js": {
"title": "$:/core/modules/widgets/edit-text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-text.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-text widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar editTextWidgetFactory = require(\"$:/core/modules/editor/factory.js\").editTextWidgetFactory,\n\tFramedEngine = require(\"$:/core/modules/editor/engines/framed.js\").FramedEngine,\n\tSimpleEngine = require(\"$:/core/modules/editor/engines/simple.js\").SimpleEngine;\n\nexports[\"edit-text\"] = editTextWidgetFactory(FramedEngine,SimpleEngine);\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit.js": {
"title": "$:/core/modules/widgets/edit.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit.js\ntype: application/javascript\nmodule-type: widget\n\nEdit widget is a meta-widget chooses the appropriate actual editting widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n// Mappings from content type to editor type are stored in tiddlers with this prefix\nvar EDITOR_MAPPING_PREFIX = \"$:/config/EditorTypeMappings/\";\n\n/*\nCompute the internal state of the widget\n*/\nEditWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.editField = this.getAttribute(\"field\",\"text\");\n\tthis.editIndex = this.getAttribute(\"index\");\n\tthis.editClass = this.getAttribute(\"class\");\n\tthis.editPlaceholder = this.getAttribute(\"placeholder\");\n\t// Choose the appropriate edit widget\n\tthis.editorType = this.getEditorType();\n\t// Make the child widgets\n\tthis.makeChildWidgets([{\n\t\ttype: \"edit-\" + this.editorType,\n\t\tattributes: {\n\t\t\ttiddler: {type: \"string\", value: this.editTitle},\n\t\t\tfield: {type: \"string\", value: this.editField},\n\t\t\tindex: {type: \"string\", value: this.editIndex},\n\t\t\t\"class\": {type: \"string\", value: this.editClass},\n\t\t\t\"placeholder\": {type: \"string\", value: this.editPlaceholder}\n\t\t},\n\t\tchildren: this.parseTreeNode.children\n\t}]);\n};\n\nEditWidget.prototype.getEditorType = function() {\n\t// Get the content type of the thing we're editing\n\tvar type;\n\tif(this.editField === \"text\") {\n\t\tvar tiddler = this.wiki.getTiddler(this.editTitle);\n\t\tif(tiddler) {\n\t\t\ttype = tiddler.fields.type;\n\t\t}\n\t}\n\ttype = type || \"text/vnd.tiddlywiki\";\n\tvar editorType = this.wiki.getTiddlerText(EDITOR_MAPPING_PREFIX + type);\n\tif(!editorType) {\n\t\tvar typeInfo = $tw.config.contentTypeInfo[type];\n\t\tif(typeInfo && typeInfo.encoding === \"base64\") {\n\t\t\teditorType = \"binary\";\n\t\t} else {\n\t\t\teditorType = \"text\";\n\t\t}\n\t}\n\treturn editorType;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEditWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// Refresh if an attribute has changed, or the type associated with the target tiddler has changed\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || (changedTiddlers[this.editTitle] && this.getEditorType() !== this.editorType)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.edit = EditWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/element.js": {
"title": "$:/core/modules/widgets/element.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/element.js\ntype: application/javascript\nmodule-type: widget\n\nElement widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ElementWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nElementWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nElementWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Neuter blacklisted elements\n\tvar tag = this.parseTreeNode.tag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"safe-\" + tag;\n\t}\n\tvar domNode = this.document.createElementNS(this.namespace,tag);\n\tthis.assignAttributes(domNode,{excludeEventAttributes: true});\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nElementWidget.prototype.execute = function() {\n\t// Select the namespace for the tag\n\tvar tagNamespaces = {\n\t\t\tsvg: \"http://www.w3.org/2000/svg\",\n\t\t\tmath: \"http://www.w3.org/1998/Math/MathML\",\n\t\t\tbody: \"http://www.w3.org/1999/xhtml\"\n\t\t};\n\tthis.namespace = tagNamespaces[this.parseTreeNode.tag];\n\tif(this.namespace) {\n\t\tthis.setVariable(\"namespace\",this.namespace);\n\t} else {\n\t\tthis.namespace = this.getVariable(\"namespace\",{defaultValue: \"http://www.w3.org/1999/xhtml\"});\n\t}\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nElementWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\thasChangedAttributes = $tw.utils.count(changedAttributes) > 0;\n\tif(hasChangedAttributes) {\n\t\t// Update our attributes\n\t\tthis.assignAttributes(this.domNodes[0],{excludeEventAttributes: true});\n\t}\n\treturn this.refreshChildren(changedTiddlers) || hasChangedAttributes;\n};\n\nexports.element = ElementWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/encrypt.js": {
"title": "$:/core/modules/widgets/encrypt.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/encrypt.js\ntype: application/javascript\nmodule-type: widget\n\nEncrypt widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EncryptWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEncryptWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEncryptWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.encryptedText);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEncryptWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.filter = this.getAttribute(\"filter\",\"[!is[system]]\");\n\t// Encrypt the filtered tiddlers\n\tvar tiddlers = this.wiki.filterTiddlers(this.filter),\n\t\tjson = {},\n\t\tself = this;\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title),\n\t\t\tjsonTiddler = {};\n\t\tfor(var f in tiddler.fields) {\n\t\t\tjsonTiddler[f] = tiddler.getFieldString(f);\n\t\t}\n\t\tjson[title] = jsonTiddler;\n\t});\n\tthis.encryptedText = $tw.utils.htmlEncode($tw.crypto.encrypt(JSON.stringify(json)));\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEncryptWidget.prototype.refresh = function(changedTiddlers) {\n\t// We don't need to worry about refreshing because the encrypt widget isn't for interactive use\n\treturn false;\n};\n\nexports.encrypt = EncryptWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/entity.js": {
"title": "$:/core/modules/widgets/entity.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/entity.js\ntype: application/javascript\nmodule-type: widget\n\nHTML entity widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EntityWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEntityWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEntityWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.execute();\n\tvar entityString = this.getAttribute(\"entity\",this.parseTreeNode.entity || \"\"),\n\t\ttextNode = this.document.createTextNode($tw.utils.entityDecode(entityString));\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEntityWidget.prototype.execute = function() {\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEntityWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.entity) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.entity = EntityWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/fieldmangler.js": {
"title": "$:/core/modules/widgets/fieldmangler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/fieldmangler.js\ntype: application/javascript\nmodule-type: widget\n\nField mangler widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar FieldManglerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.addEventListeners([\n\t\t{type: \"tm-remove-field\", handler: \"handleRemoveFieldEvent\"},\n\t\t{type: \"tm-add-field\", handler: \"handleAddFieldEvent\"},\n\t\t{type: \"tm-remove-tag\", handler: \"handleRemoveTagEvent\"},\n\t\t{type: \"tm-add-tag\", handler: \"handleAddTagEvent\"}\n\t]);\n};\n\n/*\nInherit from the base widget class\n*/\nFieldManglerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nFieldManglerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nFieldManglerWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.mangleTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nFieldManglerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nFieldManglerWidget.prototype.handleRemoveFieldEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tdeletion = {};\n\tdeletion[event.param] = undefined;\n\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,deletion));\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleAddFieldEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\taddition = this.wiki.getModificationFields(),\n\t\thadInvalidFieldName = false,\n\t\taddField = function(name,value) {\n\t\t\tvar trimmedName = name.toLowerCase().trim();\n\t\t\tif(!$tw.utils.isValidFieldName(trimmedName)) {\n\t\t\t\tif(!hadInvalidFieldName) {\n\t\t\t\t\talert($tw.language.getString(\n\t\t\t\t\t\t\"InvalidFieldName\",\n\t\t\t\t\t\t{variables:\n\t\t\t\t\t\t\t{fieldName: trimmedName}\n\t\t\t\t\t\t}\n\t\t\t\t\t));\n\t\t\t\t\thadInvalidFieldName = true;\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tif(!value && tiddler) {\n\t\t\t\t\tvalue = tiddler.fields[trimmedName];\n\t\t\t\t}\n\t\t\t\taddition[trimmedName] = value || \"\";\n\t\t\t}\n\t\t\treturn;\n\t\t};\n\taddition.title = this.mangleTitle;\n\tif(typeof event.param === \"string\") {\n\t\taddField(event.param,\"\");\n\t}\n\tif(typeof event.paramObject === \"object\") {\n\t\tfor(var name in event.paramObject) {\n\t\t\taddField(name,event.paramObject[name]);\n\t\t}\n\t}\n\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,addition));\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleRemoveTagEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tmodification = this.wiki.getModificationFields();\n\tif(tiddler && tiddler.fields.tags) {\n\t\tvar p = tiddler.fields.tags.indexOf(event.param);\n\t\tif(p !== -1) {\n\t\t\tmodification.tags = (tiddler.fields.tags || []).slice(0);\n\t\t\tmodification.tags.splice(p,1);\n\t\t\tif(modification.tags.length === 0) {\n\t\t\t\tmodification.tags = undefined;\n\t\t\t}\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,modification));\n\t\t}\n\t}\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleAddTagEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tmodification = this.wiki.getModificationFields();\n\tif(tiddler && typeof event.param === \"string\") {\n\t\tvar tag = event.param.trim();\n\t\tif(tag !== \"\") {\n\t\t\tmodification.tags = (tiddler.fields.tags || []).slice(0);\n\t\t\t$tw.utils.pushTop(modification.tags,tag);\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,modification));\t\t\t\n\t\t}\n\t} else if(typeof event.param === \"string\" && event.param.trim() !== \"\" && this.mangleTitle.trim() !== \"\") {\n\t\tvar tag = [];\n\t\ttag.push(event.param.trim());\n\t\tthis.wiki.addTiddler(new $tw.Tiddler({title: this.mangleTitle, tags: tag},modification));\n\t}\n\treturn true;\n};\n\nexports.fieldmangler = FieldManglerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/fields.js": {
"title": "$:/core/modules/widgets/fields.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/fields.js\ntype: application/javascript\nmodule-type: widget\n\nFields widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar FieldsWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nFieldsWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nFieldsWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.text);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nFieldsWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.template = this.getAttribute(\"template\");\n\tthis.exclude = this.getAttribute(\"exclude\");\n\tthis.stripTitlePrefix = this.getAttribute(\"stripTitlePrefix\",\"no\") === \"yes\";\n\t// Get the value to display\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle);\n\t// Get the exclusion list\n\tvar exclude;\n\tif(this.exclude) {\n\t\texclude = this.exclude.split(\" \");\n\t} else {\n\t\texclude = [\"text\"]; \n\t}\n\t// Compose the template\n\tvar text = [];\n\tif(this.template && tiddler) {\n\t\tvar fields = [];\n\t\tfor(var fieldName in tiddler.fields) {\n\t\t\tif(exclude.indexOf(fieldName) === -1) {\n\t\t\t\tfields.push(fieldName);\n\t\t\t}\n\t\t}\n\t\tfields.sort();\n\t\tfor(var f=0; f<fields.length; f++) {\n\t\t\tfieldName = fields[f];\n\t\t\tif(exclude.indexOf(fieldName) === -1) {\n\t\t\t\tvar row = this.template,\n\t\t\t\t\tvalue = tiddler.getFieldString(fieldName);\n\t\t\t\tif(this.stripTitlePrefix && fieldName === \"title\") {\n\t\t\t\t\tvar reStrip = /^\\{[^\\}]+\\}(.+)/mg,\n\t\t\t\t\t\treMatch = reStrip.exec(value);\n\t\t\t\t\tif(reMatch) {\n\t\t\t\t\t\tvalue = reMatch[1];\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\trow = $tw.utils.replaceString(row,\"$name$\",fieldName);\n\t\t\t\trow = $tw.utils.replaceString(row,\"$value$\",value);\n\t\t\t\trow = $tw.utils.replaceString(row,\"$encoded_value$\",$tw.utils.htmlEncode(value));\n\t\t\t\ttext.push(row);\n\t\t\t}\n\t\t}\n\t}\n\tthis.text = text.join(\"\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nFieldsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.template || changedAttributes.exclude || changedAttributes.stripTitlePrefix || changedTiddlers[this.tiddlerTitle]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.fields = FieldsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/image.js": {
"title": "$:/core/modules/widgets/image.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/image.js\ntype: application/javascript\nmodule-type: widget\n\nThe image widget displays an image referenced with an external URI or with a local tiddler title.\n\n```\n<$image src=\"TiddlerTitle\" width=\"320\" height=\"400\" class=\"classnames\">\n```\n\nThe image source can be the title of an existing tiddler or the URL of an external image.\n\nExternal images always generate an HTML `<img>` tag.\n\nTiddlers that have a _canonical_uri field generate an HTML `<img>` tag with the src attribute containing the URI.\n\nTiddlers that contain image data generate an HTML `<img>` tag with the src attribute containing a base64 representation of the image.\n\nTiddlers that contain wikitext could be rendered to a DIV of the usual size of a tiddler, and then transformed to the size requested.\n\nThe width and height attributes are interpreted as a number of pixels, and do not need to include the \"px\" suffix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ImageWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nImageWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nImageWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\t// Determine what type of image it is\n\tvar tag = \"img\", src = \"\",\n\t\ttiddler = this.wiki.getTiddler(this.imageSource);\n\tif(!tiddler) {\n\t\t// The source isn't the title of a tiddler, so we'll assume it's a URL\n\t\tsrc = this.getVariable(\"tv-get-export-image-link\",{params: [{name: \"src\",value: this.imageSource}],defaultValue: this.imageSource});\n\t} else {\n\t\t// Check if it is an image tiddler\n\t\tif(this.wiki.isImageTiddler(this.imageSource)) {\n\t\t\tvar type = tiddler.fields.type,\n\t\t\t\ttext = tiddler.fields.text,\n\t\t\t\t_canonical_uri = tiddler.fields._canonical_uri;\n\t\t\t// If the tiddler has body text then it doesn't need to be lazily loaded\n\t\t\tif(text) {\n\t\t\t\t// Render the appropriate element for the image type\n\t\t\t\tswitch(type) {\n\t\t\t\t\tcase \"application/pdf\":\n\t\t\t\t\t\ttag = \"embed\";\n\t\t\t\t\t\tsrc = \"data:application/pdf;base64,\" + text;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"image/svg+xml\":\n\t\t\t\t\t\tsrc = \"data:image/svg+xml,\" + encodeURIComponent(text);\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tsrc = \"data:\" + type + \";base64,\" + text;\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t} else if(_canonical_uri) {\n\t\t\t\tswitch(type) {\n\t\t\t\t\tcase \"application/pdf\":\n\t\t\t\t\t\ttag = \"embed\";\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"image/svg+xml\":\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\t\n\t\t\t} else {\n\t\t\t\t// Just trigger loading of the tiddler\n\t\t\t\tthis.wiki.getTiddlerText(this.imageSource);\n\t\t\t}\n\t\t}\n\t}\n\t// Create the element and assign the attributes\n\tvar domNode = this.document.createElement(tag);\n\tdomNode.setAttribute(\"src\",src);\n\tif(this.imageClass) {\n\t\tdomNode.setAttribute(\"class\",this.imageClass);\t\t\n\t}\n\tif(this.imageWidth) {\n\t\tdomNode.setAttribute(\"width\",this.imageWidth);\n\t}\n\tif(this.imageHeight) {\n\t\tdomNode.setAttribute(\"height\",this.imageHeight);\n\t}\n\tif(this.imageTooltip) {\n\t\tdomNode.setAttribute(\"title\",this.imageTooltip);\t\t\n\t}\n\tif(this.imageAlt) {\n\t\tdomNode.setAttribute(\"alt\",this.imageAlt);\t\t\n\t}\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nImageWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.imageSource = this.getAttribute(\"source\");\n\tthis.imageWidth = this.getAttribute(\"width\");\n\tthis.imageHeight = this.getAttribute(\"height\");\n\tthis.imageClass = this.getAttribute(\"class\");\n\tthis.imageTooltip = this.getAttribute(\"tooltip\");\n\tthis.imageAlt = this.getAttribute(\"alt\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nImageWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.source || changedAttributes.width || changedAttributes.height || changedAttributes[\"class\"] || changedAttributes.tooltip || changedTiddlers[this.imageSource]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\t\n\t}\n};\n\nexports.image = ImageWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/importvariables.js": {
"title": "$:/core/modules/widgets/importvariables.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/importvariables.js\ntype: application/javascript\nmodule-type: widget\n\nImport variable definitions from other tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ImportVariablesWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nImportVariablesWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nImportVariablesWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nImportVariablesWidget.prototype.execute = function(tiddlerList) {\n\tvar self = this;\n\t// Get our parameters\n\tthis.filter = this.getAttribute(\"filter\");\n\t// Compute the filter\n\tthis.tiddlerList = tiddlerList || this.wiki.filterTiddlers(this.filter,this);\n\t// Accumulate the <$set> widgets from each tiddler\n\tvar widgetStackStart,widgetStackEnd;\n\tfunction addWidgetNode(widgetNode) {\n\t\tif(widgetNode) {\n\t\t\tif(!widgetStackStart && !widgetStackEnd) {\n\t\t\t\twidgetStackStart = widgetNode;\n\t\t\t\twidgetStackEnd = widgetNode;\n\t\t\t} else {\n\t\t\t\twidgetStackEnd.children = [widgetNode];\n\t\t\t\twidgetStackEnd = widgetNode;\n\t\t\t}\n\t\t}\n\t}\n\t$tw.utils.each(this.tiddlerList,function(title) {\n\t\tvar parser = self.wiki.parseTiddler(title);\n\t\tif(parser) {\n\t\t\tvar parseTreeNode = parser.tree[0];\n\t\t\twhile(parseTreeNode && parseTreeNode.type === \"set\") {\n\t\t\t\taddWidgetNode({\n\t\t\t\t\ttype: \"set\",\n\t\t\t\t\tattributes: parseTreeNode.attributes,\n\t\t\t\t\tparams: parseTreeNode.params\n\t\t\t\t});\n\t\t\t\tparseTreeNode = parseTreeNode.children[0];\n\t\t\t}\n\t\t} \n\t});\n\t// Add our own children to the end of the pile\n\tvar parseTreeNodes;\n\tif(widgetStackStart && widgetStackEnd) {\n\t\tparseTreeNodes = [widgetStackStart];\n\t\twidgetStackEnd.children = this.parseTreeNode.children;\n\t} else {\n\t\tparseTreeNodes = this.parseTreeNode.children;\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nImportVariablesWidget.prototype.refresh = function(changedTiddlers) {\n\t// Recompute our attributes and the filter list\n\tvar changedAttributes = this.computeAttributes(),\n\t\ttiddlerList = this.wiki.filterTiddlers(this.getAttribute(\"filter\"),this);\n\t// Refresh if the filter has changed, or the list of tiddlers has changed, or any of the tiddlers in the list has changed\n\tfunction haveListedTiddlersChanged() {\n\t\tvar changed = false;\n\t\ttiddlerList.forEach(function(title) {\n\t\t\tif(changedTiddlers[title]) {\n\t\t\t\tchanged = true;\n\t\t\t}\n\t\t});\n\t\treturn changed;\n\t}\n\tif(changedAttributes.filter || !$tw.utils.isArrayEqual(this.tiddlerList,tiddlerList) || haveListedTiddlersChanged()) {\n\t\t// Compute the filter\n\t\tthis.removeChildDomNodes();\n\t\tthis.execute(tiddlerList);\n\t\tthis.renderChildren(this.parentDomNode,this.findNextSiblingDomNode());\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.importvariables = ImportVariablesWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/keyboard.js": {
"title": "$:/core/modules/widgets/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/keyboard.js\ntype: application/javascript\nmodule-type: widget\n\nKeyboard shortcut widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar KeyboardWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nKeyboardWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nKeyboardWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.tag && $tw.config.htmlUnsafeElements.indexOf(this.tag) === -1) {\n\t\ttag = this.tag;\n\t}\n\t// Create element\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = (this[\"class\"] || \"\").split(\" \");\n\tclasses.push(\"tc-keyboard\");\n\tdomNode.className = classes.join(\" \");\n\t// Add a keyboard event handler\n\tdomNode.addEventListener(\"keydown\",function (event) {\n\t\tif($tw.keyboardManager.checkKeyDescriptors(event,self.keyInfoArray)) {\n\t\t\tself.invokeActions(self,event);\n\t\t\tif(self.actions) {\n\t\t\t\tself.invokeActionString(self.actions,self,event);\n\t\t\t}\n\t\t\tself.dispatchMessage(event);\n\t\t\tevent.preventDefault();\n\t\t\tevent.stopPropagation();\n\t\t\treturn true;\n\t\t}\n\t\treturn false;\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nKeyboardWidget.prototype.dispatchMessage = function(event) {\n\tthis.dispatchEvent({type: this.message, param: this.param, tiddlerTitle: this.getVariable(\"currentTiddler\")});\n};\n\n/*\nCompute the internal state of the widget\n*/\nKeyboardWidget.prototype.execute = function() {\n\t// Get attributes\n\tthis.actions = this.getAttribute(\"actions\");\n\tthis.message = this.getAttribute(\"message\");\n\tthis.param = this.getAttribute(\"param\");\n\tthis.key = this.getAttribute(\"key\");\n\tthis.tag = this.getAttribute(\"tag\");\n\tthis.keyInfoArray = $tw.keyboardManager.parseKeyDescriptors(this.key);\n\tthis[\"class\"] = this.getAttribute(\"class\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nKeyboardWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.message || changedAttributes.param || changedAttributes.key || changedAttributes[\"class\"] || changedAttributes.tag) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.keyboard = KeyboardWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/link.js": {
"title": "$:/core/modules/widgets/link.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/link.js\ntype: application/javascript\nmodule-type: widget\n\nLink widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar MISSING_LINK_CONFIG_TITLE = \"$:/config/MissingLinks\";\n\nvar LinkWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nLinkWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nLinkWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Get the value of the tv-wikilinks configuration macro\n\tvar wikiLinksMacro = this.getVariable(\"tv-wikilinks\"),\n\t\tuseWikiLinks = wikiLinksMacro ? (wikiLinksMacro.trim() !== \"no\") : true,\n\t\tmissingLinksEnabled = !(this.hideMissingLinks && this.isMissing && !this.isShadow);\n\t// Render the link if required\n\tif(useWikiLinks && missingLinksEnabled) {\n\t\tthis.renderLink(parent,nextSibling);\n\t} else {\n\t\t// Just insert the link text\n\t\tvar domNode = this.document.createElement(\"span\");\n\t\tparent.insertBefore(domNode,nextSibling);\n\t\tthis.renderChildren(domNode,null);\n\t\tthis.domNodes.push(domNode);\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nLinkWidget.prototype.renderLink = function(parent,nextSibling) {\n\tvar self = this;\n\t// Sanitise the specified tag\n\tvar tag = this.linkTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"a\";\n\t}\n\t// Create our element\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = [];\n\tif(this.overrideClasses === undefined) {\n\t\tclasses.push(\"tc-tiddlylink\");\n\t\tif(this.isShadow) {\n\t\t\tclasses.push(\"tc-tiddlylink-shadow\");\n\t\t}\n\t\tif(this.isMissing && !this.isShadow) {\n\t\t\tclasses.push(\"tc-tiddlylink-missing\");\n\t\t} else {\n\t\t\tif(!this.isMissing) {\n\t\t\t\tclasses.push(\"tc-tiddlylink-resolves\");\n\t\t\t}\n\t\t}\n\t\tif(this.linkClasses) {\n\t\t\tclasses.push(this.linkClasses);\t\t\t\n\t\t}\n\t} else if(this.overrideClasses !== \"\") {\n\t\tclasses.push(this.overrideClasses)\n\t}\n\tif(classes.length > 0) {\n\t\tdomNode.setAttribute(\"class\",classes.join(\" \"));\n\t}\n\t// Set an href\n\tvar wikilinkTransformFilter = this.getVariable(\"tv-filter-export-link\"),\n\t\twikiLinkText;\n\tif(wikilinkTransformFilter) {\n\t\t// Use the filter to construct the href\n\t\twikiLinkText = this.wiki.filterTiddlers(wikilinkTransformFilter,this,function(iterator) {\n\t\t\titerator(self.wiki.getTiddler(self.to),self.to)\n\t\t})[0];\n\t} else {\n\t\t// Expand the tv-wikilink-template variable to construct the href\n\t\tvar wikiLinkTemplateMacro = this.getVariable(\"tv-wikilink-template\"),\n\t\t\twikiLinkTemplate = wikiLinkTemplateMacro ? wikiLinkTemplateMacro.trim() : \"#$uri_encoded$\";\n\t\twikiLinkText = $tw.utils.replaceString(wikiLinkTemplate,\"$uri_encoded$\",encodeURIComponent(this.to));\n\t\twikiLinkText = $tw.utils.replaceString(wikiLinkText,\"$uri_doubleencoded$\",encodeURIComponent(encodeURIComponent(this.to)));\n\t}\n\t// Override with the value of tv-get-export-link if defined\n\twikiLinkText = this.getVariable(\"tv-get-export-link\",{params: [{name: \"to\",value: this.to}],defaultValue: wikiLinkText});\n\tif(tag === \"a\") {\n\t\tdomNode.setAttribute(\"href\",wikiLinkText);\n\t}\n\t// Set the tabindex\n\tif(this.tabIndex) {\n\t\tdomNode.setAttribute(\"tabindex\",this.tabIndex);\n\t}\n\t// Set the tooltip\n\t// HACK: Performance issues with re-parsing the tooltip prevent us defaulting the tooltip to \"<$transclude field='tooltip'><$transclude field='title'/></$transclude>\"\n\tvar tooltipWikiText = this.tooltip || this.getVariable(\"tv-wikilink-tooltip\");\n\tif(tooltipWikiText) {\n\t\tvar tooltipText = this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",tooltipWikiText,{\n\t\t\t\tparseAsInline: true,\n\t\t\t\tvariables: {\n\t\t\t\t\tcurrentTiddler: this.to\n\t\t\t\t},\n\t\t\t\tparentWidget: this\n\t\t\t});\n\t\tdomNode.setAttribute(\"title\",tooltipText);\n\t}\n\tif(this[\"aria-label\"]) {\n\t\tdomNode.setAttribute(\"aria-label\",this[\"aria-label\"]);\n\t}\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"click\", handlerObject: this, handlerMethod: \"handleClickEvent\"},\n\t]);\n\t// Make the link draggable if required\n\tif(this.draggable === \"yes\") {\n\t\t$tw.utils.makeDraggable({\n\t\t\tdomNode: domNode,\n\t\t\tdragTiddlerFn: function() {return self.to;},\n\t\t\twidget: this\n\t\t});\n\t}\n\t// Insert the link into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nLinkWidget.prototype.handleClickEvent = function(event) {\n\t// Send the click on its way as a navigate event\n\tvar bounds = this.domNodes[0].getBoundingClientRect();\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.to,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: this,\n\t\tnavigateFromClientRect: { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: event.metaKey || event.ctrlKey || (event.button === 1),\n\t\tmetaKey: event.metaKey,\n\t\tctrlKey: event.ctrlKey,\n\t\taltKey: event.altKey,\n\t\tshiftKey: event.shiftKey\n\t});\n\tif(this.domNodes[0].hasAttribute(\"href\")) {\n\t\tevent.preventDefault();\n\t}\n\tevent.stopPropagation();\n\treturn false;\n};\n\n/*\nCompute the internal state of the widget\n*/\nLinkWidget.prototype.execute = function() {\n\t// Pick up our attributes\n\tthis.to = this.getAttribute(\"to\",this.getVariable(\"currentTiddler\"));\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis[\"aria-label\"] = this.getAttribute(\"aria-label\");\n\tthis.linkClasses = this.getAttribute(\"class\");\n\tthis.overrideClasses = this.getAttribute(\"overrideClass\");\n\tthis.tabIndex = this.getAttribute(\"tabindex\");\n\tthis.draggable = this.getAttribute(\"draggable\",\"yes\");\n\tthis.linkTag = this.getAttribute(\"tag\",\"a\");\n\t// Determine the link characteristics\n\tthis.isMissing = !this.wiki.tiddlerExists(this.to);\n\tthis.isShadow = this.wiki.isShadowTiddler(this.to);\n\tthis.hideMissingLinks = ($tw.wiki.getTiddlerText(MISSING_LINK_CONFIG_TITLE,\"yes\") === \"no\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nLinkWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.to || changedTiddlers[this.to] || changedAttributes[\"aria-label\"] || changedAttributes.tooltip || changedTiddlers[MISSING_LINK_CONFIG_TITLE]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.link = LinkWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/linkcatcher.js": {
"title": "$:/core/modules/widgets/linkcatcher.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/linkcatcher.js\ntype: application/javascript\nmodule-type: widget\n\nLinkcatcher widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar LinkCatcherWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.addEventListeners([\n\t\t{type: \"tm-navigate\", handler: \"handleNavigateEvent\"}\n\t]);\n};\n\n/*\nInherit from the base widget class\n*/\nLinkCatcherWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nLinkCatcherWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nLinkCatcherWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.catchTo = this.getAttribute(\"to\");\n\tthis.catchMessage = this.getAttribute(\"message\");\n\tthis.catchSet = this.getAttribute(\"set\");\n\tthis.catchSetTo = this.getAttribute(\"setTo\");\n\tthis.catchActions = this.getAttribute(\"actions\");\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n\t// When executing actions we avoid trapping navigate events, so that we don't trigger ourselves recursively\n\tthis.executingActions = false;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nLinkCatcherWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.to || changedAttributes.message || changedAttributes.set || changedAttributes.setTo) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\n/*\nHandle a tm-navigate event\n*/\nLinkCatcherWidget.prototype.handleNavigateEvent = function(event) {\n\tif(!this.executingActions) {\n\t\t// Execute the actions\n\t\tif(this.catchTo) {\n\t\t\tthis.wiki.setTextReference(this.catchTo,event.navigateTo,this.getVariable(\"currentTiddler\"));\n\t\t}\n\t\tif(this.catchMessage && this.parentWidget) {\n\t\t\tthis.parentWidget.dispatchEvent({\n\t\t\t\ttype: this.catchMessage,\n\t\t\t\tparam: event.navigateTo,\n\t\t\t\tnavigateTo: event.navigateTo\n\t\t\t});\n\t\t}\n\t\tif(this.catchSet) {\n\t\t\tvar tiddler = this.wiki.getTiddler(this.catchSet);\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,{title: this.catchSet, text: this.catchSetTo}));\n\t\t}\n\t\tif(this.catchActions) {\n\t\t\tthis.executingActions = true;\n\t\t\tthis.invokeActionString(this.catchActions,this,event,{navigateTo: event.navigateTo});\n\t\t\tthis.executingActions = false;\n\t\t}\n\t} else {\n\t\t// This is a navigate event generated by the actions of this linkcatcher, so we don't trap it again, but just pass it to the parent\n\t\tthis.parentWidget.dispatchEvent({\n\t\t\ttype: \"tm-navigate\",\n\t\t\tparam: event.navigateTo,\n\t\t\tnavigateTo: event.navigateTo\n\t\t});\n\t}\n\treturn false;\n};\n\nexports.linkcatcher = LinkCatcherWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/list.js": {
"title": "$:/core/modules/widgets/list.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/list.js\ntype: application/javascript\nmodule-type: widget\n\nList and list item widgets\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\n/*\nThe list widget creates list element sub-widgets that reach back into the list widget for their configuration\n*/\n\nvar ListWidget = function(parseTreeNode,options) {\n\t// Initialise the storyviews if they've not been done already\n\tif(!this.storyViews) {\n\t\tListWidget.prototype.storyViews = {};\n\t\t$tw.modules.applyMethods(\"storyview\",this.storyViews);\n\t}\n\t// Main initialisation inherited from widget.js\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nListWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nListWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n\t// Construct the storyview\n\tvar StoryView = this.storyViews[this.storyViewName];\n\tif(this.storyViewName && !StoryView) {\n\t\tStoryView = this.storyViews[\"classic\"];\n\t}\n\tif(StoryView && !this.document.isTiddlyWikiFakeDom) {\n\t\tthis.storyview = new StoryView(this);\n\t} else {\n\t\tthis.storyview = null;\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nListWidget.prototype.execute = function() {\n\t// Get our attributes\n\tthis.template = this.getAttribute(\"template\");\n\tthis.editTemplate = this.getAttribute(\"editTemplate\");\n\tthis.variableName = this.getAttribute(\"variable\",\"currentTiddler\");\n\tthis.storyViewName = this.getAttribute(\"storyview\");\n\tthis.historyTitle = this.getAttribute(\"history\");\n\t// Compose the list elements\n\tthis.list = this.getTiddlerList();\n\tvar members = [],\n\t\tself = this;\n\t// Check for an empty list\n\tif(this.list.length === 0) {\n\t\tmembers = this.getEmptyMessage();\n\t} else {\n\t\t$tw.utils.each(this.list,function(title,index) {\n\t\t\tmembers.push(self.makeItemTemplate(title));\n\t\t});\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(members);\n\t// Clear the last history\n\tthis.history = [];\n};\n\nListWidget.prototype.getTiddlerList = function() {\n\tvar defaultFilter = \"[!is[system]sort[title]]\";\n\treturn this.wiki.filterTiddlers(this.getAttribute(\"filter\",defaultFilter),this);\n};\n\nListWidget.prototype.getEmptyMessage = function() {\n\tvar emptyMessage = this.getAttribute(\"emptyMessage\",\"\"),\n\t\tparser = this.wiki.parseText(\"text/vnd.tiddlywiki\",emptyMessage,{parseAsInline: true});\n\tif(parser) {\n\t\treturn parser.tree;\n\t} else {\n\t\treturn [];\n\t}\n};\n\n/*\nCompose the template for a list item\n*/\nListWidget.prototype.makeItemTemplate = function(title) {\n\t// Check if the tiddler is a draft\n\tvar tiddler = this.wiki.getTiddler(title),\n\t\tisDraft = tiddler && tiddler.hasField(\"draft.of\"),\n\t\ttemplate = this.template,\n\t\ttemplateTree;\n\tif(isDraft && this.editTemplate) {\n\t\ttemplate = this.editTemplate;\n\t}\n\t// Compose the transclusion of the template\n\tif(template) {\n\t\ttemplateTree = [{type: \"transclude\", attributes: {tiddler: {type: \"string\", value: template}}}];\n\t} else {\n\t\tif(this.parseTreeNode.children && this.parseTreeNode.children.length > 0) {\n\t\t\ttemplateTree = this.parseTreeNode.children;\n\t\t} else {\n\t\t\t// Default template is a link to the title\n\t\t\ttemplateTree = [{type: \"element\", tag: this.parseTreeNode.isBlock ? \"div\" : \"span\", children: [{type: \"link\", attributes: {to: {type: \"string\", value: title}}, children: [\n\t\t\t\t\t{type: \"text\", text: title}\n\t\t\t]}]}];\n\t\t}\n\t}\n\t// Return the list item\n\treturn {type: \"listitem\", itemTitle: title, variableName: this.variableName, children: templateTree};\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nListWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\tresult;\n\t// Call the storyview\n\tif(this.storyview && this.storyview.refreshStart) {\n\t\tthis.storyview.refreshStart(changedTiddlers,changedAttributes);\n\t}\n\t// Completely refresh if any of our attributes have changed\n\tif(changedAttributes.filter || changedAttributes.template || changedAttributes.editTemplate || changedAttributes.emptyMessage || changedAttributes.storyview || changedAttributes.history) {\n\t\tthis.refreshSelf();\n\t\tresult = true;\n\t} else {\n\t\t// Handle any changes to the list\n\t\tresult = this.handleListChanges(changedTiddlers);\n\t\t// Handle any changes to the history stack\n\t\tif(this.historyTitle && changedTiddlers[this.historyTitle]) {\n\t\t\tthis.handleHistoryChanges();\n\t\t}\n\t}\n\t// Call the storyview\n\tif(this.storyview && this.storyview.refreshEnd) {\n\t\tthis.storyview.refreshEnd(changedTiddlers,changedAttributes);\n\t}\n\treturn result;\n};\n\n/*\nHandle any changes to the history list\n*/\nListWidget.prototype.handleHistoryChanges = function() {\n\t// Get the history data\n\tvar newHistory = this.wiki.getTiddlerDataCached(this.historyTitle,[]);\n\t// Ignore any entries of the history that match the previous history\n\tvar entry = 0;\n\twhile(entry < newHistory.length && entry < this.history.length && newHistory[entry].title === this.history[entry].title) {\n\t\tentry++;\n\t}\n\t// Navigate forwards to each of the new tiddlers\n\twhile(entry < newHistory.length) {\n\t\tif(this.storyview && this.storyview.navigateTo) {\n\t\t\tthis.storyview.navigateTo(newHistory[entry]);\n\t\t}\n\t\tentry++;\n\t}\n\t// Update the history\n\tthis.history = newHistory;\n};\n\n/*\nProcess any changes to the list\n*/\nListWidget.prototype.handleListChanges = function(changedTiddlers) {\n\t// Get the new list\n\tvar prevList = this.list;\n\tthis.list = this.getTiddlerList();\n\t// Check for an empty list\n\tif(this.list.length === 0) {\n\t\t// Check if it was empty before\n\t\tif(prevList.length === 0) {\n\t\t\t// If so, just refresh the empty message\n\t\t\treturn this.refreshChildren(changedTiddlers);\n\t\t} else {\n\t\t\t// Replace the previous content with the empty message\n\t\t\tfor(t=this.children.length-1; t>=0; t--) {\n\t\t\t\tthis.removeListItem(t);\n\t\t\t}\n\t\t\tvar nextSibling = this.findNextSiblingDomNode();\n\t\t\tthis.makeChildWidgets(this.getEmptyMessage());\n\t\t\tthis.renderChildren(this.parentDomNode,nextSibling);\n\t\t\treturn true;\n\t\t}\n\t} else {\n\t\t// If the list was empty then we need to remove the empty message\n\t\tif(prevList.length === 0) {\n\t\t\tthis.removeChildDomNodes();\n\t\t\tthis.children = [];\n\t\t}\n\t\t// Cycle through the list, inserting and removing list items as needed\n\t\tvar hasRefreshed = false;\n\t\tfor(var t=0; t<this.list.length; t++) {\n\t\t\tvar index = this.findListItem(t,this.list[t]);\n\t\t\tif(index === undefined) {\n\t\t\t\t// The list item must be inserted\n\t\t\t\tthis.insertListItem(t,this.list[t]);\n\t\t\t\thasRefreshed = true;\n\t\t\t} else {\n\t\t\t\t// There are intervening list items that must be removed\n\t\t\t\tfor(var n=index-1; n>=t; n--) {\n\t\t\t\t\tthis.removeListItem(n);\n\t\t\t\t\thasRefreshed = true;\n\t\t\t\t}\n\t\t\t\t// Refresh the item we're reusing\n\t\t\t\tvar refreshed = this.children[t].refresh(changedTiddlers);\n\t\t\t\thasRefreshed = hasRefreshed || refreshed;\n\t\t\t}\n\t\t}\n\t\t// Remove any left over items\n\t\tfor(t=this.children.length-1; t>=this.list.length; t--) {\n\t\t\tthis.removeListItem(t);\n\t\t\thasRefreshed = true;\n\t\t}\n\t\treturn hasRefreshed;\n\t}\n};\n\n/*\nFind the list item with a given title, starting from a specified position\n*/\nListWidget.prototype.findListItem = function(startIndex,title) {\n\twhile(startIndex < this.children.length) {\n\t\tif(this.children[startIndex].parseTreeNode.itemTitle === title) {\n\t\t\treturn startIndex;\n\t\t}\n\t\tstartIndex++;\n\t}\n\treturn undefined;\n};\n\n/*\nInsert a new list item at the specified index\n*/\nListWidget.prototype.insertListItem = function(index,title) {\n\t// Create, insert and render the new child widgets\n\tvar widget = this.makeChildWidget(this.makeItemTemplate(title));\n\twidget.parentDomNode = this.parentDomNode; // Hack to enable findNextSiblingDomNode() to work\n\tthis.children.splice(index,0,widget);\n\tvar nextSibling = widget.findNextSiblingDomNode();\n\twidget.render(this.parentDomNode,nextSibling);\n\t// Animate the insertion if required\n\tif(this.storyview && this.storyview.insert) {\n\t\tthis.storyview.insert(widget);\n\t}\n\treturn true;\n};\n\n/*\nRemove the specified list item\n*/\nListWidget.prototype.removeListItem = function(index) {\n\tvar widget = this.children[index];\n\t// Animate the removal if required\n\tif(this.storyview && this.storyview.remove) {\n\t\tthis.storyview.remove(widget);\n\t} else {\n\t\twidget.removeChildDomNodes();\n\t}\n\t// Remove the child widget\n\tthis.children.splice(index,1);\n};\n\nexports.list = ListWidget;\n\nvar ListItemWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nListItemWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nListItemWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nListItemWidget.prototype.execute = function() {\n\t// Set the current list item title\n\tthis.setVariable(this.parseTreeNode.variableName,this.parseTreeNode.itemTitle);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nListItemWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.listitem = ListItemWidget;\n\n})();",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/macrocall.js": {
"title": "$:/core/modules/widgets/macrocall.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/macrocall.js\ntype: application/javascript\nmodule-type: widget\n\nMacrocall widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar MacroCallWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nMacroCallWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nMacroCallWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nMacroCallWidget.prototype.execute = function() {\n\t// Get the parse type if specified\n\tthis.parseType = this.getAttribute(\"$type\",\"text/vnd.tiddlywiki\");\n\tthis.renderOutput = this.getAttribute(\"$output\",\"text/html\");\n\t// Merge together the parameters specified in the parse tree with the specified attributes\n\tvar params = this.parseTreeNode.params ? this.parseTreeNode.params.slice(0) : [];\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tparams.push({name: name, value: attribute});\t\t\t\n\t\t}\n\t});\n\t// Get the macro value\n\tvar macroName = this.parseTreeNode.name || this.getAttribute(\"$name\"),\n\t\tvariableInfo = this.getVariableInfo(macroName,{params: params}),\n\t\ttext = variableInfo.text,\n\t\tparseTreeNodes;\n\t// Are we rendering to HTML?\n\tif(this.renderOutput === \"text/html\") {\n\t\t// If so we'll return the parsed macro\n\t\tvar parser = this.wiki.parseText(this.parseType,text,\n\t\t\t\t\t\t\t{parseAsInline: !this.parseTreeNode.isBlock});\n\t\tparseTreeNodes = parser ? parser.tree : [];\n\t\t// Wrap the parse tree in a vars widget assigning the parameters to variables named \"__paramname__\"\n\t\tvar attributes = {};\n\t\t$tw.utils.each(variableInfo.params,function(param) {\n\t\t\tvar name = \"__\" + param.name + \"__\";\n\t\t\tattributes[name] = {\n\t\t\t\tname: name,\n\t\t\t\ttype: \"string\",\n\t\t\t\tvalue: param.value\n\t\t\t};\n\t\t});\n\t\tparseTreeNodes = [{\n\t\t\ttype: \"vars\",\n\t\t\tattributes: attributes,\n\t\t\tchildren: parseTreeNodes\n\t\t}];\n\t} else {\n\t\t// Otherwise, we'll render the text\n\t\tvar plainText = this.wiki.renderText(\"text/plain\",this.parseType,text,{parentWidget: this});\n\t\tparseTreeNodes = [{type: \"text\", text: plainText}];\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nMacroCallWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) > 0) {\n\t\t// Rerender ourselves\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.macrocall = MacroCallWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/navigator.js": {
"title": "$:/core/modules/widgets/navigator.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/navigator.js\ntype: application/javascript\nmodule-type: widget\n\nNavigator widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar IMPORT_TITLE = \"$:/Import\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar NavigatorWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.addEventListeners([\n\t\t{type: \"tm-navigate\", handler: \"handleNavigateEvent\"},\n\t\t{type: \"tm-edit-tiddler\", handler: \"handleEditTiddlerEvent\"},\n\t\t{type: \"tm-delete-tiddler\", handler: \"handleDeleteTiddlerEvent\"},\n\t\t{type: \"tm-save-tiddler\", handler: \"handleSaveTiddlerEvent\"},\n\t\t{type: \"tm-cancel-tiddler\", handler: \"handleCancelTiddlerEvent\"},\n\t\t{type: \"tm-close-tiddler\", handler: \"handleCloseTiddlerEvent\"},\n\t\t{type: \"tm-close-all-tiddlers\", handler: \"handleCloseAllTiddlersEvent\"},\n\t\t{type: \"tm-close-other-tiddlers\", handler: \"handleCloseOtherTiddlersEvent\"},\n\t\t{type: \"tm-new-tiddler\", handler: \"handleNewTiddlerEvent\"},\n\t\t{type: \"tm-import-tiddlers\", handler: \"handleImportTiddlersEvent\"},\n\t\t{type: \"tm-perform-import\", handler: \"handlePerformImportEvent\"},\n\t\t{type: \"tm-fold-tiddler\", handler: \"handleFoldTiddlerEvent\"},\n\t\t{type: \"tm-fold-other-tiddlers\", handler: \"handleFoldOtherTiddlersEvent\"},\n\t\t{type: \"tm-fold-all-tiddlers\", handler: \"handleFoldAllTiddlersEvent\"},\n\t\t{type: \"tm-unfold-all-tiddlers\", handler: \"handleUnfoldAllTiddlersEvent\"},\n\t\t{type: \"tm-rename-tiddler\", handler: \"handleRenameTiddlerEvent\"}\n\t]);\n};\n\n/*\nInherit from the base widget class\n*/\nNavigatorWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nNavigatorWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nNavigatorWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.storyTitle = this.getAttribute(\"story\");\n\tthis.historyTitle = this.getAttribute(\"history\");\n\tthis.setVariable(\"tv-story-list\",this.storyTitle);\n\tthis.setVariable(\"tv-history-list\",this.historyTitle);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nNavigatorWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.story || changedAttributes.history) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nNavigatorWidget.prototype.getStoryList = function() {\n\treturn this.storyTitle ? this.wiki.getTiddlerList(this.storyTitle) : null;\n};\n\nNavigatorWidget.prototype.saveStoryList = function(storyList) {\n\tvar storyTiddler = this.wiki.getTiddler(this.storyTitle);\n\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\t{title: this.storyTitle},\n\t\tstoryTiddler,\n\t\t{list: storyList}\n\t));\n};\n\nNavigatorWidget.prototype.removeTitleFromStory = function(storyList,title) {\n\tvar p = storyList.indexOf(title);\n\twhile(p !== -1) {\n\t\tstoryList.splice(p,1);\n\t\tp = storyList.indexOf(title);\n\t}\n};\n\nNavigatorWidget.prototype.replaceFirstTitleInStory = function(storyList,oldTitle,newTitle) {\n\tvar pos = storyList.indexOf(oldTitle);\n\tif(pos !== -1) {\n\t\tstoryList[pos] = newTitle;\n\t\tdo {\n\t\t\tpos = storyList.indexOf(oldTitle,pos + 1);\n\t\t\tif(pos !== -1) {\n\t\t\t\tstoryList.splice(pos,1);\n\t\t\t}\n\t\t} while(pos !== -1);\n\t} else {\n\t\tstoryList.splice(0,0,newTitle);\n\t}\n};\n\nNavigatorWidget.prototype.addToStory = function(title,fromTitle) {\n\tvar storyList = this.getStoryList();\n\t// Quit if we cannot get hold of the story list\n\tif(!storyList) {\n\t\treturn;\n\t}\n\t// See if the tiddler is already there\n\tvar slot = storyList.indexOf(title);\n\t// Quit if it already exists in the story river\n\tif(slot >= 0) {\n\t\treturn;\n\t}\n\t// First we try to find the position of the story element we navigated from\n\tvar fromIndex = storyList.indexOf(fromTitle);\n\tif(fromIndex >= 0) {\n\t\t// The tiddler is added from inside the river\n\t\t// Determine where to insert the tiddler; Fallback is \"below\"\n\t\tswitch(this.getAttribute(\"openLinkFromInsideRiver\",\"below\")) {\n\t\t\tcase \"top\":\n\t\t\t\tslot = 0;\n\t\t\t\tbreak;\n\t\t\tcase \"bottom\":\n\t\t\t\tslot = storyList.length;\n\t\t\t\tbreak;\n\t\t\tcase \"above\":\n\t\t\t\tslot = fromIndex;\n\t\t\t\tbreak;\n\t\t\tcase \"below\": // Intentional fall-through\n\t\t\tdefault:\n\t\t\t\tslot = fromIndex + 1;\n\t\t\t\tbreak;\n\t\t}\n\t} else {\n\t\t// The tiddler is opened from outside the river. Determine where to insert the tiddler; default is \"top\"\n\t\tif(this.getAttribute(\"openLinkFromOutsideRiver\",\"top\") === \"bottom\") {\n\t\t\t// Insert at bottom\n\t\t\tslot = storyList.length;\n\t\t} else {\n\t\t\t// Insert at top\n\t\t\tslot = 0;\n\t\t}\n\t}\n\t// Add the tiddler\n\tstoryList.splice(slot,0,title);\n\t// Save the story\n\tthis.saveStoryList(storyList);\n};\n\n/*\nAdd a new record to the top of the history stack\ntitle: a title string or an array of title strings\nfromPageRect: page coordinates of the origin of the navigation\n*/\nNavigatorWidget.prototype.addToHistory = function(title,fromPageRect) {\n\tthis.wiki.addToHistory(title,fromPageRect,this.historyTitle);\n};\n\n/*\nHandle a tm-navigate event\n*/\nNavigatorWidget.prototype.handleNavigateEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-navigating\",event);\n\tif(event.navigateTo) {\n\t\tthis.addToStory(event.navigateTo,event.navigateFromTitle);\n\t\tif(!event.navigateSuppressNavigation) {\n\t\t\tthis.addToHistory(event.navigateTo,event.navigateFromClientRect);\n\t\t}\n\t}\n\treturn false;\n};\n\n// Close a specified tiddler\nNavigatorWidget.prototype.handleCloseTiddlerEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle,\n\t\tstoryList = this.getStoryList();\n\t// Look for tiddlers with this title to close\n\tthis.removeTitleFromStory(storyList,title);\n\tthis.saveStoryList(storyList);\n\treturn false;\n};\n\n// Close all tiddlers\nNavigatorWidget.prototype.handleCloseAllTiddlersEvent = function(event) {\n\tthis.saveStoryList([]);\n\treturn false;\n};\n\n// Close other tiddlers\nNavigatorWidget.prototype.handleCloseOtherTiddlersEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle;\n\tthis.saveStoryList([title]);\n\treturn false;\n};\n\n// Place a tiddler in edit mode\nNavigatorWidget.prototype.handleEditTiddlerEvent = function(event) {\n\tvar editTiddler = $tw.hooks.invokeHook(\"th-editing-tiddler\",event);\n\tif(!editTiddler) {\n\t\treturn false;\n\t}\n\tvar self = this;\n\tfunction isUnmodifiedShadow(title) {\n\t\treturn self.wiki.isShadowTiddler(title) && !self.wiki.tiddlerExists(title);\n\t}\n\tfunction confirmEditShadow(title) {\n\t\treturn confirm($tw.language.getString(\n\t\t\t\"ConfirmEditShadowTiddler\",\n\t\t\t{variables:\n\t\t\t\t{title: title}\n\t\t\t}\n\t\t));\n\t}\n\tvar title = event.param || event.tiddlerTitle;\n\tif(isUnmodifiedShadow(title) && !confirmEditShadow(title)) {\n\t\treturn false;\n\t}\n\t// Replace the specified tiddler with a draft in edit mode\n\tvar draftTiddler = this.makeDraftTiddler(title);\n\t// Update the story and history if required\n\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\tvar draftTitle = draftTiddler.fields.title,\n\t\t\tstoryList = this.getStoryList();\n\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\tthis.replaceFirstTitleInStory(storyList,title,draftTitle);\n\t\tthis.addToHistory(draftTitle,event.navigateFromClientRect);\n\t\tthis.saveStoryList(storyList);\n\t\treturn false;\n\t}\n};\n\n// Delete a tiddler\nNavigatorWidget.prototype.handleDeleteTiddlerEvent = function(event) {\n\t// Get the tiddler we're deleting\n\tvar title = event.param || event.tiddlerTitle,\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tstoryList = this.getStoryList(),\n\t\toriginalTitle = tiddler ? tiddler.fields[\"draft.of\"] : \"\",\n\t\toriginalTiddler = originalTitle ? this.wiki.getTiddler(originalTitle) : undefined,\n\t\tconfirmationTitle;\n\tif(!tiddler) {\n\t\treturn false;\n\t}\n\t// Check if the tiddler we're deleting is in draft mode\n\tif(originalTitle) {\n\t\t// If so, we'll prompt for confirmation referencing the original tiddler\n\t\tconfirmationTitle = originalTitle;\n\t} else {\n\t\t// If not a draft, then prompt for confirmation referencing the specified tiddler\n\t\tconfirmationTitle = title;\n\t}\n\t// Seek confirmation\n\tif((this.wiki.getTiddler(originalTitle) || (tiddler.fields.text || \"\") !== \"\") && !confirm($tw.language.getString(\n\t\t\t\t\"ConfirmDeleteTiddler\",\n\t\t\t\t{variables:\n\t\t\t\t\t{title: confirmationTitle}\n\t\t\t\t}\n\t\t\t))) {\n\t\treturn false;\n\t}\n\t// Delete the original tiddler\n\tif(originalTitle) {\n\t\tif(originalTiddler) {\n\t\t\t$tw.hooks.invokeHook(\"th-deleting-tiddler\",originalTiddler);\n\t\t}\n\t\tthis.wiki.deleteTiddler(originalTitle);\n\t\tthis.removeTitleFromStory(storyList,originalTitle);\n\t}\n\t// Invoke the hook function and delete this tiddler\n\t$tw.hooks.invokeHook(\"th-deleting-tiddler\",tiddler);\n\tthis.wiki.deleteTiddler(title);\n\t// Remove the closed tiddler from the story\n\tthis.removeTitleFromStory(storyList,title);\n\tthis.saveStoryList(storyList);\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\treturn false;\n};\n\n/*\nCreate/reuse the draft tiddler for a given title\n*/\nNavigatorWidget.prototype.makeDraftTiddler = function(targetTitle) {\n\t// See if there is already a draft tiddler for this tiddler\n\tvar draftTitle = this.wiki.findDraft(targetTitle);\n\tif(draftTitle) {\n\t\treturn this.wiki.getTiddler(draftTitle);\n\t}\n\t// Get the current value of the tiddler we're editing\n\tvar tiddler = this.wiki.getTiddler(targetTitle);\n\t// Save the initial value of the draft tiddler\n\tdraftTitle = this.generateDraftTitle(targetTitle);\n\tvar draftTiddler = new $tw.Tiddler(\n\t\t\ttiddler,\n\t\t\t{\n\t\t\t\ttitle: draftTitle,\n\t\t\t\t\"draft.title\": targetTitle,\n\t\t\t\t\"draft.of\": targetTitle\n\t\t\t},\n\t\t\tthis.wiki.getModificationFields()\n\t\t);\n\tthis.wiki.addTiddler(draftTiddler);\n\treturn draftTiddler;\n};\n\n/*\nGenerate a title for the draft of a given tiddler\n*/\nNavigatorWidget.prototype.generateDraftTitle = function(title) {\n\tvar c = 0,\n\t\tdraftTitle;\n\tdo {\n\t\tdraftTitle = \"Draft \" + (c ? (c + 1) + \" \" : \"\") + \"of '\" + title + \"'\";\n\t\tc++;\n\t} while(this.wiki.tiddlerExists(draftTitle));\n\treturn draftTitle;\n};\n\n// Take a tiddler out of edit mode, saving the changes\nNavigatorWidget.prototype.handleSaveTiddlerEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle,\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tstoryList = this.getStoryList();\n\t// Replace the original tiddler with the draft\n\tif(tiddler) {\n\t\tvar draftTitle = (tiddler.fields[\"draft.title\"] || \"\").trim(),\n\t\t\tdraftOf = (tiddler.fields[\"draft.of\"] || \"\").trim();\n\t\tif(draftTitle) {\n\t\t\tvar isRename = draftOf !== draftTitle,\n\t\t\t\tisConfirmed = true;\n\t\t\tif(isRename && this.wiki.tiddlerExists(draftTitle)) {\n\t\t\t\tisConfirmed = confirm($tw.language.getString(\n\t\t\t\t\t\"ConfirmOverwriteTiddler\",\n\t\t\t\t\t{variables:\n\t\t\t\t\t\t{title: draftTitle}\n\t\t\t\t\t}\n\t\t\t\t));\n\t\t\t}\n\t\t\tif(isConfirmed) {\n\t\t\t\t// Create the new tiddler and pass it through the th-saving-tiddler hook\n\t\t\t\tvar newTiddler = new $tw.Tiddler(this.wiki.getCreationFields(),tiddler,{\n\t\t\t\t\ttitle: draftTitle,\n\t\t\t\t\t\"draft.title\": undefined,\n\t\t\t\t\t\"draft.of\": undefined\n\t\t\t\t},this.wiki.getModificationFields());\n\t\t\t\tnewTiddler = $tw.hooks.invokeHook(\"th-saving-tiddler\",newTiddler);\n\t\t\t\tthis.wiki.addTiddler(newTiddler);\n\t\t\t\t// If enabled, relink references to renamed tiddler\n\t\t\t\tvar shouldRelink = this.getAttribute(\"relinkOnRename\",\"no\").toLowerCase().trim() === \"yes\";\n\t\t\t\tif(isRename && shouldRelink && this.wiki.tiddlerExists(draftOf)) {\nconsole.log(\"Relinking '\" + draftOf + \"' to '\" + draftTitle + \"'\");\n\t\t\t\t\tthis.wiki.relinkTiddler(draftOf,draftTitle);\n\t\t\t\t}\n\t\t\t\t// Remove the draft tiddler\n\t\t\t\tthis.wiki.deleteTiddler(title);\n\t\t\t\t// Remove the original tiddler if we're renaming it\n\t\t\t\tif(isRename) {\n\t\t\t\t\tthis.wiki.deleteTiddler(draftOf);\n\t\t\t\t}\n\t\t\t\t// #2381 always remove new title & old\n\t\t\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\t\t\tthis.removeTitleFromStory(storyList,draftOf);\n\t\t\t\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\t\t\t\t// Replace the draft in the story with the original\n\t\t\t\t\tthis.replaceFirstTitleInStory(storyList,title,draftTitle);\n\t\t\t\t\tthis.addToHistory(draftTitle,event.navigateFromClientRect);\n\t\t\t\t\tif(draftTitle !== this.storyTitle) {\n\t\t\t\t\t\tthis.saveStoryList(storyList);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// Trigger an autosave\n\t\t\t\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\n// Take a tiddler out of edit mode without saving the changes\nNavigatorWidget.prototype.handleCancelTiddlerEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-cancelling-tiddler\", event);\n\t// Flip the specified tiddler from draft back to the original\n\tvar draftTitle = event.param || event.tiddlerTitle,\n\t\tdraftTiddler = this.wiki.getTiddler(draftTitle),\n\t\toriginalTitle = draftTiddler && draftTiddler.fields[\"draft.of\"];\n\tif(draftTiddler && originalTitle) {\n\t\t// Ask for confirmation if the tiddler text has changed\n\t\tvar isConfirmed = true,\n\t\t\toriginalTiddler = this.wiki.getTiddler(originalTitle),\n\t\t\tstoryList = this.getStoryList();\n\t\tif(this.wiki.isDraftModified(draftTitle)) {\n\t\t\tisConfirmed = confirm($tw.language.getString(\n\t\t\t\t\"ConfirmCancelTiddler\",\n\t\t\t\t{variables:\n\t\t\t\t\t{title: draftTitle}\n\t\t\t\t}\n\t\t\t));\n\t\t}\n\t\t// Remove the draft tiddler\n\t\tif(isConfirmed) {\n\t\t\tthis.wiki.deleteTiddler(draftTitle);\n\t\t\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\t\t\tif(originalTiddler) {\n\t\t\t\t\tthis.replaceFirstTitleInStory(storyList,draftTitle,originalTitle);\n\t\t\t\t\tthis.addToHistory(originalTitle,event.navigateFromClientRect);\n\t\t\t\t} else {\n\t\t\t\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\t\t\t}\n\t\t\t\tthis.saveStoryList(storyList);\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\n// Create a new draft tiddler\n// event.param can either be the title of a template tiddler, or a hashmap of fields.\n//\n// The title of the newly created tiddler follows these rules:\n// * If a hashmap was used and a title field was specified, use that title\n// * If a hashmap was used without a title field, use a default title, if necessary making it unique with a numeric suffix\n// * If a template tiddler was used, use the title of the template, if necessary making it unique with a numeric suffix\n//\n// If a draft of the target tiddler already exists then it is reused\nNavigatorWidget.prototype.handleNewTiddlerEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-new-tiddler\", event);\n\t// Get the story details\n\tvar storyList = this.getStoryList(),\n\t\ttemplateTiddler, additionalFields, title, draftTitle, existingTiddler;\n\t// Get the template tiddler (if any)\n\tif(typeof event.param === \"string\") {\n\t\t// Get the template tiddler\n\t\ttemplateTiddler = this.wiki.getTiddler(event.param);\n\t\t// Generate a new title\n\t\ttitle = this.wiki.generateNewTitle(event.param || $tw.language.getString(\"DefaultNewTiddlerTitle\"));\n\t}\n\t// Get the specified additional fields\n\tif(typeof event.paramObject === \"object\") {\n\t\tadditionalFields = event.paramObject;\n\t}\n\tif(typeof event.param === \"object\") { // Backwards compatibility with 5.1.3\n\t\tadditionalFields = event.param;\n\t}\n\tif(additionalFields && additionalFields.title) {\n\t\ttitle = additionalFields.title;\n\t}\n\t// Make a copy of the additional fields excluding any blank ones\n\tvar filteredAdditionalFields = $tw.utils.extend({},additionalFields);\n\tObject.keys(filteredAdditionalFields).forEach(function(fieldName) {\n\t\tif(filteredAdditionalFields[fieldName] === \"\") {\n\t\t\tdelete filteredAdditionalFields[fieldName];\n\t\t}\n\t});\n\t// Generate a title if we don't have one\n\ttitle = title || this.wiki.generateNewTitle($tw.language.getString(\"DefaultNewTiddlerTitle\"));\n\t// Find any existing draft for this tiddler\n\tdraftTitle = this.wiki.findDraft(title);\n\t// Pull in any existing tiddler\n\tif(draftTitle) {\n\t\texistingTiddler = this.wiki.getTiddler(draftTitle);\n\t} else {\n\t\tdraftTitle = this.generateDraftTitle(title);\n\t\texistingTiddler = this.wiki.getTiddler(title);\n\t}\n\t// Merge the tags\n\tvar mergedTags = [];\n\tif(existingTiddler && existingTiddler.fields.tags) {\n\t\t$tw.utils.pushTop(mergedTags,existingTiddler.fields.tags);\n\t}\n\tif(additionalFields && additionalFields.tags) {\n\t\t// Merge tags\n\t\tmergedTags = $tw.utils.pushTop(mergedTags,$tw.utils.parseStringArray(additionalFields.tags));\n\t}\n\tif(templateTiddler && templateTiddler.fields.tags) {\n\t\t// Merge tags\n\t\tmergedTags = $tw.utils.pushTop(mergedTags,templateTiddler.fields.tags);\n\t}\n\t// Save the draft tiddler\n\tvar draftTiddler = new $tw.Tiddler({\n\t\t\ttext: \"\",\n\t\t\t\"draft.title\": title\n\t\t},\n\t\ttemplateTiddler,\n\t\tadditionalFields,\n\t\texistingTiddler,\n\t\tfilteredAdditionalFields,\n\t\tthis.wiki.getCreationFields(),\n\t\t{\n\t\t\ttitle: draftTitle,\n\t\t\t\"draft.of\": title,\n\t\t\ttags: mergedTags\n\t\t},this.wiki.getModificationFields());\n\tthis.wiki.addTiddler(draftTiddler);\n\t// Update the story to insert the new draft at the top and remove any existing tiddler\n\tif(storyList.indexOf(draftTitle) === -1) {\n\t\tvar slot = storyList.indexOf(event.navigateFromTitle);\n\t\tstoryList.splice(slot + 1,0,draftTitle);\n\t}\n\tif(storyList.indexOf(title) !== -1) {\n\t\tstoryList.splice(storyList.indexOf(title),1);\n\t}\n\tthis.saveStoryList(storyList);\n\t// Add a new record to the top of the history stack\n\tthis.addToHistory(draftTitle);\n\treturn false;\n};\n\n// Import JSON tiddlers into a pending import tiddler\nNavigatorWidget.prototype.handleImportTiddlersEvent = function(event) {\n\t// Get the tiddlers\n\tvar tiddlers = [];\n\ttry {\n\t\ttiddlers = JSON.parse(event.param);\n\t} catch(e) {\n\t}\n\t// Get the current $:/Import tiddler\n\tvar importTiddler = this.wiki.getTiddler(IMPORT_TITLE),\n\t\timportData = this.wiki.getTiddlerData(IMPORT_TITLE,{}),\n\t\tnewFields = new Object({\n\t\t\ttitle: IMPORT_TITLE,\n\t\t\ttype: \"application/json\",\n\t\t\t\"plugin-type\": \"import\",\n\t\t\t\"status\": \"pending\"\n\t\t}),\n\t\tincomingTiddlers = [];\n\t// Process each tiddler\n\timportData.tiddlers = importData.tiddlers || {};\n\t$tw.utils.each(tiddlers,function(tiddlerFields) {\n\t\ttiddlerFields.title = $tw.utils.trim(tiddlerFields.title);\n\t\tvar title = tiddlerFields.title;\n\t\tif(title) {\n\t\t\tincomingTiddlers.push(title);\n\t\t\timportData.tiddlers[title] = tiddlerFields;\n\t\t}\n\t});\n\t// Give the active upgrader modules a chance to process the incoming tiddlers\n\tvar messages = this.wiki.invokeUpgraders(incomingTiddlers,importData.tiddlers);\n\t$tw.utils.each(messages,function(message,title) {\n\t\tnewFields[\"message-\" + title] = message;\n\t});\n\t// Deselect any suppressed tiddlers\n\t$tw.utils.each(importData.tiddlers,function(tiddler,title) {\n\t\tif($tw.utils.count(tiddler) === 0) {\n\t\t\tnewFields[\"selection-\" + title] = \"unchecked\";\n\t\t}\n\t});\n\t// Save the $:/Import tiddler\n\tnewFields.text = JSON.stringify(importData,null,$tw.config.preferences.jsonSpaces);\n\tthis.wiki.addTiddler(new $tw.Tiddler(importTiddler,newFields));\n\t// Update the story and history details\n\tif(this.getVariable(\"tv-auto-open-on-import\") !== \"no\") {\n\t\tvar storyList = this.getStoryList(),\n\t\t\thistory = [];\n\t\t// Add it to the story\n\t\tif(storyList.indexOf(IMPORT_TITLE) === -1) {\n\t\t\tstoryList.unshift(IMPORT_TITLE);\n\t\t}\n\t\t// And to history\n\t\thistory.push(IMPORT_TITLE);\n\t\t// Save the updated story and history\n\t\tthis.saveStoryList(storyList);\n\t\tthis.addToHistory(history);\n\t}\n\treturn false;\n};\n\n//\nNavigatorWidget.prototype.handlePerformImportEvent = function(event) {\n\tvar self = this,\n\t\timportTiddler = this.wiki.getTiddler(event.param),\n\t\timportData = this.wiki.getTiddlerDataCached(event.param,{tiddlers: {}}),\n\t\timportReport = [];\n\t// Add the tiddlers to the store\n\timportReport.push($tw.language.getString(\"Import/Imported/Hint\") + \"\\n\");\n\t$tw.utils.each(importData.tiddlers,function(tiddlerFields) {\n\t\tvar title = tiddlerFields.title;\n\t\tif(title && importTiddler && importTiddler.fields[\"selection-\" + title] !== \"unchecked\") {\n\t\t\tvar tiddler = new $tw.Tiddler(tiddlerFields);\n\t\t\ttiddler = $tw.hooks.invokeHook(\"th-importing-tiddler\",tiddler);\n\t\t\tself.wiki.addTiddler(tiddler);\n\t\t\timportReport.push(\"# [[\" + tiddlerFields.title + \"]]\");\n\t\t}\n\t});\n\t// Replace the $:/Import tiddler with an import report\n\tthis.wiki.addTiddler(new $tw.Tiddler({\n\t\ttitle: event.param,\n\t\ttext: importReport.join(\"\\n\"),\n\t\t\"status\": \"complete\"\n\t}));\n\t// Navigate to the $:/Import tiddler\n\tthis.addToHistory([event.param]);\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n};\n\nNavigatorWidget.prototype.handleFoldTiddlerEvent = function(event) {\n\tvar paramObject = event.paramObject || {};\n\tif(paramObject.foldedState) {\n\t\tvar foldedState = this.wiki.getTiddlerText(paramObject.foldedState,\"show\") === \"show\" ? \"hide\" : \"show\";\n\t\tthis.wiki.setText(paramObject.foldedState,\"text\",null,foldedState);\n\t}\n};\n\nNavigatorWidget.prototype.handleFoldOtherTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix;\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,event.param === title ? \"show\" : \"hide\");\n\t});\n};\n\nNavigatorWidget.prototype.handleFoldAllTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix;\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,\"hide\");\n\t});\n};\n\nNavigatorWidget.prototype.handleUnfoldAllTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix;\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,\"show\");\n\t});\n};\n\nNavigatorWidget.prototype.handleRenameTiddlerEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-renaming-tiddler\", event);\n\tvar paramObject = event.paramObject || {},\n\t\tfrom = paramObject.from || event.tiddlerTitle,\n\t\tto = paramObject.to;\n\t$tw.wiki.renameTiddler(from,to);\n};\n\nexports.navigator = NavigatorWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/password.js": {
"title": "$:/core/modules/widgets/password.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/password.js\ntype: application/javascript\nmodule-type: widget\n\nPassword widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar PasswordWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nPasswordWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nPasswordWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Get the current password\n\tvar password = $tw.browser ? $tw.utils.getPassword(this.passwordName) || \"\" : \"\";\n\t// Create our element\n\tvar domNode = this.document.createElement(\"input\");\n\tdomNode.setAttribute(\"type\",\"password\");\n\tdomNode.setAttribute(\"value\",password);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nPasswordWidget.prototype.handleChangeEvent = function(event) {\n\tvar password = this.domNodes[0].value;\n\treturn $tw.utils.savePassword(this.passwordName,password);\n};\n\n/*\nCompute the internal state of the widget\n*/\nPasswordWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.passwordName = this.getAttribute(\"name\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nPasswordWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.name) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.password = PasswordWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/radio.js": {
"title": "$:/core/modules/widgets/radio.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/radio.js\ntype: application/javascript\nmodule-type: widget\n\nSet a field or index at a given tiddler via radio buttons\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RadioWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRadioWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRadioWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\tvar isChecked = this.getValue() === this.radioValue;\n\t// Create our elements\n\tthis.labelDomNode = this.document.createElement(\"label\");\n\tthis.labelDomNode.setAttribute(\"class\",\n \t\t\"tc-radio \" + this.radioClass + (isChecked ? \" tc-radio-selected\" : \"\")\n \t);\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"radio\");\n\tif(isChecked) {\n\t\tthis.inputDomNode.setAttribute(\"checked\",\"true\");\n\t}\n\tthis.labelDomNode.appendChild(this.inputDomNode);\n\tthis.spanDomNode = this.document.createElement(\"span\");\n\tthis.labelDomNode.appendChild(this.spanDomNode);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.labelDomNode,nextSibling);\n\tthis.renderChildren(this.spanDomNode,null);\n\tthis.domNodes.push(this.labelDomNode);\n};\n\nRadioWidget.prototype.getValue = function() {\n\tvar value,\n\t\ttiddler = this.wiki.getTiddler(this.radioTitle);\n\tif (this.radioIndex) {\n\t\tvalue = this.wiki.extractTiddlerDataItem(this.radioTitle,this.radioIndex);\n\t} else {\n\t\tvalue = tiddler && tiddler.getFieldString(this.radioField);\n\t}\n\treturn value;\n};\n\nRadioWidget.prototype.setValue = function() {\n\tif(this.radioIndex) {\n\t\tthis.wiki.setText(this.radioTitle,\"\",this.radioIndex,this.radioValue);\n\t} else {\n\t\tvar tiddler = this.wiki.getTiddler(this.radioTitle),\n\t\t\taddition = {};\n\t\taddition[this.radioField] = this.radioValue;\n\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),{title: this.radioTitle},tiddler,addition,this.wiki.getModificationFields()));\n\t}\n};\n\nRadioWidget.prototype.handleChangeEvent = function(event) {\n\tif(this.inputDomNode.checked) {\n\t\tthis.setValue();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nRadioWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.radioTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.radioField = this.getAttribute(\"field\",\"text\");\n\tthis.radioIndex = this.getAttribute(\"index\");\n\tthis.radioValue = this.getAttribute(\"value\");\n\tthis.radioClass = this.getAttribute(\"class\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRadioWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.value || changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false;\n\t\tif(changedTiddlers[this.radioTitle]) {\n\t\t\tthis.inputDomNode.checked = this.getValue() === this.radioValue;\n\t\t\trefreshed = true;\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\nexports.radio = RadioWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/range.js": {
"title": "$:/core/modules/widgets/range.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/range.js\ntype: application/javascript\nmodule-type: widget\n\nRange widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RangeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRangeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRangeWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create our elements\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"range\");\n\tthis.inputDomNode.setAttribute(\"class\",this.elementClass);\n\tif(this.minValue){\n\t\tthis.inputDomNode.setAttribute(\"min\", this.minValue);\n\t}\n\tif(this.maxValue){\n\t\tthis.inputDomNode.setAttribute(\"max\", this.maxValue);\n\t}\n\tif(this.increment){\n\t\tthis.inputDomNode.setAttribute(\"step\", this.increment);\n\t}\n\tthis.inputDomNode.value = this.getValue();\n\n\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name: \"input\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.inputDomNode,nextSibling);\n\tthis.domNodes.push(this.inputDomNode);\n};\n\nRangeWidget.prototype.getValue = function() {\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle),\n\t\tvalue = this.defaultValue;\n\tif(tiddler) {\n\t\tif($tw.utils.hop(tiddler.fields,this.tiddlerField)) {\n\t\t\tvalue = tiddler.fields[this.tiddlerField] || \"\";\n\t\t} else {\n\t\t\tvalue = this.defaultValue || \"\";\n\t\t}\n\t}\n\treturn value;\n};\n\nRangeWidget.prototype.handleChangeEvent = function(event) {\n\tthis.wiki.setText(this.tiddlerTitle ,this.tiddlerField, null,this.inputDomNode.value);\n};\n\n/*\nCompute the internal state of the widget\n*/\nRangeWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.tiddlerField = this.getAttribute(\"field\");\n\tthis.minValue = this.getAttribute(\"min\");\n\tthis.maxValue = this.getAttribute(\"max\");\n\tthis.increment = this.getAttribute(\"increment\");\n\tthis.defaultValue = this.getAttribute(\"default\");\n\tthis.elementClass = this.getAttribute(\"class\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRangeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes['min'] || changedAttributes['max'] || changedAttributes['increment'] || changedAttributes[\"default\"] || changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false;\n\t\tif(changedTiddlers[this.tiddlerTitle]) {\n\t\t\tthis.inputDomNode.checked = this.getValue();\n\t\t\trefreshed = true;\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\nexports.range = RangeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/raw.js": {
"title": "$:/core/modules/widgets/raw.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/raw.js\ntype: application/javascript\nmodule-type: widget\n\nRaw widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RawWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRawWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRawWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.execute();\n\tvar div = this.document.createElement(\"div\");\n\tdiv.innerHTML=this.parseTreeNode.html;\n\tparent.insertBefore(div,nextSibling);\n\tthis.domNodes.push(div);\t\n};\n\n/*\nCompute the internal state of the widget\n*/\nRawWidget.prototype.execute = function() {\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRawWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.raw = RawWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/reveal.js": {
"title": "$:/core/modules/widgets/reveal.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/reveal.js\ntype: application/javascript\nmodule-type: widget\n\nReveal widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RevealWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRevealWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRevealWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.revealTag && $tw.config.htmlUnsafeElements.indexOf(this.revealTag) === -1) {\n\t\ttag = this.revealTag;\n\t}\n\tvar domNode = this.document.createElement(tag);\n\tvar classes = this[\"class\"].split(\" \") || [];\n\tclasses.push(\"tc-reveal\");\n\tdomNode.className = classes.join(\" \");\n\tif(this.style) {\n\t\tdomNode.setAttribute(\"style\",this.style);\n\t}\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tif(!domNode.isTiddlyWikiFakeDom && this.type === \"popup\" && this.isOpen) {\n\t\tthis.positionPopup(domNode);\n\t\t$tw.utils.addClass(domNode,\"tc-popup\"); // Make sure that clicks don't dismiss popups within the revealed content\n\t}\n\tif(!this.isOpen) {\n\t\tdomNode.setAttribute(\"hidden\",\"true\");\n\t}\n\tthis.domNodes.push(domNode);\n};\n\nRevealWidget.prototype.positionPopup = function(domNode) {\n\tdomNode.style.position = \"absolute\";\n\tdomNode.style.zIndex = \"1000\";\n\tswitch(this.position) {\n\t\tcase \"left\":\n\t\t\tdomNode.style.left = (this.popup.left - domNode.offsetWidth) + \"px\";\n\t\t\tdomNode.style.top = this.popup.top + \"px\";\n\t\t\tbreak;\n\t\tcase \"above\":\n\t\t\tdomNode.style.left = this.popup.left + \"px\";\n\t\t\tdomNode.style.top = (this.popup.top - domNode.offsetHeight) + \"px\";\n\t\t\tbreak;\n\t\tcase \"aboveright\":\n\t\t\tdomNode.style.left = (this.popup.left + this.popup.width) + \"px\";\n\t\t\tdomNode.style.top = (this.popup.top + this.popup.height - domNode.offsetHeight) + \"px\";\n\t\t\tbreak;\n\t\tcase \"right\":\n\t\t\tdomNode.style.left = (this.popup.left + this.popup.width) + \"px\";\n\t\t\tdomNode.style.top = this.popup.top + \"px\";\n\t\t\tbreak;\n\t\tcase \"belowleft\":\n\t\t\tdomNode.style.left = (this.popup.left + this.popup.width - domNode.offsetWidth) + \"px\";\n\t\t\tdomNode.style.top = (this.popup.top + this.popup.height) + \"px\";\n\t\t\tbreak;\n\t\tdefault: // Below\n\t\t\tdomNode.style.left = this.popup.left + \"px\";\n\t\t\tdomNode.style.top = (this.popup.top + this.popup.height) + \"px\";\n\t\t\tbreak;\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nRevealWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.state = this.getAttribute(\"state\");\n\tthis.revealTag = this.getAttribute(\"tag\");\n\tthis.type = this.getAttribute(\"type\");\n\tthis.text = this.getAttribute(\"text\");\n\tthis.position = this.getAttribute(\"position\");\n\tthis[\"class\"] = this.getAttribute(\"class\",\"\");\n\tthis.style = this.getAttribute(\"style\",\"\");\n\tthis[\"default\"] = this.getAttribute(\"default\",\"\");\n\tthis.animate = this.getAttribute(\"animate\",\"no\");\n\tthis.retain = this.getAttribute(\"retain\",\"no\");\n\tthis.openAnimation = this.animate === \"no\" ? undefined : \"open\";\n\tthis.closeAnimation = this.animate === \"no\" ? undefined : \"close\";\n\t// Compute the title of the state tiddler and read it\n\tthis.stateTitle = this.state;\n\tthis.readState();\n\t// Construct the child widgets\n\tvar childNodes = this.isOpen ? this.parseTreeNode.children : [];\n\tthis.hasChildNodes = this.isOpen;\n\tthis.makeChildWidgets(childNodes);\n};\n\n/*\nRead the state tiddler\n*/\nRevealWidget.prototype.readState = function() {\n\t// Read the information from the state tiddler\n\tvar state = this.stateTitle ? this.wiki.getTextReference(this.stateTitle,this[\"default\"],this.getVariable(\"currentTiddler\")) : this[\"default\"];\n\tswitch(this.type) {\n\t\tcase \"popup\":\n\t\t\tthis.readPopupState(state);\n\t\t\tbreak;\n\t\tcase \"match\":\n\t\t\tthis.isOpen = !!(this.compareStateText(state) == 0);\n\t\t\tbreak;\n\t\tcase \"nomatch\":\n\t\t\tthis.isOpen = !(this.compareStateText(state) == 0);\n\t\t\tbreak;\n\t\tcase \"lt\":\n\t\t\tthis.isOpen = !!(this.compareStateText(state) < 0);\n\t\t\tbreak;\n\t\tcase \"gt\":\n\t\t\tthis.isOpen = !!(this.compareStateText(state) > 0);\n\t\t\tbreak;\n\t\tcase \"lteq\":\n\t\t\tthis.isOpen = !(this.compareStateText(state) > 0);\n\t\t\tbreak;\n\t\tcase \"gteq\":\n\t\t\tthis.isOpen = !(this.compareStateText(state) < 0);\n\t\t\tbreak;\n\t}\n};\n\nRevealWidget.prototype.compareStateText = function(state) {\n\treturn state.localeCompare(this.text,undefined,{numeric: true,sensitivity: \"case\"});\n};\n\nRevealWidget.prototype.readPopupState = function(state) {\n\tvar popupLocationRegExp = /^\\((-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+)\\)$/,\n\t\tmatch = popupLocationRegExp.exec(state);\n\t// Check if the state matches the location regexp\n\tif(match) {\n\t\t// If so, we're open\n\t\tthis.isOpen = true;\n\t\t// Get the location\n\t\tthis.popup = {\n\t\t\tleft: parseFloat(match[1]),\n\t\t\ttop: parseFloat(match[2]),\n\t\t\twidth: parseFloat(match[3]),\n\t\t\theight: parseFloat(match[4])\n\t\t};\n\t} else {\n\t\t// If not, we're closed\n\t\tthis.isOpen = false;\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRevealWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.state || changedAttributes.type || changedAttributes.text || changedAttributes.position || changedAttributes[\"default\"] || changedAttributes.animate) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false,\n\t\t\tcurrentlyOpen = this.isOpen;\n\t\tthis.readState();\n\t\tif(this.isOpen !== currentlyOpen) {\n\t\t\tif(this.retain === \"yes\") {\n\t\t\t\tthis.updateState();\n\t\t\t} else {\n\t\t\t\tthis.refreshSelf();\n\t\t\t\trefreshed = true;\n\t\t\t}\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\n/*\nCalled by refresh() to dynamically show or hide the content\n*/\nRevealWidget.prototype.updateState = function() {\n\t// Read the current state\n\tthis.readState();\n\t// Construct the child nodes if needed\n\tvar domNode = this.domNodes[0];\n\tif(this.isOpen && !this.hasChildNodes) {\n\t\tthis.hasChildNodes = true;\n\t\tthis.makeChildWidgets(this.parseTreeNode.children);\n\t\tthis.renderChildren(domNode,null);\n\t}\n\t// Animate our DOM node\n\tif(!domNode.isTiddlyWikiFakeDom && this.type === \"popup\" && this.isOpen) {\n\t\tthis.positionPopup(domNode);\n\t\t$tw.utils.addClass(domNode,\"tc-popup\"); // Make sure that clicks don't dismiss popups within the revealed content\n\n\t}\n\tif(this.isOpen) {\n\t\tdomNode.removeAttribute(\"hidden\");\n $tw.anim.perform(this.openAnimation,domNode);\n\t} else {\n\t\t$tw.anim.perform(this.closeAnimation,domNode,{callback: function() {\n\t\t\tdomNode.setAttribute(\"hidden\",\"true\");\n }});\n\t}\n};\n\nexports.reveal = RevealWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/scrollable.js": {
"title": "$:/core/modules/widgets/scrollable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/scrollable.js\ntype: application/javascript\nmodule-type: widget\n\nScrollable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ScrollableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.scaleFactor = 1;\n\tthis.addEventListeners([\n\t\t{type: \"tm-scroll\", handler: \"handleScrollEvent\"}\n\t]);\n\tif($tw.browser) {\n\t\tthis.requestAnimationFrame = window.requestAnimationFrame ||\n\t\t\twindow.webkitRequestAnimationFrame ||\n\t\t\twindow.mozRequestAnimationFrame ||\n\t\t\tfunction(callback) {\n\t\t\t\treturn window.setTimeout(callback, 1000/60);\n\t\t\t};\n\t\tthis.cancelAnimationFrame = window.cancelAnimationFrame ||\n\t\t\twindow.webkitCancelAnimationFrame ||\n\t\t\twindow.webkitCancelRequestAnimationFrame ||\n\t\t\twindow.mozCancelAnimationFrame ||\n\t\t\twindow.mozCancelRequestAnimationFrame ||\n\t\t\tfunction(id) {\n\t\t\t\twindow.clearTimeout(id);\n\t\t\t};\n\t}\n};\n\n/*\nInherit from the base widget class\n*/\nScrollableWidget.prototype = new Widget();\n\nScrollableWidget.prototype.cancelScroll = function() {\n\tif(this.idRequestFrame) {\n\t\tthis.cancelAnimationFrame.call(window,this.idRequestFrame);\n\t\tthis.idRequestFrame = null;\n\t}\n};\n\n/*\nHandle a scroll event\n*/\nScrollableWidget.prototype.handleScrollEvent = function(event) {\n\t// Pass the scroll event through if our offsetsize is larger than our scrollsize\n\tif(this.outerDomNode.scrollWidth <= this.outerDomNode.offsetWidth && this.outerDomNode.scrollHeight <= this.outerDomNode.offsetHeight && this.fallthrough === \"yes\") {\n\t\treturn true;\n\t}\n\tthis.scrollIntoView(event.target);\n\treturn false; // Handled event\n};\n\n/*\nScroll an element into view\n*/\nScrollableWidget.prototype.scrollIntoView = function(element) {\n\tvar duration = $tw.utils.getAnimationDuration();\n\tthis.cancelScroll();\n\tthis.startTime = Date.now();\n\tvar scrollPosition = {\n\t\tx: this.outerDomNode.scrollLeft,\n\t\ty: this.outerDomNode.scrollTop\n\t};\n\t// Get the client bounds of the element and adjust by the scroll position\n\tvar scrollableBounds = this.outerDomNode.getBoundingClientRect(),\n\t\tclientTargetBounds = element.getBoundingClientRect(),\n\t\tbounds = {\n\t\t\tleft: clientTargetBounds.left + scrollPosition.x - scrollableBounds.left,\n\t\t\ttop: clientTargetBounds.top + scrollPosition.y - scrollableBounds.top,\n\t\t\twidth: clientTargetBounds.width,\n\t\t\theight: clientTargetBounds.height\n\t\t};\n\t// We'll consider the horizontal and vertical scroll directions separately via this function\n\tvar getEndPos = function(targetPos,targetSize,currentPos,currentSize) {\n\t\t\t// If the target is already visible then stay where we are\n\t\t\tif(targetPos >= currentPos && (targetPos + targetSize) <= (currentPos + currentSize)) {\n\t\t\t\treturn currentPos;\n\t\t\t// If the target is above/left of the current view, then scroll to its top/left\n\t\t\t} else if(targetPos <= currentPos) {\n\t\t\t\treturn targetPos;\n\t\t\t// If the target is smaller than the window and the scroll position is too far up, then scroll till the target is at the bottom of the window\n\t\t\t} else if(targetSize < currentSize && currentPos < (targetPos + targetSize - currentSize)) {\n\t\t\t\treturn targetPos + targetSize - currentSize;\n\t\t\t// If the target is big, then just scroll to the top\n\t\t\t} else if(currentPos < targetPos) {\n\t\t\t\treturn targetPos;\n\t\t\t// Otherwise, stay where we are\n\t\t\t} else {\n\t\t\t\treturn currentPos;\n\t\t\t}\n\t\t},\n\t\tendX = getEndPos(bounds.left,bounds.width,scrollPosition.x,this.outerDomNode.offsetWidth),\n\t\tendY = getEndPos(bounds.top,bounds.height,scrollPosition.y,this.outerDomNode.offsetHeight);\n\t// Only scroll if necessary\n\tif(endX !== scrollPosition.x || endY !== scrollPosition.y) {\n\t\tvar self = this,\n\t\t\tdrawFrame;\n\t\tdrawFrame = function () {\n\t\t\tvar t;\n\t\t\tif(duration <= 0) {\n\t\t\t\tt = 1;\n\t\t\t} else {\n\t\t\t\tt = ((Date.now()) - self.startTime) / duration;\t\n\t\t\t}\n\t\t\tif(t >= 1) {\n\t\t\t\tself.cancelScroll();\n\t\t\t\tt = 1;\n\t\t\t}\n\t\t\tt = $tw.utils.slowInSlowOut(t);\n\t\t\tself.outerDomNode.scrollLeft = scrollPosition.x + (endX - scrollPosition.x) * t;\n\t\t\tself.outerDomNode.scrollTop = scrollPosition.y + (endY - scrollPosition.y) * t;\n\t\t\tif(t < 1) {\n\t\t\t\tself.idRequestFrame = self.requestAnimationFrame.call(window,drawFrame);\n\t\t\t}\n\t\t};\n\t\tdrawFrame();\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nScrollableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create elements\n\tthis.outerDomNode = this.document.createElement(\"div\");\n\t$tw.utils.setStyle(this.outerDomNode,[\n\t\t{overflowY: \"auto\"},\n\t\t{overflowX: \"auto\"},\n\t\t{webkitOverflowScrolling: \"touch\"}\n\t]);\n\tthis.innerDomNode = this.document.createElement(\"div\");\n\tthis.outerDomNode.appendChild(this.innerDomNode);\n\t// Assign classes\n\tthis.outerDomNode.className = this[\"class\"] || \"\";\n\t// Insert element\n\tparent.insertBefore(this.outerDomNode,nextSibling);\n\tthis.renderChildren(this.innerDomNode,null);\n\tthis.domNodes.push(this.outerDomNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nScrollableWidget.prototype.execute = function() {\n\t// Get attributes\n\tthis.fallthrough = this.getAttribute(\"fallthrough\",\"yes\");\n\tthis[\"class\"] = this.getAttribute(\"class\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nScrollableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.scrollable = ScrollableWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/select.js": {
"title": "$:/core/modules/widgets/select.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/select.js\ntype: application/javascript\nmodule-type: widget\n\nSelect widget:\n\n```\n<$select tiddler=\"MyTiddler\" field=\"text\">\n<$list filter=\"[tag[chapter]]\">\n<option value=<<currentTiddler>>>\n<$view field=\"description\"/>\n</option>\n</$list>\n</$select>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SelectWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSelectWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSelectWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n\tthis.setSelectValue();\n\t$tw.utils.addEventListeners(this.getSelectDomNode(),[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n};\n\n/*\nHandle a change event\n*/\nSelectWidget.prototype.handleChangeEvent = function(event) {\n\t// Get the new value and assign it to the tiddler\n\tif(this.selectMultiple == false) {\n\t\tvar value = this.getSelectDomNode().value;\n\t} else {\n\t\tvar value = this.getSelectValues()\n\t\t\t\tvalue = $tw.utils.stringifyList(value);\n\t}\n\tthis.wiki.setText(this.selectTitle,this.selectField,this.selectIndex,value);\n\t// Trigger actions\n\tif(this.selectActions) {\n\t\tthis.invokeActionString(this.selectActions,this,event);\n\t}\n};\n\n/*\nIf necessary, set the value of the select element to the current value\n*/\nSelectWidget.prototype.setSelectValue = function() {\n\tvar value = this.selectDefault;\n\t// Get the value\n\tif(this.selectIndex) {\n\t\tvalue = this.wiki.extractTiddlerDataItem(this.selectTitle,this.selectIndex,value);\n\t} else {\n\t\tvar tiddler = this.wiki.getTiddler(this.selectTitle);\n\t\tif(tiddler) {\n\t\t\tif(this.selectField === \"text\") {\n\t\t\t\t// Calling getTiddlerText() triggers lazy loading of skinny tiddlers\n\t\t\t\tvalue = this.wiki.getTiddlerText(this.selectTitle);\n\t\t\t} else {\n\t\t\t\tif($tw.utils.hop(tiddler.fields,this.selectField)) {\n\t\t\t\t\tvalue = tiddler.getFieldString(this.selectField);\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tif(this.selectField === \"title\") {\n\t\t\t\tvalue = this.selectTitle;\n\t\t\t}\n\t\t}\n\t}\n\t// Assign it to the select element if it's different than the current value\n\tif (this.selectMultiple) {\n\t\tvalue = value === undefined ? \"\" : value;\n\t\tvar select = this.getSelectDomNode();\n\t\tvar values = Array.isArray(value) ? value : $tw.utils.parseStringArray(value);\n\t\tfor(var i=0; i < select.children.length; i++){\n\t\t\tif(values.indexOf(select.children[i].value) != -1) {\n\t\t\t\tselect.children[i].selected = true;\n\t\t\t}\n\t\t}\n\t\t\n\t} else {\n\t\tvar domNode = this.getSelectDomNode();\n\t\tif(domNode.value !== value) {\n\t\t\tdomNode.value = value;\n\t\t}\n\t}\n};\n\n/*\nGet the DOM node of the select element\n*/\nSelectWidget.prototype.getSelectDomNode = function() {\n\treturn this.children[0].domNodes[0];\n};\n\n// Return an array of the selected opion values\n// select is an HTML select element\nSelectWidget.prototype.getSelectValues = function() {\n\tvar select, result, options, opt;\n\tselect = this.getSelectDomNode();\n\tresult = [];\n\toptions = select && select.options;\n\tfor (var i=0; i<options.length; i++) {\n\t\topt = options[i];\n\t\tif (opt.selected) {\n\t\t\tresult.push(opt.value || opt.text);\n\t\t}\n\t}\n\treturn result;\n}\n\n/*\nCompute the internal state of the widget\n*/\nSelectWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.selectActions = this.getAttribute(\"actions\");\n\tthis.selectTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.selectField = this.getAttribute(\"field\",\"text\");\n\tthis.selectIndex = this.getAttribute(\"index\");\n\tthis.selectClass = this.getAttribute(\"class\");\n\tthis.selectDefault = this.getAttribute(\"default\");\n\tthis.selectMultiple = this.getAttribute(\"multiple\", false);\n\tthis.selectSize = this.getAttribute(\"size\");\n\t// Make the child widgets\n\tvar selectNode = {\n\t\ttype: \"element\",\n\t\ttag: \"select\",\n\t\tchildren: this.parseTreeNode.children\n\t};\n\tif(this.selectClass) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"class\",this.selectClass);\n\t}\n\tif(this.selectMultiple) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"multiple\",\"multiple\");\n\t}\n\tif(this.selectSize) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"size\",this.selectSize);\n\t}\n\tthis.makeChildWidgets([selectNode]);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nSelectWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// If we're using a different tiddler/field/index then completely refresh ourselves\n\tif(changedAttributes.selectTitle || changedAttributes.selectField || changedAttributes.selectIndex) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t// If the target tiddler value has changed, just update setting and refresh the children\n\t} else {\n\t\tvar childrenRefreshed = this.refreshChildren(changedTiddlers);\n\t\tif(changedTiddlers[this.selectTitle] || childrenRefreshed) {\n\t\t\tthis.setSelectValue();\n\t\t} \n\t\treturn childrenRefreshed;\n\t}\n};\n\nexports.select = SelectWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/set.js": {
"title": "$:/core/modules/widgets/set.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/set.js\ntype: application/javascript\nmodule-type: widget\n\nSet variable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SetWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSetWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSetWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nSetWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.setName = this.getAttribute(\"name\",\"currentTiddler\");\n\tthis.setFilter = this.getAttribute(\"filter\");\n\tthis.setSelect = this.getAttribute(\"select\");\n\tthis.setTiddler = this.getAttribute(\"tiddler\");\n\tthis.setSubTiddler = this.getAttribute(\"subtiddler\");\n\tthis.setField = this.getAttribute(\"field\");\n\tthis.setIndex = this.getAttribute(\"index\");\n\tthis.setValue = this.getAttribute(\"value\");\n\tthis.setEmptyValue = this.getAttribute(\"emptyValue\");\n\t// Set context variable\n\tthis.setVariable(this.setName,this.getValue(),this.parseTreeNode.params);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nGet the value to be assigned\n*/\nSetWidget.prototype.getValue = function() {\n\tvar value = this.setValue;\n\tif(this.setTiddler) {\n\t\tvar tiddler;\n\t\tif(this.setSubTiddler) {\n\t\t\ttiddler = this.wiki.getSubTiddler(this.setTiddler,this.setSubTiddler);\n\t\t} else {\n\t\t\ttiddler = this.wiki.getTiddler(this.setTiddler);\t\t\t\n\t\t}\n\t\tif(!tiddler) {\n\t\t\tvalue = this.setEmptyValue;\n\t\t} else if(this.setField) {\n\t\t\tvalue = tiddler.getFieldString(this.setField) || this.setEmptyValue;\n\t\t} else if(this.setIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(this.setTiddler,this.setIndex,this.setEmptyValue);\n\t\t} else {\n\t\t\tvalue = tiddler.fields.text || this.setEmptyValue ;\n\t\t}\n\t} else if(this.setFilter) {\n\t\tvar results = this.wiki.filterTiddlers(this.setFilter,this);\n\t\tif(this.setValue == null) {\n\t\t\tvar select;\n\t\t\tif(this.setSelect) {\n\t\t\t\tselect = parseInt(this.setSelect,10);\n\t\t\t}\n\t\t\tif(select !== undefined) {\n\t\t\t\tvalue = results[select] || \"\";\n\t\t\t} else {\n\t\t\t\tvalue = $tw.utils.stringifyList(results);\t\t\t\n\t\t\t}\n\t\t}\n\t\tif(results.length === 0 && this.setEmptyValue !== undefined) {\n\t\t\tvalue = this.setEmptyValue;\n\t\t}\n\t} else if(!value && this.setEmptyValue) {\n\t\tvalue = this.setEmptyValue;\n\t}\n\treturn value || \"\";\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nSetWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.name || changedAttributes.filter || changedAttributes.select || changedAttributes.tiddler || (this.setTiddler && changedTiddlers[this.setTiddler]) || changedAttributes.field || changedAttributes.index || changedAttributes.value || changedAttributes.emptyValue ||\n\t (this.setFilter && this.getValue() != this.variables[this.setName].value)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.setvariable = SetWidget;\nexports.set = SetWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/text.js": {
"title": "$:/core/modules/widgets/text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/text.js\ntype: application/javascript\nmodule-type: widget\n\nText node widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TextNodeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTextNodeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTextNodeWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar text = this.getAttribute(\"text\",this.parseTreeNode.text || \"\");\n\ttext = text.replace(/\\r/mg,\"\");\n\tvar textNode = this.document.createTextNode(text);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTextNodeWidget.prototype.execute = function() {\n\t// Nothing to do for a text node\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTextNodeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.text) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.text = TextNodeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/tiddler.js": {
"title": "$:/core/modules/widgets/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/tiddler.js\ntype: application/javascript\nmodule-type: widget\n\nTiddler widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTiddlerWidget.prototype.execute = function() {\n\tthis.tiddlerState = this.computeTiddlerState();\n\tthis.setVariable(\"currentTiddler\",this.tiddlerState.currentTiddler);\n\tthis.setVariable(\"missingTiddlerClass\",this.tiddlerState.missingTiddlerClass);\n\tthis.setVariable(\"shadowTiddlerClass\",this.tiddlerState.shadowTiddlerClass);\n\tthis.setVariable(\"systemTiddlerClass\",this.tiddlerState.systemTiddlerClass);\n\tthis.setVariable(\"tiddlerTagClasses\",this.tiddlerState.tiddlerTagClasses);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nCompute the tiddler state flags\n*/\nTiddlerWidget.prototype.computeTiddlerState = function() {\n\t// Get our parameters\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Compute the state\n\tvar state = {\n\t\tcurrentTiddler: this.tiddlerTitle || \"\",\n\t\tmissingTiddlerClass: (this.wiki.tiddlerExists(this.tiddlerTitle) || this.wiki.isShadowTiddler(this.tiddlerTitle)) ? \"tc-tiddler-exists\" : \"tc-tiddler-missing\",\n\t\tshadowTiddlerClass: this.wiki.isShadowTiddler(this.tiddlerTitle) ? \"tc-tiddler-shadow\" : \"\",\n\t\tsystemTiddlerClass: this.wiki.isSystemTiddler(this.tiddlerTitle) ? \"tc-tiddler-system\" : \"\",\n\t\ttiddlerTagClasses: this.getTagClasses()\n\t};\n\t// Compute a simple hash to make it easier to detect changes\n\tstate.hash = state.currentTiddler + state.missingTiddlerClass + state.shadowTiddlerClass + state.systemTiddlerClass + state.tiddlerTagClasses;\n\treturn state;\n};\n\n/*\nCreate a string of CSS classes derived from the tags of the current tiddler\n*/\nTiddlerWidget.prototype.getTagClasses = function() {\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle);\n\tif(tiddler) {\n\t\tvar tags = [];\n\t\t$tw.utils.each(tiddler.fields.tags,function(tag) {\n\t\t\ttags.push(\"tc-tagged-\" + encodeURIComponent(tag));\n\t\t});\n\t\treturn tags.join(\" \");\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\tnewTiddlerState = this.computeTiddlerState();\n\tif(changedAttributes.tiddler || newTiddlerState.hash !== this.tiddlerState.hash) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.tiddler = TiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/transclude.js": {
"title": "$:/core/modules/widgets/transclude.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/transclude.js\ntype: application/javascript\nmodule-type: widget\n\nTransclude widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TranscludeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTranscludeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTranscludeWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTranscludeWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.transcludeTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.transcludeSubTiddler = this.getAttribute(\"subtiddler\");\n\tthis.transcludeField = this.getAttribute(\"field\");\n\tthis.transcludeIndex = this.getAttribute(\"index\");\n\tthis.transcludeMode = this.getAttribute(\"mode\");\n\t// Parse the text reference\n\tvar parseAsInline = !this.parseTreeNode.isBlock;\n\tif(this.transcludeMode === \"inline\") {\n\t\tparseAsInline = true;\n\t} else if(this.transcludeMode === \"block\") {\n\t\tparseAsInline = false;\n\t}\n\tvar parser = this.wiki.parseTextReference(\n\t\t\t\t\t\tthis.transcludeTitle,\n\t\t\t\t\t\tthis.transcludeField,\n\t\t\t\t\t\tthis.transcludeIndex,\n\t\t\t\t\t\t{\n\t\t\t\t\t\t\tparseAsInline: parseAsInline,\n\t\t\t\t\t\t\tsubTiddler: this.transcludeSubTiddler\n\t\t\t\t\t\t}),\n\t\tparseTreeNodes = parser ? parser.tree : this.parseTreeNode.children;\n\t// Set context variables for recursion detection\n\tvar recursionMarker = this.makeRecursionMarker();\n\tthis.setVariable(\"transclusion\",recursionMarker);\n\t// Check for recursion\n\tif(parser) {\n\t\tif(this.parentWidget && this.parentWidget.hasVariable(\"transclusion\",recursionMarker)) {\n\t\t\tparseTreeNodes = [{type: \"element\", tag: \"span\", attributes: {\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-error\"}\n\t\t\t}, children: [\n\t\t\t\t{type: \"text\", text: $tw.language.getString(\"Error/RecursiveTransclusion\")}\n\t\t\t]}];\n\t\t}\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nCompose a string comprising the title, field and/or index to identify this transclusion for recursion detection\n*/\nTranscludeWidget.prototype.makeRecursionMarker = function() {\n\tvar output = [];\n\toutput.push(\"{\");\n\toutput.push(this.getVariable(\"currentTiddler\",{defaultValue: \"\"}));\n\toutput.push(\"|\");\n\toutput.push(this.transcludeTitle || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeField || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeIndex || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeSubTiddler || \"\");\n\toutput.push(\"}\");\n\treturn output.join(\"\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTranscludeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedTiddlers[this.transcludeTitle]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.transclude = TranscludeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/vars.js": {
"title": "$:/core/modules/widgets/vars.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/vars.js\ntype: application/javascript\nmodule-type: widget\n\nThis widget allows multiple variables to be set in one go:\n\n```\n\\define helloworld() Hello world!\n<$vars greeting=\"Hi\" me={{!!title}} sentence=<<helloworld>>>\n <<greeting>>! I am <<me>> and I say: <<sentence>>\n</$vars>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar VarsWidget = function(parseTreeNode,options) {\n\t// Call the constructor\n\tWidget.call(this);\n\t// Initialise\t\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nVarsWidget.prototype = Object.create(Widget.prototype);\n\n/*\nRender this widget into the DOM\n*/\nVarsWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nVarsWidget.prototype.execute = function() {\n\t// Parse variables\n\tvar self = this;\n\t$tw.utils.each(this.attributes,function(val,key) {\n\t\tif(key.charAt(0) !== \"$\") {\n\t\t\tself.setVariable(key,val);\n\t\t}\n\t});\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nVarsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(Object.keys(changedAttributes).length) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports[\"vars\"] = VarsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/view.js": {
"title": "$:/core/modules/widgets/view.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/view.js\ntype: application/javascript\nmodule-type: widget\n\nView widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ViewWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nViewWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nViewWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tif(this.text) {\n\t\tvar textNode = this.document.createTextNode(this.text);\n\t\tparent.insertBefore(textNode,nextSibling);\n\t\tthis.domNodes.push(textNode);\n\t} else {\n\t\tthis.makeChildWidgets();\n\t\tthis.renderChildren(parent,nextSibling);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nViewWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.viewTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.viewSubtiddler = this.getAttribute(\"subtiddler\");\n\tthis.viewField = this.getAttribute(\"field\",\"text\");\n\tthis.viewIndex = this.getAttribute(\"index\");\n\tthis.viewFormat = this.getAttribute(\"format\",\"text\");\n\tthis.viewTemplate = this.getAttribute(\"template\",\"\");\n\tthis.viewMode = this.getAttribute(\"mode\",\"block\");\n\tswitch(this.viewFormat) {\n\t\tcase \"htmlwikified\":\n\t\t\tthis.text = this.getValueAsHtmlWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"plainwikified\":\n\t\t\tthis.text = this.getValueAsPlainWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"htmlencodedplainwikified\":\n\t\t\tthis.text = this.getValueAsHtmlEncodedPlainWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"htmlencoded\":\n\t\t\tthis.text = this.getValueAsHtmlEncoded();\n\t\t\tbreak;\n\t\tcase \"urlencoded\":\n\t\t\tthis.text = this.getValueAsUrlEncoded();\n\t\t\tbreak;\n\t\tcase \"doubleurlencoded\":\n\t\t\tthis.text = this.getValueAsDoubleUrlEncoded();\n\t\t\tbreak;\n\t\tcase \"date\":\n\t\t\tthis.text = this.getValueAsDate(this.viewTemplate);\n\t\t\tbreak;\n\t\tcase \"relativedate\":\n\t\t\tthis.text = this.getValueAsRelativeDate();\n\t\t\tbreak;\n\t\tcase \"stripcomments\":\n\t\t\tthis.text = this.getValueAsStrippedComments();\n\t\t\tbreak;\n\t\tcase \"jsencoded\":\n\t\t\tthis.text = this.getValueAsJsEncoded();\n\t\t\tbreak;\n\t\tdefault: // \"text\"\n\t\t\tthis.text = this.getValueAsText();\n\t\t\tbreak;\n\t}\n};\n\n/*\nThe various formatter functions are baked into this widget for the moment. Eventually they will be replaced by macro functions\n*/\n\n/*\nRetrieve the value of the widget. Options are:\nasString: Optionally return the value as a string\n*/\nViewWidget.prototype.getValue = function(options) {\n\toptions = options || {};\n\tvar value = options.asString ? \"\" : undefined;\n\tif(this.viewIndex) {\n\t\tvalue = this.wiki.extractTiddlerDataItem(this.viewTitle,this.viewIndex);\n\t} else {\n\t\tvar tiddler;\n\t\tif(this.viewSubtiddler) {\n\t\t\ttiddler = this.wiki.getSubTiddler(this.viewTitle,this.viewSubtiddler);\t\n\t\t} else {\n\t\t\ttiddler = this.wiki.getTiddler(this.viewTitle);\n\t\t}\n\t\tif(tiddler) {\n\t\t\tif(this.viewField === \"text\" && !this.viewSubtiddler) {\n\t\t\t\t// Calling getTiddlerText() triggers lazy loading of skinny tiddlers\n\t\t\t\tvalue = this.wiki.getTiddlerText(this.viewTitle);\n\t\t\t} else {\n\t\t\t\tif($tw.utils.hop(tiddler.fields,this.viewField)) {\n\t\t\t\t\tif(options.asString) {\n\t\t\t\t\t\tvalue = tiddler.getFieldString(this.viewField);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tvalue = tiddler.fields[this.viewField];\t\t\t\t\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tif(this.viewField === \"title\") {\n\t\t\t\tvalue = this.viewTitle;\n\t\t\t}\n\t\t}\n\t}\n\treturn value;\n};\n\nViewWidget.prototype.getValueAsText = function() {\n\treturn this.getValue({asString: true});\n};\n\nViewWidget.prototype.getValueAsHtmlWikified = function(mode) {\n\treturn this.wiki.renderText(\"text/html\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t});\n};\n\nViewWidget.prototype.getValueAsPlainWikified = function(mode) {\n\treturn this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t});\n};\n\nViewWidget.prototype.getValueAsHtmlEncodedPlainWikified = function(mode) {\n\treturn $tw.utils.htmlEncode(this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t}));\n};\n\nViewWidget.prototype.getValueAsHtmlEncoded = function() {\n\treturn $tw.utils.htmlEncode(this.getValueAsText());\n};\n\nViewWidget.prototype.getValueAsUrlEncoded = function() {\n\treturn encodeURIComponent(this.getValueAsText());\n};\n\nViewWidget.prototype.getValueAsDoubleUrlEncoded = function() {\n\treturn encodeURIComponent(encodeURIComponent(this.getValueAsText()));\n};\n\nViewWidget.prototype.getValueAsDate = function(format) {\n\tformat = format || \"YYYY MM DD 0hh:0mm\";\n\tvar value = $tw.utils.parseDate(this.getValue());\n\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\treturn $tw.utils.formatDateString(value,format);\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\nViewWidget.prototype.getValueAsRelativeDate = function(format) {\n\tvar value = $tw.utils.parseDate(this.getValue());\n\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\treturn $tw.utils.getRelativeDate((new Date()) - (new Date(value))).description;\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\nViewWidget.prototype.getValueAsStrippedComments = function() {\n\tvar lines = this.getValueAsText().split(\"\\n\"),\n\t\tout = [];\n\tfor(var line=0; line<lines.length; line++) {\n\t\tvar text = lines[line];\n\t\tif(!/^\\s*\\/\\/#/.test(text)) {\n\t\t\tout.push(text);\n\t\t}\n\t}\n\treturn out.join(\"\\n\");\n};\n\nViewWidget.prototype.getValueAsJsEncoded = function() {\n\treturn $tw.utils.stringify(this.getValueAsText());\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nViewWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.template || changedAttributes.format || changedTiddlers[this.viewTitle]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.view = ViewWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/widget.js": {
"title": "$:/core/modules/widgets/widget.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/widget.js\ntype: application/javascript\nmodule-type: widget\n\nWidget base class\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreate a widget object for a parse tree node\n\tparseTreeNode: reference to the parse tree node to be rendered\n\toptions: see below\nOptions include:\n\twiki: mandatory reference to wiki associated with this render tree\n\tparentWidget: optional reference to a parent renderer node for the context chain\n\tdocument: optional document object to use instead of global document\n*/\nvar Widget = function(parseTreeNode,options) {\n\tif(arguments.length > 0) {\n\t\tthis.initialise(parseTreeNode,options);\n\t}\n};\n\n/*\nInitialise widget properties. These steps are pulled out of the constructor so that we can reuse them in subclasses\n*/\nWidget.prototype.initialise = function(parseTreeNode,options) {\n\toptions = options || {};\n\t// Save widget info\n\tthis.parseTreeNode = parseTreeNode;\n\tthis.wiki = options.wiki;\n\tthis.parentWidget = options.parentWidget;\n\tthis.variablesConstructor = function() {};\n\tthis.variablesConstructor.prototype = this.parentWidget ? this.parentWidget.variables : {};\n\tthis.variables = new this.variablesConstructor();\n\tthis.document = options.document;\n\tthis.attributes = {};\n\tthis.children = [];\n\tthis.domNodes = [];\n\tthis.eventListeners = {};\n\t// Hashmap of the widget classes\n\tif(!this.widgetClasses) {\n\t\tWidget.prototype.widgetClasses = $tw.modules.applyMethods(\"widget\");\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nWidget.prototype.execute = function() {\n\tthis.makeChildWidgets();\n};\n\n/*\nSet the value of a context variable\nname: name of the variable\nvalue: value of the variable\nparams: array of {name:, default:} for each parameter\n*/\nWidget.prototype.setVariable = function(name,value,params) {\n\tthis.variables[name] = {value: value, params: params};\n};\n\n/*\nGet the prevailing value of a context variable\nname: name of variable\noptions: see below\nOptions include\nparams: array of {name:, value:} for each parameter\ndefaultValue: default value if the variable is not defined\n\nReturns an object with the following fields:\n\nparams: array of {name:,value:} of parameters passed to wikitext variables\ntext: text of variable, with parameters properly substituted\n*/\nWidget.prototype.getVariableInfo = function(name,options) {\n\toptions = options || {};\n\tvar actualParams = options.params || [],\n\t\tparentWidget = this.parentWidget;\n\t// Check for the variable defined in the parent widget (or an ancestor in the prototype chain)\n\tif(parentWidget && name in parentWidget.variables) {\n\t\tvar variable = parentWidget.variables[name],\n\t\t\tvalue = variable.value,\n\t\t\tparams = this.resolveVariableParameters(variable.params,actualParams);\n\t\t// Substitute any parameters specified in the definition\n\t\t$tw.utils.each(params,function(param) {\n\t\t\tvalue = $tw.utils.replaceString(value,new RegExp(\"\\\\$\" + $tw.utils.escapeRegExp(param.name) + \"\\\\$\",\"mg\"),param.value);\n\t\t});\n\t\tvalue = this.substituteVariableReferences(value);\n\t\treturn {\n\t\t\ttext: value,\n\t\t\tparams: params\n\t\t};\n\t}\n\t// If the variable doesn't exist in the parent widget then look for a macro module\n\treturn {\n\t\ttext: this.evaluateMacroModule(name,actualParams,options.defaultValue)\n\t};\n};\n\n/*\nSimplified version of getVariableInfo() that just returns the text\n*/\nWidget.prototype.getVariable = function(name,options) {\n\treturn this.getVariableInfo(name,options).text;\n};\n\nWidget.prototype.resolveVariableParameters = function(formalParams,actualParams) {\n\tformalParams = formalParams || [];\n\tactualParams = actualParams || [];\n\tvar nextAnonParameter = 0, // Next candidate anonymous parameter in macro call\n\t\tparamInfo, paramValue,\n\t\tresults = [];\n\t// Step through each of the parameters in the macro definition\n\tfor(var p=0; p<formalParams.length; p++) {\n\t\t// Check if we've got a macro call parameter with the same name\n\t\tparamInfo = formalParams[p];\n\t\tparamValue = undefined;\n\t\tfor(var m=0; m<actualParams.length; m++) {\n\t\t\tif(actualParams[m].name === paramInfo.name) {\n\t\t\t\tparamValue = actualParams[m].value;\n\t\t\t}\n\t\t}\n\t\t// If not, use the next available anonymous macro call parameter\n\t\twhile(nextAnonParameter < actualParams.length && actualParams[nextAnonParameter].name) {\n\t\t\tnextAnonParameter++;\n\t\t}\n\t\tif(paramValue === undefined && nextAnonParameter < actualParams.length) {\n\t\t\tparamValue = actualParams[nextAnonParameter++].value;\n\t\t}\n\t\t// If we've still not got a value, use the default, if any\n\t\tparamValue = paramValue || paramInfo[\"default\"] || \"\";\n\t\t// Store the parameter name and value\n\t\tresults.push({name: paramInfo.name, value: paramValue});\n\t}\n\treturn results;\n};\n\nWidget.prototype.substituteVariableReferences = function(text) {\n\tvar self = this;\n\treturn (text || \"\").replace(/\\$\\(([^\\)\\$]+)\\)\\$/g,function(match,p1,offset,string) {\n\t\treturn self.getVariable(p1,{defaultValue: \"\"});\n\t});\n};\n\nWidget.prototype.evaluateMacroModule = function(name,actualParams,defaultValue) {\n\tif($tw.utils.hop($tw.macros,name)) {\n\t\tvar macro = $tw.macros[name],\n\t\t\targs = [];\n\t\tif(macro.params.length > 0) {\n\t\t\tvar nextAnonParameter = 0, // Next candidate anonymous parameter in macro call\n\t\t\t\tparamInfo, paramValue;\n\t\t\t// Step through each of the parameters in the macro definition\n\t\t\tfor(var p=0; p<macro.params.length; p++) {\n\t\t\t\t// Check if we've got a macro call parameter with the same name\n\t\t\t\tparamInfo = macro.params[p];\n\t\t\t\tparamValue = undefined;\n\t\t\t\tfor(var m=0; m<actualParams.length; m++) {\n\t\t\t\t\tif(actualParams[m].name === paramInfo.name) {\n\t\t\t\t\t\tparamValue = actualParams[m].value;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// If not, use the next available anonymous macro call parameter\n\t\t\t\twhile(nextAnonParameter < actualParams.length && actualParams[nextAnonParameter].name) {\n\t\t\t\t\tnextAnonParameter++;\n\t\t\t\t}\n\t\t\t\tif(paramValue === undefined && nextAnonParameter < actualParams.length) {\n\t\t\t\t\tparamValue = actualParams[nextAnonParameter++].value;\n\t\t\t\t}\n\t\t\t\t// If we've still not got a value, use the default, if any\n\t\t\t\tparamValue = paramValue || paramInfo[\"default\"] || \"\";\n\t\t\t\t// Save the parameter\n\t\t\t\targs.push(paramValue);\n\t\t\t}\n\t\t}\n\t\telse for(var i=0; i<actualParams.length; ++i) {\n\t\t\targs.push(actualParams[i].value);\n\t\t}\n\t\treturn (macro.run.apply(this,args) || \"\").toString();\n\t} else {\n\t\treturn defaultValue;\n\t}\n};\n\n/*\nCheck whether a given context variable value exists in the parent chain\n*/\nWidget.prototype.hasVariable = function(name,value) {\n\tvar node = this;\n\twhile(node) {\n\t\tif($tw.utils.hop(node.variables,name) && node.variables[name].value === value) {\n\t\t\treturn true;\n\t\t}\n\t\tnode = node.parentWidget;\n\t}\n\treturn false;\n};\n\n/*\nConstruct a qualifying string based on a hash of concatenating the values of a given variable in the parent chain\n*/\nWidget.prototype.getStateQualifier = function(name) {\n\tthis.qualifiers = this.qualifiers || Object.create(null);\n\tname = name || \"transclusion\";\n\tif(this.qualifiers[name]) {\n\t\treturn this.qualifiers[name];\n\t} else {\n\t\tvar output = [],\n\t\t\tnode = this;\n\t\twhile(node && node.parentWidget) {\n\t\t\tif($tw.utils.hop(node.parentWidget.variables,name)) {\n\t\t\t\toutput.push(node.getVariable(name));\n\t\t\t}\n\t\t\tnode = node.parentWidget;\n\t\t}\n\t\tvar value = $tw.utils.hashString(output.join(\"\"));\n\t\tthis.qualifiers[name] = value;\n\t\treturn value;\n\t}\n};\n\n/*\nCompute the current values of the attributes of the widget. Returns a hashmap of the names of the attributes that have changed\n*/\nWidget.prototype.computeAttributes = function() {\n\tvar changedAttributes = {},\n\t\tself = this,\n\t\tvalue;\n\t$tw.utils.each(this.parseTreeNode.attributes,function(attribute,name) {\n\t\tif(attribute.type === \"filtered\") {\n\t\t\tvalue = self.wiki.filterTiddlers(attribute.filter,self)[0] || \"\";\n\t\t} else if(attribute.type === \"indirect\") {\n\t\t\tvalue = self.wiki.getTextReference(attribute.textReference,\"\",self.getVariable(\"currentTiddler\"));\n\t\t} else if(attribute.type === \"macro\") {\n\t\t\tvalue = self.getVariable(attribute.value.name,{params: attribute.value.params});\n\t\t} else { // String attribute\n\t\t\tvalue = attribute.value;\n\t\t}\n\t\t// Check whether the attribute has changed\n\t\tif(self.attributes[name] !== value) {\n\t\t\tself.attributes[name] = value;\n\t\t\tchangedAttributes[name] = true;\n\t\t}\n\t});\n\treturn changedAttributes;\n};\n\n/*\nCheck for the presence of an attribute\n*/\nWidget.prototype.hasAttribute = function(name) {\n\treturn $tw.utils.hop(this.attributes,name);\n};\n\n/*\nGet the value of an attribute\n*/\nWidget.prototype.getAttribute = function(name,defaultText) {\n\tif($tw.utils.hop(this.attributes,name)) {\n\t\treturn this.attributes[name];\n\t} else {\n\t\treturn defaultText;\n\t}\n};\n\n/*\nAssign the computed attributes of the widget to a domNode\noptions include:\nexcludeEventAttributes: ignores attributes whose name begins with \"on\"\n*/\nWidget.prototype.assignAttributes = function(domNode,options) {\n\toptions = options || {};\n\tvar self = this;\n\t$tw.utils.each(this.attributes,function(v,a) {\n\t\t// Check exclusions\n\t\tif(options.excludeEventAttributes && a.substr(0,2) === \"on\") {\n\t\t\tv = undefined;\n\t\t}\n\t\tif(v !== undefined) {\n\t\t\tvar b = a.split(\":\");\n\t\t\t// Setting certain attributes can cause a DOM error (eg xmlns on the svg element)\n\t\t\ttry {\n\t\t\t\tif (b.length == 2 && b[0] == \"xlink\"){\n\t\t\t\t\tdomNode.setAttributeNS(\"http://www.w3.org/1999/xlink\",b[1],v);\n\t\t\t\t} else {\n\t\t\t\t\tdomNode.setAttributeNS(null,a,v);\n\t\t\t\t}\n\t\t\t} catch(e) {\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nMake child widgets correspondng to specified parseTreeNodes\n*/\nWidget.prototype.makeChildWidgets = function(parseTreeNodes) {\n\tthis.children = [];\n\tvar self = this;\n\t$tw.utils.each(parseTreeNodes || (this.parseTreeNode && this.parseTreeNode.children),function(childNode) {\n\t\tself.children.push(self.makeChildWidget(childNode));\n\t});\n};\n\n/*\nConstruct the widget object for a parse tree node\n*/\nWidget.prototype.makeChildWidget = function(parseTreeNode) {\n\tvar WidgetClass = this.widgetClasses[parseTreeNode.type];\n\tif(!WidgetClass) {\n\t\tWidgetClass = this.widgetClasses.text;\n\t\tparseTreeNode = {type: \"text\", text: \"Undefined widget '\" + parseTreeNode.type + \"'\"};\n\t}\n\treturn new WidgetClass(parseTreeNode,{\n\t\twiki: this.wiki,\n\t\tvariables: {},\n\t\tparentWidget: this,\n\t\tdocument: this.document\n\t});\n};\n\n/*\nGet the next sibling of this widget\n*/\nWidget.prototype.nextSibling = function() {\n\tif(this.parentWidget) {\n\t\tvar index = this.parentWidget.children.indexOf(this);\n\t\tif(index !== -1 && index < this.parentWidget.children.length-1) {\n\t\t\treturn this.parentWidget.children[index+1];\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nGet the previous sibling of this widget\n*/\nWidget.prototype.previousSibling = function() {\n\tif(this.parentWidget) {\n\t\tvar index = this.parentWidget.children.indexOf(this);\n\t\tif(index !== -1 && index > 0) {\n\t\t\treturn this.parentWidget.children[index-1];\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRender the children of this widget into the DOM\n*/\nWidget.prototype.renderChildren = function(parent,nextSibling) {\n\t$tw.utils.each(this.children,function(childWidget) {\n\t\tchildWidget.render(parent,nextSibling);\n\t});\n};\n\n/*\nAdd a list of event listeners from an array [{type:,handler:},...]\n*/\nWidget.prototype.addEventListeners = function(listeners) {\n\tvar self = this;\n\t$tw.utils.each(listeners,function(listenerInfo) {\n\t\tself.addEventListener(listenerInfo.type,listenerInfo.handler);\n\t});\n};\n\n/*\nAdd an event listener\n*/\nWidget.prototype.addEventListener = function(type,handler) {\n\tvar self = this;\n\tif(typeof handler === \"string\") { // The handler is a method name on this widget\n\t\tthis.eventListeners[type] = function(event) {\n\t\t\treturn self[handler].call(self,event);\n\t\t};\n\t} else { // The handler is a function\n\t\tthis.eventListeners[type] = function(event) {\n\t\t\treturn handler.call(self,event);\n\t\t};\n\t}\n};\n\n/*\nDispatch an event to a widget. If the widget doesn't handle the event then it is also dispatched to the parent widget\n*/\nWidget.prototype.dispatchEvent = function(event) {\n\t// Dispatch the event if this widget handles it\n\tvar listener = this.eventListeners[event.type];\n\tif(listener) {\n\t\t// Don't propagate the event if the listener returned false\n\t\tif(!listener(event)) {\n\t\t\treturn false;\n\t\t}\n\t}\n\t// Dispatch the event to the parent widget\n\tif(this.parentWidget) {\n\t\treturn this.parentWidget.dispatchEvent(event);\n\t}\n\treturn true;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nRebuild a previously rendered widget\n*/\nWidget.prototype.refreshSelf = function() {\n\tvar nextSibling = this.findNextSiblingDomNode();\n\tthis.removeChildDomNodes();\n\tthis.render(this.parentDomNode,nextSibling);\n};\n\n/*\nRefresh all the children of a widget\n*/\nWidget.prototype.refreshChildren = function(changedTiddlers) {\n\tvar self = this,\n\t\trefreshed = false;\n\t$tw.utils.each(this.children,function(childWidget) {\n\t\trefreshed = childWidget.refresh(changedTiddlers) || refreshed;\n\t});\n\treturn refreshed;\n};\n\n/*\nFind the next sibling in the DOM to this widget. This is done by scanning the widget tree through all next siblings and their descendents that share the same parent DOM node\n*/\nWidget.prototype.findNextSiblingDomNode = function(startIndex) {\n\t// Refer to this widget by its index within its parents children\n\tvar parent = this.parentWidget,\n\t\tindex = startIndex !== undefined ? startIndex : parent.children.indexOf(this);\nif(index === -1) {\n\tthrow \"node not found in parents children\";\n}\n\t// Look for a DOM node in the later siblings\n\twhile(++index < parent.children.length) {\n\t\tvar domNode = parent.children[index].findFirstDomNode();\n\t\tif(domNode) {\n\t\t\treturn domNode;\n\t\t}\n\t}\n\t// Go back and look for later siblings of our parent if it has the same parent dom node\n\tvar grandParent = parent.parentWidget;\n\tif(grandParent && parent.parentDomNode === this.parentDomNode) {\n\t\tindex = grandParent.children.indexOf(parent);\n\t\tif(index !== -1) {\n\t\t\treturn parent.findNextSiblingDomNode(index);\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nFind the first DOM node generated by a widget or its children\n*/\nWidget.prototype.findFirstDomNode = function() {\n\t// Return the first dom node of this widget, if we've got one\n\tif(this.domNodes.length > 0) {\n\t\treturn this.domNodes[0];\n\t}\n\t// Otherwise, recursively call our children\n\tfor(var t=0; t<this.children.length; t++) {\n\t\tvar domNode = this.children[t].findFirstDomNode();\n\t\tif(domNode) {\n\t\t\treturn domNode;\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRemove any DOM nodes created by this widget or its children\n*/\nWidget.prototype.removeChildDomNodes = function() {\n\t// If this widget has directly created DOM nodes, delete them and exit. This assumes that any child widgets are contained within the created DOM nodes, which would normally be the case\n\tif(this.domNodes.length > 0) {\n\t\t$tw.utils.each(this.domNodes,function(domNode) {\n\t\t\tdomNode.parentNode.removeChild(domNode);\n\t\t});\n\t\tthis.domNodes = [];\n\t} else {\n\t\t// Otherwise, ask the child widgets to delete their DOM nodes\n\t\t$tw.utils.each(this.children,function(childWidget) {\n\t\t\tchildWidget.removeChildDomNodes();\n\t\t});\n\t}\n};\n\n/*\nInvoke the action widgets that are descendents of the current widget.\n*/\nWidget.prototype.invokeActions = function(triggeringWidget,event) {\n\tvar handled = false;\n\t// For each child widget\n\tfor(var t=0; t<this.children.length; t++) {\n\t\tvar child = this.children[t];\n\t\t// Invoke the child if it is an action widget\n\t\tif(child.invokeAction) {\n\t\t\tchild.refreshSelf();\n\t\t\tif(child.invokeAction(triggeringWidget,event)) {\n\t\t\t\thandled = true;\n\t\t\t}\n\t\t}\n\t\t// Propagate through through the child if it permits it\n\t\tif(child.allowActionPropagation() && child.invokeActions(triggeringWidget,event)) {\n\t\t\thandled = true;\n\t\t}\n\t}\n\treturn handled;\n};\n\n/*\nInvoke the action widgets defined in a string\n*/\nWidget.prototype.invokeActionString = function(actions,triggeringWidget,event,variables) {\n\tactions = actions || \"\";\n\tvar parser = this.wiki.parseText(\"text/vnd.tiddlywiki\",actions,{\n\t\t\tparentWidget: this,\n\t\t\tdocument: this.document\n\t\t}),\n\t\twidgetNode = this.wiki.makeWidget(parser,{\n\t\t\tparentWidget: this,\n\t\t\tdocument: this.document,\n\t\t\tvariables: variables\n\t\t});\n\tvar container = this.document.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn widgetNode.invokeActions(this,event);\n};\n\nWidget.prototype.allowActionPropagation = function() {\n\treturn true;\n};\n\nexports.widget = Widget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/wikify.js": {
"title": "$:/core/modules/widgets/wikify.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/wikify.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to wikify text into a variable\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar WikifyWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nWikifyWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nWikifyWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nWikifyWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.wikifyName = this.getAttribute(\"name\");\n\tthis.wikifyText = this.getAttribute(\"text\");\n\tthis.wikifyType = this.getAttribute(\"type\");\n\tthis.wikifyMode = this.getAttribute(\"mode\",\"block\");\n\tthis.wikifyOutput = this.getAttribute(\"output\",\"text\");\n\t// Create the parse tree\n\tthis.wikifyParser = this.wiki.parseText(this.wikifyType,this.wikifyText,{\n\t\t\tparseAsInline: this.wikifyMode === \"inline\"\n\t\t});\n\t// Create the widget tree \n\tthis.wikifyWidgetNode = this.wiki.makeWidget(this.wikifyParser,{\n\t\t\tdocument: $tw.fakeDocument,\n\t\t\tparentWidget: this\n\t\t});\n\t// Render the widget tree to the container\n\tthis.wikifyContainer = $tw.fakeDocument.createElement(\"div\");\n\tthis.wikifyWidgetNode.render(this.wikifyContainer,null);\n\tthis.wikifyResult = this.getResult();\n\t// Set context variable\n\tthis.setVariable(this.wikifyName,this.wikifyResult);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nReturn the result string\n*/\nWikifyWidget.prototype.getResult = function() {\n\tvar result;\n\tswitch(this.wikifyOutput) {\n\t\tcase \"text\":\n\t\t\tresult = this.wikifyContainer.textContent;\n\t\t\tbreak;\n\t\tcase \"formattedtext\":\n\t\t\tresult = this.wikifyContainer.formattedTextContent;\n\t\t\tbreak;\n\t\tcase \"html\":\n\t\t\tresult = this.wikifyContainer.innerHTML;\n\t\t\tbreak;\n\t\tcase \"parsetree\":\n\t\t\tresult = JSON.stringify(this.wikifyParser.tree,0,$tw.config.preferences.jsonSpaces);\n\t\t\tbreak;\n\t\tcase \"widgettree\":\n\t\t\tresult = JSON.stringify(this.getWidgetTree(),0,$tw.config.preferences.jsonSpaces);\n\t\t\tbreak;\n\t}\n\treturn result;\n};\n\n/*\nReturn a string of the widget tree\n*/\nWikifyWidget.prototype.getWidgetTree = function() {\n\tvar copyNode = function(widgetNode,resultNode) {\n\t\t\tvar type = widgetNode.parseTreeNode.type;\n\t\t\tresultNode.type = type;\n\t\t\tswitch(type) {\n\t\t\t\tcase \"element\":\n\t\t\t\t\tresultNode.tag = widgetNode.parseTreeNode.tag;\n\t\t\t\t\tbreak;\n\t\t\t\tcase \"text\":\n\t\t\t\t\tresultNode.text = widgetNode.parseTreeNode.text;\n\t\t\t\t\tbreak;\t\n\t\t\t}\n\t\t\tif(Object.keys(widgetNode.attributes || {}).length > 0) {\n\t\t\t\tresultNode.attributes = {};\n\t\t\t\t$tw.utils.each(widgetNode.attributes,function(attr,attrName) {\n\t\t\t\t\tresultNode.attributes[attrName] = widgetNode.getAttribute(attrName);\n\t\t\t\t});\n\t\t\t}\n\t\t\tif(Object.keys(widgetNode.children || {}).length > 0) {\n\t\t\t\tresultNode.children = [];\n\t\t\t\t$tw.utils.each(widgetNode.children,function(widgetChildNode) {\n\t\t\t\t\tvar node = {};\n\t\t\t\t\tresultNode.children.push(node);\n\t\t\t\t\tcopyNode(widgetChildNode,node);\n\t\t\t\t});\n\t\t\t}\n\t\t},\n\t\tresults = {};\n\tcopyNode(this.wikifyWidgetNode,results);\n\treturn results;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nWikifyWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// Refresh ourselves entirely if any of our attributes have changed\n\tif(changedAttributes.name || changedAttributes.text || changedAttributes.type || changedAttributes.mode || changedAttributes.output) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\t// Refresh the widget tree\n\t\tif(this.wikifyWidgetNode.refresh(changedTiddlers)) {\n\t\t\t// Check if there was any change\n\t\t\tvar result = this.getResult();\n\t\t\tif(result !== this.wikifyResult) {\n\t\t\t\t// If so, save the change\n\t\t\t\tthis.wikifyResult = result;\n\t\t\t\tthis.setVariable(this.wikifyName,this.wikifyResult);\n\t\t\t\t// Refresh each of our child widgets\n\t\t\t\t$tw.utils.each(this.children,function(childWidget) {\n\t\t\t\t\tchildWidget.refreshSelf();\n\t\t\t\t});\n\t\t\t\treturn true;\n\t\t\t}\n\t\t}\n\t\t// Just refresh the children\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.wikify = WikifyWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/wiki-bulkops.js": {
"title": "$:/core/modules/wiki-bulkops.js",
"text": "/*\\\ntitle: $:/core/modules/wiki-bulkops.js\ntype: application/javascript\nmodule-type: wikimethod\n\nBulk tiddler operations such as rename.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nRename a tiddler, and relink any tags or lists that reference it.\n*/\nfunction renameTiddler(fromTitle,toTitle,options) {\n\tfromTitle = (fromTitle || \"\").trim();\n\ttoTitle = (toTitle || \"\").trim();\n\toptions = options || {};\n\tif(fromTitle && toTitle && fromTitle !== toTitle) {\n\t\t// Rename the tiddler itself\n\t\tvar oldTiddler = this.getTiddler(fromTitle),\n\t\t\tnewTiddler = new $tw.Tiddler(oldTiddler,{title: toTitle},this.getModificationFields());\n\t\tnewTiddler = $tw.hooks.invokeHook(\"th-renaming-tiddler\",newTiddler,oldTiddler);\n\t\tthis.addTiddler(newTiddler);\n\t\tthis.deleteTiddler(fromTitle);\n\t\t// Rename any tags or lists that reference it\n\t\tthis.relinkTiddler(fromTitle,toTitle,options)\n\t}\n}\n\n/*\nRelink any tags or lists that reference a given tiddler\n*/\nfunction relinkTiddler(fromTitle,toTitle,options) {\n\tvar self = this;\n\tfromTitle = (fromTitle || \"\").trim();\n\ttoTitle = (toTitle || \"\").trim();\n\toptions = options || {};\n\tif(fromTitle && toTitle && fromTitle !== toTitle) {\n\t\tthis.each(function(tiddler,title) {\n\t\t\tvar type = tiddler.fields.type || \"\";\n\t\t\t// Don't touch plugins or JavaScript modules\n\t\t\tif(!tiddler.fields[\"plugin-type\"] && type !== \"application/javascript\") {\n\t\t\t\tvar tags = (tiddler.fields.tags || []).slice(0),\n\t\t\t\t\tlist = (tiddler.fields.list || []).slice(0),\n\t\t\t\t\tisModified = false;\n\t\t\t\tif(!options.dontRenameInTags) {\n\t\t\t\t\t// Rename tags\n\t\t\t\t\t$tw.utils.each(tags,function (title,index) {\n\t\t\t\t\t\tif(title === fromTitle) {\nconsole.log(\"Renaming tag '\" + tags[index] + \"' to '\" + toTitle + \"' of tiddler '\" + tiddler.fields.title + \"'\");\n\t\t\t\t\t\t\ttags[index] = toTitle;\n\t\t\t\t\t\t\tisModified = true;\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tif(!options.dontRenameInLists) {\n\t\t\t\t\t// Rename lists\n\t\t\t\t\t$tw.utils.each(list,function (title,index) {\n\t\t\t\t\t\tif(title === fromTitle) {\nconsole.log(\"Renaming list item '\" + list[index] + \"' to '\" + toTitle + \"' of tiddler '\" + tiddler.fields.title + \"'\");\n\t\t\t\t\t\t\tlist[index] = toTitle;\n\t\t\t\t\t\t\tisModified = true;\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tif(isModified) {\n\t\t\t\t\tvar newTiddler = new $tw.Tiddler(tiddler,{tags: tags, list: list},self.getModificationFields())\n\t\t\t\t\tnewTiddler = $tw.hooks.invokeHook(\"th-relinking-tiddler\",newTiddler,tiddler);\n\t\t\t\t\tself.addTiddler(newTiddler);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n};\n\nexports.renameTiddler = renameTiddler;\nexports.relinkTiddler = relinkTiddler;\n\n})();\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/core/modules/wiki.js": {
"title": "$:/core/modules/wiki.js",
"text": "/*\\\ntitle: $:/core/modules/wiki.js\ntype: application/javascript\nmodule-type: wikimethod\n\nExtension methods for the $tw.Wiki object\n\nAdds the following properties to the wiki object:\n\n* `eventListeners` is a hashmap by type of arrays of listener functions\n* `changedTiddlers` is a hashmap describing changes to named tiddlers since wiki change events were last dispatched. Each entry is a hashmap containing two fields:\n\tmodified: true/false\n\tdeleted: true/false\n* `changeCount` is a hashmap by tiddler title containing a numerical index that starts at zero and is incremented each time a tiddler is created changed or deleted\n* `caches` is a hashmap by tiddler title containing a further hashmap of named cache objects. Caches are automatically cleared when a tiddler is modified or deleted\n* `globalCache` is a hashmap by cache name of cache objects that are cleared whenever any tiddler change occurs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nvar USER_NAME_TITLE = \"$:/status/UserName\",\n\tTIMESTAMP_DISABLE_TITLE = \"$:/config/TimestampDisable\";\n\n/*\nGet the value of a text reference. Text references can have any of these forms:\n\t<tiddlertitle>\n\t<tiddlertitle>!!<fieldname>\n\t!!<fieldname> - specifies a field of the current tiddlers\n\t<tiddlertitle>##<index>\n*/\nexports.getTextReference = function(textRef,defaultText,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle = tr.title || currTiddlerTitle;\n\tif(tr.field) {\n\t\tvar tiddler = this.getTiddler(title);\n\t\tif(tr.field === \"title\") { // Special case so we can return the title of a non-existent tiddler\n\t\t\treturn title;\n\t\t} else if(tiddler && $tw.utils.hop(tiddler.fields,tr.field)) {\n\t\t\treturn tiddler.getFieldString(tr.field);\n\t\t} else {\n\t\t\treturn defaultText;\n\t\t}\n\t} else if(tr.index) {\n\t\treturn this.extractTiddlerDataItem(title,tr.index,defaultText);\n\t} else {\n\t\treturn this.getTiddlerText(title,defaultText);\n\t}\n};\n\nexports.setTextReference = function(textRef,value,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle = tr.title || currTiddlerTitle;\n\tthis.setText(title,tr.field,tr.index,value);\n};\n\nexports.setText = function(title,field,index,value,options) {\n\toptions = options || {};\n\tvar creationFields = options.suppressTimestamp ? {} : this.getCreationFields(),\n\t\tmodificationFields = options.suppressTimestamp ? {} : this.getModificationFields();\n\t// Check if it is a reference to a tiddler field\n\tif(index) {\n\t\tvar data = this.getTiddlerData(title,Object.create(null));\n\t\tif(value !== undefined) {\n\t\t\tdata[index] = value;\n\t\t} else {\n\t\t\tdelete data[index];\n\t\t}\n\t\tthis.setTiddlerData(title,data,modificationFields);\n\t} else {\n\t\tvar tiddler = this.getTiddler(title),\n\t\t\tfields = {title: title};\n\t\tfields[field || \"text\"] = value;\n\t\tthis.addTiddler(new $tw.Tiddler(creationFields,tiddler,fields,modificationFields));\n\t}\n};\n\nexports.deleteTextReference = function(textRef,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle,tiddler,fields;\n\t// Check if it is a reference to a tiddler\n\tif(tr.title && !tr.field) {\n\t\tthis.deleteTiddler(tr.title);\n\t// Else check for a field reference\n\t} else if(tr.field) {\n\t\ttitle = tr.title || currTiddlerTitle;\n\t\ttiddler = this.getTiddler(title);\n\t\tif(tiddler && $tw.utils.hop(tiddler.fields,tr.field)) {\n\t\t\tfields = Object.create(null);\n\t\t\tfields[tr.field] = undefined;\n\t\t\tthis.addTiddler(new $tw.Tiddler(tiddler,fields,this.getModificationFields()));\n\t\t}\n\t}\n};\n\nexports.addEventListener = function(type,listener) {\n\tthis.eventListeners = this.eventListeners || {};\n\tthis.eventListeners[type] = this.eventListeners[type] || [];\n\tthis.eventListeners[type].push(listener);\t\n};\n\nexports.removeEventListener = function(type,listener) {\n\tvar listeners = this.eventListeners[type];\n\tif(listeners) {\n\t\tvar p = listeners.indexOf(listener);\n\t\tif(p !== -1) {\n\t\t\tlisteners.splice(p,1);\n\t\t}\n\t}\n};\n\nexports.dispatchEvent = function(type /*, args */) {\n\tvar args = Array.prototype.slice.call(arguments,1),\n\t\tlisteners = this.eventListeners[type];\n\tif(listeners) {\n\t\tfor(var p=0; p<listeners.length; p++) {\n\t\t\tvar listener = listeners[p];\n\t\t\tlistener.apply(listener,args);\n\t\t}\n\t}\n};\n\n/*\nCauses a tiddler to be marked as changed, incrementing the change count, and triggers event handlers.\nThis method should be called after the changes it describes have been made to the wiki.tiddlers[] array.\n\ttitle: Title of tiddler\n\tisDeleted: defaults to false (meaning the tiddler has been created or modified),\n\t\ttrue if the tiddler has been deleted\n*/\nexports.enqueueTiddlerEvent = function(title,isDeleted) {\n\t// Record the touch in the list of changed tiddlers\n\tthis.changedTiddlers = this.changedTiddlers || Object.create(null);\n\tthis.changedTiddlers[title] = this.changedTiddlers[title] || Object.create(null);\n\tthis.changedTiddlers[title][isDeleted ? \"deleted\" : \"modified\"] = true;\n\t// Increment the change count\n\tthis.changeCount = this.changeCount || Object.create(null);\n\tif($tw.utils.hop(this.changeCount,title)) {\n\t\tthis.changeCount[title]++;\n\t} else {\n\t\tthis.changeCount[title] = 1;\n\t}\n\t// Trigger events\n\tthis.eventListeners = this.eventListeners || {};\n\tif(!this.eventsTriggered) {\n\t\tvar self = this;\n\t\t$tw.utils.nextTick(function() {\n\t\t\tvar changes = self.changedTiddlers;\n\t\t\tself.changedTiddlers = Object.create(null);\n\t\t\tself.eventsTriggered = false;\n\t\t\tif($tw.utils.count(changes) > 0) {\n\t\t\t\tself.dispatchEvent(\"change\",changes);\n\t\t\t}\n\t\t});\n\t\tthis.eventsTriggered = true;\n\t}\n};\n\nexports.getSizeOfTiddlerEventQueue = function() {\n\treturn $tw.utils.count(this.changedTiddlers);\n};\n\nexports.clearTiddlerEventQueue = function() {\n\tthis.changedTiddlers = Object.create(null);\n\tthis.changeCount = Object.create(null);\n};\n\nexports.getChangeCount = function(title) {\n\tthis.changeCount = this.changeCount || Object.create(null);\n\tif($tw.utils.hop(this.changeCount,title)) {\n\t\treturn this.changeCount[title];\n\t} else {\n\t\treturn 0;\n\t}\n};\n\n/*\nGenerate an unused title from the specified base\n*/\nexports.generateNewTitle = function(baseTitle,options) {\n\toptions = options || {};\n\tvar c = 0,\n\t\ttitle = baseTitle;\n\twhile(this.tiddlerExists(title) || this.isShadowTiddler(title) || this.findDraft(title)) {\n\t\ttitle = baseTitle + \n\t\t\t(options.prefix || \" \") + \n\t\t\t(++c);\n\t}\n\treturn title;\n};\n\nexports.isSystemTiddler = function(title) {\n\treturn title && title.indexOf(\"$:/\") === 0;\n};\n\nexports.isTemporaryTiddler = function(title) {\n\treturn title && title.indexOf(\"$:/temp/\") === 0;\n};\n\nexports.isImageTiddler = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\t\t\n\t\tvar contentTypeInfo = $tw.config.contentTypeInfo[tiddler.fields.type || \"text/vnd.tiddlywiki\"];\n\t\treturn !!contentTypeInfo && contentTypeInfo.flags.indexOf(\"image\") !== -1;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLike addTiddler() except it will silently reject any plugin tiddlers that are older than the currently loaded version. Returns true if the tiddler was imported\n*/\nexports.importTiddler = function(tiddler) {\n\tvar existingTiddler = this.getTiddler(tiddler.fields.title);\n\t// Check if we're dealing with a plugin\n\tif(tiddler && tiddler.hasField(\"plugin-type\") && tiddler.hasField(\"version\") && existingTiddler && existingTiddler.hasField(\"plugin-type\") && existingTiddler.hasField(\"version\")) {\n\t\t// Reject the incoming plugin if it is older\n\t\tif(!$tw.utils.checkVersions(tiddler.fields.version,existingTiddler.fields.version)) {\n\t\t\treturn false;\n\t\t}\n\t}\n\t// Fall through to adding the tiddler\n\tthis.addTiddler(tiddler);\n\treturn true;\n};\n\n/*\nReturn a hashmap of the fields that should be set when a tiddler is created\n*/\nexports.getCreationFields = function() {\n\tif(this.getTiddlerText(TIMESTAMP_DISABLE_TITLE,\"\").toLowerCase() !== \"yes\") {\n\t\tvar fields = {\n\t\t\t\tcreated: new Date()\n\t\t\t},\n\t\t\tcreator = this.getTiddlerText(USER_NAME_TITLE);\n\t\tif(creator) {\n\t\t\tfields.creator = creator;\n\t\t}\n\t\treturn fields;\n\t} else {\n\t\treturn {};\n\t}\n};\n\n/*\nReturn a hashmap of the fields that should be set when a tiddler is modified\n*/\nexports.getModificationFields = function() {\n\tif(this.getTiddlerText(TIMESTAMP_DISABLE_TITLE,\"\").toLowerCase() !== \"yes\") {\n\t\tvar fields = Object.create(null),\n\t\t\tmodifier = this.getTiddlerText(USER_NAME_TITLE);\n\t\tfields.modified = new Date();\n\t\tif(modifier) {\n\t\t\tfields.modifier = modifier;\n\t\t}\n\t\treturn fields;\n\t} else {\n\t\treturn {};\n\t}\n};\n\n/*\nReturn a sorted array of tiddler titles. Options include:\nsortField: field to sort by\nexcludeTag: tag to exclude\nincludeSystem: whether to include system tiddlers (defaults to false)\n*/\nexports.getTiddlers = function(options) {\n\toptions = options || Object.create(null);\n\tvar self = this,\n\t\tsortField = options.sortField || \"title\",\n\t\ttiddlers = [], t, titles = [];\n\tthis.each(function(tiddler,title) {\n\t\tif(options.includeSystem || !self.isSystemTiddler(title)) {\n\t\t\tif(!options.excludeTag || !tiddler.hasTag(options.excludeTag)) {\n\t\t\t\ttiddlers.push(tiddler);\n\t\t\t}\n\t\t}\n\t});\n\ttiddlers.sort(function(a,b) {\n\t\tvar aa = a.fields[sortField].toLowerCase() || \"\",\n\t\t\tbb = b.fields[sortField].toLowerCase() || \"\";\n\t\tif(aa < bb) {\n\t\t\treturn -1;\n\t\t} else {\n\t\t\tif(aa > bb) {\n\t\t\t\treturn 1;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t}\n\t});\n\tfor(t=0; t<tiddlers.length; t++) {\n\t\ttitles.push(tiddlers[t].fields.title);\n\t}\n\treturn titles;\n};\n\nexports.countTiddlers = function(excludeTag) {\n\tvar tiddlers = this.getTiddlers({excludeTag: excludeTag});\n\treturn $tw.utils.count(tiddlers);\n};\n\n/*\nReturns a function iterator(callback) that iterates through the specified titles, and invokes the callback with callback(tiddler,title)\n*/\nexports.makeTiddlerIterator = function(titles) {\n\tvar self = this;\n\tif(!$tw.utils.isArray(titles)) {\n\t\ttitles = Object.keys(titles);\n\t} else {\n\t\ttitles = titles.slice(0);\n\t}\n\treturn function(callback) {\n\t\ttitles.forEach(function(title) {\n\t\t\tcallback(self.getTiddler(title),title);\n\t\t});\n\t};\n};\n\n/*\nSort an array of tiddler titles by a specified field\n\ttitles: array of titles (sorted in place)\n\tsortField: name of field to sort by\n\tisDescending: true if the sort should be descending\n\tisCaseSensitive: true if the sort should consider upper and lower case letters to be different\n*/\nexports.sortTiddlers = function(titles,sortField,isDescending,isCaseSensitive,isNumeric,isAlphaNumeric) {\n\tvar self = this;\n\ttitles.sort(function(a,b) {\n\t\tvar x,y,\n\t\t\tcompareNumbers = function(x,y) {\n\t\t\t\tvar result = \n\t\t\t\t\tisNaN(x) && !isNaN(y) ? (isDescending ? -1 : 1) :\n\t\t\t\t\t!isNaN(x) && isNaN(y) ? (isDescending ? 1 : -1) :\n\t\t\t\t\t\t\t\t\t\t\t(isDescending ? y - x : x - y);\n\t\t\t\treturn result;\n\t\t\t};\n\t\tif(sortField !== \"title\") {\n\t\t\tvar tiddlerA = self.getTiddler(a),\n\t\t\t\ttiddlerB = self.getTiddler(b);\n\t\t\tif(tiddlerA) {\n\t\t\t\ta = tiddlerA.fields[sortField] || \"\";\n\t\t\t} else {\n\t\t\t\ta = \"\";\n\t\t\t}\n\t\t\tif(tiddlerB) {\n\t\t\t\tb = tiddlerB.fields[sortField] || \"\";\n\t\t\t} else {\n\t\t\t\tb = \"\";\n\t\t\t}\n\t\t}\n\t\tx = Number(a);\n\t\ty = Number(b);\n\t\tif(isNumeric && (!isNaN(x) || !isNaN(y))) {\n\t\t\treturn compareNumbers(x,y);\n\t\t} else if(isAlphaNumeric) {\n\t\t\treturn isDescending ? b.localeCompare(a,undefined,{numeric: true,sensitivity: \"base\"}) : a.localeCompare(b,undefined,{numeric: true,sensitivity: \"base\"});\n\t\t} else if($tw.utils.isDate(a) && $tw.utils.isDate(b)) {\n\t\t\treturn isDescending ? b - a : a - b;\n\t\t} else {\n\t\t\ta = String(a);\n\t\t\tb = String(b);\n\t\t\tif(!isCaseSensitive) {\n\t\t\t\ta = a.toLowerCase();\n\t\t\t\tb = b.toLowerCase();\n\t\t\t}\n\t\t\treturn isDescending ? b.localeCompare(a) : a.localeCompare(b);\n\t\t}\n\t});\n};\n\n/*\nFor every tiddler invoke a callback(title,tiddler) with `this` set to the wiki object. Options include:\nsortField: field to sort by\nexcludeTag: tag to exclude\nincludeSystem: whether to include system tiddlers (defaults to false)\n*/\nexports.forEachTiddler = function(/* [options,]callback */) {\n\tvar arg = 0,\n\t\toptions = arguments.length >= 2 ? arguments[arg++] : {},\n\t\tcallback = arguments[arg++],\n\t\ttitles = this.getTiddlers(options),\n\t\tt, tiddler;\n\tfor(t=0; t<titles.length; t++) {\n\t\ttiddler = this.getTiddler(titles[t]);\n\t\tif(tiddler) {\n\t\t\tcallback.call(this,tiddler.fields.title,tiddler);\n\t\t}\n\t}\n};\n\n/*\nReturn an array of tiddler titles that are directly linked from the specified tiddler\n*/\nexports.getTiddlerLinks = function(title) {\n\tvar self = this;\n\t// We'll cache the links so they only get computed if the tiddler changes\n\treturn this.getCacheForTiddler(title,\"links\",function() {\n\t\t// Parse the tiddler\n\t\tvar parser = self.parseTiddler(title);\n\t\t// Count up the links\n\t\tvar links = [],\n\t\t\tcheckParseTree = function(parseTree) {\n\t\t\t\tfor(var t=0; t<parseTree.length; t++) {\n\t\t\t\t\tvar parseTreeNode = parseTree[t];\n\t\t\t\t\tif(parseTreeNode.type === \"link\" && parseTreeNode.attributes.to && parseTreeNode.attributes.to.type === \"string\") {\n\t\t\t\t\t\tvar value = parseTreeNode.attributes.to.value;\n\t\t\t\t\t\tif(links.indexOf(value) === -1) {\n\t\t\t\t\t\t\tlinks.push(value);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\tif(parseTreeNode.children) {\n\t\t\t\t\t\tcheckParseTree(parseTreeNode.children);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t};\n\t\tif(parser) {\n\t\t\tcheckParseTree(parser.tree);\n\t\t}\n\t\treturn links;\n\t});\n};\n\n/*\nReturn an array of tiddler titles that link to the specified tiddler\n*/\nexports.getTiddlerBacklinks = function(targetTitle) {\n\tvar self = this,\n\t\tbacklinks = [];\n\tthis.forEachTiddler(function(title,tiddler) {\n\t\tvar links = self.getTiddlerLinks(title);\n\t\tif(links.indexOf(targetTitle) !== -1) {\n\t\t\tbacklinks.push(title);\n\t\t}\n\t});\n\treturn backlinks;\n};\n\n/*\nReturn a hashmap of tiddler titles that are referenced but not defined. Each value is the number of times the missing tiddler is referenced\n*/\nexports.getMissingTitles = function() {\n\tvar self = this,\n\t\tmissing = [];\n// We should cache the missing tiddler list, even if we recreate it every time any tiddler is modified\n\tthis.forEachTiddler(function(title,tiddler) {\n\t\tvar links = self.getTiddlerLinks(title);\n\t\t$tw.utils.each(links,function(link) {\n\t\t\tif((!self.tiddlerExists(link) && !self.isShadowTiddler(link)) && missing.indexOf(link) === -1) {\n\t\t\t\tmissing.push(link);\n\t\t\t}\n\t\t});\n\t});\n\treturn missing;\n};\n\nexports.getOrphanTitles = function() {\n\tvar self = this,\n\t\torphans = this.getTiddlers();\n\tthis.forEachTiddler(function(title,tiddler) {\n\t\tvar links = self.getTiddlerLinks(title);\n\t\t$tw.utils.each(links,function(link) {\n\t\t\tvar p = orphans.indexOf(link);\n\t\t\tif(p !== -1) {\n\t\t\t\torphans.splice(p,1);\n\t\t\t}\n\t\t});\n\t});\n\treturn orphans; // Todo\n};\n\n/*\nRetrieves a list of the tiddler titles that are tagged with a given tag\n*/\nexports.getTiddlersWithTag = function(tag) {\n\tvar self = this;\n\treturn this.getGlobalCache(\"taglist-\" + tag,function() {\n\t\tvar tagmap = self.getTagMap();\n\t\treturn self.sortByList(tagmap[tag],tag);\n\t});\n};\n\n/*\nGet a hashmap by tag of arrays of tiddler titles\n*/\nexports.getTagMap = function() {\n\tvar self = this;\n\treturn this.getGlobalCache(\"tagmap\",function() {\n\t\tvar tags = Object.create(null),\n\t\t\tstoreTags = function(tagArray,title) {\n\t\t\t\tif(tagArray) {\n\t\t\t\t\tfor(var index=0; index<tagArray.length; index++) {\n\t\t\t\t\t\tvar tag = tagArray[index];\n\t\t\t\t\t\tif($tw.utils.hop(tags,tag)) {\n\t\t\t\t\t\t\ttags[tag].push(title);\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\ttags[tag] = [title];\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t},\n\t\t\ttitle, tiddler;\n\t\t// Collect up all the tags\n\t\tself.eachShadow(function(tiddler,title) {\n\t\t\tif(!self.tiddlerExists(title)) {\n\t\t\t\ttiddler = self.getTiddler(title);\n\t\t\t\tstoreTags(tiddler.fields.tags,title);\n\t\t\t}\n\t\t});\n\t\tself.each(function(tiddler,title) {\n\t\t\tstoreTags(tiddler.fields.tags,title);\n\t\t});\n\t\treturn tags;\n\t});\n};\n\n/*\nLookup a given tiddler and return a list of all the tiddlers that include it in the specified list field\n*/\nexports.findListingsOfTiddler = function(targetTitle,fieldName) {\n\tfieldName = fieldName || \"list\";\n\tvar titles = [];\n\tthis.each(function(tiddler,title) {\n\t\tvar list = $tw.utils.parseStringArray(tiddler.fields[fieldName]);\n\t\tif(list && list.indexOf(targetTitle) !== -1) {\n\t\t\ttitles.push(title);\n\t\t}\n\t});\n\treturn titles;\n};\n\n/*\nSorts an array of tiddler titles according to an ordered list\n*/\nexports.sortByList = function(array,listTitle) {\n\tvar list = this.getTiddlerList(listTitle);\n\tif(!array || array.length === 0) {\n\t\treturn [];\n\t} else {\n\t\tvar titles = [], t, title;\n\t\t// First place any entries that are present in the list\n\t\tfor(t=0; t<list.length; t++) {\n\t\t\ttitle = list[t];\n\t\t\tif(array.indexOf(title) !== -1) {\n\t\t\t\ttitles.push(title);\n\t\t\t}\n\t\t}\n\t\t// Then place any remaining entries\n\t\tfor(t=0; t<array.length; t++) {\n\t\t\ttitle = array[t];\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\ttitles.push(title);\n\t\t\t}\n\t\t}\n\t\t// Finally obey the list-before and list-after fields of each tiddler in turn\n\t\tvar sortedTitles = titles.slice(0);\n\t\tfor(t=0; t<sortedTitles.length; t++) {\n\t\t\ttitle = sortedTitles[t];\n\t\t\tvar currPos = titles.indexOf(title),\n\t\t\t\tnewPos = -1,\n\t\t\t\ttiddler = this.getTiddler(title);\n\t\t\tif(tiddler) {\n\t\t\t\tvar beforeTitle = tiddler.fields[\"list-before\"],\n\t\t\t\t\tafterTitle = tiddler.fields[\"list-after\"];\n\t\t\t\tif(beforeTitle === \"\") {\n\t\t\t\t\tnewPos = 0;\n\t\t\t\t} else if(afterTitle === \"\") {\n\t\t\t\t\tnewPos = titles.length;\n\t\t\t\t} else if(beforeTitle) {\n\t\t\t\t\tnewPos = titles.indexOf(beforeTitle);\n\t\t\t\t} else if(afterTitle) {\n\t\t\t\t\tnewPos = titles.indexOf(afterTitle);\n\t\t\t\t\tif(newPos >= 0) {\n\t\t\t\t\t\t++newPos;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tif(newPos === -1) {\n\t\t\t\t\tnewPos = currPos;\n\t\t\t\t}\n\t\t\t\tif(newPos !== currPos) {\n\t\t\t\t\ttitles.splice(currPos,1);\n\t\t\t\t\tif(newPos >= currPos) {\n\t\t\t\t\t\tnewPos--;\n\t\t\t\t\t}\n\t\t\t\t\ttitles.splice(newPos,0,title);\n\t\t\t\t}\n\t\t\t}\n\n\t\t}\n\t\treturn titles;\n\t}\n};\n\nexports.getSubTiddler = function(title,subTiddlerTitle) {\n\tvar bundleInfo = this.getPluginInfo(title) || this.getTiddlerDataCached(title);\n\tif(bundleInfo && bundleInfo.tiddlers) {\n\t\tvar subTiddler = bundleInfo.tiddlers[subTiddlerTitle];\n\t\tif(subTiddler) {\n\t\t\treturn new $tw.Tiddler(subTiddler);\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRetrieve a tiddler as a JSON string of the fields\n*/\nexports.getTiddlerAsJson = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\n\t\tvar fields = Object.create(null);\n\t\t$tw.utils.each(tiddler.fields,function(value,name) {\n\t\t\tfields[name] = tiddler.getFieldString(name);\n\t\t});\n\t\treturn JSON.stringify(fields);\n\t} else {\n\t\treturn JSON.stringify({title: title});\n\t}\n};\n\n/*\nGet the content of a tiddler as a JavaScript object. How this is done depends on the type of the tiddler:\n\napplication/json: the tiddler JSON is parsed into an object\napplication/x-tiddler-dictionary: the tiddler is parsed as sequence of name:value pairs\n\nOther types currently just return null.\n\ntitleOrTiddler: string tiddler title or a tiddler object\ndefaultData: default data to be returned if the tiddler is missing or doesn't contain data\n\nNote that the same value is returned for repeated calls for the same tiddler data. The value is frozen to prevent modification; otherwise modifications would be visible to all callers\n*/\nexports.getTiddlerDataCached = function(titleOrTiddler,defaultData) {\n\tvar self = this,\n\t\ttiddler = titleOrTiddler;\n\tif(!(tiddler instanceof $tw.Tiddler)) {\n\t\ttiddler = this.getTiddler(tiddler);\t\n\t}\n\tif(tiddler) {\n\t\treturn this.getCacheForTiddler(tiddler.fields.title,\"data\",function() {\n\t\t\t// Return the frozen value\n\t\t\tvar value = self.getTiddlerData(tiddler.fields.title,undefined);\n\t\t\t$tw.utils.deepFreeze(value);\n\t\t\treturn value;\n\t\t}) || defaultData;\n\t} else {\n\t\treturn defaultData;\n\t}\n};\n\n/*\nAlternative, uncached version of getTiddlerDataCached(). The return value can be mutated freely and reused\n*/\nexports.getTiddlerData = function(titleOrTiddler,defaultData) {\n\tvar tiddler = titleOrTiddler,\n\t\tdata;\n\tif(!(tiddler instanceof $tw.Tiddler)) {\n\t\ttiddler = this.getTiddler(tiddler);\t\n\t}\n\tif(tiddler && tiddler.fields.text) {\n\t\tswitch(tiddler.fields.type) {\n\t\t\tcase \"application/json\":\n\t\t\t\t// JSON tiddler\n\t\t\t\ttry {\n\t\t\t\t\tdata = JSON.parse(tiddler.fields.text);\n\t\t\t\t} catch(ex) {\n\t\t\t\t\treturn defaultData;\n\t\t\t\t}\n\t\t\t\treturn data;\n\t\t\tcase \"application/x-tiddler-dictionary\":\n\t\t\t\treturn $tw.utils.parseFields(tiddler.fields.text);\n\t\t}\n\t}\n\treturn defaultData;\n};\n\n/*\nExtract an indexed field from within a data tiddler\n*/\nexports.extractTiddlerDataItem = function(titleOrTiddler,index,defaultText) {\n\tvar data = this.getTiddlerDataCached(titleOrTiddler,Object.create(null)),\n\t\ttext;\n\tif(data && $tw.utils.hop(data,index)) {\n\t\ttext = data[index];\n\t}\n\tif(typeof text === \"string\" || typeof text === \"number\") {\n\t\treturn text.toString();\n\t} else {\n\t\treturn defaultText;\n\t}\n};\n\n/*\nSet a tiddlers content to a JavaScript object. Currently this is done by setting the tiddler's type to \"application/json\" and setting the text to the JSON text of the data.\ntitle: title of tiddler\ndata: object that can be serialised to JSON\nfields: optional hashmap of additional tiddler fields to be set\n*/\nexports.setTiddlerData = function(title,data,fields) {\n\tvar existingTiddler = this.getTiddler(title),\n\t\tnewFields = {\n\t\t\ttitle: title\n\t};\n\tif(existingTiddler && existingTiddler.fields.type === \"application/x-tiddler-dictionary\") {\n\t\tnewFields.text = $tw.utils.makeTiddlerDictionary(data);\n\t} else {\n\t\tnewFields.type = \"application/json\";\n\t\tnewFields.text = JSON.stringify(data,null,$tw.config.preferences.jsonSpaces);\n\t}\n\tthis.addTiddler(new $tw.Tiddler(this.getCreationFields(),existingTiddler,fields,newFields,this.getModificationFields()));\n};\n\n/*\nReturn the content of a tiddler as an array containing each line\n*/\nexports.getTiddlerList = function(title,field,index) {\n\tif(index) {\n\t\treturn $tw.utils.parseStringArray(this.extractTiddlerDataItem(title,index,\"\"));\n\t}\n\tfield = field || \"list\";\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\n\t\treturn ($tw.utils.parseStringArray(tiddler.fields[field]) || []).slice(0);\n\t}\n\treturn [];\n};\n\n// Return a named global cache object. Global cache objects are cleared whenever a tiddler change occurs\nexports.getGlobalCache = function(cacheName,initializer) {\n\tthis.globalCache = this.globalCache || Object.create(null);\n\tif($tw.utils.hop(this.globalCache,cacheName)) {\n\t\treturn this.globalCache[cacheName];\n\t} else {\n\t\tthis.globalCache[cacheName] = initializer();\n\t\treturn this.globalCache[cacheName];\n\t}\n};\n\nexports.clearGlobalCache = function() {\n\tthis.globalCache = Object.create(null);\n};\n\n// Return the named cache object for a tiddler. If the cache doesn't exist then the initializer function is invoked to create it\nexports.getCacheForTiddler = function(title,cacheName,initializer) {\n\tthis.caches = this.caches || Object.create(null);\n\tvar caches = this.caches[title];\n\tif(caches && caches[cacheName]) {\n\t\treturn caches[cacheName];\n\t} else {\n\t\tif(!caches) {\n\t\t\tcaches = Object.create(null);\n\t\t\tthis.caches[title] = caches;\n\t\t}\n\t\tcaches[cacheName] = initializer();\n\t\treturn caches[cacheName];\n\t}\n};\n\n// Clear all caches associated with a particular tiddler, or, if the title is null, clear all the caches for all the tiddlers\nexports.clearCache = function(title) {\n\tif(title) {\n\t\tthis.caches = this.caches || Object.create(null);\n\t\tif($tw.utils.hop(this.caches,title)) {\n\t\t\tdelete this.caches[title];\n\t\t}\n\t} else {\n\t\tthis.caches = Object.create(null);\n\t}\n};\n\nexports.initParsers = function(moduleType) {\n\t// Install the parser modules\n\t$tw.Wiki.parsers = {};\n\tvar self = this;\n\t$tw.modules.forEachModuleOfType(\"parser\",function(title,module) {\n\t\tfor(var f in module) {\n\t\t\tif($tw.utils.hop(module,f)) {\n\t\t\t\t$tw.Wiki.parsers[f] = module[f]; // Store the parser class\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nParse a block of text of a specified MIME type\n\ttype: content type of text to be parsed\n\ttext: text\n\toptions: see below\nOptions include:\n\tparseAsInline: if true, the text of the tiddler will be parsed as an inline run\n\t_canonical_uri: optional string of the canonical URI of this content\n*/\nexports.parseText = function(type,text,options) {\n\ttext = text || \"\";\n\toptions = options || {};\n\t// Select a parser\n\tvar Parser = $tw.Wiki.parsers[type];\n\tif(!Parser && $tw.utils.getFileExtensionInfo(type)) {\n\t\tParser = $tw.Wiki.parsers[$tw.utils.getFileExtensionInfo(type).type];\n\t}\n\tif(!Parser) {\n\t\tParser = $tw.Wiki.parsers[options.defaultType || \"text/vnd.tiddlywiki\"];\n\t}\n\tif(!Parser) {\n\t\treturn null;\n\t}\n\t// Return the parser instance\n\treturn new Parser(type,text,{\n\t\tparseAsInline: options.parseAsInline,\n\t\twiki: this,\n\t\t_canonical_uri: options._canonical_uri\n\t});\n};\n\n/*\nParse a tiddler according to its MIME type\n*/\nexports.parseTiddler = function(title,options) {\n\toptions = $tw.utils.extend({},options);\n\tvar cacheType = options.parseAsInline ? \"inlineParseTree\" : \"blockParseTree\",\n\t\ttiddler = this.getTiddler(title),\n\t\tself = this;\n\treturn tiddler ? this.getCacheForTiddler(title,cacheType,function() {\n\t\t\tif(tiddler.hasField(\"_canonical_uri\")) {\n\t\t\t\toptions._canonical_uri = tiddler.fields._canonical_uri;\n\t\t\t}\n\t\t\treturn self.parseText(tiddler.fields.type,tiddler.fields.text,options);\n\t\t}) : null;\n};\n\nexports.parseTextReference = function(title,field,index,options) {\n\tvar tiddler,text;\n\tif(options.subTiddler) {\n\t\ttiddler = this.getSubTiddler(title,options.subTiddler);\n\t} else {\n\t\ttiddler = this.getTiddler(title);\n\t\tif(field === \"text\" || (!field && !index)) {\n\t\t\tthis.getTiddlerText(title); // Force the tiddler to be lazily loaded\n\t\t\treturn this.parseTiddler(title,options);\n\t\t}\n\t}\n\tif(field === \"text\" || (!field && !index)) {\n\t\tif(tiddler && tiddler.fields) {\n\t\t\treturn this.parseText(tiddler.fields.type || \"text/vnd.tiddlywiki\",tiddler.fields.text,options);\t\t\t\n\t\t} else {\n\t\t\treturn null;\n\t\t}\n\t} else if(field) {\n\t\tif(field === \"title\") {\n\t\t\ttext = title;\n\t\t} else {\n\t\t\tif(!tiddler || !tiddler.hasField(field)) {\n\t\t\t\treturn null;\n\t\t\t}\n\t\t\ttext = tiddler.fields[field];\n\t\t}\n\t\treturn this.parseText(\"text/vnd.tiddlywiki\",text.toString(),options);\n\t} else if(index) {\n\t\tthis.getTiddlerText(title); // Force the tiddler to be lazily loaded\n\t\ttext = this.extractTiddlerDataItem(tiddler,index,undefined);\n\t\tif(text === undefined) {\n\t\t\treturn null;\n\t\t}\n\t\treturn this.parseText(\"text/vnd.tiddlywiki\",text,options);\n\t}\n};\n\n/*\nMake a widget tree for a parse tree\nparser: parser object\noptions: see below\nOptions include:\ndocument: optional document to use\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.makeWidget = function(parser,options) {\n\toptions = options || {};\n\tvar widgetNode = {\n\t\t\ttype: \"widget\",\n\t\t\tchildren: []\n\t\t},\n\t\tcurrWidgetNode = widgetNode;\n\t// Create set variable widgets for each variable\n\t$tw.utils.each(options.variables,function(value,name) {\n\t\tvar setVariableWidget = {\n\t\t\ttype: \"set\",\n\t\t\tattributes: {\n\t\t\t\tname: {type: \"string\", value: name},\n\t\t\t\tvalue: {type: \"string\", value: value}\n\t\t\t},\n\t\t\tchildren: []\n\t\t};\n\t\tcurrWidgetNode.children = [setVariableWidget];\n\t\tcurrWidgetNode = setVariableWidget;\n\t});\n\t// Add in the supplied parse tree nodes\n\tcurrWidgetNode.children = parser ? parser.tree : [];\n\t// Create the widget\n\treturn new widget.widget(widgetNode,{\n\t\twiki: this,\n\t\tdocument: options.document || $tw.fakeDocument,\n\t\tparentWidget: options.parentWidget\n\t});\n};\n\n/*\nMake a widget tree for transclusion\ntitle: target tiddler title\noptions: as for wiki.makeWidget() plus:\noptions.field: optional field to transclude (defaults to \"text\")\noptions.mode: transclusion mode \"inline\" or \"block\"\noptions.children: optional array of children for the transclude widget\noptions.importVariables: optional importvariables filter string for macros to be included\noptions.importPageMacros: optional boolean; if true, equivalent to passing \"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\" to options.importVariables\n*/\nexports.makeTranscludeWidget = function(title,options) {\n\toptions = options || {};\n\tvar parseTreeDiv = {tree: [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"div\",\n\t\t\tchildren: []}]},\n\t\tparseTreeImportVariables = {\n\t\t\ttype: \"importvariables\",\n\t\t\tattributes: {\n\t\t\t\tfilter: {\n\t\t\t\t\tname: \"filter\",\n\t\t\t\t\ttype: \"string\"\n\t\t\t\t}\n\t\t\t},\n\t\t\tisBlock: false,\n\t\t\tchildren: []},\n\t\tparseTreeTransclude = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {\n\t\t\t\t\tname: \"tiddler\",\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: title}},\n\t\t\tisBlock: !options.parseAsInline};\n\tif(options.importVariables || options.importPageMacros) {\n\t\tif(options.importVariables) {\n\t\t\tparseTreeImportVariables.attributes.filter.value = options.importVariables;\n\t\t} else if(options.importPageMacros) {\n\t\t\tparseTreeImportVariables.attributes.filter.value = \"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\";\n\t\t}\n\t\tparseTreeDiv.tree[0].children.push(parseTreeImportVariables);\n\t\tparseTreeImportVariables.children.push(parseTreeTransclude);\n\t} else {\n\t\tparseTreeDiv.tree[0].children.push(parseTreeTransclude);\n\t}\n\tif(options.field) {\n\t\tparseTreeTransclude.attributes.field = {type: \"string\", value: options.field};\n\t}\n\tif(options.mode) {\n\t\tparseTreeTransclude.attributes.mode = {type: \"string\", value: options.mode};\n\t}\n\tif(options.children) {\n\t\tparseTreeTransclude.children = options.children;\n\t}\n\treturn $tw.wiki.makeWidget(parseTreeDiv,options);\n};\n\n/*\nParse text in a specified format and render it into another format\n\toutputType: content type for the output\n\ttextType: content type of the input text\n\ttext: input text\n\toptions: see below\nOptions include:\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.renderText = function(outputType,textType,text,options) {\n\toptions = options || {};\n\tvar parser = this.parseText(textType,text,options),\n\t\twidgetNode = this.makeWidget(parser,options);\n\tvar container = $tw.fakeDocument.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn outputType === \"text/html\" ? container.innerHTML : container.textContent;\n};\n\n/*\nParse text from a tiddler and render it into another format\n\toutputType: content type for the output\n\ttitle: title of the tiddler to be rendered\n\toptions: see below\nOptions include:\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.renderTiddler = function(outputType,title,options) {\n\toptions = options || {};\n\tvar parser = this.parseTiddler(title,options),\n\t\twidgetNode = this.makeWidget(parser,options);\n\tvar container = $tw.fakeDocument.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn outputType === \"text/html\" ? container.innerHTML : (outputType === \"text/plain-formatted\" ? container.formattedTextContent : container.textContent);\n};\n\n/*\nReturn an array of tiddler titles that match a search string\n\ttext: The text string to search for\n\toptions: see below\nOptions available:\n\tsource: an iterator function for the source tiddlers, called source(iterator), where iterator is called as iterator(tiddler,title)\n\texclude: An array of tiddler titles to exclude from the search\n\tinvert: If true returns tiddlers that do not contain the specified string\n\tcaseSensitive: If true forces a case sensitive search\n\tliteral: If true, searches for literal string, rather than separate search terms\n\tfield: If specified, restricts the search to the specified field\n*/\nexports.search = function(text,options) {\n\toptions = options || {};\n\tvar self = this,\n\t\tt,\n\t\tinvert = !!options.invert;\n\t// Convert the search string into a regexp for each term\n\tvar terms, searchTermsRegExps,\n\t\tflags = options.caseSensitive ? \"\" : \"i\";\n\tif(options.literal) {\n\t\tif(text.length === 0) {\n\t\t\tsearchTermsRegExps = null;\n\t\t} else {\n\t\t\tsearchTermsRegExps = [new RegExp(\"(\" + $tw.utils.escapeRegExp(text) + \")\",flags)];\n\t\t}\n\t} else {\n\t\tterms = text.split(/ +/);\n\t\tif(terms.length === 1 && terms[0] === \"\") {\n\t\t\tsearchTermsRegExps = null;\n\t\t} else {\n\t\t\tsearchTermsRegExps = [];\n\t\t\tfor(t=0; t<terms.length; t++) {\n\t\t\t\tsearchTermsRegExps.push(new RegExp(\"(\" + $tw.utils.escapeRegExp(terms[t]) + \")\",flags));\n\t\t\t}\n\t\t}\n\t}\n\t// Function to check a given tiddler for the search term\n\tvar searchTiddler = function(title) {\n\t\tif(!searchTermsRegExps) {\n\t\t\treturn true;\n\t\t}\n\t\tvar tiddler = self.getTiddler(title);\n\t\tif(!tiddler) {\n\t\t\ttiddler = new $tw.Tiddler({title: title, text: \"\", type: \"text/vnd.tiddlywiki\"});\n\t\t}\n\t\tvar contentTypeInfo = $tw.config.contentTypeInfo[tiddler.fields.type] || $tw.config.contentTypeInfo[\"text/vnd.tiddlywiki\"],\n\t\t\tmatch;\n\t\tfor(var t=0; t<searchTermsRegExps.length; t++) {\n\t\t\tmatch = false;\n\t\t\tif(options.field) {\n\t\t\t\tmatch = searchTermsRegExps[t].test(tiddler.getFieldString(options.field));\n\t\t\t} else {\n\t\t\t\t// Search title, tags and body\n\t\t\t\tif(contentTypeInfo.encoding === \"utf8\") {\n\t\t\t\t\tmatch = match || searchTermsRegExps[t].test(tiddler.fields.text);\n\t\t\t\t}\n\t\t\t\tvar tags = tiddler.fields.tags ? tiddler.fields.tags.join(\"\\0\") : \"\";\n\t\t\t\tmatch = match || searchTermsRegExps[t].test(tags) || searchTermsRegExps[t].test(tiddler.fields.title);\n\t\t\t}\n\t\t\tif(!match) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t\treturn true;\n\t};\n\t// Loop through all the tiddlers doing the search\n\tvar results = [],\n\t\tsource = options.source || this.each;\n\tsource(function(tiddler,title) {\n\t\tif(searchTiddler(title) !== options.invert) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\t// Remove any of the results we have to exclude\n\tif(options.exclude) {\n\t\tfor(t=0; t<options.exclude.length; t++) {\n\t\t\tvar p = results.indexOf(options.exclude[t]);\n\t\t\tif(p !== -1) {\n\t\t\t\tresults.splice(p,1);\n\t\t\t}\n\t\t}\n\t}\n\treturn results;\n};\n\n/*\nTrigger a load for a tiddler if it is skinny. Returns the text, or undefined if the tiddler is missing, null if the tiddler is being lazily loaded.\n*/\nexports.getTiddlerText = function(title,defaultText) {\n\tvar tiddler = this.getTiddler(title);\n\t// Return undefined if the tiddler isn't found\n\tif(!tiddler) {\n\t\treturn defaultText;\n\t}\n\tif(tiddler.fields.text !== undefined) {\n\t\t// Just return the text if we've got it\n\t\treturn tiddler.fields.text;\n\t} else {\n\t\t// Tell any listeners about the need to lazily load this tiddler\n\t\tthis.dispatchEvent(\"lazyLoad\",title);\n\t\t// Indicate that the text is being loaded\n\t\treturn null;\n\t}\n};\n\n/*\nCheck whether the text of a tiddler matches a given value. By default, the comparison is case insensitive, and any spaces at either end of the tiddler text is trimmed\n*/\nexports.checkTiddlerText = function(title,targetText,options) {\n\toptions = options || {};\n\tvar text = this.getTiddlerText(title,\"\");\n\tif(!options.noTrim) {\n\t\ttext = text.trim();\n\t}\n\tif(!options.caseSensitive) {\n\t\ttext = text.toLowerCase();\n\t\ttargetText = targetText.toLowerCase();\n\t}\n\treturn text === targetText;\n}\n\n/*\nRead an array of browser File objects, invoking callback(tiddlerFieldsArray) once they're all read\n*/\nexports.readFiles = function(files,options) {\n\tvar callback;\n\tif(typeof options === \"function\") {\n\t\tcallback = options;\n\t\toptions = {};\n\t} else {\n\t\tcallback = options.callback;\n\t}\n\tvar result = [],\n\t\toutstanding = files.length,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tresult.push.apply(result,tiddlerFieldsArray);\n\t\t\tif(--outstanding === 0) {\n\t\t\t\tcallback(result);\n\t\t\t}\n\t\t};\n\tfor(var f=0; f<files.length; f++) {\n\t\tthis.readFile(files[f],Object.assign({},options,{callback: readFileCallback}));\n\t}\n\treturn files.length;\n};\n\n/*\nRead a browser File object, invoking callback(tiddlerFieldsArray) with an array of tiddler fields objects\n*/\nexports.readFile = function(file,options) {\n\tvar callback;\n\tif(typeof options === \"function\") {\n\t\tcallback = options;\n\t\toptions = {};\n\t} else {\n\t\tcallback = options.callback;\n\t}\n\t// Get the type, falling back to the filename extension\n\tvar self = this,\n\t\ttype = file.type;\n\tif(type === \"\" || !type) {\n\t\tvar dotPos = file.name.lastIndexOf(\".\");\n\t\tif(dotPos !== -1) {\n\t\t\tvar fileExtensionInfo = $tw.utils.getFileExtensionInfo(file.name.substr(dotPos));\n\t\t\tif(fileExtensionInfo) {\n\t\t\t\ttype = fileExtensionInfo.type;\n\t\t\t}\n\t\t}\n\t}\n\t// Figure out if we're reading a binary file\n\tvar contentTypeInfo = $tw.config.contentTypeInfo[type],\n\t\tisBinary = contentTypeInfo ? contentTypeInfo.encoding === \"base64\" : false;\n\t// Log some debugging information\n\tif($tw.log.IMPORT) {\n\t\tconsole.log(\"Importing file '\" + file.name + \"', type: '\" + type + \"', isBinary: \" + isBinary);\n\t}\n\t// Give the hook a chance to process the drag\n\tif($tw.hooks.invokeHook(\"th-importing-file\",{\n\t\tfile: file,\n\t\ttype: type,\n\t\tisBinary: isBinary,\n\t\tcallback: callback\n\t}) !== true) {\n\t\tthis.readFileContent(file,type,isBinary,options.deserializer,callback);\n\t}\n};\n\n/*\nLower level utility to read the content of a browser File object, invoking callback(tiddlerFieldsArray) with an array of tiddler fields objects\n*/\nexports.readFileContent = function(file,type,isBinary,deserializer,callback) {\n\tvar self = this;\n\t// Create the FileReader\n\tvar reader = new FileReader();\n\t// Onload\n\treader.onload = function(event) {\n\t\tvar text = event.target.result,\n\t\t\ttiddlerFields = {title: file.name || \"Untitled\", type: type};\n\t\tif(isBinary) {\n\t\t\tvar commaPos = text.indexOf(\",\");\n\t\t\tif(commaPos !== -1) {\n\t\t\t\ttext = text.substr(commaPos + 1);\n\t\t\t}\n\t\t}\n\t\t// Check whether this is an encrypted TiddlyWiki file\n\t\tvar encryptedJson = $tw.utils.extractEncryptedStoreArea(text);\n\t\tif(encryptedJson) {\n\t\t\t// If so, attempt to decrypt it with the current password\n\t\t\t$tw.utils.decryptStoreAreaInteractive(encryptedJson,function(tiddlers) {\n\t\t\t\tcallback(tiddlers);\n\t\t\t});\n\t\t} else {\n\t\t\t// Otherwise, just try to deserialise any tiddlers in the file\n\t\t\tcallback(self.deserializeTiddlers(type,text,tiddlerFields,{deserializer: deserializer}));\n\t\t}\n\t};\n\t// Kick off the read\n\tif(isBinary) {\n\t\treader.readAsDataURL(file);\n\t} else {\n\t\treader.readAsText(file);\n\t}\n};\n\n/*\nFind any existing draft of a specified tiddler\n*/\nexports.findDraft = function(targetTitle) {\n\tvar draftTitle = undefined;\n\tthis.forEachTiddler({includeSystem: true},function(title,tiddler) {\n\t\tif(tiddler.fields[\"draft.title\"] && tiddler.fields[\"draft.of\"] === targetTitle) {\n\t\t\tdraftTitle = title;\n\t\t}\n\t});\n\treturn draftTitle;\n}\n\n/*\nCheck whether the specified draft tiddler has been modified.\nIf the original tiddler doesn't exist, create a vanilla tiddler variable,\nto check if additional fields have been added.\n*/\nexports.isDraftModified = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(!tiddler.isDraft()) {\n\t\treturn false;\n\t}\n\tvar ignoredFields = [\"created\", \"modified\", \"title\", \"draft.title\", \"draft.of\"],\n\t\torigTiddler = this.getTiddler(tiddler.fields[\"draft.of\"]) || new $tw.Tiddler({text:\"\", tags:[]}),\n\t\ttitleModified = tiddler.fields[\"draft.title\"] !== tiddler.fields[\"draft.of\"];\n\treturn titleModified || !tiddler.isEqual(origTiddler,ignoredFields);\n};\n\n/*\nAdd a new record to the top of the history stack\ntitle: a title string or an array of title strings\nfromPageRect: page coordinates of the origin of the navigation\nhistoryTitle: title of history tiddler (defaults to $:/HistoryList)\n*/\nexports.addToHistory = function(title,fromPageRect,historyTitle) {\n\tvar story = new $tw.Story({wiki: this, historyTitle: historyTitle});\n\tstory.addToHistory(title,fromPageRect);\n};\n\n/*\nInvoke the available upgrader modules\ntitles: array of tiddler titles to be processed\ntiddlers: hashmap by title of tiddler fields of pending import tiddlers. These can be modified by the upgraders. An entry with no fields indicates a tiddler that was pending import has been suppressed. When entries are added to the pending import the tiddlers hashmap may have entries that are not present in the titles array\nReturns a hashmap of messages keyed by tiddler title.\n*/\nexports.invokeUpgraders = function(titles,tiddlers) {\n\t// Collect up the available upgrader modules\n\tvar self = this;\n\tif(!this.upgraderModules) {\n\t\tthis.upgraderModules = [];\n\t\t$tw.modules.forEachModuleOfType(\"upgrader\",function(title,module) {\n\t\t\tif(module.upgrade) {\n\t\t\t\tself.upgraderModules.push(module);\n\t\t\t}\n\t\t});\n\t}\n\t// Invoke each upgrader in turn\n\tvar messages = {};\n\tfor(var t=0; t<this.upgraderModules.length; t++) {\n\t\tvar upgrader = this.upgraderModules[t],\n\t\t\tupgraderMessages = upgrader.upgrade(this,titles,tiddlers);\n\t\t$tw.utils.extend(messages,upgraderMessages);\n\t}\n\treturn messages;\n};\n\n})();\n\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/palettes/Blanca": {
"title": "$:/palettes/Blanca",
"name": "Blanca",
"description": "A clean white palette to let you focus",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #66cccc\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #ffffff\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #7897f3\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ccc\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #ffffff\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #7897f3\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #eeeeee\ntab-border-selected: #cccccc\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffeedd\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: #eee\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #ff9900\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Blue": {
"title": "$:/palettes/Blue",
"name": "Blue",
"description": "A blue theme",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #fff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour foreground>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333353\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #ddddff\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ffffff\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: <<colour page-background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #5959c0\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: #ccccdd\ntab-border-selected: #ccccdd\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #eeeeff\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #666666\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #ffffff\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #ffffff\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #5959c0\ntoolbar-new-button: #5eb95e\ntoolbar-options-button: rgb(128, 88, 165)\ntoolbar-save-button: #0e90d2\ntoolbar-info-button: #0e90d2\ntoolbar-edit-button: rgb(243, 123, 29)\ntoolbar-close-button: #dd514c\ntoolbar-delete-button: #dd514c\ntoolbar-cancel-button: rgb(243, 123, 29)\ntoolbar-done-button: #5eb95e\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Muted": {
"title": "$:/palettes/Muted",
"name": "Muted",
"description": "Bright tiddlers on a muted background",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #bbb\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #6f6f70\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #29a6ee\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #c2c1c2\nsidebar-foreground-shadow: rgba(255,255,255,0)\nsidebar-foreground: #d3d2d4\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #6f6f70\nsidebar-tab-background: #666667\nsidebar-tab-border-selected: #999\nsidebar-tab-border: #515151\nsidebar-tab-divider: #999\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: #999\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #d1d0d2\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #d5ad34\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/ContrastLight": {
"title": "$:/palettes/ContrastLight",
"name": "Contrast (Light)",
"description": "High contrast and unambiguous (light version)",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #f00\nalert-border: <<colour background>>\nalert-highlight: <<colour foreground>>\nalert-muted-foreground: #800\nbackground: #fff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: <<colour background>>\nbutton-foreground: <<colour foreground>>\nbutton-border: <<colour foreground>>\ncode-background: <<colour background>>\ncode-border: <<colour foreground>>\ncode-foreground: <<colour foreground>>\ndirty-indicator: #f00\ndownload-background: #080\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: <<colour foreground>>\ndropdown-tab-background: <<colour foreground>>\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #00a\nexternal-link-foreground: #00e\nforeground: #000\nmessage-background: <<colour foreground>>\nmessage-border: <<colour background>>\nmessage-foreground: <<colour background>>\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: <<colour foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour foreground>>\nmodal-header-border: <<colour foreground>>\nmuted-foreground: <<colour foreground>>\nnotification-background: <<colour background>>\nnotification-border: <<colour foreground>>\npage-background: <<colour background>>\npre-background: <<colour background>>\npre-border: <<colour foreground>>\nprimary: #00f\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: <<colour background>>\nsidebar-controls-foreground: <<colour foreground>>\nsidebar-foreground-shadow: rgba(0,0,0, 0)\nsidebar-foreground: <<colour foreground>>\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: <<colour foreground>>\nsidebar-tab-background-selected: <<colour background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour foreground>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour foreground>>\nsidebar-tiddler-link-foreground: <<colour primary>>\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: <<colour foreground>>\ntab-border-selected: <<colour foreground>>\ntab-border: <<colour foreground>>\ntab-divider: <<colour foreground>>\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: <<colour background>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #000\ntag-foreground: #fff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour foreground>>\ntiddler-controls-foreground-hover: #ddd\ntiddler-controls-foreground-selected: #fdd\ntiddler-controls-foreground: <<colour foreground>>\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: <<colour foreground>>\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: <<colour background>>\ntiddler-editor-fields-odd: <<colour background>>\ntiddler-info-background: <<colour background>>\ntiddler-info-border: <<colour foreground>>\ntiddler-info-tab-background: <<colour background>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour foreground>>\ntiddler-title-foreground: <<colour foreground>>\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour foreground>>\nvery-muted-foreground: #888888\n"
},
"$:/palettes/ContrastDark": {
"title": "$:/palettes/ContrastDark",
"name": "Contrast (Dark)",
"description": "High contrast and unambiguous (dark version)",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #f00\nalert-border: <<colour background>>\nalert-highlight: <<colour foreground>>\nalert-muted-foreground: #800\nbackground: #000\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: <<colour background>>\nbutton-foreground: <<colour foreground>>\nbutton-border: <<colour foreground>>\ncode-background: <<colour background>>\ncode-border: <<colour foreground>>\ncode-foreground: <<colour foreground>>\ndirty-indicator: #f00\ndownload-background: #080\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: <<colour foreground>>\ndropdown-tab-background: <<colour foreground>>\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #00a\nexternal-link-foreground: #00e\nforeground: #fff\nmessage-background: <<colour foreground>>\nmessage-border: <<colour background>>\nmessage-foreground: <<colour background>>\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: <<colour foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour foreground>>\nmodal-header-border: <<colour foreground>>\nmuted-foreground: <<colour foreground>>\nnotification-background: <<colour background>>\nnotification-border: <<colour foreground>>\npage-background: <<colour background>>\npre-background: <<colour background>>\npre-border: <<colour foreground>>\nprimary: #00f\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: <<colour background>>\nsidebar-controls-foreground: <<colour foreground>>\nsidebar-foreground-shadow: rgba(0,0,0, 0)\nsidebar-foreground: <<colour foreground>>\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: <<colour foreground>>\nsidebar-tab-background-selected: <<colour background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour foreground>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour foreground>>\nsidebar-tiddler-link-foreground: <<colour primary>>\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: <<colour foreground>>\ntab-border-selected: <<colour foreground>>\ntab-border: <<colour foreground>>\ntab-divider: <<colour foreground>>\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: <<colour background>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #fff\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour foreground>>\ntiddler-controls-foreground-hover: #ddd\ntiddler-controls-foreground-selected: #fdd\ntiddler-controls-foreground: <<colour foreground>>\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: <<colour foreground>>\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: <<colour background>>\ntiddler-editor-fields-odd: <<colour background>>\ntiddler-info-background: <<colour background>>\ntiddler-info-border: <<colour foreground>>\ntiddler-info-tab-background: <<colour background>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour foreground>>\ntiddler-title-foreground: <<colour foreground>>\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour foreground>>\nvery-muted-foreground: #888888\n"
},
"$:/palettes/DarkPhotos": {
"title": "$:/palettes/DarkPhotos",
"created": "20150402111612188",
"description": "Good with dark photo backgrounds",
"modified": "20150402112344080",
"name": "DarkPhotos",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: \nbutton-foreground: \nbutton-border: \ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #ddd\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #336438\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #ccf\nsidebar-controls-foreground: #fff\nsidebar-foreground-shadow: rgba(0,0,0, 0.5)\nsidebar-foreground: #fff\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #eee\nsidebar-tab-background-selected: rgba(255,255,255, 0.8)\nsidebar-tab-background: rgba(255,255,255, 0.4)\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: rgba(255,255,255, 0.2)\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #aaf\nsidebar-tiddler-link-foreground: #ddf\nsite-title-foreground: #fff\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ec6\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Rocker": {
"title": "$:/palettes/Rocker",
"name": "Rocker",
"description": "A dark theme",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #000\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #cc0000\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ffffff\nsidebar-foreground-shadow: rgba(255,255,255, 0.0)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #000\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #ffbb99\nsidebar-tiddler-link-foreground: #cc0000\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffbb99\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #cc0000\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/SolarFlare": {
"title": "$:/palettes/SolarFlare",
"name": "Solar Flare",
"description": "Warm, relaxing earth colours",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": ": Background Tones\n\nbase03: #002b36\nbase02: #073642\n\n: Content Tones\n\nbase01: #586e75\nbase00: #657b83\nbase0: #839496\nbase1: #93a1a1\n\n: Background Tones\n\nbase2: #eee8d5\nbase3: #fdf6e3\n\n: Accent Colors\n\nyellow: #b58900\norange: #cb4b16\nred: #dc322f\nmagenta: #d33682\nviolet: #6c71c4\nblue: #268bd2\ncyan: #2aa198\ngreen: #859900\n\n: Additional Tones (RA)\n\nbase10: #c0c4bb\nviolet-muted: #7c81b0\nblue-muted: #4e7baa\n\nyellow-hot: #ffcc44\norange-hot: #eb6d20\nred-hot: #ff2222\nblue-hot: #2298ee\ngreen-hot: #98ee22\n\n: Palette\n\n: Do not use colour macro for background and foreground\nbackground: #fdf6e3\n download-foreground: <<colour background>>\n dragger-foreground: <<colour background>>\n dropdown-background: <<colour background>>\n modal-background: <<colour background>>\n sidebar-foreground-shadow: <<colour background>>\n tiddler-background: <<colour background>>\n tiddler-border: <<colour background>>\n tiddler-link-background: <<colour background>>\n tab-background-selected: <<colour background>>\n dropdown-tab-background-selected: <<colour tab-background-selected>>\nforeground: #657b83\n dragger-background: <<colour foreground>>\n tab-foreground: <<colour foreground>>\n tab-foreground-selected: <<colour tab-foreground>>\n sidebar-tab-foreground-selected: <<colour tab-foreground-selected>>\n sidebar-tab-foreground: <<colour tab-foreground>>\n sidebar-button-foreground: <<colour foreground>>\n sidebar-controls-foreground: <<colour foreground>>\n sidebar-foreground: <<colour foreground>>\n: base03\n: base02\n: base01\n alert-muted-foreground: <<colour base01>>\n: base00\n code-foreground: <<colour base00>>\n message-foreground: <<colour base00>>\n tag-foreground: <<colour base00>>\n: base0\n sidebar-tiddler-link-foreground: <<colour base0>>\n: base1\n muted-foreground: <<colour base1>>\n blockquote-bar: <<colour muted-foreground>>\n dropdown-border: <<colour muted-foreground>>\n sidebar-muted-foreground: <<colour muted-foreground>>\n tiddler-title-foreground: <<colour muted-foreground>>\n site-title-foreground: <<colour tiddler-title-foreground>>\n: base2\n modal-footer-background: <<colour base2>>\n page-background: <<colour base2>>\n modal-backdrop: <<colour page-background>>\n notification-background: <<colour page-background>>\n code-background: <<colour page-background>>\n code-border: <<colour code-background>>\n pre-background: <<colour page-background>>\n pre-border: <<colour pre-background>>\n sidebar-tab-background-selected: <<colour page-background>>\n table-header-background: <<colour base2>>\n tag-background: <<colour base2>>\n tiddler-editor-background: <<colour base2>>\n tiddler-info-background: <<colour base2>>\n tiddler-info-tab-background: <<colour base2>>\n tab-background: <<colour base2>>\n dropdown-tab-background: <<colour tab-background>>\n: base3\n alert-background: <<colour base3>>\n message-background: <<colour base3>>\n: yellow\n: orange\n: red\n: magenta\n alert-highlight: <<colour magenta>>\n: violet\n external-link-foreground: <<colour violet>>\n: blue\n: cyan\n: green\n: base10\n tiddler-controls-foreground: <<colour base10>>\n: violet-muted\n external-link-foreground-visited: <<colour violet-muted>>\n: blue-muted\n primary: <<colour blue-muted>>\n download-background: <<colour primary>>\n tiddler-link-foreground: <<colour primary>>\n\nalert-border: #b99e2f\ndirty-indicator: #ff0000\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nmessage-border: #cfd6e6\nmodal-border: #999999\nsidebar-controls-foreground-hover:\nsidebar-muted-foreground-hover:\nsidebar-tab-background: #ded8c5\nsidebar-tiddler-link-foreground-hover:\nstatic-alert-foreground: #aaaaaa\ntab-border: #cccccc\n modal-footer-border: <<colour tab-border>>\n modal-header-border: <<colour tab-border>>\n notification-border: <<colour tab-border>>\n sidebar-tab-border: <<colour tab-border>>\n tab-border-selected: <<colour tab-border>>\n sidebar-tab-border-selected: <<colour tab-border-selected>>\ntab-divider: #d8d8d8\n sidebar-tab-divider: <<colour tab-divider>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-border: #dddddd\ntiddler-subtitle-foreground: #c0c0c0\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Vanilla": {
"title": "$:/palettes/Vanilla",
"name": "Vanilla",
"description": "Pale and unobtrusive",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndiff-delete-background: #ffc9c9\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #aaefad\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #bbb\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #f4f4f4\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #aaaaaa\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #f4f4f4\nsidebar-tab-background: #e0e0e0\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: #e4e4e4\nsidebar-tab-foreground-selected:\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #999999\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ec6\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/core/readme": {
"title": "$:/core/readme",
"text": "This plugin contains TiddlyWiki's core components, comprising:\n\n* JavaScript code modules\n* Icons\n* Templates needed to create TiddlyWiki's user interface\n* British English (''en-GB'') translations of the localisable strings used by the core\n"
},
"$:/library/sjcl.js/license": {
"title": "$:/library/sjcl.js/license",
"type": "text/plain",
"text": "SJCL is open. You can use, modify and redistribute it under a BSD\nlicense or under the GNU GPL, version 2.0.\n\n---------------------------------------------------------------------\n\nhttp://opensource.org/licenses/BSD-2-Clause\n\nCopyright (c) 2009-2015, Emily Stark, Mike Hamburg and Dan Boneh at\nStanford University. All rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are\nmet:\n\n1. Redistributions of source code must retain the above copyright\nnotice, this list of conditions and the following disclaimer.\n\n2. Redistributions in binary form must reproduce the above copyright\nnotice, this list of conditions and the following disclaimer in the\ndocumentation and/or other materials provided with the distribution.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS\nIS\" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED\nTO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A\nPARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT\nHOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,\nSPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED\nTO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR\nPROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF\nLIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING\nNEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS\nSOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\n\n---------------------------------------------------------------------\n\nhttp://opensource.org/licenses/GPL-2.0\n\nThe Stanford Javascript Crypto Library (hosted here on GitHub) is a\nproject by the Stanford Computer Security Lab to build a secure,\npowerful, fast, small, easy-to-use, cross-browser library for\ncryptography in Javascript.\n\nCopyright (c) 2009-2015, Emily Stark, Mike Hamburg and Dan Boneh at\nStanford University.\n\nThis program is free software; you can redistribute it and/or modify it\nunder the terms of the GNU General Public License as published by the\nFree Software Foundation; either version 2 of the License, or (at your\noption) any later version.\n\nThis program is distributed in the hope that it will be useful, but\nWITHOUT ANY WARRANTY; without even the implied warranty of\nMERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General\nPublic License for more details.\n\nYou should have received a copy of the GNU General Public License along\nwith this program; if not, write to the Free Software Foundation, Inc.,\n59 Temple Place, Suite 330, Boston, MA 02111-1307 USA"
},
"$:/core/templates/MOTW.html": {
"title": "$:/core/templates/MOTW.html",
"text": "\\rules only filteredtranscludeinline transcludeinline entity\n<!-- The following comment is called a MOTW comment and is necessary for the TiddlyIE Internet Explorer extension -->\n<!-- saved from url=(0021)https://tiddlywiki.com --> "
},
"$:/core/templates/alltiddlers.template.html": {
"title": "$:/core/templates/alltiddlers.template.html",
"type": "text/vnd.tiddlywiki-html",
"text": "<!-- This template is provided for backwards compatibility with older versions of TiddlyWiki -->\n\n<$set name=\"exportFilter\" value=\"[!is[system]sort[title]]\">\n\n{{$:/core/templates/exporters/StaticRiver}}\n\n</$set>\n"
},
"$:/core/templates/canonical-uri-external-image": {
"title": "$:/core/templates/canonical-uri-external-image",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external images.\n\nChange the `./images/` part to a different base URI. The URI can be relative or absolute.\n\n-->\n./images/<$view field=\"title\" format=\"doubleurlencoded\"/>"
},
"$:/core/templates/canonical-uri-external-raw": {
"title": "$:/core/templates/canonical-uri-external-raw",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external raw files that are stored in the same directory\n\n-->\n<$view field=\"title\" format=\"doubleurlencoded\"/>"
},
"$:/core/templates/canonical-uri-external-text": {
"title": "$:/core/templates/canonical-uri-external-text",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external text files.\n\nChange the `./text/` part to a different base URI. The URI can be relative or absolute.\n\n-->\n./text/<$view field=\"title\" format=\"doubleurlencoded\"/>.tid"
},
"$:/core/templates/css-tiddler": {
"title": "$:/core/templates/css-tiddler",
"text": "<!--\n\nThis template is used for saving CSS tiddlers as a style tag with data attributes representing the tiddler fields.\n\n-->`<style`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/css\">`<$view field=\"text\" format=\"text\" />`</style>`"
},
"$:/core/templates/exporters/CsvFile": {
"title": "$:/core/templates/exporters/CsvFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/CsvFile}}",
"extension": ".csv",
"text": "\\define renderContent()\n<$text text=<<csvtiddlers filter:\"\"\"$(exportFilter)$\"\"\" format:\"quoted-comma-sep\">>/>\n\\end\n<<renderContent>>\n"
},
"$:/core/templates/exporters/JsonFile": {
"title": "$:/core/templates/exporters/JsonFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/JsonFile}}",
"extension": ".json",
"text": "\\define renderContent()\n<$text text=<<jsontiddlers filter:\"\"\"$(exportFilter)$\"\"\">>/>\n\\end\n<<renderContent>>\n"
},
"$:/core/templates/exporters/StaticRiver": {
"title": "$:/core/templates/exporters/StaticRiver",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/StaticRiver}}",
"extension": ".html",
"text": "\\define tv-wikilink-template() #$uri_encoded$\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<style type=\"text/css\">\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n</style>\n</head>\n<body class=\"tc-body\">\n{{$:/StaticBanner||$:/core/templates/html-tiddler}}\n<section class=\"tc-story-river\">\n{{$:/core/templates/exporters/StaticRiver/Content||$:/core/templates/html-tiddler}}\n</section>\n</body>\n</html>\n"
},
"$:/core/templates/exporters/StaticRiver/Content": {
"title": "$:/core/templates/exporters/StaticRiver/Content",
"text": "\\define renderContent()\n{{{ $(exportFilter)$ ||$:/core/templates/static-tiddler}}}\n\\end\n<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n<<renderContent>>\n</$importvariables>\n"
},
"$:/core/templates/exporters/TidFile": {
"title": "$:/core/templates/exporters/TidFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/TidFile}}",
"extension": ".tid",
"text": "\\define renderContent()\n{{{ $(exportFilter)$ +[limit[1]] ||$:/core/templates/tid-tiddler}}}\n\\end\n<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\"><<renderContent>></$importvariables>"
},
"$:/core/templates/html-div-tiddler": {
"title": "$:/core/templates/html-div-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as an HTML DIV tag with attributes representing the tiddler fields.\n\n-->`<div`<$fields template=' $name$=\"$encoded_value$\"'></$fields>`>\n<pre>`<$view field=\"text\" format=\"htmlencoded\" />`</pre>\n</div>`\n"
},
"$:/core/templates/html-tiddler": {
"title": "$:/core/templates/html-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as raw HTML\n\n--><$view field=\"text\" format=\"htmlwikified\" />"
},
"$:/core/templates/javascript-tiddler": {
"title": "$:/core/templates/javascript-tiddler",
"text": "<!--\n\nThis template is used for saving JavaScript tiddlers as a script tag with data attributes representing the tiddler fields.\n\n-->`<script`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/javascript\">`<$view field=\"text\" format=\"text\" />`</script>`"
},
"$:/core/templates/json-tiddler": {
"title": "$:/core/templates/json-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as raw JSON\n\n--><$text text=<<jsontiddler>>/>"
},
"$:/core/templates/module-tiddler": {
"title": "$:/core/templates/module-tiddler",
"text": "<!--\n\nThis template is used for saving JavaScript tiddlers as a script tag with data attributes representing the tiddler fields. The body of the tiddler is wrapped in a call to the `$tw.modules.define` function in order to define the body of the tiddler as a module\n\n-->`<script`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/javascript\" data-module=\"yes\">$tw.modules.define(\"`<$view field=\"title\" format=\"jsencoded\" />`\",\"`<$view field=\"module-type\" format=\"jsencoded\" />`\",function(module,exports,require) {`<$view field=\"text\" format=\"text\" />`});\n</script>`"
},
"$:/core/templates/plain-text-tiddler": {
"title": "$:/core/templates/plain-text-tiddler",
"text": "<$view field=\"text\" format=\"text\" />"
},
"$:/core/templates/raw-static-tiddler": {
"title": "$:/core/templates/raw-static-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as static HTML\n\n--><$view field=\"text\" format=\"plainwikified\" />"
},
"$:/core/save/all": {
"title": "$:/core/save/all",
"text": "\\define saveTiddlerFilter()\n[is[tiddler]] -[prefix[$:/state/popup/]] -[[$:/HistoryList]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]] $(publishFilter)$\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/empty": {
"title": "$:/core/save/empty",
"text": "\\define saveTiddlerFilter()\n[is[system]] -[prefix[$:/state/popup/]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]]\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/lazy-all": {
"title": "$:/core/save/lazy-all",
"text": "\\define saveTiddlerFilter()\n[is[system]] -[prefix[$:/state/popup/]] -[[$:/HistoryList]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]] \n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/lazy-images": {
"title": "$:/core/save/lazy-images",
"text": "\\define saveTiddlerFilter()\n[is[tiddler]] -[prefix[$:/state/popup/]] -[[$:/HistoryList]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] -[!is[system]is[image]] +[sort[title]] \n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/templates/single.tiddler.window": {
"title": "$:/core/templates/single.tiddler.window",
"text": "<$set name=\"themeTitle\" value={{$:/view}}>\n\n<$set name=\"tempCurrentTiddler\" value=<<currentTiddler>>>\n\n<$set name=\"currentTiddler\" value={{$:/language}}>\n\n<$set name=\"languageTitle\" value={{!!name}}>\n\n<$set name=\"currentTiddler\" value=<<tempCurrentTiddler>>>\n\n<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n\n<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\">\n\n<$transclude mode=\"block\"/>\n\n</$navigator>\n\n</$importvariables>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n"
},
"$:/core/templates/split-recipe": {
"title": "$:/core/templates/split-recipe",
"text": "<$list filter=\"[!is[system]]\">\ntiddler: <$view field=\"title\" format=\"urlencoded\"/>.tid\n</$list>\n"
},
"$:/core/templates/static-tiddler": {
"title": "$:/core/templates/static-tiddler",
"text": "<a name=<<currentTiddler>>>\n<$transclude tiddler=\"$:/core/ui/ViewTemplate\"/>\n</a>"
},
"$:/core/templates/static.area": {
"title": "$:/core/templates/static.area",
"text": "<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawStaticContent]!has[draft.of]] ||$:/core/templates/raw-static-tiddler}}}\n{{$:/core/templates/static.content||$:/core/templates/html-tiddler}}\n</$reveal>\n<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\nThis file contains an encrypted ~TiddlyWiki. Enable ~JavaScript and enter the decryption password when prompted.\n</$reveal>\n"
},
"$:/core/templates/static.content": {
"title": "$:/core/templates/static.content",
"text": "<!-- For Google, and people without JavaScript-->\nThis [[TiddlyWiki|https://tiddlywiki.com]] contains the following tiddlers:\n\n<ul>\n<$list filter=<<saveTiddlerFilter>>>\n<li><$view field=\"title\" format=\"text\"></$view></li>\n</$list>\n</ul>\n"
},
"$:/core/templates/static.template.css": {
"title": "$:/core/templates/static.template.css",
"text": "{{$:/boot/boot.css||$:/core/templates/plain-text-tiddler}}\n\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n"
},
"$:/core/templates/static.template.html": {
"title": "$:/core/templates/static.template.html",
"type": "text/vnd.tiddlywiki-html",
"text": "\\define tv-wikilink-template() static/$uri_doubleencoded$.html\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<style type=\"text/css\">\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n</style>\n</head>\n<body class=\"tc-body\">\n{{$:/StaticBanner||$:/core/templates/html-tiddler}}\n{{$:/core/ui/PageTemplate||$:/core/templates/html-tiddler}}\n</body>\n</html>\n"
},
"$:/core/templates/static.tiddler.html": {
"title": "$:/core/templates/static.tiddler.html",
"text": "\\define tv-wikilink-template() $uri_doubleencoded$.html\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n`<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"`{{$:/core/templates/version}}`\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<link rel=\"stylesheet\" href=\"static.css\">\n<title>`<$view field=\"caption\"><$view field=\"title\"/></$view>: {{$:/core/wiki/title}}`</title>\n</head>\n<body class=\"tc-body\">\n`{{$:/StaticBanner||$:/core/templates/html-tiddler}}`\n<section class=\"tc-story-river\">\n`<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n<$view tiddler=\"$:/core/ui/ViewTemplate\" format=\"htmlwikified\"/>\n</$importvariables>`\n</section>\n</body>\n</html>\n`"
},
"$:/core/templates/store.area.template.html": {
"title": "$:/core/templates/store.area.template.html",
"text": "<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n`<div id=\"storeArea\" style=\"display:none;\">`\n<$list filter=<<saveTiddlerFilter>> template=\"$:/core/templates/html-div-tiddler\"/>\n`</div>`\n</$reveal>\n<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\n`<!--~~ Encrypted tiddlers ~~-->`\n`<pre id=\"encryptedStoreArea\" type=\"text/plain\" style=\"display:none;\">`\n<$encrypt filter=<<saveTiddlerFilter>>/>\n`</pre>`\n</$reveal>"
},
"$:/core/templates/tid-tiddler": {
"title": "$:/core/templates/tid-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers in TiddlyWeb *.tid format\n\n--><$fields exclude='text bag' template='$name$: $value$\n'></$fields>`\n`<$view field=\"text\" format=\"text\" />"
},
"$:/core/templates/tiddler-metadata": {
"title": "$:/core/templates/tiddler-metadata",
"text": "<!--\n\nThis template is used for saving tiddler metadata *.meta files\n\n--><$fields exclude='text bag' template='$name$: $value$\n'></$fields>"
},
"$:/core/templates/tiddlywiki5.html": {
"title": "$:/core/templates/tiddlywiki5.html",
"text": "\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n{{$:/core/templates/MOTW.html}}<html>\n<head>\n<meta http-equiv=\"X-UA-Compatible\" content=\"IE=Edge\">\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"application-name\" content=\"TiddlyWiki\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\" />\n<meta name=\"copyright\" content=\"{{$:/core/copyright.txt}}\" />\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<!--~~ This is a Tiddlywiki file. The points of interest in the file are marked with this pattern ~~-->\n\n<!--~~ Raw markup ~~-->\n{{{ [all[shadows+tiddlers]tag[$:/core/wiki/rawmarkup]] [all[shadows+tiddlers]tag[$:/tags/RawMarkup]] ||$:/core/templates/plain-text-tiddler}}}\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawMarkupWikified]] ||$:/core/templates/raw-static-tiddler}}}\n</head>\n<body class=\"tc-body\">\n<!--~~ Static styles ~~-->\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<!--~~ Static content for Google and browsers without JavaScript ~~-->\n<noscript>\n<div id=\"splashArea\">\n{{$:/core/templates/static.area}}\n</div>\n</noscript>\n<!--~~ Ordinary tiddlers ~~-->\n{{$:/core/templates/store.area.template.html}}\n<!--~~ Library modules ~~-->\n<div id=\"libraryModules\" style=\"display:none;\">\n{{{ [is[system]type[application/javascript]library[yes]] ||$:/core/templates/javascript-tiddler}}}\n</div>\n<!--~~ Boot kernel prologue ~~-->\n<div id=\"bootKernelPrefix\" style=\"display:none;\">\n{{ $:/boot/bootprefix.js ||$:/core/templates/javascript-tiddler}}\n</div>\n<!--~~ Boot kernel ~~-->\n<div id=\"bootKernel\" style=\"display:none;\">\n{{ $:/boot/boot.js ||$:/core/templates/javascript-tiddler}}\n</div>\n</body>\n</html>\n"
},
"$:/core/templates/version": {
"title": "$:/core/templates/version",
"text": "<<version>>"
},
"$:/core/templates/wikified-tiddler": {
"title": "$:/core/templates/wikified-tiddler",
"text": "<$transclude />"
},
"$:/core/ui/AboveStory/tw2-plugin-check": {
"title": "$:/core/ui/AboveStory/tw2-plugin-check",
"tags": "$:/tags/AboveStory",
"text": "\\define lingo-base() $:/language/AboveStory/ClassicPlugin/\n<$list filter=\"[all[system+tiddlers]tag[systemConfig]limit[1]]\">\n\n<div class=\"tc-message-box\">\n\n<<lingo Warning>>\n\n<ul>\n\n<$list filter=\"[all[system+tiddlers]tag[systemConfig]]\">\n\n<li>\n\n<$link><$view field=\"title\"/></$link>\n\n</li>\n\n</$list>\n\n</ul>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/AdvancedSearch/Filter": {
"title": "$:/core/ui/AdvancedSearch/Filter",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Filter/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n<<lingo Filter/Hint>>\n\n<div class=\"tc-search tc-advanced-search\">\n<$edit-text tiddler=\"$:/temp/advancedsearch\" type=\"search\" tag=\"input\"/>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/AdvancedSearch/FilterButton]!has[draft.of]]\"><$transclude/></$list>\n</div>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter={{$:/temp/advancedsearch}}/>\"\"\">\n<div class=\"tc-search-results\">\n<<lingo Filter/Matches>>\n<$list filter={{$:/temp/advancedsearch}} template=\"$:/core/ui/ListItemTemplate\"/>\n</div>\n</$set>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/clear": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/clear",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/delete": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/delete",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button popup=<<qualify \"$:/state/filterDeleteDropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/delete-button}}\n</$button>\n</$reveal>\n\n<$reveal state=<<qualify \"$:/state/filterDeleteDropdown\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<div class=\"tc-dropdown-item-plain\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter={{$:/temp/advancedsearch}}/>\"\"\">\nAre you sure you wish to delete <<resultCount>> tiddler(s)?\n</$set>\n</div>\n<div class=\"tc-dropdown-item-plain\">\n<$button class=\"tc-btn\">\n<$action-deletetiddler $filter={{$:/temp/advancedsearch}}/>\nDelete these tiddlers\n</$button>\n</div>\n</div>\n</div>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/filterDropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</span>\n\n<$reveal state=<<qualify \"$:/state/filterDropdown\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<$linkcatcher to=\"$:/temp/advancedsearch\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Filter]]\"><$link to={{!!filter}}><$transclude field=\"description\"/></$link>\n</$list>\n</div>\n</div>\n</$linkcatcher>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/export": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/export",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$macrocall $name=\"exportButton\" exportFilter={{$:/temp/advancedsearch}} lingoBase=\"$:/language/Buttons/ExportTiddlers/\"/>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Shadows": {
"title": "$:/core/ui/AdvancedSearch/Shadows",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Shadows/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n<$linkcatcher to=\"$:/temp/advancedsearch\">\n\n<<lingo Shadows/Hint>>\n\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/advancedsearch\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n</$linkcatcher>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[all[shadows]search{$:/temp/advancedsearch}] -[[$:/temp/advancedsearch]]\"/>\"\"\">\n\n<div class=\"tc-search-results\">\n\n<<lingo Shadows/Matches>>\n\n<$list filter=\"[all[shadows]search{$:/temp/advancedsearch}sort[title]limit[250]] -[[$:/temp/advancedsearch]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n\n</div>\n\n</$set>\n\n</$list>\n\n</$reveal>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"match\" text=\"\">\n\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Standard": {
"title": "$:/core/ui/AdvancedSearch/Standard",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Standard/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n<$linkcatcher to=\"$:/temp/advancedsearch\">\n\n<<lingo Standard/Hint>>\n\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/advancedsearch\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n</$linkcatcher>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$set name=\"searchTiddler\" value=\"$:/temp/advancedsearch\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]butfirst[]limit[1]]\" emptyMessage=\"\"\"\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\">\n<$transclude/>\n</$list>\n\"\"\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\" default={{$:/config/SearchResults/Default}}/>\n</$list>\n</$set>\n</$list>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/System": {
"title": "$:/core/ui/AdvancedSearch/System",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/System/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n<$linkcatcher to=\"$:/temp/advancedsearch\">\n\n<<lingo System/Hint>>\n\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/advancedsearch\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n</$linkcatcher>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[is[system]search{$:/temp/advancedsearch}] -[[$:/temp/advancedsearch]]\"/>\"\"\">\n\n<div class=\"tc-search-results\">\n\n<<lingo System/Matches>>\n\n<$list filter=\"[is[system]search{$:/temp/advancedsearch}sort[title]limit[250]] -[[$:/temp/advancedsearch]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n\n</div>\n\n</$set>\n\n</$list>\n\n</$reveal>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"match\" text=\"\">\n\n</$reveal>\n"
},
"$:/AdvancedSearch": {
"title": "$:/AdvancedSearch",
"icon": "$:/core/images/advanced-search-button",
"color": "#bbb",
"text": "<div class=\"tc-advanced-search\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/AdvancedSearch]!has[draft.of]]\" \"$:/core/ui/AdvancedSearch/System\">>\n</div>\n"
},
"$:/core/ui/AlertTemplate": {
"title": "$:/core/ui/AlertTemplate",
"text": "<div class=\"tc-alert\">\n<div class=\"tc-alert-toolbar\">\n<$button class=\"tc-btn-invisible\"><$action-deletetiddler $tiddler=<<currentTiddler>>/>{{$:/core/images/delete-button}}</$button>\n</div>\n<div class=\"tc-alert-subtitle\">\n<$view field=\"component\"/> - <$view field=\"modified\" format=\"date\" template=\"0hh:0mm:0ss DD MM YYYY\"/> <$reveal type=\"nomatch\" state=\"!!count\" text=\"\"><span class=\"tc-alert-highlight\">({{$:/language/Count}}: <$view field=\"count\"/>)</span></$reveal>\n</div>\n<div class=\"tc-alert-body\">\n\n<$transclude/>\n\n</div>\n</div>\n"
},
"$:/core/ui/BinaryWarning": {
"title": "$:/core/ui/BinaryWarning",
"text": "\\define lingo-base() $:/language/BinaryWarning/\n<div class=\"tc-binary-warning\">\n\n<<lingo Prompt>>\n\n</div>\n"
},
"$:/core/ui/Components/plugin-info": {
"title": "$:/core/ui/Components/plugin-info",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n\\define popup-state-macro()\n$(qualified-state)$-$(currentTiddler)$\n\\end\n\n\\define tabs-state-macro()\n$(popup-state)$-$(pluginInfoType)$\n\\end\n\n\\define plugin-icon-title()\n$(currentTiddler)$/icon\n\\end\n\n\\define plugin-disable-title()\n$:/config/Plugins/Disabled/$(currentTiddler)$\n\\end\n\n\\define plugin-table-body(type,disabledMessage,default-popup-state)\n<div class=\"tc-plugin-info-chunk tc-small-icon\">\n<$reveal type=\"nomatch\" state=<<popup-state>> text=\"yes\" default=\"\"\"$default-popup-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"yes\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<popup-state>> text=\"yes\" default=\"\"\"$default-popup-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"no\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n</div>\n<div class=\"tc-plugin-info-chunk\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<plugin-icon-title>>>\n<$transclude tiddler=\"$:/core/images/plugin-generic-$type$\"/>\n</$transclude>\n</div>\n<div class=\"tc-plugin-info-chunk\">\n<h1>\n''<$view field=\"description\"><$view field=\"title\"/></$view>'' $disabledMessage$\n</h1>\n<h2>\n<$view field=\"title\"/>\n</h2>\n<h2>\n<div><em><$view field=\"version\"/></em></div>\n</h2>\n</div>\n\\end\n\n\\define plugin-info(type,default-popup-state)\n<$set name=\"popup-state\" value=<<popup-state-macro>>>\n<$reveal type=\"nomatch\" state=<<plugin-disable-title>> text=\"yes\">\n<$link to={{!!title}} class=\"tc-plugin-info\">\n<<plugin-table-body type:\"$type$\" default-popup-state:\"\"\"$default-popup-state$\"\"\">>\n</$link>\n</$reveal>\n<$reveal type=\"match\" state=<<plugin-disable-title>> text=\"yes\">\n<$link to={{!!title}} class=\"tc-plugin-info tc-plugin-info-disabled\">\n<<plugin-table-body type:\"$type$\" default-popup-state:\"\"\"$default-popup-state$\"\"\" disabledMessage:\"<$macrocall $name='lingo' title='Disabled/Status'/>\">>\n</$link>\n</$reveal>\n<$reveal type=\"match\" text=\"yes\" state=<<popup-state>> default=\"\"\"$default-popup-state$\"\"\">\n<div class=\"tc-plugin-info-dropdown\">\n<div class=\"tc-plugin-info-dropdown-body\">\n<$list filter=\"[all[current]] -[[$:/core]]\">\n<div style=\"float:right;\">\n<$reveal type=\"nomatch\" state=<<plugin-disable-title>> text=\"yes\">\n<$button set=<<plugin-disable-title>> setTo=\"yes\" tooltip={{$:/language/ControlPanel/Plugins/Disable/Hint}} aria-label={{$:/language/ControlPanel/Plugins/Disable/Caption}}>\n<<lingo Disable/Caption>>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<plugin-disable-title>> text=\"yes\">\n<$button set=<<plugin-disable-title>> setTo=\"no\" tooltip={{$:/language/ControlPanel/Plugins/Enable/Hint}} aria-label={{$:/language/ControlPanel/Plugins/Enable/Caption}}>\n<<lingo Enable/Caption>>\n</$button>\n</$reveal>\n</div>\n</$list>\n<$set name=\"tabsList\" filter=\"[<currentTiddler>list[]] contents\">\n<$macrocall $name=\"tabs\" state=<<tabs-state-macro>> tabsList=<<tabsList>> default={{{ [enlist<tabsList>] }}} template=\"$:/core/ui/PluginInfo\"/>\n</$set>\n</div>\n</div>\n</$reveal>\n</$set>\n\\end\n\n<$macrocall $name=\"plugin-info\" type=<<plugin-type>> default-popup-state=<<default-popup-state>>/>\n"
},
"$:/core/ui/Components/tag-link": {
"title": "$:/core/ui/Components/tag-link",
"text": "<$link>\n<$set name=\"backgroundColor\" value={{!!color}}>\n<span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\"/>\n</span>\n</$set>\n</$link>"
},
"$:/core/ui/ControlPanel/Advanced": {
"title": "$:/core/ui/ControlPanel/Advanced",
"tags": "$:/tags/ControlPanel/Info",
"caption": "{{$:/language/ControlPanel/Advanced/Caption}}",
"text": "{{$:/language/ControlPanel/Advanced/Hint}}\n\n<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Advanced]!has[draft.of]]\" \"$:/core/ui/ControlPanel/TiddlerFields\">>\n</div>\n"
},
"$:/core/ui/ControlPanel/Appearance": {
"title": "$:/core/ui/ControlPanel/Appearance",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Appearance/Caption}}",
"text": "{{$:/language/ControlPanel/Appearance/Hint}}\n\n<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Appearance]!has[draft.of]]\" \"$:/core/ui/ControlPanel/Theme\">>\n</div>\n"
},
"$:/core/ui/ControlPanel/Basics": {
"title": "$:/core/ui/ControlPanel/Basics",
"tags": "$:/tags/ControlPanel/Info",
"caption": "{{$:/language/ControlPanel/Basics/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\n\n\\define show-filter-count(filter)\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $value=\"\"\"$filter$\"\"\"/>\n<$action-setfield $tiddler=\"$:/state/tab--1498284803\" $value=\"$:/core/ui/AdvancedSearch/Filter\"/>\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n''<$count filter=\"\"\"$filter$\"\"\"/>''\n{{$:/core/images/advanced-search-button}}\n</$button>\n\\end\n\n|<<lingo Version/Prompt>> |''<<version>>'' |\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/status/UserName\"><<lingo Username/Prompt>></$link> |<$edit-text tiddler=\"$:/status/UserName\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/AnimationDuration\"><<lingo AnimDuration/Prompt>></$link> |<$edit-text tiddler=\"$:/config/AnimationDuration\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\" class=\"tc-edit-texteditor\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n|<$link to=\"$:/language/DefaultNewTiddlerTitle\"><<lingo NewTiddler/Title/Prompt>></$link> |<$edit-text tiddler=\"$:/language/DefaultNewTiddlerTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/NewJournal/Title\"><<lingo NewJournal/Title/Prompt>></$link> |<$edit-text tiddler=\"$:/config/NewJournal/Title\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/NewJournal/Text\"><<lingo NewJournal/Text/Prompt>></$link> |<$edit tiddler=\"$:/config/NewJournal/Text\" tag=\"textarea\" class=\"tc-edit-texteditor\" default=\"\"/> |\n|<$link to=\"$:/config/NewJournal/Tags\"><<lingo NewJournal/Tags/Prompt>></$link> |<$edit-text tiddler=\"$:/config/NewJournal/Tags\" default=\"\" tag=\"input\"/> |\n|<<lingo Language/Prompt>> |{{$:/snippets/minilanguageswitcher}} |\n|<<lingo Tiddlers/Prompt>> |<<show-filter-count \"[!is[system]sort[title]]\">> |\n|<<lingo Tags/Prompt>> |<<show-filter-count \"[tags[]sort[title]]\">> |\n|<<lingo SystemTiddlers/Prompt>> |<<show-filter-count \"[is[system]sort[title]]\">> |\n|<<lingo ShadowTiddlers/Prompt>> |<<show-filter-count \"[all[shadows]sort[title]]\">> |\n|<<lingo OverriddenShadowTiddlers/Prompt>> |<<show-filter-count \"[is[tiddler]is[shadow]sort[title]]\">> |\n"
},
"$:/core/ui/ControlPanel/EditorTypes": {
"title": "$:/core/ui/ControlPanel/EditorTypes",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/EditorTypes/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/EditorTypes/\n\n<<lingo Hint>>\n\n<table>\n<tbody>\n<tr>\n<th><<lingo Type/Caption>></th>\n<th><<lingo Editor/Caption>></th>\n</tr>\n<$list filter=\"[all[shadows+tiddlers]prefix[$:/config/EditorTypeMappings/]sort[title]]\">\n<tr>\n<td>\n<$link>\n<$list filter=\"[all[current]removeprefix[$:/config/EditorTypeMappings/]]\">\n<$text text={{!!title}}/>\n</$list>\n</$link>\n</td>\n<td>\n<$view field=\"text\"/>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ControlPanel/Info": {
"title": "$:/core/ui/ControlPanel/Info",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Info/Caption}}",
"text": "{{$:/language/ControlPanel/Info/Hint}}\n\n<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Info]!has[draft.of]]\" \"$:/core/ui/ControlPanel/Basics\">>\n</div>\n"
},
"$:/core/ui/ControlPanel/KeyboardShortcuts": {
"title": "$:/core/ui/ControlPanel/KeyboardShortcuts",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/KeyboardShortcuts/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/KeyboardShortcuts/\n\n\\define new-shortcut(title)\n<div class=\"tc-dropdown-item-plain\">\n<$edit-shortcut tiddler=\"$title$\" placeholder={{$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt}} style=\"width:auto;\"/> <$button>\n<<lingo Add/Caption>>\n<$action-listops\n\t$tiddler=\"$(shortcutTitle)$\"\n\t$field=\"text\"\n\t$subfilter=\"[{$title$}]\"\n/>\n<$action-deletetiddler\n\t$tiddler=\"$title$\"\n/>\n</$button>\n</div>\n\\end\n\n\\define shortcut-list-item(caption)\n<td>\n</td>\n<td style=\"text-align:right;font-size:0.7em;\">\n<<lingo Platform/$caption$>>\n</td>\n<td>\n<div style=\"position:relative;\">\n<$button popup=<<qualify \"$:/state/dropdown/$(shortcutTitle)$\">> class=\"tc-btn-invisible\">\n{{$:/core/images/edit-button}}\n</$button>\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts={{$(shortcutTitle)$}} prefix=\"<kbd>\" separator=\"</kbd> <kbd>\" suffix=\"</kbd>\"/>\n\n<$reveal state=<<qualify \"$:/state/dropdown/$(shortcutTitle)$\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown tc-popup-keep\">\n<$list filter=\"[list[$(shortcutTitle)$!!text]sort[title]]\" variable=\"shortcut\" emptyMessage=\"\"\"\n<div class=\"tc-dropdown-item-plain\">\n//<<lingo NoShortcuts/Caption>>//\n</div>\n\"\"\">\n<div class=\"tc-dropdown-item-plain\">\n<$button class=\"tc-btn-invisible\" tooltip=<<lingo Remove/Hint>>>\n<$action-listops\n\t$tiddler=\"$(shortcutTitle)$\"\n\t$field=\"text\"\n\t$subfilter=\"+[remove<shortcut>]\"\n/>\n×\n</$button>\n<kbd>\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts=<<shortcut>>/>\n</kbd>\n</div>\n</$list>\n<hr/>\n<$macrocall $name=\"new-shortcut\" title=<<qualify \"$:/state/new-shortcut/$(shortcutTitle)$\">>/>\n</div>\n</div>\n</$reveal>\n</div>\n</td>\n\\end\n\n\\define shortcut-list(caption,prefix)\n<tr>\n<$list filter=\"[all[tiddlers+shadows][$prefix$$(shortcutName)$]]\" variable=\"shortcutTitle\">\n<<shortcut-list-item \"$caption$\">>\n</$list>\n</tr>\n\\end\n\n\\define shortcut-editor()\n<<shortcut-list \"All\" \"$:/config/shortcuts/\">>\n<<shortcut-list \"Mac\" \"$:/config/shortcuts-mac/\">>\n<<shortcut-list \"NonMac\" \"$:/config/shortcuts-not-mac/\">>\n<<shortcut-list \"Linux\" \"$:/config/shortcuts-linux/\">>\n<<shortcut-list \"NonLinux\" \"$:/config/shortcuts-not-linux/\">>\n<<shortcut-list \"Windows\" \"$:/config/shortcuts-windows/\">>\n<<shortcut-list \"NonWindows\" \"$:/config/shortcuts-not-windows/\">>\n\\end\n\n\\define shortcut-preview()\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts={{$(shortcutPrefix)$$(shortcutName)$}} prefix=\"<kbd>\" separator=\"</kbd> <kbd>\" suffix=\"</kbd>\"/>\n\\end\n\n\\define shortcut-item-inner()\n<tr>\n<td>\n<$reveal type=\"nomatch\" state=<<dropdownStateTitle>> text=\"open\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield\n\t$tiddler=<<dropdownStateTitle>>\n\t$value=\"open\"\n/>\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<dropdownStateTitle>> text=\"open\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield\n\t$tiddler=<<dropdownStateTitle>>\n\t$value=\"close\"\n/>\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n''<$text text=<<shortcutName>>/>''\n</td>\n<td>\n<$transclude tiddler=\"$:/config/ShortcutInfo/$(shortcutName)$\"/>\n</td>\n<td>\n<$list filter=\"$:/config/shortcuts/ $:/config/shortcuts-mac/ $:/config/shortcuts-not-mac/ $:/config/shortcuts-linux/ $:/config/shortcuts-not-linux/ $:/config/shortcuts-windows/ $:/config/shortcuts-not-windows/\" variable=\"shortcutPrefix\">\n<<shortcut-preview>>\n</$list>\n</td>\n</tr>\n<$set name=\"dropdownState\" value={{$(dropdownStateTitle)$}}>\n<$list filter=\"[<dropdownState>prefix[open]]\" variable=\"listItem\">\n<<shortcut-editor>>\n</$list>\n</$set>\n\\end\n\n\\define shortcut-item()\n<$set name=\"dropdownStateTitle\" value=<<qualify \"$:/state/dropdown/keyboardshortcut/$(shortcutName)$\">>>\n<<shortcut-item-inner>>\n</$set>\n\\end\n\n<table>\n<tbody>\n<$list filter=\"[all[shadows+tiddlers]removeprefix[$:/config/ShortcutInfo/]]\" variable=\"shortcutName\">\n<<shortcut-item>>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ControlPanel/LoadedModules": {
"title": "$:/core/ui/ControlPanel/LoadedModules",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/LoadedModules/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n<<lingo LoadedModules/Hint>>\n\n{{$:/snippets/modules}}\n"
},
"$:/core/ui/ControlPanel/Modals/AddPlugins": {
"title": "$:/core/ui/ControlPanel/Modals/AddPlugins",
"subtitle": "{{$:/core/images/download-button}} {{$:/language/ControlPanel/Plugins/Add/Caption}}",
"text": "\\define install-plugin-button()\n<$button>\n<$action-sendmessage $message=\"tm-load-plugin-from-library\" url={{!!url}} title={{$(assetInfo)$!!original-title}}/>\n<$list filter=\"[<assetInfo>get[original-title]get[version]]\" variable=\"installedVersion\" emptyMessage=\"\"\"{{$:/language/ControlPanel/Plugins/Install/Caption}}\"\"\">\n{{$:/language/ControlPanel/Plugins/Reinstall/Caption}}\n</$list>\n</$button>\n\\end\n\n\\define popup-state-macro()\n$:/state/add-plugin-info/$(connectionTiddler)$/$(assetInfo)$\n\\end\n\n\\define display-plugin-info(type)\n<$set name=\"popup-state\" value=<<popup-state-macro>>>\n<div class=\"tc-plugin-info\">\n<div class=\"tc-plugin-info-chunk tc-small-icon\">\n<$reveal type=\"nomatch\" state=<<popup-state>> text=\"yes\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"yes\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<popup-state>> text=\"yes\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"no\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n</div>\n<div class=\"tc-plugin-info-chunk\">\n<$list filter=\"[<assetInfo>has[icon]]\" emptyMessage=\"\"\"<$transclude tiddler=\"$:/core/images/plugin-generic-$type$\"/>\"\"\">\n<img src={{$(assetInfo)$!!icon}}/>\n</$list>\n</div>\n<div class=\"tc-plugin-info-chunk\">\n<h1><$view tiddler=<<assetInfo>> field=\"description\"/></h1>\n<h2><$view tiddler=<<assetInfo>> field=\"original-title\"/></h2>\n<div><em><$view tiddler=<<assetInfo>> field=\"version\"/></em></div>\n</div>\n<div class=\"tc-plugin-info-chunk\">\n<<install-plugin-button>>\n</div>\n</div>\n<$reveal type=\"match\" text=\"yes\" state=<<popup-state>>>\n<div class=\"tc-plugin-info-dropdown\">\n<div class=\"tc-plugin-info-dropdown-message\">\n<$list filter=\"[<assetInfo>get[original-title]get[version]]\" variable=\"installedVersion\" emptyMessage=\"\"\"{{$:/language/ControlPanel/Plugins/NotInstalled/Hint}}\"\"\">\n<em>\n{{$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint}}\n</em>\n</$list>\n</div>\n<div class=\"tc-plugin-info-dropdown-body\">\n<$transclude tiddler=<<assetInfo>> field=\"readme\" mode=\"block\"/>\n</div>\n</div>\n</$reveal>\n</$set>\n\\end\n\n\\define load-plugin-library-button()\n<$button class=\"tc-btn-big-green\">\n<$action-sendmessage $message=\"tm-load-plugin-library\" url={{!!url}} infoTitlePrefix=\"$:/temp/RemoteAssetInfo/\"/>\n{{$:/core/images/chevron-right}} {{$:/language/ControlPanel/Plugins/OpenPluginLibrary}}\n</$button>\n\\end\n\n\\define display-server-assets(type)\n{{$:/language/Search/Search}}: <$edit-text tiddler=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" default=\"\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n<div class=\"tc-plugin-library-listing\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]search{$:/temp/RemoteAssetSearch/$(currentTiddler)$}sort[description]]\" variable=\"assetInfo\">\n<<display-plugin-info \"$type$\">>\n</$list>\n</div>\n\\end\n\n\\define display-server-connection()\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/ServerConnection]suffix{!!url}]\" variable=\"connectionTiddler\" emptyMessage=<<load-plugin-library-button>>>\n\n<<tabs \"[[$:/core/ui/ControlPanel/Plugins/Add/Plugins]] [[$:/core/ui/ControlPanel/Plugins/Add/Themes]] [[$:/core/ui/ControlPanel/Plugins/Add/Languages]]\" \"$:/core/ui/ControlPanel/Plugins/Add/Plugins\">>\n\n</$list>\n\\end\n\n\\define close-library-button()\n<$reveal type='nomatch' state='$:/temp/ServerConnection/$(PluginLibraryURL)$' text=''>\n<$button class='tc-btn-big-green'>\n<$action-sendmessage $message=\"tm-unload-plugin-library\" url={{!!url}}/>\n{{$:/core/images/chevron-left}} {{$:/language/ControlPanel/Plugins/ClosePluginLibrary}}\n<$action-deletetiddler $filter=\"[prefix[$:/temp/ServerConnection/$(PluginLibraryURL)$]][prefix[$:/temp/RemoteAssetInfo/$(PluginLibraryURL)$]]\"/>\n</$button>\n</$reveal>\n\\end\n\n\\define plugin-library-listing()\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/PluginLibrary]]\">\n<div class=\"tc-plugin-library\">\n\n!! <$link><$transclude field=\"caption\"><$view field=\"title\"/></$transclude></$link>\n\n//<$view field=\"url\"/>//\n\n<$transclude/>\n\n<$set name=PluginLibraryURL value={{!!url}}>\n<<close-library-button>>\n</$set>\n\n<<display-server-connection>>\n</div>\n</$list>\n\\end\n\n<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n\n<div>\n<<plugin-library-listing>>\n</div>\n\n</$importvariables>\n"
},
"$:/core/ui/ControlPanel/Palette": {
"title": "$:/core/ui/ControlPanel/Palette",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Palette/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Palette/\n\n{{$:/snippets/paletteswitcher}}\n\n<$reveal type=\"nomatch\" state=\"$:/state/ShowPaletteEditor\" text=\"yes\">\n\n<$button set=\"$:/state/ShowPaletteEditor\" setTo=\"yes\"><<lingo ShowEditor/Caption>></$button>\n\n</$reveal>\n\n<$reveal type=\"match\" state=\"$:/state/ShowPaletteEditor\" text=\"yes\">\n\n<$button set=\"$:/state/ShowPaletteEditor\" setTo=\"no\"><<lingo HideEditor/Caption>></$button>\n{{$:/snippets/paletteeditor}}\n\n</$reveal>\n\n"
},
"$:/core/ui/ControlPanel/Parsing": {
"title": "$:/core/ui/ControlPanel/Parsing",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/Parsing/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Parsing/\n\n\\define toggle(Type)\n<$checkbox\ntiddler=\"\"\"$:/config/WikiParserRules/$Type$/$(rule)$\"\"\"\nfield=\"text\"\nchecked=\"enable\"\nunchecked=\"disable\"\ndefault=\"enable\">\n<<rule>>\n</$checkbox>\n\\end\n\n\\define rules(type,Type)\n<$list filter=\"[wikiparserrules[$type$]]\" variable=\"rule\">\n<dd><<toggle $Type$>></dd>\n</$list>\n\\end\n\n<<lingo Hint>>\n\n<dl>\n<dt><<lingo Pragma/Caption>></dt>\n<<rules pragma Pragma>>\n<dt><<lingo Inline/Caption>></dt>\n<<rules inline Inline>>\n<dt><<lingo Block/Caption>></dt>\n<<rules block Block>>\n</dl>"
},
"$:/core/ui/ControlPanel/Plugins/Add/Languages": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Languages",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[language]]\"/>)",
"text": "<<display-server-assets language>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Add/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[plugin]]\"/>)",
"text": "<<display-server-assets plugin>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Add/Themes": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Themes",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[theme]]\"/>)",
"text": "<<display-server-assets theme>>\n"
},
"$:/core/ui/ControlPanel/Plugins/AddPlugins": {
"title": "$:/core/ui/ControlPanel/Plugins/AddPlugins",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n<$button message=\"tm-modal\" param=\"$:/core/ui/ControlPanel/Modals/AddPlugins\" tooltip={{$:/language/ControlPanel/Plugins/Add/Hint}} class=\"tc-btn-big-green\" style=\"background:blue;\">\n{{$:/core/images/download-button}} <<lingo Add/Caption>>\n</$button>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Languages": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Languages",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[language]]\"/>)",
"text": "<<plugin-table language>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[plugin]]\"/>)",
"text": "<<plugin-table plugin>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Themes": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Themes",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[theme]]\"/>)",
"text": "<<plugin-table theme>>\n"
},
"$:/core/ui/ControlPanel/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Plugins/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n\\define plugin-table(type)\n<$set name=\"plugin-type\" value=\"\"\"$type$\"\"\">\n<$set name=\"qualified-state\" value=<<qualify \"$:/state/plugin-info\">>>\n<$list filter=\"[!has[draft.of]plugin-type[$type$]sort[description]]\" emptyMessage=<<lingo \"Empty/Hint\">> template=\"$:/core/ui/Components/plugin-info\"/>\n</$set>\n</$set>\n\\end\n\n{{$:/core/ui/ControlPanel/Plugins/AddPlugins}}\n\n<<lingo Installed/Hint>>\n\n<<tabs \"[[$:/core/ui/ControlPanel/Plugins/Installed/Plugins]] [[$:/core/ui/ControlPanel/Plugins/Installed/Themes]] [[$:/core/ui/ControlPanel/Plugins/Installed/Languages]]\" \"$:/core/ui/ControlPanel/Plugins/Installed/Plugins\">>\n"
},
"$:/core/ui/ControlPanel/Saving/DownloadSaver": {
"title": "$:/core/ui/ControlPanel/Saving/DownloadSaver",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/DownloadSaver/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/DownloadSaver/\n\n<<lingo Hint>>\n\n!! <$link to=\"$:/config/DownloadSaver/AutoSave\"><<lingo AutoSave/Hint>></$link>\n\n<$checkbox tiddler=\"$:/config/DownloadSaver/AutoSave\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <<lingo AutoSave/Description>> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Saving/General": {
"title": "$:/core/ui/ControlPanel/Saving/General",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/General/Caption}}",
"list-before": "",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/\n\n{{$:/language/ControlPanel/Saving/General/Hint}}\n\n!! <$link to=\"$:/config/AutoSave\"><<lingo AutoSave/Caption>></$link>\n\n<<lingo AutoSave/Hint>>\n\n<$radio tiddler=\"$:/config/AutoSave\" value=\"yes\"> <<lingo AutoSave/Enabled/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/AutoSave\" value=\"no\"> <<lingo AutoSave/Disabled/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Saving/TiddlySpot": {
"title": "$:/core/ui/ControlPanel/Saving/TiddlySpot",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/TiddlySpot/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/TiddlySpot/\n\n\\define backupURL()\nhttp://$(userName)$.tiddlyspot.com/backup/\n\\end\n\\define backupLink()\n<$reveal type=\"nomatch\" state=\"$:/UploadName\" text=\"\">\n<$set name=\"userName\" value={{$:/UploadName}}>\n<$reveal type=\"match\" state=\"$:/UploadURL\" text=\"\">\n<<backupURL>>\n</$reveal>\n<$reveal type=\"nomatch\" state=\"$:/UploadURL\" text=\"\">\n<$macrocall $name=resolvePath source={{$:/UploadBackupDir}} root={{$:/UploadURL}}>>\n</$reveal>\n</$set>\n</$reveal>\n\\end\n\n<<lingo Description>>\n\n|<<lingo UserName>> |<$edit-text tiddler=\"$:/UploadName\" default=\"\" tag=\"input\"/> |\n|<<lingo Password>> |<$password name=\"upload\"/> |\n|<<lingo Backups>> |<<backupLink>> |\n\n''<<lingo Advanced/Heading>>''\n\n|<<lingo ServerURL>> |<$edit-text tiddler=\"$:/UploadURL\" default=\"\" tag=\"input\"/> |\n|<<lingo Filename>> |<$edit-text tiddler=\"$:/UploadFilename\" default=\"index.html\" tag=\"input\"/> |\n|<<lingo UploadDir>> |<$edit-text tiddler=\"$:/UploadDir\" default=\".\" tag=\"input\"/> |\n|<<lingo BackupDir>> |<$edit-text tiddler=\"$:/UploadBackupDir\" default=\".\" tag=\"input\"/> |\n\n<<lingo TiddlySpot/Hint>>"
},
"$:/core/ui/ControlPanel/Saving": {
"title": "$:/core/ui/ControlPanel/Saving",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Saving/Caption}}",
"text": "{{$:/language/ControlPanel/Saving/Hint}}\n\n<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Saving]!has[draft.of]]\" \"$:/core/ui/ControlPanel/Saving/General\">>\n</div>\n"
},
"$:/core/buttonstyles/Borderless": {
"title": "$:/core/buttonstyles/Borderless",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless}}",
"text": "tc-btn-invisible"
},
"$:/core/buttonstyles/Boxed": {
"title": "$:/core/buttonstyles/Boxed",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed}}",
"text": "tc-btn-boxed"
},
"$:/core/buttonstyles/Rounded": {
"title": "$:/core/buttonstyles/Rounded",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded}}",
"text": "tc-btn-rounded"
},
"$:/core/ui/ControlPanel/Settings/CamelCase": {
"title": "$:/core/ui/ControlPanel/Settings/CamelCase",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/CamelCase/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/CamelCase/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/WikiParserRules/Inline/wikilink\" field=\"text\" checked=\"enable\" unchecked=\"disable\" default=\"enable\"> <$link to=\"$:/config/WikiParserRules/Inline/wikilink\"><<lingo Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings/DefaultMoreSidebarTab": {
"title": "$:/core/ui/ControlPanel/Settings/DefaultMoreSidebarTab",
"caption": "{{$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption}}",
"tags": "$:/tags/ControlPanel/Settings",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/DefaultMoreSidebarTab/\n\n<$link to=\"$:/config/DefaultMoreSidebarTab\"><<lingo Hint>></$link>\n\n<$select tiddler=\"$:/config/DefaultMoreSidebarTab\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar]!has[draft.of]]\">\n<option value=<<currentTiddler>>><$transclude field=\"caption\"><$text text=<<currentTiddler>>/></$transclude></option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/DefaultSidebarTab": {
"title": "$:/core/ui/ControlPanel/Settings/DefaultSidebarTab",
"caption": "{{$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption}}",
"tags": "$:/tags/ControlPanel/Settings",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/DefaultSidebarTab/\n\n<$link to=\"$:/config/DefaultSidebarTab\"><<lingo Hint>></$link>\n\n<$select tiddler=\"$:/config/DefaultSidebarTab\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SideBar]!has[draft.of]]\">\n<option value=<<currentTiddler>>><$transclude field=\"caption\"><$text text=<<currentTiddler>>/></$transclude></option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/EditorToolbar": {
"title": "$:/core/ui/ControlPanel/Settings/EditorToolbar",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/EditorToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/EditorToolbar/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/TextEditor/EnableToolbar\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/TextEditor/EnableToolbar\"><<lingo Description>></$link> </$checkbox>\n\n"
},
"$:/core/ui/ControlPanel/Settings/InfoPanelMode": {
"title": "$:/core/ui/ControlPanel/Settings/InfoPanelMode",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/InfoPanelMode/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/InfoPanelMode/\n<$link to=\"$:/config/TiddlerInfo/Mode\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/TiddlerInfo/Mode\" value=\"popup\"> <<lingo Popup/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/TiddlerInfo/Mode\" value=\"sticky\"> <<lingo Sticky/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/LinkToBehaviour": {
"title": "$:/core/ui/ControlPanel/Settings/LinkToBehaviour",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/LinkToBehaviour/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/LinkToBehaviour/\n\n<$link to=\"$:/config/Navigation/openLinkFromInsideRiver\"><<lingo \"InsideRiver/Hint\">></$link>\n\n<$select tiddler=\"$:/config/Navigation/openLinkFromInsideRiver\">\n <option value=\"above\"><<lingo \"OpenAbove\">></option>\n <option value=\"below\"><<lingo \"OpenBelow\">></option>\n <option value=\"top\"><<lingo \"OpenAtTop\">></option>\n <option value=\"bottom\"><<lingo \"OpenAtBottom\">></option>\n</$select>\n\n<$link to=\"$:/config/Navigation/openLinkFromOutsideRiver\"><<lingo \"OutsideRiver/Hint\">></$link>\n\n<$select tiddler=\"$:/config/Navigation/openLinkFromOutsideRiver\">\n <option value=\"top\"><<lingo \"OpenAtTop\">></option>\n <option value=\"bottom\"><<lingo \"OpenAtBottom\">></option>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/MissingLinks": {
"title": "$:/core/ui/ControlPanel/Settings/MissingLinks",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/MissingLinks/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/MissingLinks/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/MissingLinks\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/MissingLinks\"><<lingo Description>></$link> </$checkbox>\n\n"
},
"$:/core/ui/ControlPanel/Settings/NavigationAddressBar": {
"title": "$:/core/ui/ControlPanel/Settings/NavigationAddressBar",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/NavigationAddressBar/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/NavigationAddressBar/\n\n<$link to=\"$:/config/Navigation/UpdateAddressBar\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"permaview\"> <<lingo Permaview/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"permalink\"> <<lingo Permalink/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/NavigationHistory": {
"title": "$:/core/ui/ControlPanel/Settings/NavigationHistory",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/NavigationHistory/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/NavigationHistory/\n<$link to=\"$:/config/Navigation/UpdateHistory\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateHistory\" value=\"yes\"> <<lingo Yes/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateHistory\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/PerformanceInstrumentation": {
"title": "$:/core/ui/ControlPanel/Settings/PerformanceInstrumentation",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/PerformanceInstrumentation/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/Performance/Instrumentation\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <$link to=\"$:/config/Performance/Instrumentation\"><<lingo Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings/TitleLinks": {
"title": "$:/core/ui/ControlPanel/Settings/TitleLinks",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/TitleLinks/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/TitleLinks/\n<$link to=\"$:/config/Tiddlers/TitleLinks\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Tiddlers/TitleLinks\" value=\"yes\"> <<lingo Yes/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Tiddlers/TitleLinks\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/ToolbarButtonStyle": {
"title": "$:/core/ui/ControlPanel/Settings/ToolbarButtonStyle",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/ToolbarButtonStyle/\n<$link to=\"$:/config/Toolbar/ButtonClass\"><<lingo \"Hint\">></$link>\n\n<$select tiddler=\"$:/config/Toolbar/ButtonClass\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ToolbarButtonStyle]]\">\n<option value={{!!text}}>{{!!caption}}</option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/ToolbarButtons": {
"title": "$:/core/ui/ControlPanel/Settings/ToolbarButtons",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtons/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/ToolbarButtons/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/Toolbar/Icons\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/Toolbar/Icons\"><<lingo Icons/Description>></$link> </$checkbox>\n\n<$checkbox tiddler=\"$:/config/Toolbar/Text\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <$link to=\"$:/config/Toolbar/Text\"><<lingo Text/Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings": {
"title": "$:/core/ui/ControlPanel/Settings",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Settings/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/\n\n<<lingo Hint>>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Settings]]\">\n\n<div style=\"border-top:1px solid #eee;\">\n\n!! <$link><$transclude field=\"caption\"/></$link>\n\n<$transclude/>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/ControlPanel/StoryView": {
"title": "$:/core/ui/ControlPanel/StoryView",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/StoryView/Caption}}",
"text": "{{$:/snippets/viewswitcher}}\n"
},
"$:/core/ui/ControlPanel/Stylesheets": {
"title": "$:/core/ui/ControlPanel/Stylesheets",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/Stylesheets/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\n<<lingo Stylesheets/Hint>>\n\n{{$:/snippets/peek-stylesheets}}\n"
},
"$:/core/ui/ControlPanel/Theme": {
"title": "$:/core/ui/ControlPanel/Theme",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Theme/Caption}}",
"text": "{{$:/snippets/themeswitcher}}\n"
},
"$:/core/ui/ControlPanel/TiddlerFields": {
"title": "$:/core/ui/ControlPanel/TiddlerFields",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/TiddlerFields/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\n<<lingo TiddlerFields/Hint>>\n\n{{$:/snippets/allfields}}"
},
"$:/core/ui/ControlPanel/Toolbars/EditToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/EditToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/EditToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/EditToolbar/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/EditToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>"
},
"$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate",
"text": "\\define config-title()\n$(config-base)$$(currentTiddler)$\n\\end\n\n<$draggable tiddler=<<currentTiddler>>>\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <span class=\"tc-icon-wrapper\"><$transclude tiddler={{!!icon}}/></span> <$transclude field=\"caption\"/> -- <i class=\"tc-muted\"><$transclude field=\"description\"/></i>\n</$draggable>\n"
},
"$:/core/ui/ControlPanel/Toolbars/EditorToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditorToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/EditorToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/EditorToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/EditorToolbar/Hint}}\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/EditorToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate\"/>\n"
},
"$:/core/ui/ControlPanel/Toolbars/ItemTemplate": {
"title": "$:/core/ui/ControlPanel/Toolbars/ItemTemplate",
"text": "\\define config-title()\n$(config-base)$$(currentTiddler)$\n\\end\n\n<$draggable tiddler=<<currentTiddler>>>\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <span class=\"tc-icon-wrapper\"> <$transclude field=\"caption\"/> <i class=\"tc-muted\">-- <$transclude field=\"description\"/></i></span>\n</$draggable>\n"
},
"$:/core/ui/ControlPanel/Toolbars/PageControls": {
"title": "$:/core/ui/ControlPanel/Toolbars/PageControls",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/PageControls/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/PageControlButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/PageControls/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/PageControls\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/ControlPanel/Toolbars/ViewToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/ViewToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/ViewToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/ViewToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/ViewToolbar/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/ViewToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/ControlPanel/Toolbars": {
"title": "$:/core/ui/ControlPanel/Toolbars",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Toolbars/Caption}}",
"text": "{{$:/language/ControlPanel/Toolbars/Hint}}\n\n<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Toolbars]!has[draft.of]]\" \"$:/core/ui/ControlPanel/Toolbars/ViewToolbar\" \"$:/state/tabs/controlpanel/toolbars\" \"tc-vertical\">>\n</div>\n"
},
"$:/ControlPanel": {
"title": "$:/ControlPanel",
"icon": "$:/core/images/options-button",
"color": "#bbb",
"text": "<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/ControlPanel]!has[draft.of]]\" \"$:/core/ui/ControlPanel/Info\">>\n</div>\n"
},
"$:/core/ui/DefaultSearchResultList": {
"title": "$:/core/ui/DefaultSearchResultList",
"tags": "$:/tags/SearchResults",
"caption": "{{$:/language/Search/DefaultResults/Caption}}",
"text": "\\define searchResultList()\n//<small>{{$:/language/Search/Matches/Title}}</small>//\n\n<$list filter=\"[!is[system]search:title{$(searchTiddler)$}sort[title]limit[250]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n\n//<small>{{$:/language/Search/Matches/All}}</small>//\n\n<$list filter=\"[!is[system]search{$(searchTiddler)$}sort[title]limit[250]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n\n\\end\n<<searchResultList>>\n"
},
"$:/core/ui/EditTemplate/body/preview/diffs-current": {
"title": "$:/core/ui/EditTemplate/body/preview/diffs-current",
"tags": "$:/tags/EditPreview",
"caption": "differences from current",
"list-after": "$:/core/ui/EditTemplate/body/preview/output",
"text": "<$list filter=\"[<currentTiddler>!is[image]]\" emptyMessage={{$:/core/ui/EditTemplate/body/preview/output}}>\n\n<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle={{!!draft.of}} destTiddlerTitle=<<currentTiddler>>/>\n\n</$list>\n\n"
},
"$:/core/ui/EditTemplate/body/preview/diffs-shadow": {
"title": "$:/core/ui/EditTemplate/body/preview/diffs-shadow",
"tags": "$:/tags/EditPreview",
"caption": "differences from shadow (if any)",
"list-after": "$:/core/ui/EditTemplate/body/preview/output",
"text": "<$list filter=\"[<currentTiddler>!is[image]]\" emptyMessage={{$:/core/ui/EditTemplate/body/preview/output}}>\n\n<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle={{{ [{!!draft.of}shadowsource[]] }}} sourceSubTiddlerTitle={{!!draft.of}} destTiddlerTitle=<<currentTiddler>>/>\n\n</$list>\n\n"
},
"$:/core/ui/EditTemplate/body/preview/output": {
"title": "$:/core/ui/EditTemplate/body/preview/output",
"tags": "$:/tags/EditPreview",
"caption": "{{$:/language/EditTemplate/Body/Preview/Type/Output}}",
"text": "<$set name=\"tv-tiddler-preview\" value=\"yes\">\n\n<$transclude />\n\n</$set>\n"
},
"$:/state/showeditpreview": {
"title": "$:/state/showeditpreview",
"text": "yes"
},
"$:/core/ui/EditTemplate/body/editor": {
"title": "$:/core/ui/EditTemplate/body/editor",
"text": "<$edit\n\n field=\"text\"\n class=\"tc-edit-texteditor\"\n placeholder={{$:/language/EditTemplate/Body/Placeholder}}\n\n><$set\n\n name=\"targetTiddler\"\n value=<<currentTiddler>>\n\n><$list\n\n filter=\"[all[shadows+tiddlers]tag[$:/tags/EditorToolbar]!has[draft.of]]\"\n\n><$reveal\n\n type=\"nomatch\"\n state=<<config-visibility-title>>\n text=\"hide\"\n class=\"tc-text-editor-toolbar-item-wrapper\"\n\n><$transclude\n\n tiddler=\"$:/core/ui/EditTemplate/body/toolbar/button\"\n mode=\"inline\"\n\n/></$reveal></$list></$set></$edit>\n"
},
"$:/core/ui/EditTemplate/body/toolbar/button": {
"title": "$:/core/ui/EditTemplate/body/toolbar/button",
"text": "\\define toolbar-button-icon()\n<$list\n\n filter=\"[all[current]!has[custom-icon]]\"\n variable=\"no-custom-icon\"\n\n><$transclude\n\n tiddler={{!!icon}}\n\n/></$list>\n\\end\n\n\\define toolbar-button-tooltip()\n{{!!description}}<$macrocall $name=\"displayshortcuts\" $output=\"text/plain\" shortcuts={{!!shortcuts}} prefix=\"` - [\" separator=\"] [\" suffix=\"]`\"/>\n\\end\n\n\\define toolbar-button()\n<$list\n\n filter={{!!condition}}\n variable=\"list-condition\"\n\n><$wikify\n\n name=\"tooltip-text\"\n text=<<toolbar-button-tooltip>>\n mode=\"inline\"\n output=\"text\"\n\n><$list\n\n filter=\"[all[current]!has[dropdown]]\"\n variable=\"no-dropdown\"\n\n><$button\n\n class=\"tc-btn-invisible $(buttonClasses)$\"\n tooltip=<<tooltip-text>>\n\n><span\n\n data-tw-keyboard-shortcut={{!!shortcuts}}\n\n/><<toolbar-button-icon>><$transclude\n\n tiddler=<<currentTiddler>>\n field=\"text\"\n\n/></$button></$list><$list\n\n filter=\"[all[current]has[dropdown]]\"\n variable=\"dropdown\"\n\n><$set\n\n name=\"dropdown-state\"\n value=<<qualify \"$:/state/EditorToolbarDropdown\">>\n\n><$button\n\n popup=<<dropdown-state>>\n class=\"tc-popup-keep tc-btn-invisible $(buttonClasses)$\"\n selectedClass=\"tc-selected\"\n tooltip=<<tooltip-text>>\n\n><span\n\n data-tw-keyboard-shortcut={{!!shortcuts}}\n\n/><<toolbar-button-icon>><$transclude\n\n tiddler=<<currentTiddler>>\n field=\"text\"\n\n/></$button><$reveal\n\n state=<<dropdown-state>>\n type=\"popup\"\n position=\"below\"\n animate=\"yes\"\n tag=\"span\"\n\n><div\n\n class=\"tc-drop-down tc-popup-keep\"\n\n><$transclude\n\n tiddler={{!!dropdown}}\n mode=\"block\"\n\n/></div></$reveal></$set></$list></$wikify></$list>\n\\end\n\n\\define toolbar-button-outer()\n<$set\n\n name=\"buttonClasses\"\n value={{!!button-classes}}\n\n><<toolbar-button>></$set>\n\\end\n\n<<toolbar-button-outer>>"
},
"$:/core/ui/EditTemplate/body": {
"title": "$:/core/ui/EditTemplate/body",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/Body/\n\\define config-visibility-title()\n$:/config/EditorToolbarButtons/Visibility/$(currentTiddler)$\n\\end\n<$list filter=\"[is[current]has[_canonical_uri]]\">\n\n<div class=\"tc-message-box\">\n\n<<lingo External/Hint>>\n\n<a href={{!!_canonical_uri}}><$text text={{!!_canonical_uri}}/></a>\n\n<$edit-text field=\"_canonical_uri\" class=\"tc-edit-fields\"></$edit-text>\n\n</div>\n\n</$list>\n\n<$list filter=\"[is[current]!has[_canonical_uri]]\">\n\n<$reveal state=\"$:/state/showeditpreview\" type=\"match\" text=\"yes\">\n\n<div class=\"tc-tiddler-preview\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/editor\" mode=\"inline\"/>\n\n<div class=\"tc-tiddler-preview-preview\">\n\n<$transclude tiddler={{$:/state/editpreviewtype}} mode=\"inline\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/preview/output\" mode=\"inline\"/>\n\n</$transclude>\n\n</div>\n\n</div>\n\n</$reveal>\n\n<$reveal state=\"$:/state/showeditpreview\" type=\"nomatch\" text=\"yes\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/editor\" mode=\"inline\"/>\n\n</$reveal>\n\n</$list>\n"
},
"$:/core/ui/EditTemplate/controls": {
"title": "$:/core/ui/EditTemplate/controls",
"tags": "$:/tags/EditTemplate",
"text": "\\define config-title()\n$:/config/EditToolbarButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-tiddler-title tc-tiddler-edit-title\">\n<$view field=\"title\"/>\n<span class=\"tc-tiddler-controls tc-titlebar\"><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditToolbar]!has[draft.of]]\" variable=\"listItem\"><$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\"><$transclude tiddler=<<listItem>>/></$reveal></$list></span>\n<div style=\"clear: both;\"></div>\n</div>\n"
},
"$:/core/ui/EditTemplate/fields": {
"title": "$:/core/ui/EditTemplate/fields",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/\n\\define config-title()\n$:/config/EditTemplateFields/Visibility/$(currentField)$\n\\end\n\n\\define config-filter()\n[[hide]] -[title{$(config-title)$}]\n\\end\n\n\\define new-field()\n<$vars name={{$:/temp/newfieldname}}>\n<$reveal type=\"nomatch\" text=\"\" default=<<name>>>\n<$button>\n<$action-sendmessage $message=\"tm-add-field\"\n$name=<<name>>\n$value={{$:/temp/newfieldvalue}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldname\"/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldvalue\"/>\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n<$reveal type=\"match\" text=\"\" default=<<name>>>\n<$button>\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n</$vars>\n\\end\n\n<div class=\"tc-edit-fields\">\n<table class=\"tc-edit-fields\">\n<tbody>\n<$list filter=\"[all[current]fields[]] +[sort[title]]\" variable=\"currentField\">\n<$list filter=<<config-filter>> variable=\"temp\">\n<tr class=\"tc-edit-field\">\n<td class=\"tc-edit-field-name\">\n<$text text=<<currentField>>/>:</td>\n<td class=\"tc-edit-field-value\">\n<$edit-text tiddler=<<currentTiddler>> field=<<currentField>> placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}}/>\n</td>\n<td class=\"tc-edit-field-remove\">\n<$button class=\"tc-btn-invisible\" tooltip={{$:/language/EditTemplate/Field/Remove/Hint}} aria-label={{$:/language/EditTemplate/Field/Remove/Caption}}>\n<$action-deletefield $field=<<currentField>>/>\n{{$:/core/images/delete-button}}\n</$button>\n</td>\n</tr>\n</$list>\n</$list>\n</tbody>\n</table>\n</div>\n\n<$fieldmangler>\n<div class=\"tc-edit-field-add\">\n<em class=\"tc-edit\">\n<<lingo Fields/Add/Prompt>>\n</em>\n<span class=\"tc-edit-field-add-name\">\n<$edit-text tiddler=\"$:/temp/newfieldname\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Name/Placeholder}} focusPopup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-edit-texteditor tc-popup-handle\"/>\n</span>\n<$button popup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-btn-invisible tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Field/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Field/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/field-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$linkcatcher to=\"$:/temp/newfieldname\">\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/User>>\n</div>\n<$list filter=\"[!is[shadow]!is[system]fields[]search:title{$:/temp/newfieldname}sort[]] -created -creator -draft.of -draft.title -modified -modifier -tags -text -title -type\" variable=\"currentField\">\n<$link to=<<currentField>>>\n<<currentField>>\n</$link>\n</$list>\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/System>>\n</div>\n<$list filter=\"[fields[]search:title{$:/temp/newfieldname}sort[]] -[!is[shadow]!is[system]fields[]]\" variable=\"currentField\">\n<$link to=<<currentField>>>\n<<currentField>>\n</$link>\n</$list>\n</$linkcatcher>\n</div>\n</$reveal>\n<span class=\"tc-edit-field-add-value\">\n<$edit-text tiddler=\"$:/temp/newfieldvalue\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}} class=\"tc-edit-texteditor\"/>\n</span>\n<span class=\"tc-edit-field-add-button\">\n<$macrocall $name=\"new-field\"/>\n</span>\n</div>\n</$fieldmangler>\n"
},
"$:/core/ui/EditTemplate/shadow": {
"title": "$:/core/ui/EditTemplate/shadow",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/Shadow/\n\\define pluginLinkBody()\n<$link to=\"\"\"$(pluginTitle)$\"\"\">\n<$text text=\"\"\"$(pluginTitle)$\"\"\"/>\n</$link>\n\\end\n<$list filter=\"[all[current]get[draft.of]is[shadow]!is[tiddler]]\">\n\n<$list filter=\"[all[current]shadowsource[]]\" variable=\"pluginTitle\">\n\n<$set name=\"pluginLink\" value=<<pluginLinkBody>>>\n<div class=\"tc-message-box\">\n\n<<lingo Warning>>\n\n</div>\n</$set>\n</$list>\n\n</$list>\n\n<$list filter=\"[all[current]get[draft.of]is[shadow]is[tiddler]]\">\n\n<$list filter=\"[all[current]shadowsource[]]\" variable=\"pluginTitle\">\n\n<$set name=\"pluginLink\" value=<<pluginLinkBody>>>\n<div class=\"tc-message-box\">\n\n<<lingo OverriddenWarning>>\n\n</div>\n</$set>\n</$list>\n\n</$list>"
},
"$:/core/ui/EditTemplate/tags": {
"title": "$:/core/ui/EditTemplate/tags",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/\n\n\\define tag-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-body-inner(colour,fallbackTarget,colourA,colourB)\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\" />\n<$button message=\"tm-remove-tag\" param={{!!title}} class=\"tc-btn-invisible tc-remove-tag-button\">×</$button>\n</span>\n</$vars>\n\\end\n\n\\define tag-body(colour,palette)\n<$macrocall $name=\"tag-body-inner\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}}/>\n\\end\n\n\\define tag-picker-actions()\n<$action-listops\n\t$tiddler=<<currentTiddler>>\n\t$field=\"tags\"\n\t$subfilter=\"[<tag>] [all[current]tags[]]\"\n/>\n\\end\n\n<div class=\"tc-edit-tags\">\n<$fieldmangler>\n<$list filter=\"[all[current]tags[]sort[title]]\" storyview=\"pop\">\n<$macrocall $name=\"tag-body\" colour={{!!color}} palette={{$:/palette}}/>\n</$list>\n</$fieldmangler>\n<$macrocall $name=\"tag-picker\" actions=<<tag-picker-actions>>/>\n</div>\n"
},
"$:/core/ui/EditTemplate/title": {
"title": "$:/core/ui/EditTemplate/title",
"tags": "$:/tags/EditTemplate",
"text": "<$edit-text field=\"draft.title\" class=\"tc-titlebar tc-edit-texteditor\" focus=\"true\"/>\n\n<$vars pattern=\"\"\"[\\|\\[\\]{}]\"\"\" bad-chars=\"\"\"`| [ ] { }`\"\"\">\n\n<$list filter=\"[is[current]regexp:draft.title<pattern>]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/BadCharacterWarning}}\n\n</div>\n\n</$list>\n\n</$vars>\n\n<$reveal state=\"!!draft.title\" type=\"nomatch\" text={{!!draft.of}} tag=\"div\">\n\n<$list filter=\"[{!!draft.title}!is[missing]]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/Exists/Prompt}}\n\n</div>\n\n</$list>\n\n<$list filter=\"[{!!draft.of}!is[missing]]\" variable=\"listItem\">\n\n<$vars fromTitle={{!!draft.of}} toTitle={{!!draft.title}}>\n\n<$checkbox tiddler=\"$:/config/RelinkOnRename\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> {{$:/language/EditTemplate/Title/Relink/Prompt}}</$checkbox>\n\n</$vars>\n\n</$list>\n\n</$reveal>\n\n\n"
},
"$:/core/ui/EditTemplate/type": {
"title": "$:/core/ui/EditTemplate/type",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/\n<div class=\"tc-type-selector\"><$fieldmangler>\n<em class=\"tc-edit\"><<lingo Type/Prompt>></em> <$edit-text field=\"type\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Type/Placeholder}} focusPopup=<<qualify \"$:/state/popup/type-dropdown\">> class=\"tc-edit-typeeditor tc-popup-handle\"/> <$button popup=<<qualify \"$:/state/popup/type-dropdown\">> class=\"tc-btn-invisible tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Type/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Type/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button> <$button message=\"tm-remove-field\" param=\"type\" class=\"tc-btn-invisible tc-btn-icon\" tooltip={{$:/language/EditTemplate/Type/Delete/Hint}} aria-label={{$:/language/EditTemplate/Type/Delete/Caption}}>{{$:/core/images/delete-button}}</$button>\n</$fieldmangler></div>\n\n<div class=\"tc-block-dropdown-wrapper\">\n<$reveal state=<<qualify \"$:/state/popup/type-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$linkcatcher to=\"!!type\">\n<$list filter='[all[shadows+tiddlers]prefix[$:/language/Docs/Types/]each[group]sort[group-sort]]'>\n<div class=\"tc-dropdown-item\">\n<$text text={{!!group}}/>\n</div>\n<$list filter=\"[all[shadows+tiddlers]prefix[$:/language/Docs/Types/]group{!!group}] +[sort[description]]\"><$link to={{!!name}}><$view field=\"description\"/> (<$view field=\"name\"/>)</$link>\n</$list>\n</$list>\n</$linkcatcher>\n</div>\n</$reveal>\n</div>"
},
"$:/core/ui/EditTemplate": {
"title": "$:/core/ui/EditTemplate",
"text": "\\define actions()\n<$action-sendmessage $message=\"tm-add-tag\" $param={{$:/temp/NewTagName}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n<$action-sendmessage $message=\"tm-add-field\" $name={{$:/temp/newfieldname}} $value={{$:/temp/newfieldvalue}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldname\"/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldvalue\"/>\n<$action-sendmessage $message=\"tm-save-tiddler\"/>\n\\end\n\\define frame-classes()\ntc-tiddler-frame tc-tiddler-edit-frame $(missingTiddlerClass)$ $(shadowTiddlerClass)$ $(systemTiddlerClass)$\n\\end\n<div class=<<frame-classes>> data-tiddler-title=<<currentTiddler>>>\n<$fieldmangler>\n<$set name=\"storyTiddler\" value=<<currentTiddler>>>\n<$keyboard key=\"((cancel-edit-tiddler))\" message=\"tm-cancel-tiddler\">\n<$keyboard key=\"((save-tiddler))\" actions=<<actions>>>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditTemplate]!has[draft.of]]\" variable=\"listItem\">\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n<$transclude tiddler=<<listItem>>/>\n</$set>\n</$list>\n</$keyboard>\n</$keyboard>\n</$set>\n</$fieldmangler>\n</div>\n"
},
"$:/core/ui/Buttons/cancel": {
"title": "$:/core/ui/Buttons/cancel",
"tags": "$:/tags/EditToolbar",
"caption": "{{$:/core/images/cancel-button}} {{$:/language/Buttons/Cancel/Caption}}",
"description": "{{$:/language/Buttons/Cancel/Hint}}",
"text": "<$button message=\"tm-cancel-tiddler\" tooltip={{$:/language/Buttons/Cancel/Hint}} aria-label={{$:/language/Buttons/Cancel/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/cancel-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Cancel/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/delete": {
"title": "$:/core/ui/Buttons/delete",
"tags": "$:/tags/EditToolbar $:/tags/ViewToolbar",
"caption": "{{$:/core/images/delete-button}} {{$:/language/Buttons/Delete/Caption}}",
"description": "{{$:/language/Buttons/Delete/Hint}}",
"text": "<$button message=\"tm-delete-tiddler\" tooltip={{$:/language/Buttons/Delete/Hint}} aria-label={{$:/language/Buttons/Delete/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/delete-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Delete/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/save": {
"title": "$:/core/ui/Buttons/save",
"tags": "$:/tags/EditToolbar",
"caption": "{{$:/core/images/done-button}} {{$:/language/Buttons/Save/Caption}}",
"description": "{{$:/language/Buttons/Save/Hint}}",
"text": "<$fieldmangler><$button tooltip={{$:/language/Buttons/Save/Hint}} aria-label={{$:/language/Buttons/Save/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-add-tag\" $param={{$:/temp/NewTagName}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n<$action-sendmessage $message=\"tm-add-field\" $name={{$:/temp/newfieldname}} $value={{$:/temp/newfieldvalue}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldname\"/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldvalue\"/>\n<$action-sendmessage $message=\"tm-save-tiddler\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/done-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Save/Caption}}/></span>\n</$list>\n</$button></$fieldmangler>\n"
},
"$:/core/ui/EditorToolbar/bold": {
"title": "$:/core/ui/EditorToolbar/bold",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/bold",
"caption": "{{$:/language/Buttons/Bold/Caption}}",
"description": "{{$:/language/Buttons/Bold/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((bold))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"''\"\n\tsuffix=\"''\"\n/>\n"
},
"$:/core/ui/EditorToolbar/clear-dropdown": {
"title": "$:/core/ui/EditorToolbar/clear-dropdown",
"text": "''{{$:/language/Buttons/Clear/Hint}}''\n\n<div class=\"tc-colour-chooser\">\n\n<$macrocall $name=\"colour-picker\" actions=\"\"\"\n\n<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"clear\"\n\tcolour=<<colour-picker-value>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n\n</div>\n"
},
"$:/core/ui/EditorToolbar/clear": {
"title": "$:/core/ui/EditorToolbar/clear",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/erase",
"caption": "{{$:/language/Buttons/Clear/Caption}}",
"description": "{{$:/language/Buttons/Clear/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"dropdown": "$:/core/ui/EditorToolbar/clear-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/editor-height-dropdown": {
"title": "$:/core/ui/EditorToolbar/editor-height-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/EditorHeight/\n''<<lingo Hint>>''\n\n<$radio tiddler=\"$:/config/TextEditor/EditorHeight/Mode\" value=\"auto\"> {{$:/core/images/auto-height}} <<lingo Caption/Auto>></$radio>\n\n<$radio tiddler=\"$:/config/TextEditor/EditorHeight/Mode\" value=\"fixed\"> {{$:/core/images/fixed-height}} <<lingo Caption/Fixed>> <$edit-text tag=\"input\" tiddler=\"$:/config/TextEditor/EditorHeight/Height\" default=\"100px\"/></$radio>\n"
},
"$:/core/ui/EditorToolbar/editor-height": {
"title": "$:/core/ui/EditorToolbar/editor-height",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/fixed-height",
"custom-icon": "yes",
"caption": "{{$:/language/Buttons/EditorHeight/Caption}}",
"description": "{{$:/language/Buttons/EditorHeight/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>get[type]prefix[text/]] +[first[]]",
"dropdown": "$:/core/ui/EditorToolbar/editor-height-dropdown",
"text": "<$reveal tag=\"span\" state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"fixed\">\n{{$:/core/images/fixed-height}}\n</$reveal>\n<$reveal tag=\"span\" state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"auto\">\n{{$:/core/images/auto-height}}\n</$reveal>\n"
},
"$:/core/ui/EditorToolbar/excise-dropdown": {
"title": "$:/core/ui/EditorToolbar/excise-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Excise/\n\n\\define body(config-title)\n''<<lingo Hint>>''\n\n<<lingo Caption/NewTitle>> <$edit-text tag=\"input\" tiddler=\"$config-title$/new-title\" default=\"\" focus=\"true\"/>\n\n<$set name=\"new-title\" value={{$config-title$/new-title}}>\n<$list filter=\"\"\"[<new-title>is[tiddler]]\"\"\">\n<div class=\"tc-error\">\n<<lingo Caption/TiddlerExists>>\n</div>\n</$list>\n</$set>\n\n<$checkbox tiddler=\"\"\"$config-title$/tagnew\"\"\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"false\"> <<lingo Caption/Tag>></$checkbox>\n\n<<lingo Caption/Replace>> <$select tiddler=\"\"\"$config-title$/type\"\"\" default=\"transclude\">\n<option value=\"link\"><<lingo Caption/Replace/Link>></option>\n<option value=\"transclude\"><<lingo Caption/Replace/Transclusion>></option>\n<option value=\"macro\"><<lingo Caption/Replace/Macro>></option>\n</$select>\n\n<$reveal state=\"\"\"$config-title$/type\"\"\" type=\"match\" text=\"macro\">\n<<lingo Caption/MacroName>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/macro-title\"\"\" default=\"translink\"/>\n</$reveal>\n\n<$button>\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"excise\"\n\ttitle={{$config-title$/new-title}}\n\ttype={{$config-title$/type}}\n\tmacro={{$config-title$/macro-title}}\n\ttagnew={{$config-title$/tagnew}}\n/>\n<$action-deletetiddler\n\t$tiddler=\"$config-title$/new-title\"\n/>\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n<<lingo Caption/Excise>>\n</$button>\n\\end\n\n<$macrocall $name=\"body\" config-title=<<qualify \"$:/state/Excise/\">>/>\n"
},
"$:/core/ui/EditorToolbar/excise": {
"title": "$:/core/ui/EditorToolbar/excise",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/excise",
"caption": "{{$:/language/Buttons/Excise/Caption}}",
"description": "{{$:/language/Buttons/Excise/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>type[text/vnc.tiddlywiki]] +[first[]]",
"shortcuts": "((excise))",
"dropdown": "$:/core/ui/EditorToolbar/excise-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/heading-1": {
"title": "$:/core/ui/EditorToolbar/heading-1",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-1",
"caption": "{{$:/language/Buttons/Heading1/Caption}}",
"description": "{{$:/language/Buttons/Heading1/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((heading-1))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-2": {
"title": "$:/core/ui/EditorToolbar/heading-2",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-2",
"caption": "{{$:/language/Buttons/Heading2/Caption}}",
"description": "{{$:/language/Buttons/Heading2/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-2))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"2\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-3": {
"title": "$:/core/ui/EditorToolbar/heading-3",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-3",
"caption": "{{$:/language/Buttons/Heading3/Caption}}",
"description": "{{$:/language/Buttons/Heading3/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-3))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"3\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-4": {
"title": "$:/core/ui/EditorToolbar/heading-4",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-4",
"caption": "{{$:/language/Buttons/Heading4/Caption}}",
"description": "{{$:/language/Buttons/Heading4/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-4))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"4\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-5": {
"title": "$:/core/ui/EditorToolbar/heading-5",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-5",
"caption": "{{$:/language/Buttons/Heading5/Caption}}",
"description": "{{$:/language/Buttons/Heading5/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-5))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"5\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-6": {
"title": "$:/core/ui/EditorToolbar/heading-6",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-6",
"caption": "{{$:/language/Buttons/Heading6/Caption}}",
"description": "{{$:/language/Buttons/Heading6/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-6))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"6\"\n/>\n"
},
"$:/core/ui/EditorToolbar/italic": {
"title": "$:/core/ui/EditorToolbar/italic",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/italic",
"caption": "{{$:/language/Buttons/Italic/Caption}}",
"description": "{{$:/language/Buttons/Italic/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((italic))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"//\"\n\tsuffix=\"//\"\n/>\n"
},
"$:/core/ui/EditorToolbar/line-width-dropdown": {
"title": "$:/core/ui/EditorToolbar/line-width-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/LineWidth/\n\n\\define toolbar-line-width-inner()\n<$button tag=\"a\" tooltip=\"\"\"$(line-width)$\"\"\">\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/LineWidth\"\n\t$value=\"$(line-width)$\"\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<div style=\"display: inline-block; margin: 4px calc(80px - $(line-width)$); background-color: #000; width: calc(100px + $(line-width)$ * 2); height: $(line-width)$; border-radius: 120px; vertical-align: middle;\"/>\n\n<span style=\"margin-left: 8px;\">\n\n<$text text=\"\"\"$(line-width)$\"\"\"/>\n\n<$reveal state=\"$:/config/BitmapEditor/LineWidth\" type=\"match\" text=\"\"\"$(line-width)$\"\"\" tag=\"span\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</span>\n\n</$button>\n\\end\n\n''<<lingo Hint>>''\n\n<$list filter={{$:/config/BitmapEditor/LineWidths}} variable=\"line-width\">\n\n<<toolbar-line-width-inner>>\n\n</$list>\n"
},
"$:/core/ui/EditorToolbar/line-width": {
"title": "$:/core/ui/EditorToolbar/line-width",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/line-width",
"caption": "{{$:/language/Buttons/LineWidth/Caption}}",
"description": "{{$:/language/Buttons/LineWidth/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"dropdown": "$:/core/ui/EditorToolbar/line-width-dropdown",
"text": "<$text text={{$:/config/BitmapEditor/LineWidth}}/>"
},
"$:/core/ui/EditorToolbar/link-dropdown": {
"title": "$:/core/ui/EditorToolbar/link-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Link/\n\n\\define add-link-actions()\n<$action-sendmessage $message=\"tm-edit-text-operation\" $param=\"make-link\" text={{$(linkTiddler)$}} />\n<$action-deletetiddler $tiddler=<<dropdown-state>> />\n<$action-deletetiddler $tiddler=<<searchTiddler>> />\n<$action-deletetiddler $tiddler=<<linkTiddler>> />\n\\end\n\n\\define external-link()\n<$button class=\"tc-btn-invisible\" style=\"width: auto; display: inline-block; background-colour: inherit;\" actions=<<add-link-actions>>>\n{{$:/core/images/chevron-right}}\n</$button>\n\\end\n\n\\define body(config-title)\n''<<lingo Hint>>''\n\n<$vars searchTiddler=\"\"\"$config-title$/search\"\"\" linkTiddler=\"\"\"$config-title$/link\"\"\" linktext=\"\" >\n\n<$vars linkTiddler=<<searchTiddler>>>\n<$keyboard key=\"ENTER\" actions=<<add-link-actions>>>\n<$edit-text tiddler=<<searchTiddler>> type=\"search\" tag=\"input\" focus=\"true\" placeholder={{$:/language/Search/Search}} default=\"\"/>\n<$reveal tag=\"span\" state=<<searchTiddler>> type=\"nomatch\" text=\"\">\n<<external-link>>\n<$button class=\"tc-btn-invisible\" style=\"width: auto; display: inline-block; background-colour: inherit;\">\n<$action-setfield $tiddler=<<searchTiddler>> text=\"\" />\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</$keyboard>\n</$vars>\n\n<$reveal tag=\"div\" state=<<searchTiddler>> type=\"nomatch\" text=\"\">\n\n<$linkcatcher actions=<<add-link-actions>> to=<<linkTiddler>>>\n\n{{$:/core/ui/SearchResults}}\n\n</$linkcatcher>\n\n</$reveal>\n\n</$vars>\n\n\\end\n\n<$macrocall $name=\"body\" config-title=<<qualify \"$:/state/Link/\">>/>"
},
"$:/core/ui/EditorToolbar/link": {
"title": "$:/core/ui/EditorToolbar/link",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/link",
"caption": "{{$:/language/Buttons/Link/Caption}}",
"description": "{{$:/language/Buttons/Link/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((link))",
"dropdown": "$:/core/ui/EditorToolbar/link-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/linkify": {
"title": "$:/core/ui/EditorToolbar/linkify",
"caption": "{{$:/language/Buttons/Linkify/Caption}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Buttons/Linkify/Hint}}",
"icon": "$:/core/images/linkify",
"list-before": "$:/core/ui/EditorToolbar/mono-block",
"shortcuts": "((linkify))",
"tags": "$:/tags/EditorToolbar",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"[[\"\n\tsuffix=\"]]\"\n/>\n"
},
"$:/core/ui/EditorToolbar/list-bullet": {
"title": "$:/core/ui/EditorToolbar/list-bullet",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/list-bullet",
"caption": "{{$:/language/Buttons/ListBullet/Caption}}",
"description": "{{$:/language/Buttons/ListBullet/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((list-bullet))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"*\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/list-number": {
"title": "$:/core/ui/EditorToolbar/list-number",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/list-number",
"caption": "{{$:/language/Buttons/ListNumber/Caption}}",
"description": "{{$:/language/Buttons/ListNumber/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((list-number))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"#\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/mono-block": {
"title": "$:/core/ui/EditorToolbar/mono-block",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/mono-block",
"caption": "{{$:/language/Buttons/MonoBlock/Caption}}",
"description": "{{$:/language/Buttons/MonoBlock/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((mono-block))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-lines\"\n\tprefix=\"\n```\"\n\tsuffix=\"```\"\n/>\n"
},
"$:/core/ui/EditorToolbar/mono-line": {
"title": "$:/core/ui/EditorToolbar/mono-line",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/mono-line",
"caption": "{{$:/language/Buttons/MonoLine/Caption}}",
"description": "{{$:/language/Buttons/MonoLine/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((mono-line))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"`\"\n\tsuffix=\"`\"\n/>\n"
},
"$:/core/ui/EditorToolbar/more-dropdown": {
"title": "$:/core/ui/EditorToolbar/more-dropdown",
"text": "\\define config-title()\n$:/config/EditorToolbarButtons/Visibility/$(toolbarItem)$\n\\end\n\n\\define conditional-button()\n<$list filter={{$(toolbarItem)$!!condition}} variable=\"condition\">\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/toolbar/button\" mode=\"inline\"/> <$transclude tiddler=<<toolbarItem>> field=\"description\"/>\n</$list>\n\\end\n\n<div class=\"tc-text-editor-toolbar-more\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditorToolbar]!has[draft.of]] -[[$:/core/ui/EditorToolbar/more]]\">\n<$reveal type=\"match\" state=<<config-visibility-title>> text=\"hide\" tag=\"div\">\n<<conditional-button>>\n</$reveal>\n</$list>\n</div>\n"
},
"$:/core/ui/EditorToolbar/more": {
"title": "$:/core/ui/EditorToolbar/more",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/down-arrow",
"caption": "{{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"condition": "[<targetTiddler>]",
"dropdown": "$:/core/ui/EditorToolbar/more-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/opacity-dropdown": {
"title": "$:/core/ui/EditorToolbar/opacity-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Opacity/\n\n\\define toolbar-opacity-inner()\n<$button tag=\"a\" tooltip=\"\"\"$(opacity)$\"\"\">\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/Opacity\"\n\t$value=\"$(opacity)$\"\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<div style=\"display: inline-block; vertical-align: middle; background-color: $(current-paint-colour)$; opacity: $(opacity)$; width: 1em; height: 1em; border-radius: 50%;\"/>\n\n<span style=\"margin-left: 8px;\">\n\n<$text text=\"\"\"$(opacity)$\"\"\"/>\n\n<$reveal state=\"$:/config/BitmapEditor/Opacity\" type=\"match\" text=\"\"\"$(opacity)$\"\"\" tag=\"span\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</span>\n\n</$button>\n\\end\n\n\\define toolbar-opacity()\n''<<lingo Hint>>''\n\n<$list filter={{$:/config/BitmapEditor/Opacities}} variable=\"opacity\">\n\n<<toolbar-opacity-inner>>\n\n</$list>\n\\end\n\n<$set name=\"current-paint-colour\" value={{$:/config/BitmapEditor/Colour}}>\n\n<$set name=\"current-opacity\" value={{$:/config/BitmapEditor/Opacity}}>\n\n<<toolbar-opacity>>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/EditorToolbar/opacity": {
"title": "$:/core/ui/EditorToolbar/opacity",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/opacity",
"caption": "{{$:/language/Buttons/Opacity/Caption}}",
"description": "{{$:/language/Buttons/Opacity/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"dropdown": "$:/core/ui/EditorToolbar/opacity-dropdown",
"text": "<$text text={{$:/config/BitmapEditor/Opacity}}/>\n"
},
"$:/core/ui/EditorToolbar/paint-dropdown": {
"title": "$:/core/ui/EditorToolbar/paint-dropdown",
"text": "''{{$:/language/Buttons/Paint/Hint}}''\n\n<$macrocall $name=\"colour-picker\" actions=\"\"\"\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/Colour\"\n\t$value=<<colour-picker-value>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n"
},
"$:/core/ui/EditorToolbar/paint": {
"title": "$:/core/ui/EditorToolbar/paint",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/paint",
"caption": "{{$:/language/Buttons/Paint/Caption}}",
"description": "{{$:/language/Buttons/Paint/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"dropdown": "$:/core/ui/EditorToolbar/paint-dropdown",
"text": "\\define toolbar-paint()\n<div style=\"display: inline-block; vertical-align: middle; background-color: $(colour-picker-value)$; width: 1em; height: 1em; border-radius: 50%;\"/>\n\\end\n<$set name=\"colour-picker-value\" value={{$:/config/BitmapEditor/Colour}}>\n<<toolbar-paint>>\n</$set>\n"
},
"$:/core/ui/EditorToolbar/picture-dropdown": {
"title": "$:/core/ui/EditorToolbar/picture-dropdown",
"text": "\\define replacement-text()\n[img[$(imageTitle)$]]\n\\end\n\n''{{$:/language/Buttons/Picture/Hint}}''\n\n<$macrocall $name=\"image-picker\" actions=\"\"\"\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"replace-selection\"\n\ttext=<<replacement-text>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n"
},
"$:/core/ui/EditorToolbar/picture": {
"title": "$:/core/ui/EditorToolbar/picture",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/picture",
"caption": "{{$:/language/Buttons/Picture/Caption}}",
"description": "{{$:/language/Buttons/Picture/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((picture))",
"dropdown": "$:/core/ui/EditorToolbar/picture-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/preview-type-dropdown": {
"title": "$:/core/ui/EditorToolbar/preview-type-dropdown",
"text": "\\define preview-type-button()\n<$button tag=\"a\">\n\n<$action-setfield $tiddler=\"$:/state/editpreviewtype\" $value=\"$(previewType)$\"/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<$transclude tiddler=<<previewType>> field=\"caption\" mode=\"inline\">\n\n<$view tiddler=<<previewType>> field=\"title\" mode=\"inline\"/>\n\n</$transclude> \n\n<$reveal tag=\"span\" state=\"$:/state/editpreviewtype\" type=\"match\" text=<<previewType>> default=\"$:/core/ui/EditTemplate/body/preview/output\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</$button>\n\\end\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditPreview]!has[draft.of]]\" variable=\"previewType\">\n\n<<preview-type-button>>\n\n</$list>\n"
},
"$:/core/ui/EditorToolbar/preview-type": {
"title": "$:/core/ui/EditorToolbar/preview-type",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/chevron-down",
"caption": "{{$:/language/Buttons/PreviewType/Caption}}",
"description": "{{$:/language/Buttons/PreviewType/Hint}}",
"condition": "[all[shadows+tiddlers]tag[$:/tags/EditPreview]!has[draft.of]butfirst[]limit[1]]",
"button-classes": "tc-text-editor-toolbar-item-adjunct",
"dropdown": "$:/core/ui/EditorToolbar/preview-type-dropdown"
},
"$:/core/ui/EditorToolbar/preview": {
"title": "$:/core/ui/EditorToolbar/preview",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/preview-open",
"custom-icon": "yes",
"caption": "{{$:/language/Buttons/Preview/Caption}}",
"description": "{{$:/language/Buttons/Preview/Hint}}",
"condition": "[<targetTiddler>]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((preview))",
"text": "<$reveal state=\"$:/state/showeditpreview\" type=\"match\" text=\"yes\" tag=\"span\">\n{{$:/core/images/preview-open}}\n<$action-setfield $tiddler=\"$:/state/showeditpreview\" $value=\"no\"/>\n</$reveal>\n<$reveal state=\"$:/state/showeditpreview\" type=\"nomatch\" text=\"yes\" tag=\"span\">\n{{$:/core/images/preview-closed}}\n<$action-setfield $tiddler=\"$:/state/showeditpreview\" $value=\"yes\"/>\n</$reveal>\n"
},
"$:/core/ui/EditorToolbar/quote": {
"title": "$:/core/ui/EditorToolbar/quote",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/quote",
"caption": "{{$:/language/Buttons/Quote/Caption}}",
"description": "{{$:/language/Buttons/Quote/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((quote))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-lines\"\n\tprefix=\"\n<<<\"\n\tsuffix=\"<<<\"\n/>\n"
},
"$:/core/ui/EditorToolbar/rotate-left": {
"title": "$:/core/ui/EditorToolbar/rotate-left",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/rotate-left",
"caption": "{{$:/language/Buttons/RotateLeft/Caption}}",
"description": "{{$:/language/Buttons/RotateLeft/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"rotate-left\"\n/>\n"
},
"$:/core/ui/EditorToolbar/size-dropdown": {
"title": "$:/core/ui/EditorToolbar/size-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Size/\n\n\\define toolbar-button-size-preset(config-title)\n<$set name=\"width\" filter=\"$(sizePair)$ +[first[]]\">\n\n<$set name=\"height\" filter=\"$(sizePair)$ +[last[]]\">\n\n<$button tag=\"a\">\n\n<$action-setfield\n\t$tiddler=\"\"\"$config-title$/new-width\"\"\"\n\t$value=<<width>>\n/>\n\n<$action-setfield\n\t$tiddler=\"\"\"$config-title$/new-height\"\"\"\n\t$value=<<height>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/presets-popup\"\"\"\n/>\n\n<$text text=<<width>>/> × <$text text=<<height>>/>\n\n</$button>\n\n</$set>\n\n</$set>\n\\end\n\n\\define toolbar-button-size(config-title)\n''{{$:/language/Buttons/Size/Hint}}''\n\n<<lingo Caption/Width>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/new-width\"\"\" default=<<tv-bitmap-editor-width>> focus=\"true\" size=\"8\"/> <<lingo Caption/Height>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/new-height\"\"\" default=<<tv-bitmap-editor-height>> size=\"8\"/> <$button popup=\"\"\"$config-title$/presets-popup\"\"\" class=\"tc-btn-invisible tc-popup-keep\" style=\"width: auto; display: inline-block; background-colour: inherit;\" selectedClass=\"tc-selected\">\n{{$:/core/images/down-arrow}}\n</$button>\n\n<$reveal tag=\"span\" state=\"\"\"$config-title$/presets-popup\"\"\" type=\"popup\" position=\"belowleft\" animate=\"yes\">\n\n<div class=\"tc-drop-down tc-popup-keep\">\n\n<$list filter={{$:/config/BitmapEditor/ImageSizes}} variable=\"sizePair\">\n\n<$macrocall $name=\"toolbar-button-size-preset\" config-title=\"$config-title$\"/>\n\n</$list>\n\n</div>\n\n</$reveal>\n\n<$button>\n<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"resize\"\n\twidth={{$config-title$/new-width}}\n\theight={{$config-title$/new-height}}\n/>\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/new-width\"\"\"\n/>\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/new-height\"\"\"\n/>\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n<<lingo Caption/Resize>>\n</$button>\n\\end\n\n<$macrocall $name=\"toolbar-button-size\" config-title=<<qualify \"$:/state/Size/\">>/>\n"
},
"$:/core/ui/EditorToolbar/size": {
"title": "$:/core/ui/EditorToolbar/size",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/size",
"caption": "{{$:/language/Buttons/Size/Caption}}",
"description": "{{$:/language/Buttons/Size/Hint}}",
"condition": "[<targetTiddler>is[image]]",
"dropdown": "$:/core/ui/EditorToolbar/size-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/stamp-dropdown": {
"title": "$:/core/ui/EditorToolbar/stamp-dropdown",
"text": "\\define toolbar-button-stamp-inner()\n<$button tag=\"a\">\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"replace-selection\"\n\ttext={{$(snippetTitle)$}}\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<$view tiddler=<<snippetTitle>> field=\"caption\" mode=\"inline\">\n\n<$view tiddler=<<snippetTitle>> field=\"title\" mode=\"inline\"/>\n\n</$view>\n\n</$button>\n\\end\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TextEditor/Snippet]!has[draft.of]sort[caption]]\" variable=\"snippetTitle\">\n\n<<toolbar-button-stamp-inner>>\n\n</$list>\n\n----\n\n<$button tag=\"a\">\n\n<$action-sendmessage\n\t$message=\"tm-new-tiddler\"\n\ttags=\"$:/tags/TextEditor/Snippet\"\n\tcaption={{$:/language/Buttons/Stamp/New/Title}}\n\ttext={{$:/language/Buttons/Stamp/New/Text}}\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<em>\n\n<$text text={{$:/language/Buttons/Stamp/Caption/New}}/>\n\n</em>\n\n</$button>\n"
},
"$:/core/ui/EditorToolbar/stamp": {
"title": "$:/core/ui/EditorToolbar/stamp",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/stamp",
"caption": "{{$:/language/Buttons/Stamp/Caption}}",
"description": "{{$:/language/Buttons/Stamp/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>get[type]prefix[text/]] +[first[]]",
"shortcuts": "((stamp))",
"dropdown": "$:/core/ui/EditorToolbar/stamp-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/strikethrough": {
"title": "$:/core/ui/EditorToolbar/strikethrough",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/strikethrough",
"caption": "{{$:/language/Buttons/Strikethrough/Caption}}",
"description": "{{$:/language/Buttons/Strikethrough/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((strikethrough))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"~~\"\n\tsuffix=\"~~\"\n/>\n"
},
"$:/core/ui/EditorToolbar/subscript": {
"title": "$:/core/ui/EditorToolbar/subscript",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/subscript",
"caption": "{{$:/language/Buttons/Subscript/Caption}}",
"description": "{{$:/language/Buttons/Subscript/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((subscript))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\",,\"\n\tsuffix=\",,\"\n/>\n"
},
"$:/core/ui/EditorToolbar/superscript": {
"title": "$:/core/ui/EditorToolbar/superscript",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/superscript",
"caption": "{{$:/language/Buttons/Superscript/Caption}}",
"description": "{{$:/language/Buttons/Superscript/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((superscript))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"^^\"\n\tsuffix=\"^^\"\n/>\n"
},
"$:/core/ui/EditorToolbar/transcludify": {
"title": "$:/core/ui/EditorToolbar/transcludify",
"caption": "{{$:/language/Buttons/Transcludify/Caption}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Buttons/Transcludify/Hint}}",
"icon": "$:/core/images/transcludify",
"list-before": "$:/core/ui/EditorToolbar/mono-block",
"shortcuts": "((transcludify))",
"tags": "$:/tags/EditorToolbar",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"{{\"\n\tsuffix=\"}}\"\n/>\n"
},
"$:/core/ui/EditorToolbar/underline": {
"title": "$:/core/ui/EditorToolbar/underline",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/underline",
"caption": "{{$:/language/Buttons/Underline/Caption}}",
"description": "{{$:/language/Buttons/Underline/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((underline))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"__\"\n\tsuffix=\"__\"\n/>\n"
},
"$:/core/Filters/AllTags": {
"title": "$:/core/Filters/AllTags",
"tags": "$:/tags/Filter",
"filter": "[tags[]!is[system]sort[title]]",
"description": "{{$:/language/Filters/AllTags}}",
"text": ""
},
"$:/core/Filters/AllTiddlers": {
"title": "$:/core/Filters/AllTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]sort[title]]",
"description": "{{$:/language/Filters/AllTiddlers}}",
"text": ""
},
"$:/core/Filters/Drafts": {
"title": "$:/core/Filters/Drafts",
"tags": "$:/tags/Filter",
"filter": "[has[draft.of]sort[title]]",
"description": "{{$:/language/Filters/Drafts}}",
"text": ""
},
"$:/core/Filters/Missing": {
"title": "$:/core/Filters/Missing",
"tags": "$:/tags/Filter",
"filter": "[all[missing]sort[title]]",
"description": "{{$:/language/Filters/Missing}}",
"text": ""
},
"$:/core/Filters/Orphans": {
"title": "$:/core/Filters/Orphans",
"tags": "$:/tags/Filter",
"filter": "[all[orphans]sort[title]]",
"description": "{{$:/language/Filters/Orphans}}",
"text": ""
},
"$:/core/Filters/OverriddenShadowTiddlers": {
"title": "$:/core/Filters/OverriddenShadowTiddlers",
"tags": "$:/tags/Filter",
"filter": "[is[shadow]]",
"description": "{{$:/language/Filters/OverriddenShadowTiddlers}}",
"text": ""
},
"$:/core/Filters/RecentSystemTiddlers": {
"title": "$:/core/Filters/RecentSystemTiddlers",
"tags": "$:/tags/Filter",
"filter": "[has[modified]!sort[modified]limit[50]]",
"description": "{{$:/language/Filters/RecentSystemTiddlers}}",
"text": ""
},
"$:/core/Filters/RecentTiddlers": {
"title": "$:/core/Filters/RecentTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]has[modified]!sort[modified]limit[50]]",
"description": "{{$:/language/Filters/RecentTiddlers}}",
"text": ""
},
"$:/core/Filters/ShadowTiddlers": {
"title": "$:/core/Filters/ShadowTiddlers",
"tags": "$:/tags/Filter",
"filter": "[all[shadows]sort[title]]",
"description": "{{$:/language/Filters/ShadowTiddlers}}",
"text": ""
},
"$:/core/Filters/StoryList": {
"title": "$:/core/Filters/StoryList",
"tags": "$:/tags/Filter",
"filter": "[list[$:/StoryList]] -$:/AdvancedSearch",
"description": "{{$:/language/Filters/StoryList}}",
"text": ""
},
"$:/core/Filters/SystemTags": {
"title": "$:/core/Filters/SystemTags",
"tags": "$:/tags/Filter",
"filter": "[all[shadows+tiddlers]tags[]is[system]sort[title]]",
"description": "{{$:/language/Filters/SystemTags}}",
"text": ""
},
"$:/core/Filters/SystemTiddlers": {
"title": "$:/core/Filters/SystemTiddlers",
"tags": "$:/tags/Filter",
"filter": "[is[system]sort[title]]",
"description": "{{$:/language/Filters/SystemTiddlers}}",
"text": ""
},
"$:/core/Filters/TypedTiddlers": {
"title": "$:/core/Filters/TypedTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]has[type]each[type]sort[type]] -[type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Filters/TypedTiddlers}}",
"text": ""
},
"$:/core/ui/ImportListing": {
"title": "$:/core/ui/ImportListing",
"text": "\\define lingo-base() $:/language/Import/\n\n\\define messageField()\nmessage-$(payloadTiddler)$\n\\end\n\n\\define selectionField()\nselection-$(payloadTiddler)$\n\\end\n\n\\define previewPopupState()\n$(currentTiddler)$!!popup-$(payloadTiddler)$\n\\end\n\n\\define select-all-actions()\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" variable=\"payloadTiddler\">\n<$action-setfield $field={{{ [<payloadTiddler>addprefix[selection-]] }}} $value={{$:/state/import/select-all}}/>\n</$list>\n\\end\n\n<table>\n<tbody>\n<tr>\n<th>\n<$checkbox tiddler=\"$:/state/import/select-all\" field=\"text\" checked=\"checked\" unchecked=\"unchecked\" default=\"checked\" actions=<<select-all-actions>>>\n<<lingo Listing/Select/Caption>>\n</$checkbox>\n</th>\n<th>\n<<lingo Listing/Title/Caption>>\n</th>\n<th>\n<<lingo Listing/Status/Caption>>\n</th>\n</tr>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" variable=\"payloadTiddler\">\n<tr>\n<td>\n<$checkbox field=<<selectionField>> checked=\"checked\" unchecked=\"unchecked\" default=\"checked\"/>\n</td>\n<td>\n<$reveal type=\"nomatch\" state=<<previewPopupState>> text=\"yes\" tag=\"div\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<previewPopupState>> setTo=\"yes\">\n{{$:/core/images/right-arrow}} <$text text=<<payloadTiddler>>/>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<previewPopupState>> text=\"yes\" tag=\"div\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<previewPopupState>> setTo=\"no\">\n{{$:/core/images/down-arrow}} <$text text=<<payloadTiddler>>/>\n</$button>\n</$reveal>\n</td>\n<td>\n<$view field=<<messageField>>/>\n</td>\n</tr>\n<tr>\n<td colspan=\"3\">\n<$reveal type=\"match\" text=\"yes\" state=<<previewPopupState>> tag=\"div\">\n<$list filter=\"[{$:/state/importpreviewtype}has[text]]\" variable=\"listItem\" emptyMessage={{$:/core/ui/ImportPreviews/Text}}>\n<$transclude tiddler={{$:/state/importpreviewtype}}/>\n</$list>\n</$reveal>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ImportPreviews/Diff": {
"title": "$:/core/ui/ImportPreviews/Diff",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Diff}}",
"text": "<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle=<<payloadTiddler>> destTiddlerTitle=<<currentTiddler>> destSubTiddlerTitle=<<payloadTiddler>>/>\n"
},
"$:/core/ui/ImportPreviews/DiffFields": {
"title": "$:/core/ui/ImportPreviews/DiffFields",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/DiffFields}}",
"text": "<$macrocall $name=\"compareTiddlers\" sourceTiddlerTitle=<<payloadTiddler>> destTiddlerTitle=<<currentTiddler>> destSubTiddlerTitle=<<payloadTiddler>> exclude=\"text\"/>\n"
},
"$:/core/ui/ImportPreviews/Fields": {
"title": "$:/core/ui/ImportPreviews/Fields",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Fields}}",
"text": "<$tiddler tiddler=<<payloadTiddler>>>\n<$transclude tiddler=\"$:/core/ui/TiddlerFields\"/>\n</$tiddler>\n"
},
"$:/core/ui/ImportPreviews/Text": {
"title": "$:/core/ui/ImportPreviews/Text",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Text}}",
"text": "<$transclude tiddler=<<payloadTiddler>> mode=\"block\"/>\n"
},
"$:/core/ui/ImportPreviews/TextRaw": {
"title": "$:/core/ui/ImportPreviews/TextRaw",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/TextRaw}}",
"text": "<pre><code><$view tiddler=<<payloadTiddler>>/></code></pre>"
},
"$:/core/ui/ListItemTemplate": {
"title": "$:/core/ui/ListItemTemplate",
"text": "<div class=\"tc-menu-list-item\">\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</div>"
},
"$:/Manager/ItemMain/Fields": {
"title": "$:/Manager/ItemMain/Fields",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/Fields}}",
"text": "<table>\n<tbody>\n<$list filter=\"[all[current]fields[]sort[title]] -text\" template=\"$:/core/ui/TiddlerFieldTemplate\" variable=\"listItem\"/>\n</tbody>\n</table>\n"
},
"$:/Manager/ItemMain/RawText": {
"title": "$:/Manager/ItemMain/RawText",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/RawText}}",
"text": "<pre><code><$view/></code></pre>\n"
},
"$:/Manager/ItemMain/WikifiedText": {
"title": "$:/Manager/ItemMain/WikifiedText",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/WikifiedText}}",
"text": "<$transclude mode=\"block\"/>\n"
},
"$:/Manager/ItemSidebar/Colour": {
"title": "$:/Manager/ItemSidebar/Colour",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Colour}}",
"text": "\\define swatch-styles()\nheight: 1em;\nbackground-color: $(colour)$\n\\end\n\n<$vars colour={{!!color}}>\n<p style=<<swatch-styles>>/>\n</$vars>\n<p>\n<$edit-text field=\"color\" tag=\"input\" type=\"color\"/> / <$edit-text field=\"color\" tag=\"input\" type=\"text\" size=\"9\"/>\n</p>\n"
},
"$:/Manager/ItemSidebar/Icon": {
"title": "$:/Manager/ItemSidebar/Icon",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Icon}}",
"text": "<p>\n<div class=\"tc-manager-icon-editor\">\n<$button popup=<<qualify \"$:/state/popup/image-picker\">> class=\"tc-btn-invisible\">\n<$transclude tiddler={{!!icon}}>\n{{$:/language/Manager/Item/Icon/None}}\n</$transclude>\n</$button>\n<div class=\"tc-block-dropdown-wrapper\" style=\"position: static;\">\n<$reveal state=<<qualify \"$:/state/popup/image-picker\">> type=\"nomatch\" text=\"\" default=\"\" tag=\"div\" class=\"tc-popup\">\n<div class=\"tc-block-dropdown tc-popup-keep\" style=\"width: 80%; left: 10%; right: 10%; padding: 0.5em;\">\n<$macrocall $name=\"image-picker-include-tagged-images\" actions=\"\"\"\n<$action-setfield $field=\"icon\" $value=<<imageTitle>>/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/popup/image-picker\">>/>\n\"\"\"/>\n</div>\n</$reveal>\n</div>\n</div>\n</p>\n"
},
"$:/Manager/ItemSidebar/Tags": {
"title": "$:/Manager/ItemSidebar/Tags",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Tags}}",
"text": "\\define tag-checkbox-actions()\n<$action-listops\n\t$tiddler=\"$:/config/Manager/RecentTags\"\n\t$subfilter=\"[<tag>] [list[$:/config/Manager/RecentTags]] +[limit[12]]\"\n/>\n\\end\n\n\\define tag-picker-actions()\n<<tag-checkbox-actions>>\n<$action-listops\n\t$tiddler=<<currentTiddler>>\n\t$field=\"tags\"\n\t$subfilter=\"[<tag>] [all[current]tags[]]\"\n/>\n\\end\n\n<p>\n<$list filter=\"[is[current]tags[]] [list[$:/config/Manager/RecentTags]] +[sort[title]] \" variable=\"tag\">\n<div>\n<$checkbox tiddler=<<currentTiddler>> tag=<<tag>> actions=<<tag-checkbox-actions>>>\n<$macrocall $name=\"tag-pill\" tag=<<tag>>/>\n</$checkbox>\n</div>\n</$list>\n</p>\n<p>\n<$macrocall $name=\"tag-picker\" actions=<<tag-picker-actions>>/>\n</p>\n"
},
"$:/Manager/ItemSidebar/Tools": {
"title": "$:/Manager/ItemSidebar/Tools",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Tools}}",
"text": "<p>\n<$button to=<<currentTiddler>>>{{$:/core/images/link}} open</$button>\n</p>\n<p>\n<$button message=\"tm-edit-tiddler\" param=<<currentTiddler>>>{{$:/core/images/edit-button}} edit</$button>\n</p>\n"
},
"$:/Manager": {
"title": "$:/Manager",
"icon": "$:/core/images/list",
"color": "#bbb",
"text": "\\define lingo-base() $:/language/Manager/\n\n\\define list-item-content-item()\n<div class=\"tc-manager-list-item-content-item\">\n\t<$vars state-title=\"\"\"$:/state/popup/manager/item/$(listItem)$\"\"\">\n\t\t<$reveal state=<<state-title>> type=\"match\" text=\"show\" default=\"show\" tag=\"div\">\n\t\t\t<$button set=<<state-title>> setTo=\"hide\" class=\"tc-btn-invisible tc-manager-list-item-content-item-heading\">\n\t\t\t\t{{$:/core/images/down-arrow}} <$transclude tiddler=<<listItem>> field=\"caption\"/>\n\t\t\t</$button>\n\t\t</$reveal>\n\t\t<$reveal state=<<state-title>> type=\"nomatch\" text=\"show\" default=\"show\" tag=\"div\">\n\t\t\t<$button set=<<state-title>> setTo=\"show\" class=\"tc-btn-invisible tc-manager-list-item-content-item-heading\">\n\t\t\t\t{{$:/core/images/right-arrow}} <$transclude tiddler=<<listItem>> field=\"caption\"/>\n\t\t\t</$button>\n\t\t</$reveal>\n\t\t<$reveal state=<<state-title>> type=\"match\" text=\"show\" default=\"show\" tag=\"div\" class=\"tc-manager-list-item-content-item-body\">\n\t\t\t<$transclude tiddler=<<listItem>>/>\n\t\t</$reveal>\n\t</$vars>\n</div>\n\\end\n\n<div class=\"tc-manager-wrapper\">\n\t<div class=\"tc-manager-controls\">\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Show/Prompt>> <$select tiddler=\"$:/config/Manager/Show\" default=\"tiddlers\">\n\t\t\t\t<option value=\"tiddlers\"><<lingo Controls/Show/Option/Tiddlers>></option>\n\t\t\t\t<option value=\"tags\"><<lingo Controls/Show/Option/Tags>></option>\n\t\t\t</$select>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Search/Prompt>> <$edit-text tiddler=\"$:/config/Manager/Filter\" tag=\"input\" default=\"\" placeholder={{$:/language/Manager/Controls/Search/Placeholder}}/>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/FilterByTag/Prompt>> <$select tiddler=\"$:/config/Manager/Tag\" default=\"\">\n\t\t\t\t<option value=\"\"><<lingo Controls/FilterByTag/None>></option>\n\t\t\t\t<$list filter=\"[!is{$:/config/Manager/System}tags[]!is[system]sort[title]]\" variable=\"tag\">\n\t\t\t\t\t<option value=<<tag>>><$text text=<<tag>>/></option>\n\t\t\t\t</$list>\n\t\t\t</$select>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Sort/Prompt>> <$select tiddler=\"$:/config/Manager/Sort\" default=\"title\">\n\t\t\t\t<optgroup label=\"Common\">\n\t\t\t\t\t<$list filter=\"title modified modifier created creator created\" variable=\"field\">\n\t\t\t\t\t\t<option value=<<field>>><$text text=<<field>>/></option>\n\t\t\t\t\t</$list>\n\t\t\t\t</optgroup>\n\t\t\t\t<optgroup label=\"All\">\n\t\t\t\t\t<$list filter=\"[all{$:/config/Manager/Show}!is{$:/config/Manager/System}fields[]sort[title]] -title -modified -modifier -created -creator -created\" variable=\"field\">\n\t\t\t\t\t\t<option value=<<field>>><$text text=<<field>>/></option>\n\t\t\t\t\t</$list>\n\t\t\t\t</optgroup>\n\t\t\t</$select>\n\t\t\t<$checkbox tiddler=\"$:/config/Manager/Order\" field=\"text\" checked=\"reverse\" unchecked=\"forward\" default=\"forward\">\n\t\t\t\t<<lingo Controls/Order/Prompt>>\n\t\t\t</$checkbox>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<$checkbox tiddler=\"$:/config/Manager/System\" field=\"text\" checked=\"\" unchecked=\"system\" default=\"system\">\n\t\t\t\t{{$:/language/SystemTiddlers/Include/Prompt}}\n\t\t\t</$checkbox>\n\t\t</div>\n\t</div>\n\t<div class=\"tc-manager-list\">\n\t\t<$list filter=\"[all{$:/config/Manager/Show}!is{$:/config/Manager/System}search{$:/config/Manager/Filter}tag:strict{$:/config/Manager/Tag}sort{$:/config/Manager/Sort}order{$:/config/Manager/Order}]\">\n\t\t\t<$vars transclusion=<<currentTiddler>>>\n\t\t\t\t<div style=\"tc-manager-list-item\">\n\t\t\t\t\t<$button popup=<<qualify \"$:/state/manager/popup\">> class=\"tc-btn-invisible tc-manager-list-item-heading\" selectedClass=\"tc-manager-list-item-heading-selected\">\n\t\t\t\t\t\t<$text text=<<currentTiddler>>/>\n\t\t\t\t\t</$button>\n\t\t\t\t\t<$reveal state=<<qualify \"$:/state/manager/popup\">> type=\"nomatch\" text=\"\" default=\"\" tag=\"div\" class=\"tc-manager-list-item-content tc-popup-handle\">\n\t\t\t\t\t\t<div class=\"tc-manager-list-item-content-tiddler\">\n\t\t\t\t\t\t\t<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Manager/ItemMain]!has[draft.of]]\" variable=\"listItem\">\n\t\t\t\t\t\t\t\t<<list-item-content-item>>\n\t\t\t\t\t\t\t</$list>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t\t<div class=\"tc-manager-list-item-content-sidebar\">\n\t\t\t\t\t\t\t<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Manager/ItemSidebar]!has[draft.of]]\" variable=\"listItem\">\n\t\t\t\t\t\t\t\t<<list-item-content-item>>\n\t\t\t\t\t\t\t</$list>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t</$reveal>\n\t\t\t\t</div>\n\t\t\t</$vars>\n\t\t</$list>\n\t</div>\n</div>\n"
},
"$:/core/ui/MissingTemplate": {
"title": "$:/core/ui/MissingTemplate",
"text": "<div class=\"tc-tiddler-missing\">\n<$button popup=<<qualify \"$:/state/popup/missing\">> class=\"tc-btn-invisible tc-missing-tiddler-label\">\n<$view field=\"title\" format=\"text\" />\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/missing\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n<hr>\n<$list filter=\"[all[current]backlinks[]sort[title]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n</div>\n</$reveal>\n</div>\n"
},
"$:/core/ui/MoreSideBar/All": {
"title": "$:/core/ui/MoreSideBar/All",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/All/Caption}}",
"text": "<$list filter={{$:/core/Filters/AllTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Drafts": {
"title": "$:/core/ui/MoreSideBar/Drafts",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Drafts/Caption}}",
"text": "<$list filter={{$:/core/Filters/Drafts!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Explorer": {
"title": "$:/core/ui/MoreSideBar/Explorer",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Explorer/Caption}}",
"text": "<<tree \"$:/\">>\n"
},
"$:/core/ui/MoreSideBar/Missing": {
"title": "$:/core/ui/MoreSideBar/Missing",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Missing/Caption}}",
"text": "<$list filter={{$:/core/Filters/Missing!!filter}} template=\"$:/core/ui/MissingTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Orphans": {
"title": "$:/core/ui/MoreSideBar/Orphans",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Orphans/Caption}}",
"text": "<$list filter={{$:/core/Filters/Orphans!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Plugins": {
"title": "$:/core/ui/MoreSideBar/Plugins",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/ControlPanel/Plugins/Caption}}",
"text": "\n{{$:/language/ControlPanel/Plugins/Installed/Hint}}\n\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar/Plugins]!has[draft.of]]\" \"$:/core/ui/MoreSideBar/Plugins/Plugins\">>\n"
},
"$:/core/ui/MoreSideBar/Recent": {
"title": "$:/core/ui/MoreSideBar/Recent",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Recent/Caption}}",
"text": "<$macrocall $name=\"timeline\" format={{$:/language/RecentChanges/DateFormat}}/>\n"
},
"$:/core/ui/MoreSideBar/Shadows": {
"title": "$:/core/ui/MoreSideBar/Shadows",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Shadows/Caption}}",
"text": "<$list filter={{$:/core/Filters/ShadowTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/System": {
"title": "$:/core/ui/MoreSideBar/System",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/System/Caption}}",
"text": "<$list filter={{$:/core/Filters/SystemTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Tags": {
"title": "$:/core/ui/MoreSideBar/Tags",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Tags/Caption}}",
"text": "<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n{{$:/core/ui/Buttons/tag-manager}}\n\n</$set>\n\n</$set>\n\n</$set>\n\n<$list filter={{$:/core/Filters/AllTags!!filter}}>\n\n<$transclude tiddler=\"$:/core/ui/TagTemplate\"/>\n\n</$list>\n\n<hr class=\"tc-untagged-separator\">\n\n{{$:/core/ui/UntaggedTemplate}}\n"
},
"$:/core/ui/MoreSideBar/Types": {
"title": "$:/core/ui/MoreSideBar/Types",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Types/Caption}}",
"text": "<$list filter={{$:/core/Filters/TypedTiddlers!!filter}}>\n<div class=\"tc-menu-list-item\">\n<$view field=\"type\"/>\n<$list filter=\"[type{!!type}!is[system]sort[title]]\">\n<div class=\"tc-menu-list-subitem\">\n<$link to={{!!title}}><$view field=\"title\"/></$link>\n</div>\n</$list>\n</div>\n</$list>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Languages": {
"title": "$:/core/ui/MoreSideBar/Plugins/Languages",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[language]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}/>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Plugins": {
"title": "$:/core/ui/MoreSideBar/Plugins/Plugins",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[plugin]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}>>/>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Theme": {
"title": "$:/core/ui/MoreSideBar/Plugins/Theme",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[theme]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}/>\n"
},
"$:/core/ui/Buttons/advanced-search": {
"title": "$:/core/ui/Buttons/advanced-search",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/advanced-search-button}} {{$:/language/Buttons/AdvancedSearch/Caption}}",
"description": "{{$:/language/Buttons/AdvancedSearch/Hint}}",
"text": "\\define control-panel-button(class)\n<$button to=\"$:/AdvancedSearch\" tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/advanced-search-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/AdvancedSearch/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/AdvancedSearch]]\" emptyMessage=<<control-panel-button>>>\n<<control-panel-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/close-all": {
"title": "$:/core/ui/Buttons/close-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/close-all-button}} {{$:/language/Buttons/CloseAll/Caption}}",
"description": "{{$:/language/Buttons/CloseAll/Hint}}",
"text": "<$button message=\"tm-close-all-tiddlers\" tooltip={{$:/language/Buttons/CloseAll/Hint}} aria-label={{$:/language/Buttons/CloseAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/close-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/CloseAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/control-panel": {
"title": "$:/core/ui/Buttons/control-panel",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/options-button}} {{$:/language/Buttons/ControlPanel/Caption}}",
"description": "{{$:/language/Buttons/ControlPanel/Hint}}",
"text": "\\define control-panel-button(class)\n<$button to=\"$:/ControlPanel\" tooltip={{$:/language/Buttons/ControlPanel/Hint}} aria-label={{$:/language/Buttons/ControlPanel/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/options-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/ControlPanel/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/ControlPanel]]\" emptyMessage=<<control-panel-button>>>\n<<control-panel-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/encryption": {
"title": "$:/core/ui/Buttons/encryption",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/locked-padlock}} {{$:/language/Buttons/Encryption/Caption}}",
"description": "{{$:/language/Buttons/Encryption/Hint}}",
"text": "<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\n<$button message=\"tm-clear-password\" tooltip={{$:/language/Buttons/Encryption/ClearPassword/Hint}} aria-label={{$:/language/Buttons/Encryption/ClearPassword/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/locked-padlock}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Encryption/ClearPassword/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n<$button message=\"tm-set-password\" tooltip={{$:/language/Buttons/Encryption/SetPassword/Hint}} aria-label={{$:/language/Buttons/Encryption/SetPassword/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/unlocked-padlock}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Encryption/SetPassword/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>"
},
"$:/core/ui/Buttons/export-page": {
"title": "$:/core/ui/Buttons/export-page",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/export-button}} {{$:/language/Buttons/ExportPage/Caption}}",
"description": "{{$:/language/Buttons/ExportPage/Hint}}",
"text": "<$macrocall $name=\"exportButton\" exportFilter=\"[!is[system]sort[title]]\" lingoBase=\"$:/language/Buttons/ExportPage/\"/>"
},
"$:/core/ui/Buttons/fold-all": {
"title": "$:/core/ui/Buttons/fold-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/fold-all-button}} {{$:/language/Buttons/FoldAll/Caption}}",
"description": "{{$:/language/Buttons/FoldAll/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/FoldAll/Hint}} aria-label={{$:/language/Buttons/FoldAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-all-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/FoldAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/full-screen": {
"title": "$:/core/ui/Buttons/full-screen",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/full-screen-button}} {{$:/language/Buttons/FullScreen/Caption}}",
"description": "{{$:/language/Buttons/FullScreen/Hint}}",
"text": "<$button message=\"tm-full-screen\" tooltip={{$:/language/Buttons/FullScreen/Hint}} aria-label={{$:/language/Buttons/FullScreen/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/full-screen-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/FullScreen/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/home": {
"title": "$:/core/ui/Buttons/home",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/home-button}} {{$:/language/Buttons/Home/Caption}}",
"description": "{{$:/language/Buttons/Home/Hint}}",
"text": "<$button message=\"tm-home\" tooltip={{$:/language/Buttons/Home/Hint}} aria-label={{$:/language/Buttons/Home/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/home-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Home/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/import": {
"title": "$:/core/ui/Buttons/import",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/import-button}} {{$:/language/Buttons/Import/Caption}}",
"description": "{{$:/language/Buttons/Import/Hint}}",
"text": "<div class=\"tc-file-input-wrapper\">\n<$button tooltip={{$:/language/Buttons/Import/Hint}} aria-label={{$:/language/Buttons/Import/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/import-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Import/Caption}}/></span>\n</$list>\n</$button>\n<$browse tooltip={{$:/language/Buttons/Import/Hint}}/>\n</div>"
},
"$:/core/ui/Buttons/language": {
"title": "$:/core/ui/Buttons/language",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/globe}} {{$:/language/Buttons/Language/Caption}}",
"description": "{{$:/language/Buttons/Language/Hint}}",
"text": "\\define flag-title()\n$(languagePluginTitle)$/icon\n\\end\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/language\">> tooltip={{$:/language/Buttons/Language/Hint}} aria-label={{$:/language/Buttons/Language/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n<span class=\"tc-image-button\">\n<$set name=\"languagePluginTitle\" value={{$:/language}}>\n<$image source=<<flag-title>>/>\n</$set>\n</span>\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Language/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/language\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n{{$:/snippets/languageswitcher}}\n</div>\n</$reveal>"
},
"$:/core/ui/Buttons/manager": {
"title": "$:/core/ui/Buttons/manager",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/list}} {{$:/language/Buttons/Manager/Caption}}",
"description": "{{$:/language/Buttons/Manager/Hint}}",
"text": "\\define manager-button(class)\n<$button to=\"$:/Manager\" tooltip={{$:/language/Buttons/Manager/Hint}} aria-label={{$:/language/Buttons/Manager/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/list}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Manager/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/Manager]]\" emptyMessage=<<manager-button>>>\n<<manager-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/more-page-actions": {
"title": "$:/core/ui/Buttons/more-page-actions",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/down-arrow}} {{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"text": "\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n<$button popup=<<qualify \"$:/state/popup/more\">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/down-arrow}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/More/Caption}}/></span>\n</$list>\n</$button><$reveal state=<<qualify \"$:/state/popup/more\">> type=\"popup\" position=\"below\" animate=\"yes\">\n\n<div class=\"tc-drop-down\">\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"tc-btn-invisible\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]] -[[$:/core/ui/Buttons/more-page-actions]]\" variable=\"listItem\">\n\n<$reveal type=\"match\" state=<<config-title>> text=\"hide\">\n\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$set>\n\n</$reveal>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</div>\n\n</$reveal>"
},
"$:/core/ui/Buttons/new-image": {
"title": "$:/core/ui/Buttons/new-image",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-image-button}} {{$:/language/Buttons/NewImage/Caption}}",
"description": "{{$:/language/Buttons/NewImage/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/NewImage/Hint}} aria-label={{$:/language/Buttons/NewImage/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-new-tiddler\" type=\"image/jpeg\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/new-image-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewImage/Caption}}/></span>\n</$list>\n</$button>\n"
},
"$:/core/ui/Buttons/new-journal": {
"title": "$:/core/ui/Buttons/new-journal",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-journal-button}} {{$:/language/Buttons/NewJournal/Caption}}",
"description": "{{$:/language/Buttons/NewJournal/Hint}}",
"text": "\\define journalButton()\n<$button tooltip={{$:/language/Buttons/NewJournal/Hint}} aria-label={{$:/language/Buttons/NewJournal/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-new-tiddler\" title=<<now \"\"\"$(journalTitleTemplate)$ \"\"\">> tags=<<journalTags>> text=<<journalText>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/new-journal-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewJournal/Caption}}/></span>\n</$list>\n</$button>\n\\end\n<$set name=\"journalTitleTemplate\" value={{$:/config/NewJournal/Title}}>\n<$set name=\"journalTags\" value={{$:/config/NewJournal/Tags}}>\n<$set name=\"journalText\" value={{$:/config/NewJournal/Text}}>\n<<journalButton>>\n</$set></$set></$set>"
},
"$:/core/ui/Buttons/new-tiddler": {
"title": "$:/core/ui/Buttons/new-tiddler",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-button}} {{$:/language/Buttons/NewTiddler/Caption}}",
"description": "{{$:/language/Buttons/NewTiddler/Hint}}",
"text": "<$button message=\"tm-new-tiddler\" tooltip={{$:/language/Buttons/NewTiddler/Hint}} aria-label={{$:/language/Buttons/NewTiddler/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/new-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewTiddler/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/palette": {
"title": "$:/core/ui/Buttons/palette",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/palette}} {{$:/language/Buttons/Palette/Caption}}",
"description": "{{$:/language/Buttons/Palette/Hint}}",
"text": "<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/palette\">> tooltip={{$:/language/Buttons/Palette/Hint}} aria-label={{$:/language/Buttons/Palette/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/palette}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Palette/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/palette\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\" style=\"font-size:0.7em;\">\n{{$:/snippets/paletteswitcher}}\n</div>\n</$reveal>"
},
"$:/core/ui/Buttons/print": {
"title": "$:/core/ui/Buttons/print",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/print-button}} {{$:/language/Buttons/Print/Caption}}",
"description": "{{$:/language/Buttons/Print/Hint}}",
"text": "<$button message=\"tm-print\" tooltip={{$:/language/Buttons/Print/Hint}} aria-label={{$:/language/Buttons/Print/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/print-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Print/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/refresh": {
"title": "$:/core/ui/Buttons/refresh",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/refresh-button}} {{$:/language/Buttons/Refresh/Caption}}",
"description": "{{$:/language/Buttons/Refresh/Hint}}",
"text": "<$button message=\"tm-browser-refresh\" tooltip={{$:/language/Buttons/Refresh/Hint}} aria-label={{$:/language/Buttons/Refresh/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/refresh-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Refresh/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/save-wiki": {
"title": "$:/core/ui/Buttons/save-wiki",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/save-button}} {{$:/language/Buttons/SaveWiki/Caption}}",
"description": "{{$:/language/Buttons/SaveWiki/Hint}}",
"text": "<$button message=\"tm-save-wiki\" param={{$:/config/SaveWikiButton/Template}} tooltip={{$:/language/Buttons/SaveWiki/Hint}} aria-label={{$:/language/Buttons/SaveWiki/Caption}} class=<<tv-config-toolbar-class>>>\n<span class=\"tc-dirty-indicator\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/save-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/SaveWiki/Caption}}/></span>\n</$list>\n</span>\n</$button>"
},
"$:/core/ui/Buttons/storyview": {
"title": "$:/core/ui/Buttons/storyview",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/storyview-classic}} {{$:/language/Buttons/StoryView/Caption}}",
"description": "{{$:/language/Buttons/StoryView/Hint}}",
"text": "\\define icon()\n$:/core/images/storyview-$(storyview)$\n\\end\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/storyview\">> tooltip={{$:/language/Buttons/StoryView/Hint}} aria-label={{$:/language/Buttons/StoryView/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n<$set name=\"storyview\" value={{$:/view}}>\n<$transclude tiddler=<<icon>>/>\n</$set>\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/StoryView/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/storyview\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n{{$:/snippets/viewswitcher}}\n</div>\n</$reveal>"
},
"$:/core/ui/Buttons/tag-manager": {
"title": "$:/core/ui/Buttons/tag-manager",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/tag-button}} {{$:/language/Buttons/TagManager/Caption}}",
"description": "{{$:/language/Buttons/TagManager/Hint}}",
"text": "\\define control-panel-button(class)\n<$button to=\"$:/TagManager\" tooltip={{$:/language/Buttons/TagManager/Hint}} aria-label={{$:/language/Buttons/TagManager/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/tag-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/TagManager/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/TagManager]]\" emptyMessage=<<control-panel-button>>>\n<<control-panel-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/theme": {
"title": "$:/core/ui/Buttons/theme",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/theme-button}} {{$:/language/Buttons/Theme/Caption}}",
"description": "{{$:/language/Buttons/Theme/Hint}}",
"text": "<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/theme\">> tooltip={{$:/language/Buttons/Theme/Hint}} aria-label={{$:/language/Buttons/Theme/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/theme-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Theme/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/theme\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$linkcatcher to=\"$:/theme\">\n{{$:/snippets/themeswitcher}}\n</$linkcatcher>\n</div>\n</$reveal>"
},
"$:/core/ui/Buttons/timestamp": {
"title": "$:/core/ui/Buttons/timestamp",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/timestamp-on}} {{$:/language/Buttons/Timestamp/Caption}}",
"description": "{{$:/language/Buttons/Timestamp/Hint}}",
"text": "<$reveal type=\"nomatch\" state=\"$:/config/TimestampDisable\" text=\"yes\">\n<$button tooltip={{$:/language/Buttons/Timestamp/On/Hint}} aria-label={{$:/language/Buttons/Timestamp/On/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-setfield $tiddler=\"$:/config/TimestampDisable\" $value=\"yes\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/timestamp-on}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Timestamp/On/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=\"$:/config/TimestampDisable\" text=\"yes\">\n<$button tooltip={{$:/language/Buttons/Timestamp/Off/Hint}} aria-label={{$:/language/Buttons/Timestamp/Off/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-setfield $tiddler=\"$:/config/TimestampDisable\" $value=\"no\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/timestamp-off}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Timestamp/Off/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>"
},
"$:/core/ui/Buttons/unfold-all": {
"title": "$:/core/ui/Buttons/unfold-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/unfold-all-button}} {{$:/language/Buttons/UnfoldAll/Caption}}",
"description": "{{$:/language/Buttons/UnfoldAll/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/UnfoldAll/Hint}} aria-label={{$:/language/Buttons/UnfoldAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-unfold-all-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/unfold-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/UnfoldAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/PageTemplate/pagecontrols": {
"title": "$:/core/ui/PageTemplate/pagecontrols",
"text": "\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-page-controls\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]]\" variable=\"listItem\">\n<$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\">\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n</$set>\n</$reveal>\n</$list>\n</div>\n\n"
},
"$:/core/ui/PageStylesheet": {
"title": "$:/core/ui/PageStylesheet",
"text": "<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n\n<$set name=\"currentTiddler\" value={{$:/language}}>\n\n<$set name=\"languageTitle\" value={{!!name}}>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<$transclude mode=\"block\"/>\n</$list>\n\n</$set>\n\n</$set>\n\n</$importvariables>\n"
},
"$:/core/ui/PageTemplate/alerts": {
"title": "$:/core/ui/PageTemplate/alerts",
"tags": "$:/tags/PageTemplate",
"text": "<div class=\"tc-alerts\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Alert]!has[draft.of]]\" template=\"$:/core/ui/AlertTemplate\" storyview=\"pop\"/>\n\n</div>\n"
},
"$:/core/ui/PageTemplate/pluginreloadwarning": {
"title": "$:/core/ui/PageTemplate/pluginreloadwarning",
"tags": "$:/tags/PageTemplate",
"text": "\\define lingo-base() $:/language/\n\n<$list filter=\"[has[plugin-type]haschanged[]!plugin-type[import]limit[1]]\">\n\n<$reveal type=\"nomatch\" state=\"$:/temp/HidePluginWarning\" text=\"yes\">\n\n<div class=\"tc-plugin-reload-warning\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<<lingo PluginReloadWarning>> <$button set=\"$:/temp/HidePluginWarning\" setTo=\"yes\" class=\"tc-btn-invisible\">{{$:/core/images/close-button}}</$button>\n\n</$set>\n\n</div>\n\n</$reveal>\n\n</$list>\n"
},
"$:/core/ui/PageTemplate/sidebar": {
"title": "$:/core/ui/PageTemplate/sidebar",
"tags": "$:/tags/PageTemplate",
"text": "<$scrollable fallthrough=\"no\" class=\"tc-sidebar-scrollable\">\n\n<div class=\"tc-sidebar-header\">\n\n<$reveal state=\"$:/state/sidebar\" type=\"match\" text=\"yes\" default=\"yes\" retain=\"yes\" animate=\"yes\">\n\n<h1 class=\"tc-site-title\">\n\n<$transclude tiddler=\"$:/SiteTitle\" mode=\"inline\"/>\n\n</h1>\n\n<div class=\"tc-site-subtitle\">\n\n<$transclude tiddler=\"$:/SiteSubtitle\" mode=\"inline\"/>\n\n</div>\n\n{{||$:/core/ui/PageTemplate/pagecontrols}}\n\n<$transclude tiddler=\"$:/core/ui/SideBarLists\" mode=\"inline\"/>\n\n</$reveal>\n\n</div>\n\n</$scrollable>"
},
"$:/core/ui/PageTemplate/story": {
"title": "$:/core/ui/PageTemplate/story",
"tags": "$:/tags/PageTemplate",
"text": "<section class=\"tc-story-river\">\n\n<section class=\"story-backdrop\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/AboveStory]!has[draft.of]]\">\n\n<$transclude/>\n\n</$list>\n\n</section>\n\n<$list filter=\"[list[$:/StoryList]]\" history=\"$:/HistoryList\" template=\"$:/core/ui/ViewTemplate\" editTemplate=\"$:/core/ui/EditTemplate\" storyview={{$:/view}} emptyMessage={{$:/config/EmptyStoryMessage}}/>\n\n<section class=\"story-frontdrop\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/BelowStory]!has[draft.of]]\">\n\n<$transclude/>\n\n</$list>\n\n</section>\n\n</section>\n"
},
"$:/core/ui/PageTemplate/topleftbar": {
"title": "$:/core/ui/PageTemplate/topleftbar",
"tags": "$:/tags/PageTemplate",
"text": "<span class=\"tc-topbar tc-topbar-left\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopLeftBar]!has[draft.of]]\" variable=\"listItem\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$list>\n\n</span>\n"
},
"$:/core/ui/PageTemplate/toprightbar": {
"title": "$:/core/ui/PageTemplate/toprightbar",
"tags": "$:/tags/PageTemplate",
"text": "<span class=\"tc-topbar tc-topbar-right\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopRightBar]!has[draft.of]]\" variable=\"listItem\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$list>\n\n</span>\n"
},
"$:/core/ui/PageTemplate": {
"title": "$:/core/ui/PageTemplate",
"text": "\\define containerClasses()\ntc-page-container tc-page-view-$(themeTitle)$ tc-language-$(languageTitle)$\n\\end\n\n<$importvariables filter=\"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\">\n\n<$set name=\"tv-config-toolbar-icons\" value={{$:/config/Toolbar/Icons}}>\n\n<$set name=\"tv-config-toolbar-text\" value={{$:/config/Toolbar/Text}}>\n\n<$set name=\"tv-config-toolbar-class\" value={{$:/config/Toolbar/ButtonClass}}>\n\n<$set name=\"themeTitle\" value={{$:/view}}>\n\n<$set name=\"currentTiddler\" value={{$:/language}}>\n\n<$set name=\"languageTitle\" value={{!!name}}>\n\n<$set name=\"currentTiddler\" value=\"\">\n\n<div class=<<containerClasses>>>\n\n<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\" openLinkFromInsideRiver={{$:/config/Navigation/openLinkFromInsideRiver}} openLinkFromOutsideRiver={{$:/config/Navigation/openLinkFromOutsideRiver}} relinkOnRename={{$:/config/RelinkOnRename}}>\n\n<$dropzone>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageTemplate]!has[draft.of]]\" variable=\"listItem\">\n\n<$transclude tiddler=<<listItem>>/>\n\n</$list>\n\n</$dropzone>\n\n</$navigator>\n\n</div>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</$importvariables>\n"
},
"$:/core/ui/PluginInfo": {
"title": "$:/core/ui/PluginInfo",
"text": "\\define localised-info-tiddler-title()\n$(currentTiddler)$/$(languageTitle)$/$(currentTab)$\n\\end\n\\define info-tiddler-title()\n$(currentTiddler)$/$(currentTab)$\n\\end\n\\define default-tiddler-title()\n$:/core/ui/PluginInfo/Default/$(currentTab)$\n\\end\n<$transclude tiddler=<<localised-info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<localised-info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<default-tiddler-title>> mode=\"block\">\n{{$:/language/ControlPanel/Plugin/NoInfoFound/Hint}}\n</$transclude>\n</$transclude>\n</$transclude>\n</$transclude>\n"
},
"$:/core/ui/PluginInfo/Default/contents": {
"title": "$:/core/ui/PluginInfo/Default/contents",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/PluginInfo/\n<<lingo Hint>>\n<ul>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" emptyMessage=<<lingo Empty/Hint>>>\n<li>\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</li>\n</$list>\n</ul>\n"
},
"$:/core/ui/PluginListItemTemplate": {
"title": "$:/core/ui/PluginListItemTemplate",
"text": "<div class=\"tc-menu-list-item\">\n<$link to={{!!title}}>\n<$view field=\"description\">\n<$view field=\"title\"/>\n</$view>\n</$link>\n</div>"
},
"$:/core/ui/SearchResults": {
"title": "$:/core/ui/SearchResults",
"text": "<div class=\"tc-search-results\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]butfirst[]limit[1]]\" emptyMessage=\"\"\"\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\">\n<$transclude mode=\"block\"/>\n</$list>\n\"\"\">\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\" default={{$:/config/SearchResults/Default}}/>\n\n</$list>\n\n</div>\n"
},
"$:/core/ui/SideBar/More": {
"title": "$:/core/ui/SideBar/More",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/More/Caption}}",
"text": "<div class=\"tc-more-sidebar\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar]!has[draft.of]]\" default={{$:/config/DefaultMoreSidebarTab}} state=\"$:/state/tab/moresidebar\" class=\"tc-vertical\" />\n</div>"
},
"$:/core/ui/SideBar/Open": {
"title": "$:/core/ui/SideBar/Open",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Open/Caption}}",
"text": "\\define lingo-base() $:/language/CloseAll/\n\n\\define drop-actions()\n<$action-listops $tiddler=\"$:/StoryList\" $subfilter=\"+[insertbefore:currentTiddler<actionTiddler>]\"/>\n\\end\n\n<$list filter=\"[list[$:/StoryList]]\" history=\"$:/HistoryList\" storyview=\"pop\">\n<div style=\"position: relative;\">\n<$droppable actions=<<drop-actions>>>\n<div class=\"tc-droppable-placeholder\">\n \n</div>\n<div>\n<$button message=\"tm-close-tiddler\" tooltip={{$:/language/Buttons/Close/Hint}} aria-label={{$:/language/Buttons/Close/Caption}} class=\"tc-btn-invisible tc-btn-mini\">×</$button> <$link to={{!!title}}><$view field=\"title\"/></$link>\n</div>\n</$droppable>\n</div>\n</$list>\n<$tiddler tiddler=\"\">\n<$droppable actions=<<drop-actions>>>\n<div class=\"tc-droppable-placeholder\">\n \n</div>\n<$button message=\"tm-close-all-tiddlers\" class=\"tc-btn-invisible tc-btn-mini\"><<lingo Button>></$button>\n</$droppable>\n</$tiddler>\n"
},
"$:/core/ui/SideBar/Recent": {
"title": "$:/core/ui/SideBar/Recent",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Recent/Caption}}",
"text": "<$macrocall $name=\"timeline\" format={{$:/language/RecentChanges/DateFormat}}/>\n"
},
"$:/core/ui/SideBar/Tools": {
"title": "$:/core/ui/SideBar/Tools",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Tools/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n\n<<lingo Basics/Version/Prompt>> <<version>>\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]]\" variable=\"listItem\">\n\n<div style=\"position:relative;\" class={{{ [<listItem>encodeuricomponent[]addprefix[tc-btn-]] }}}>\n\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <$transclude tiddler=<<listItem>>/> <i class=\"tc-muted\"><$transclude tiddler=<<listItem>> field=\"description\"/></i>\n\n</div>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/SideBarLists": {
"title": "$:/core/ui/SideBarLists",
"text": "<div class=\"tc-sidebar-lists\">\n\n<$set name=\"searchTiddler\" value=\"$:/temp/search\">\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/search\" type=\"search\" tag=\"input\" focus={{$:/config/Search/AutoFocus}} focusPopup=<<qualify \"$:/state/popup/search-dropdown\">> class=\"tc-popup-handle\"/>\n<$reveal state=\"$:/temp/search\" type=\"nomatch\" text=\"\">\n<$button tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" text={{$:/temp/search}}/>\n<$action-setfield $tiddler=\"$:/temp/search\" text=\"\"/>\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n{{$:/core/images/advanced-search-button}}\n</$button>\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/search\" text=\"\" />\n{{$:/core/images/close-button}}\n</$button>\n<$button popup=<<qualify \"$:/state/popup/search-dropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n<$list filter=\"[{$:/temp/search}minlength{$:/config/Search/MinLength}limit[1]]\" variable=\"listItem\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[!is[system]search{$(searchTiddler)$}]\"/>\"\"\">\n{{$:/language/Search/Matches}}\n</$set>\n</$list>\n</$button>\n</$reveal>\n<$reveal state=\"$:/temp/search\" type=\"match\" text=\"\">\n<$button to=\"$:/AdvancedSearch\" tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n{{$:/core/images/advanced-search-button}}\n</$button>\n</$reveal>\n</div>\n\n<$reveal tag=\"div\" class=\"tc-block-dropdown-wrapper\" state=\"$:/temp/search\" type=\"nomatch\" text=\"\">\n\n<$reveal tag=\"div\" class=\"tc-block-dropdown tc-search-drop-down tc-popup-handle\" state=<<qualify \"$:/state/popup/search-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n\n<$list filter=\"[{$:/temp/search}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n{{$:/core/ui/SearchResults}}\n\n</$list>\n\n</$reveal>\n\n</$reveal>\n\n</$set>\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SideBar]!has[draft.of]]\" default={{$:/config/DefaultSidebarTab}} state=\"$:/state/tab/sidebar\" />\n\n</div>\n"
},
"$:/TagManager": {
"title": "$:/TagManager",
"icon": "$:/core/images/tag-button",
"color": "#bbb",
"caption": "{{$:/language/TagManager/Caption}}",
"text": "\\define lingo-base() $:/language/TagManager/\n\\define iconEditorTab(type)\n<$list filter=\"[all[shadows+tiddlers]is[image]] [all[shadows+tiddlers]tag[$:/tags/Image]] -[type[application/pdf]] +[sort[title]] +[$type$is[system]]\">\n<$link to={{!!title}}>\n<$transclude/> <$view field=\"title\"/>\n</$link>\n</$list>\n\\end\n\\define iconEditor(title)\n<div class=\"tc-drop-down-wrapper\">\n<$button popup=<<qualify \"$:/state/popup/icon/$title$\">> class=\"tc-btn-invisible tc-btn-dropdown\">{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/icon/$title$\">> type=\"popup\" position=\"belowleft\" text=\"\" default=\"\">\n<div class=\"tc-drop-down\">\n<$linkcatcher to=\"$title$!!icon\">\n<<iconEditorTab type:\"!\">>\n<hr/>\n<<iconEditorTab type:\"\">>\n</$linkcatcher>\n</div>\n</$reveal>\n</div>\n\\end\n\\define qualifyTitle(title)\n$title$$(currentTiddler)$\n\\end\n\\define toggleButton(state)\n<$reveal state=\"$state$\" type=\"match\" text=\"closed\" default=\"closed\">\n<$button set=\"$state$\" setTo=\"open\" class=\"tc-btn-invisible tc-btn-dropdown\" selectedClass=\"tc-selected\">\n{{$:/core/images/info-button}}\n</$button>\n</$reveal>\n<$reveal state=\"$state$\" type=\"match\" text=\"open\" default=\"closed\">\n<$button set=\"$state$\" setTo=\"closed\" class=\"tc-btn-invisible tc-btn-dropdown\" selectedClass=\"tc-selected\">\n{{$:/core/images/info-button}}\n</$button>\n</$reveal>\n\\end\n<table class=\"tc-tag-manager-table\">\n<tbody>\n<tr>\n<th><<lingo Colour/Heading>></th>\n<th class=\"tc-tag-manager-tag\"><<lingo Tag/Heading>></th>\n<th><<lingo Count/Heading>></th>\n<th><<lingo Icon/Heading>></th>\n<th><<lingo Info/Heading>></th>\n</tr>\n<$list filter=\"[tags[]!is[system]sort[title]]\">\n<tr>\n<td><$edit-text field=\"color\" tag=\"input\" type=\"color\"/></td>\n<td><$macrocall $name=\"tag\" tag=<<currentTiddler>>/></td>\n<td><$count filter=\"[all[current]tagging[]]\"/></td>\n<td>\n<$macrocall $name=\"iconEditor\" title={{!!title}}/>\n</td>\n<td>\n<$macrocall $name=\"toggleButton\" state=<<qualifyTitle \"$:/state/tag-manager/\">> /> \n</td>\n</tr>\n<tr>\n<td></td>\n<td colspan=\"4\">\n<$reveal state=<<qualifyTitle \"$:/state/tag-manager/\">> type=\"match\" text=\"open\" default=\"\">\n<table>\n<tbody>\n<tr><td><<lingo Colour/Heading>></td><td><$edit-text field=\"color\" tag=\"input\" type=\"text\" size=\"9\"/></td></tr>\n<tr><td><<lingo Icon/Heading>></td><td><$edit-text field=\"icon\" tag=\"input\" size=\"45\"/></td></tr>\n</tbody>\n</table>\n</$reveal>\n</td>\n</tr>\n</$list>\n<tr>\n<td></td>\n<td>\n{{$:/core/ui/UntaggedTemplate}}\n</td>\n<td>\n<small class=\"tc-menu-list-count\"><$count filter=\"[untagged[]!is[system]] -[tags[]]\"/></small>\n</td>\n<td></td>\n<td></td>\n</tr>\n</tbody>\n</table>\n"
},
"$:/core/ui/TagTemplate": {
"title": "$:/core/ui/TagTemplate",
"text": "<span class=\"tc-tag-list-item\">\n<$set name=\"transclusion\" value=<<currentTiddler>>>\n<$macrocall $name=\"tag-pill-body\" tag=<<currentTiddler>> icon={{!!icon}} colour={{!!color}} palette={{$:/palette}} element-tag=\"\"\"$button\"\"\" element-attributes=\"\"\"popup=<<qualify \"$:/state/popup/tag\">> dragFilter='[all[current]tagging[]]' tag='span'\"\"\"/>\n<$reveal state=<<qualify \"$:/state/popup/tag\">> type=\"popup\" position=\"below\" animate=\"yes\" class=\"tc-drop-down\">\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TagDropdown]!has[draft.of]]\" variable=\"listItem\"> \n<$transclude tiddler=<<listItem>>/> \n</$list>\n<hr>\n<$macrocall $name=\"list-tagged-draggable\" tag=<<currentTiddler>>/>\n</$reveal>\n</$set>\n</span>\n"
},
"$:/core/ui/TiddlerFieldTemplate": {
"title": "$:/core/ui/TiddlerFieldTemplate",
"text": "<tr class=\"tc-view-field\">\n<td class=\"tc-view-field-name\">\n<$text text=<<listItem>>/>\n</td>\n<td class=\"tc-view-field-value\">\n<$view field=<<listItem>>/>\n</td>\n</tr>"
},
"$:/core/ui/TiddlerFields": {
"title": "$:/core/ui/TiddlerFields",
"text": "<table class=\"tc-view-field-table\">\n<tbody>\n<$list filter=\"[all[current]fields[]sort[title]] -text\" template=\"$:/core/ui/TiddlerFieldTemplate\" variable=\"listItem\"/>\n</tbody>\n</table>\n"
},
"$:/core/ui/TiddlerInfo/Advanced/PluginInfo": {
"title": "$:/core/ui/TiddlerInfo/Advanced/PluginInfo",
"tags": "$:/tags/TiddlerInfo/Advanced",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/PluginInfo/\n<$list filter=\"[all[current]has[plugin-type]]\">\n\n! <<lingo Heading>>\n\n<<lingo Hint>>\n<ul>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" emptyMessage=<<lingo Empty/Hint>>>\n<li>\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</li>\n</$list>\n</ul>\n\n</$list>\n"
},
"$:/core/ui/TiddlerInfo/Advanced/ShadowInfo": {
"title": "$:/core/ui/TiddlerInfo/Advanced/ShadowInfo",
"tags": "$:/tags/TiddlerInfo/Advanced",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/ShadowInfo/\n<$set name=\"infoTiddler\" value=<<currentTiddler>>>\n\n''<<lingo Heading>>''\n\n<$list filter=\"[all[current]!is[shadow]]\">\n\n<<lingo NotShadow/Hint>>\n\n</$list>\n\n<$list filter=\"[all[current]is[shadow]]\">\n\n<<lingo Shadow/Hint>>\n\n<$list filter=\"[all[current]shadowsource[]]\">\n\n<$set name=\"pluginTiddler\" value=<<currentTiddler>>>\n<<lingo Shadow/Source>>\n</$set>\n\n</$list>\n\n<$list filter=\"[all[current]is[shadow]is[tiddler]]\">\n\n<<lingo OverriddenShadow/Hint>>\n\n</$list>\n\n\n</$list>\n</$set>\n"
},
"$:/core/ui/TiddlerInfo/Advanced": {
"title": "$:/core/ui/TiddlerInfo/Advanced",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Advanced/Caption}}",
"text": "<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TiddlerInfo/Advanced]!has[draft.of]]\" variable=\"listItem\">\n<$transclude tiddler=<<listItem>>/>\n\n</$list>\n"
},
"$:/core/ui/TiddlerInfo/Fields": {
"title": "$:/core/ui/TiddlerInfo/Fields",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Fields/Caption}}",
"text": "<$transclude tiddler=\"$:/core/ui/TiddlerFields\"/>\n"
},
"$:/core/ui/TiddlerInfo/List": {
"title": "$:/core/ui/TiddlerInfo/List",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/List/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[list{!!title}]\" emptyMessage=<<lingo List/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/Listed": {
"title": "$:/core/ui/TiddlerInfo/Listed",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Listed/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]listed[]!is[system]]\" emptyMessage=<<lingo Listed/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/References": {
"title": "$:/core/ui/TiddlerInfo/References",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/References/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]backlinks[]sort[title]]\" emptyMessage=<<lingo References/Empty>> template=\"$:/core/ui/ListItemTemplate\">\n</$list>\n"
},
"$:/core/ui/TiddlerInfo/Tagging": {
"title": "$:/core/ui/TiddlerInfo/Tagging",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Tagging/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]tagging[]]\" emptyMessage=<<lingo Tagging/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/Tools": {
"title": "$:/core/ui/TiddlerInfo/Tools",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Tools/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]]\" variable=\"listItem\">\n\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <$transclude tiddler=<<listItem>>/> <i class=\"tc-muted\"><$transclude tiddler=<<listItem>> field=\"description\"/></i>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/TiddlerInfo": {
"title": "$:/core/ui/TiddlerInfo",
"text": "<div style=\"position:relative;\">\n<div class=\"tc-tiddler-controls\" style=\"position:absolute;right:0;\">\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"sticky\">\n<$button set=<<tiddlerInfoState>> setTo=\"\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=\"tc-btn-invisible\">\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n</div>\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/TiddlerInfo]!has[draft.of]]\" default={{$:/config/TiddlerInfo/Default}}/>"
},
"$:/core/ui/TopBar/menu": {
"title": "$:/core/ui/TopBar/menu",
"tags": "$:/tags/TopRightBar",
"text": "<$reveal state=\"$:/state/sidebar\" type=\"nomatch\" text=\"no\">\n<$button set=\"$:/state/sidebar\" setTo=\"no\" tooltip={{$:/language/Buttons/HideSideBar/Hint}} aria-label={{$:/language/Buttons/HideSideBar/Caption}} class=\"tc-btn-invisible\">{{$:/core/images/chevron-right}}</$button>\n</$reveal>\n<$reveal state=\"$:/state/sidebar\" type=\"match\" text=\"no\">\n<$button set=\"$:/state/sidebar\" setTo=\"yes\" tooltip={{$:/language/Buttons/ShowSideBar/Hint}} aria-label={{$:/language/Buttons/ShowSideBar/Caption}} class=\"tc-btn-invisible\">{{$:/core/images/chevron-left}}</$button>\n</$reveal>\n"
},
"$:/core/ui/UntaggedTemplate": {
"title": "$:/core/ui/UntaggedTemplate",
"text": "\\define lingo-base() $:/language/SideBar/\n<$button popup=<<qualify \"$:/state/popup/tag\">> class=\"tc-btn-invisible tc-untagged-label tc-tag-label\">\n<<lingo Tags/Untagged/Caption>>\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/tag\">> type=\"popup\" position=\"below\">\n<div class=\"tc-drop-down\">\n<$list filter=\"[untagged[]!is[system]] -[tags[]] +[sort[title]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n</div>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/body": {
"title": "$:/core/ui/ViewTemplate/body",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal tag=\"div\" class=\"tc-tiddler-body\" type=\"nomatch\" state=<<folded-state>> text=\"hide\" retain=\"yes\" animate=\"yes\">\n\n<$list filter=\"[all[current]!has[plugin-type]!field:hide-body[yes]]\">\n\n<$transclude>\n\n<$transclude tiddler=\"$:/language/MissingTiddler/Hint\"/>\n\n</$transclude>\n\n</$list>\n\n</$reveal>"
},
"$:/core/ui/ViewTemplate/classic": {
"title": "$:/core/ui/ViewTemplate/classic",
"tags": "$:/tags/ViewTemplate $:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/ClassicWarning/\n<$list filter=\"[all[current]type[text/x-tiddlywiki]]\">\n<div class=\"tc-message-box\">\n\n<<lingo Hint>>\n\n<$button set=\"!!type\" setTo=\"text/vnd.tiddlywiki\"><<lingo Upgrade/Caption>></$button>\n\n</div>\n</$list>\n"
},
"$:/core/ui/ViewTemplate/import": {
"title": "$:/core/ui/ViewTemplate/import",
"tags": "$:/tags/ViewTemplate",
"text": "\\define lingo-base() $:/language/Import/\n\n\\define buttons()\n<$button message=\"tm-delete-tiddler\" param=<<currentTiddler>>><<lingo Listing/Cancel/Caption>></$button>\n<$button message=\"tm-perform-import\" param=<<currentTiddler>>><<lingo Listing/Import/Caption>></$button>\n<<lingo Listing/Preview>> <$select tiddler=\"$:/state/importpreviewtype\" default=\"$:/core/ui/ImportPreviews/Text\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ImportPreview]!has[draft.of]]\">\n<option value=<<currentTiddler>>>{{!!caption}}</option>\n</$list>\n</$select>\n\\end\n\n<$list filter=\"[all[current]field:plugin-type[import]]\">\n\n<div class=\"tc-import\">\n\n<<lingo Listing/Hint>>\n\n<<buttons>>\n\n{{||$:/core/ui/ImportListing}}\n\n<<buttons>>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/ViewTemplate/plugin": {
"title": "$:/core/ui/ViewTemplate/plugin",
"tags": "$:/tags/ViewTemplate",
"text": "<$list filter=\"[all[current]has[plugin-type]] -[all[current]field:plugin-type[import]]\">\n<$set name=\"plugin-type\" value={{!!plugin-type}}>\n<$set name=\"default-popup-state\" value=\"yes\">\n<$set name=\"qualified-state\" value=<<qualify \"$:/state/plugin-info\">>>\n{{||$:/core/ui/Components/plugin-info}}\n</$set>\n</$set>\n</$set>\n</$list>\n"
},
"$:/core/ui/ViewTemplate/subtitle": {
"title": "$:/core/ui/ViewTemplate/subtitle",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal type=\"nomatch\" state=<<folded-state>> text=\"hide\" tag=\"div\" retain=\"yes\" animate=\"yes\">\n<div class=\"tc-subtitle\">\n<$link to={{!!modifier}}>\n<$view field=\"modifier\"/>\n</$link> <$view field=\"modified\" format=\"date\" template={{$:/language/Tiddler/DateFormat}}/>\n</div>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/tags": {
"title": "$:/core/ui/ViewTemplate/tags",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal type=\"nomatch\" state=<<folded-state>> text=\"hide\" tag=\"div\" retain=\"yes\" animate=\"yes\">\n<div class=\"tc-tags-wrapper\"><$list filter=\"[all[current]tags[]sort[title]]\" template=\"$:/core/ui/TagTemplate\" storyview=\"pop\"/></div>\n</$reveal>"
},
"$:/core/ui/ViewTemplate/title": {
"title": "$:/core/ui/ViewTemplate/title",
"tags": "$:/tags/ViewTemplate",
"text": "\\define title-styles()\nfill:$(foregroundColor)$;\n\\end\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-tiddler-title\">\n<div class=\"tc-titlebar\">\n<span class=\"tc-tiddler-controls\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]]\" variable=\"listItem\"><$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\"><$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\"><$transclude tiddler=<<listItem>>/></$set></$reveal></$list>\n</span>\n<$set name=\"tv-wikilinks\" value={{$:/config/Tiddlers/TitleLinks}}>\n<$link>\n<$set name=\"foregroundColor\" value={{!!color}}>\n<span class=\"tc-tiddler-title-icon\" style=<<title-styles>>>\n<$transclude tiddler={{!!icon}}/>\n</span>\n</$set>\n<$list filter=\"[all[current]removeprefix[$:/]]\">\n<h2 class=\"tc-title\" title={{$:/language/SystemTiddler/Tooltip}}>\n<span class=\"tc-system-title-prefix\">$:/</span><$text text=<<currentTiddler>>/>\n</h2>\n</$list>\n<$list filter=\"[all[current]!prefix[$:/]]\">\n<h2 class=\"tc-title\">\n<$view field=\"title\"/>\n</h2>\n</$list>\n</$link>\n</$set>\n</div>\n\n<$reveal type=\"nomatch\" text=\"\" default=\"\" state=<<tiddlerInfoState>> class=\"tc-tiddler-info tc-popup-handle\" animate=\"yes\" retain=\"yes\">\n\n<$transclude tiddler=\"$:/core/ui/TiddlerInfo\"/>\n\n</$reveal>\n</div>"
},
"$:/core/ui/ViewTemplate/unfold": {
"title": "$:/core/ui/ViewTemplate/unfold",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal tag=\"div\" type=\"nomatch\" state=\"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar\" text=\"hide\">\n<$reveal tag=\"div\" type=\"nomatch\" state=<<folded-state>> text=\"hide\" default=\"show\" retain=\"yes\" animate=\"yes\">\n<$button tooltip={{$:/language/Buttons/Fold/Hint}} aria-label={{$:/language/Buttons/Fold/Caption}} class=\"tc-fold-banner\">\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n{{$:/core/images/chevron-up}}\n</$button>\n</$reveal>\n<$reveal tag=\"div\" type=\"nomatch\" state=<<folded-state>> text=\"show\" default=\"show\" retain=\"yes\" animate=\"yes\">\n<$button tooltip={{$:/language/Buttons/Unfold/Hint}} aria-label={{$:/language/Buttons/Unfold/Caption}} class=\"tc-unfold-banner\">\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n{{$:/core/images/chevron-down}}\n</$button>\n</$reveal>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate": {
"title": "$:/core/ui/ViewTemplate",
"text": "\\define frame-classes()\ntc-tiddler-frame tc-tiddler-view-frame $(missingTiddlerClass)$ $(shadowTiddlerClass)$ $(systemTiddlerClass)$ $(tiddlerTagClasses)$ $(userClass)$\n\\end\n\\define folded-state()\n$:/state/folded/$(currentTiddler)$\n\\end\n<$vars storyTiddler=<<currentTiddler>> tiddlerInfoState=<<qualify \"$:/state/popup/tiddler-info\">> userClass={{!!class}}><$tiddler tiddler=<<currentTiddler>>><div data-tiddler-title=<<currentTiddler>> data-tags={{!!tags}} class=<<frame-classes>>><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewTemplate]!has[draft.of]]\" variable=\"listItem\"><$transclude tiddler=<<listItem>>/></$list>\n</div>\n</$tiddler></$vars>\n"
},
"$:/core/ui/Buttons/clone": {
"title": "$:/core/ui/Buttons/clone",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/clone-button}} {{$:/language/Buttons/Clone/Caption}}",
"description": "{{$:/language/Buttons/Clone/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-new-tiddler\" param=<<currentTiddler>> tooltip={{$:/language/Buttons/Clone/Hint}} aria-label={{$:/language/Buttons/Clone/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/clone-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Clone/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/close-others": {
"title": "$:/core/ui/Buttons/close-others",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/close-others-button}} {{$:/language/Buttons/CloseOthers/Caption}}",
"description": "{{$:/language/Buttons/CloseOthers/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-close-other-tiddlers\" param=<<currentTiddler>> tooltip={{$:/language/Buttons/CloseOthers/Hint}} aria-label={{$:/language/Buttons/CloseOthers/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/close-others-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/CloseOthers/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/close": {
"title": "$:/core/ui/Buttons/close",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/close-button}} {{$:/language/Buttons/Close/Caption}}",
"description": "{{$:/language/Buttons/Close/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-close-tiddler\" tooltip={{$:/language/Buttons/Close/Hint}} aria-label={{$:/language/Buttons/Close/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/close-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/Close/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/edit": {
"title": "$:/core/ui/Buttons/edit",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/edit-button}} {{$:/language/Buttons/Edit/Caption}}",
"description": "{{$:/language/Buttons/Edit/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-edit-tiddler\" tooltip={{$:/language/Buttons/Edit/Hint}} aria-label={{$:/language/Buttons/Edit/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/edit-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Edit/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/export-tiddler": {
"title": "$:/core/ui/Buttons/export-tiddler",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/export-button}} {{$:/language/Buttons/ExportTiddler/Caption}}",
"description": "{{$:/language/Buttons/ExportTiddler/Hint}}",
"text": "\\define makeExportFilter()\n[[$(currentTiddler)$]]\n\\end\n<$macrocall $name=\"exportButton\" exportFilter=<<makeExportFilter>> lingoBase=\"$:/language/Buttons/ExportTiddler/\" baseFilename=<<currentTiddler>>/>"
},
"$:/core/ui/Buttons/fold-bar": {
"title": "$:/core/ui/Buttons/fold-bar",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/chevron-up}} {{$:/language/Buttons/Fold/FoldBar/Caption}}",
"description": "{{$:/language/Buttons/Fold/FoldBar/Hint}}",
"text": "<!-- This dummy toolbar button is here to allow visibility of the fold-bar to be controlled as if it were a toolbar button -->"
},
"$:/core/ui/Buttons/fold-others": {
"title": "$:/core/ui/Buttons/fold-others",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/fold-others-button}} {{$:/language/Buttons/FoldOthers/Caption}}",
"description": "{{$:/language/Buttons/FoldOthers/Hint}}",
"text": "\\whitespace trim\n<$button tooltip={{$:/language/Buttons/FoldOthers/Hint}} aria-label={{$:/language/Buttons/FoldOthers/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-other-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-others-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/FoldOthers/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/fold": {
"title": "$:/core/ui/Buttons/fold",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/fold-button}} {{$:/language/Buttons/Fold/Caption}}",
"description": "{{$:/language/Buttons/Fold/Hint}}",
"text": "\\whitespace trim\n<$reveal type=\"nomatch\" state=<<folded-state>> text=\"hide\" default=\"show\">\n<$button tooltip={{$:/language/Buttons/Fold/Hint}} aria-label={{$:/language/Buttons/Fold/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Fold/Caption}}/>\n</span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<folded-state>> text=\"hide\" default=\"show\">\n<$button tooltip={{$:/language/Buttons/Unfold/Hint}} aria-label={{$:/language/Buttons/Unfold/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/unfold-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Unfold/Caption}}/>\n</span>\n</$list>\n</$button>\n</$reveal>"
},
"$:/core/ui/Buttons/info": {
"title": "$:/core/ui/Buttons/info",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/info-button}} {{$:/language/Buttons/Info/Caption}}",
"description": "{{$:/language/Buttons/Info/Hint}}",
"text": "\\whitespace trim\n\\define button-content()\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/info-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/Info/Caption}}/>\n</span>\n</$list>\n\\end\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"popup\">\n<$button popup=<<tiddlerInfoState>> tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"sticky\">\n<$reveal state=<<tiddlerInfoState>> type=\"match\" text=\"\" default=\"\">\n<$button set=<<tiddlerInfoState>> setTo=\"yes\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n<$reveal state=<<tiddlerInfoState>> type=\"nomatch\" text=\"\" default=\"\">\n<$button set=<<tiddlerInfoState>> setTo=\"\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n</$reveal>"
},
"$:/core/ui/Buttons/more-tiddler-actions": {
"title": "$:/core/ui/Buttons/more-tiddler-actions",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/down-arrow}} {{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<$button popup=<<qualify \"$:/state/popup/more\">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/down-arrow}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/More/Caption}}/>\n</span>\n</$list>\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/more\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n\n<div class=\"tc-drop-down\">\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"tc-btn-invisible\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]] -[[$:/core/ui/Buttons/more-tiddler-actions]]\" variable=\"listItem\">\n\n<$reveal type=\"match\" state=<<config-title>> text=\"hide\">\n\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$set>\n\n</$reveal>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</div>\n\n</$reveal>"
},
"$:/core/ui/Buttons/new-here": {
"title": "$:/core/ui/Buttons/new-here",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/new-here-button}} {{$:/language/Buttons/NewHere/Caption}}",
"description": "{{$:/language/Buttons/NewHere/Hint}}",
"text": "\\whitespace trim\n\\define newHereButtonTags()\n[[$(currentTiddler)$]]\n\\end\n\\define newHereButton()\n<$button tooltip={{$:/language/Buttons/NewHere/Hint}} aria-label={{$:/language/Buttons/NewHere/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-new-tiddler\" tags=<<newHereButtonTags>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/new-here-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/NewHere/Caption}}/>\n</span>\n</$list>\n</$button>\n\\end\n<<newHereButton>>"
},
"$:/core/ui/Buttons/new-journal-here": {
"title": "$:/core/ui/Buttons/new-journal-here",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/new-journal-button}} {{$:/language/Buttons/NewJournalHere/Caption}}",
"description": "{{$:/language/Buttons/NewJournalHere/Hint}}",
"text": "\\whitespace trim\n\\define journalButtonTags()\n[[$(currentTiddlerTag)$]] $(journalTags)$\n\\end\n\\define journalButton()\n<$button tooltip={{$:/language/Buttons/NewJournalHere/Hint}} aria-label={{$:/language/Buttons/NewJournalHere/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-new-tiddler\" title=<<now \"\"\"$(journalTitleTemplate)$ \"\"\">> tags=<<journalButtonTags>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/new-journal-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/NewJournalHere/Caption}}/>\n</span>\n</$list>\n</$button>\n\\end\n<$set name=\"journalTitleTemplate\" value={{$:/config/NewJournal/Title}}>\n<$set name=\"journalTags\" value={{$:/config/NewJournal/Tags}}>\n<$set name=\"currentTiddlerTag\" value=<<currentTiddler>>>\n<<journalButton>>\n</$set>\n</$set>\n</$set>"
},
"$:/core/ui/Buttons/open-window": {
"title": "$:/core/ui/Buttons/open-window",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/open-window}} {{$:/language/Buttons/OpenWindow/Caption}}",
"description": "{{$:/language/Buttons/OpenWindow/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-open-window\" tooltip={{$:/language/Buttons/OpenWindow/Hint}} aria-label={{$:/language/Buttons/OpenWindow/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/open-window}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/OpenWindow/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/permalink": {
"title": "$:/core/ui/Buttons/permalink",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/permalink-button}} {{$:/language/Buttons/Permalink/Caption}}",
"description": "{{$:/language/Buttons/Permalink/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-permalink\" tooltip={{$:/language/Buttons/Permalink/Hint}} aria-label={{$:/language/Buttons/Permalink/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/permalink-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Permalink/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/permaview": {
"title": "$:/core/ui/Buttons/permaview",
"tags": "$:/tags/ViewToolbar $:/tags/PageControls",
"caption": "{{$:/core/images/permaview-button}} {{$:/language/Buttons/Permaview/Caption}}",
"description": "{{$:/language/Buttons/Permaview/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-permaview\" tooltip={{$:/language/Buttons/Permaview/Hint}} aria-label={{$:/language/Buttons/Permaview/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/permaview-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Permaview/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/DefaultTiddlers": {
"title": "$:/DefaultTiddlers",
"text": "GettingStarted\n"
},
"$:/temp/advancedsearch": {
"title": "$:/temp/advancedsearch",
"text": ""
},
"$:/snippets/allfields": {
"title": "$:/snippets/allfields",
"text": "\\define renderfield(title)\n<tr class=\"tc-view-field\"><td class=\"tc-view-field-name\">''$title$'':</td><td class=\"tc-view-field-value\">//{{$:/language/Docs/Fields/$title$}}//</td></tr>\n\\end\n<table class=\"tc-view-field-table\"><tbody><$list filter=\"[fields[]sort[title]]\" variable=\"listItem\"><$macrocall $name=\"renderfield\" title=<<listItem>>/></$list>\n</tbody></table>\n"
},
"$:/config/AnimationDuration": {
"title": "$:/config/AnimationDuration",
"text": "400"
},
"$:/config/AutoSave": {
"title": "$:/config/AutoSave",
"text": "yes"
},
"$:/config/BitmapEditor/Colour": {
"title": "$:/config/BitmapEditor/Colour",
"text": "#444"
},
"$:/config/BitmapEditor/ImageSizes": {
"title": "$:/config/BitmapEditor/ImageSizes",
"text": "[[62px 100px]] [[100px 62px]] [[124px 200px]] [[200px 124px]] [[248px 400px]] [[371px 600px]] [[400px 248px]] [[556px 900px]] [[600px 371px]] [[742px 1200px]] [[900px 556px]] [[1200px 742px]]"
},
"$:/config/BitmapEditor/LineWidth": {
"title": "$:/config/BitmapEditor/LineWidth",
"text": "3px"
},
"$:/config/BitmapEditor/LineWidths": {
"title": "$:/config/BitmapEditor/LineWidths",
"text": "0.25px 0.5px 1px 2px 3px 4px 6px 8px 10px 16px 20px 28px 40px 56px 80px"
},
"$:/config/BitmapEditor/Opacities": {
"title": "$:/config/BitmapEditor/Opacities",
"text": "0.01 0.025 0.05 0.075 0.1 0.15 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0"
},
"$:/config/BitmapEditor/Opacity": {
"title": "$:/config/BitmapEditor/Opacity",
"text": "1.0"
},
"$:/config/DefaultMoreSidebarTab": {
"title": "$:/config/DefaultMoreSidebarTab",
"text": "$:/core/ui/MoreSideBar/Tags"
},
"$:/config/DefaultSidebarTab": {
"title": "$:/config/DefaultSidebarTab",
"text": "$:/core/ui/SideBar/Open"
},
"$:/config/DownloadSaver/AutoSave": {
"title": "$:/config/DownloadSaver/AutoSave",
"text": "no"
},
"$:/config/Drafts/TypingTimeout": {
"title": "$:/config/Drafts/TypingTimeout",
"text": "400"
},
"$:/config/EditTemplateFields/Visibility/title": {
"title": "$:/config/EditTemplateFields/Visibility/title",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/tags": {
"title": "$:/config/EditTemplateFields/Visibility/tags",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/text": {
"title": "$:/config/EditTemplateFields/Visibility/text",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/creator": {
"title": "$:/config/EditTemplateFields/Visibility/creator",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/created": {
"title": "$:/config/EditTemplateFields/Visibility/created",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/modified": {
"title": "$:/config/EditTemplateFields/Visibility/modified",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/modifier": {
"title": "$:/config/EditTemplateFields/Visibility/modifier",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/type": {
"title": "$:/config/EditTemplateFields/Visibility/type",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/draft.title": {
"title": "$:/config/EditTemplateFields/Visibility/draft.title",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/draft.of": {
"title": "$:/config/EditTemplateFields/Visibility/draft.of",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/revision": {
"title": "$:/config/EditTemplateFields/Visibility/revision",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/bag": {
"title": "$:/config/EditTemplateFields/Visibility/bag",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-4": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-4",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-5": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-5",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-6": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-6",
"text": "hide"
},
"$:/config/EditorTypeMappings/image/gif": {
"title": "$:/config/EditorTypeMappings/image/gif",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/jpeg": {
"title": "$:/config/EditorTypeMappings/image/jpeg",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/jpg": {
"title": "$:/config/EditorTypeMappings/image/jpg",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/png": {
"title": "$:/config/EditorTypeMappings/image/png",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/x-icon": {
"title": "$:/config/EditorTypeMappings/image/x-icon",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/text/vnd.tiddlywiki": {
"title": "$:/config/EditorTypeMappings/text/vnd.tiddlywiki",
"text": "text"
},
"$:/config/Manager/Show": {
"title": "$:/config/Manager/Show",
"text": "tiddlers"
},
"$:/config/Manager/Filter": {
"title": "$:/config/Manager/Filter",
"text": ""
},
"$:/config/Manager/Order": {
"title": "$:/config/Manager/Order",
"text": "forward"
},
"$:/config/Manager/Sort": {
"title": "$:/config/Manager/Sort",
"text": "title"
},
"$:/config/Manager/System": {
"title": "$:/config/Manager/System",
"text": "system"
},
"$:/config/Manager/Tag": {
"title": "$:/config/Manager/Tag",
"text": ""
},
"$:/state/popup/manager/item/$:/Manager/ItemMain/RawText": {
"title": "$:/state/popup/manager/item/$:/Manager/ItemMain/RawText",
"text": "hide"
},
"$:/config/MissingLinks": {
"title": "$:/config/MissingLinks",
"text": "yes"
},
"$:/config/Navigation/UpdateAddressBar": {
"title": "$:/config/Navigation/UpdateAddressBar",
"text": "no"
},
"$:/config/Navigation/UpdateHistory": {
"title": "$:/config/Navigation/UpdateHistory",
"text": "no"
},
"$:/config/OfficialPluginLibrary": {
"title": "$:/config/OfficialPluginLibrary",
"tags": "$:/tags/PluginLibrary",
"url": "https://tiddlywiki.com/library/v5.1.15/index.html",
"caption": "{{$:/language/OfficialPluginLibrary}}",
"text": "{{$:/language/OfficialPluginLibrary/Hint}}\n"
},
"$:/config/Navigation/openLinkFromInsideRiver": {
"title": "$:/config/Navigation/openLinkFromInsideRiver",
"text": "below"
},
"$:/config/Navigation/openLinkFromOutsideRiver": {
"title": "$:/config/Navigation/openLinkFromOutsideRiver",
"text": "top"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/advanced-search": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/advanced-search",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/close-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/close-all",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/encryption": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/encryption",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/export-page": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/export-page",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/fold-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/fold-all",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/full-screen": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/full-screen",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/home": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/home",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/refresh": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/refresh",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/import": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/import",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/language": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/language",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/tag-manager": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/tag-manager",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/manager": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/manager",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/more-page-actions": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/more-page-actions",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-journal": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-journal",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-image": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-image",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/palette": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/palette",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/permaview": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/permaview",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/print": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/print",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/storyview": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/storyview",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/timestamp": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/timestamp",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/theme": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/theme",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/unfold-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/unfold-all",
"text": "hide"
},
"$:/config/Performance/Instrumentation": {
"title": "$:/config/Performance/Instrumentation",
"text": "no"
},
"$:/config/SaveWikiButton/Template": {
"title": "$:/config/SaveWikiButton/Template",
"text": "$:/core/save/all"
},
"$:/config/SaverFilter": {
"title": "$:/config/SaverFilter",
"text": "[all[]] -[[$:/HistoryList]] -[[$:/StoryList]] -[[$:/Import]] -[[$:/isEncrypted]] -[[$:/UploadName]] -[prefix[$:/state/]] -[prefix[$:/temp/]]"
},
"$:/config/Search/AutoFocus": {
"title": "$:/config/Search/AutoFocus",
"text": "true"
},
"$:/config/Search/MinLength": {
"title": "$:/config/Search/MinLength",
"text": "3"
},
"$:/config/SearchResults/Default": {
"title": "$:/config/SearchResults/Default",
"text": "$:/core/ui/DefaultSearchResultList"
},
"$:/config/ShortcutInfo/bold": {
"title": "$:/config/ShortcutInfo/bold",
"text": "{{$:/language/Buttons/Bold/Hint}}"
},
"$:/config/ShortcutInfo/cancel-edit-tiddler": {
"title": "$:/config/ShortcutInfo/cancel-edit-tiddler",
"text": "{{$:/language/Buttons/Cancel/Hint}}"
},
"$:/config/ShortcutInfo/excise": {
"title": "$:/config/ShortcutInfo/excise",
"text": "{{$:/language/Buttons/Excise/Hint}}"
},
"$:/config/ShortcutInfo/heading-1": {
"title": "$:/config/ShortcutInfo/heading-1",
"text": "{{$:/language/Buttons/Heading1/Hint}}"
},
"$:/config/ShortcutInfo/heading-2": {
"title": "$:/config/ShortcutInfo/heading-2",
"text": "{{$:/language/Buttons/Heading2/Hint}}"
},
"$:/config/ShortcutInfo/heading-3": {
"title": "$:/config/ShortcutInfo/heading-3",
"text": "{{$:/language/Buttons/Heading3/Hint}}"
},
"$:/config/ShortcutInfo/heading-4": {
"title": "$:/config/ShortcutInfo/heading-4",
"text": "{{$:/language/Buttons/Heading4/Hint}}"
},
"$:/config/ShortcutInfo/heading-5": {
"title": "$:/config/ShortcutInfo/heading-5",
"text": "{{$:/language/Buttons/Heading5/Hint}}"
},
"$:/config/ShortcutInfo/heading-6": {
"title": "$:/config/ShortcutInfo/heading-6",
"text": "{{$:/language/Buttons/Heading6/Hint}}"
},
"$:/config/ShortcutInfo/italic": {
"title": "$:/config/ShortcutInfo/italic",
"text": "{{$:/language/Buttons/Italic/Hint}}"
},
"$:/config/ShortcutInfo/link": {
"title": "$:/config/ShortcutInfo/link",
"text": "{{$:/language/Buttons/Link/Hint}}"
},
"$:/config/ShortcutInfo/list-bullet": {
"title": "$:/config/ShortcutInfo/list-bullet",
"text": "{{$:/language/Buttons/ListBullet/Hint}}"
},
"$:/config/ShortcutInfo/list-number": {
"title": "$:/config/ShortcutInfo/list-number",
"text": "{{$:/language/Buttons/ListNumber/Hint}}"
},
"$:/config/ShortcutInfo/mono-block": {
"title": "$:/config/ShortcutInfo/mono-block",
"text": "{{$:/language/Buttons/MonoBlock/Hint}}"
},
"$:/config/ShortcutInfo/mono-line": {
"title": "$:/config/ShortcutInfo/mono-line",
"text": "{{$:/language/Buttons/MonoLine/Hint}}"
},
"$:/config/ShortcutInfo/picture": {
"title": "$:/config/ShortcutInfo/picture",
"text": "{{$:/language/Buttons/Picture/Hint}}"
},
"$:/config/ShortcutInfo/preview": {
"title": "$:/config/ShortcutInfo/preview",
"text": "{{$:/language/Buttons/Preview/Hint}}"
},
"$:/config/ShortcutInfo/quote": {
"title": "$:/config/ShortcutInfo/quote",
"text": "{{$:/language/Buttons/Quote/Hint}}"
},
"$:/config/ShortcutInfo/save-tiddler": {
"title": "$:/config/ShortcutInfo/save-tiddler",
"text": "{{$:/language/Buttons/Save/Hint}}"
},
"$:/config/ShortcutInfo/stamp": {
"title": "$:/config/ShortcutInfo/stamp",
"text": "{{$:/language/Buttons/Stamp/Hint}}"
},
"$:/config/ShortcutInfo/strikethrough": {
"title": "$:/config/ShortcutInfo/strikethrough",
"text": "{{$:/language/Buttons/Strikethrough/Hint}}"
},
"$:/config/ShortcutInfo/subscript": {
"title": "$:/config/ShortcutInfo/subscript",
"text": "{{$:/language/Buttons/Subscript/Hint}}"
},
"$:/config/ShortcutInfo/superscript": {
"title": "$:/config/ShortcutInfo/superscript",
"text": "{{$:/language/Buttons/Superscript/Hint}}"
},
"$:/config/ShortcutInfo/underline": {
"title": "$:/config/ShortcutInfo/underline",
"text": "{{$:/language/Buttons/Underline/Hint}}"
},
"$:/config/SyncFilter": {
"title": "$:/config/SyncFilter",
"text": "[is[tiddler]] -[[$:/HistoryList]] -[[$:/Import]] -[[$:/isEncrypted]] -[prefix[$:/status/]] -[prefix[$:/state/]] -[prefix[$:/temp/]]"
},
"$:/config/Tags/MinLength": {
"title": "$:/config/Tags/MinLength",
"text": "0"
},
"$:/config/TextEditor/EditorHeight/Height": {
"title": "$:/config/TextEditor/EditorHeight/Height",
"text": "400px"
},
"$:/config/TextEditor/EditorHeight/Mode": {
"title": "$:/config/TextEditor/EditorHeight/Mode",
"text": "auto"
},
"$:/config/TiddlerInfo/Default": {
"title": "$:/config/TiddlerInfo/Default",
"text": "$:/core/ui/TiddlerInfo/Fields"
},
"$:/config/TiddlerInfo/Mode": {
"title": "$:/config/TiddlerInfo/Mode",
"text": "popup"
},
"$:/config/Tiddlers/TitleLinks": {
"title": "$:/config/Tiddlers/TitleLinks",
"text": "no"
},
"$:/config/Toolbar/ButtonClass": {
"title": "$:/config/Toolbar/ButtonClass",
"text": "tc-btn-invisible"
},
"$:/config/Toolbar/Icons": {
"title": "$:/config/Toolbar/Icons",
"text": "yes"
},
"$:/config/Toolbar/Text": {
"title": "$:/config/Toolbar/Text",
"text": "no"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/clone": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/clone",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/close-others": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/close-others",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/export-tiddler": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/export-tiddler",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/info": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/info",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/more-tiddler-actions": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/more-tiddler-actions",
"text": "show"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-here": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-here",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-journal-here": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-journal-here",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/open-window": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/open-window",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permalink": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permalink",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permaview": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permaview",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/delete": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/delete",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-others": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-others",
"text": "hide"
},
"$:/config/shortcuts-mac/bold": {
"title": "$:/config/shortcuts-mac/bold",
"text": "meta-B"
},
"$:/config/shortcuts-mac/italic": {
"title": "$:/config/shortcuts-mac/italic",
"text": "meta-I"
},
"$:/config/shortcuts-mac/underline": {
"title": "$:/config/shortcuts-mac/underline",
"text": "meta-U"
},
"$:/config/shortcuts-not-mac/bold": {
"title": "$:/config/shortcuts-not-mac/bold",
"text": "ctrl-B"
},
"$:/config/shortcuts-not-mac/italic": {
"title": "$:/config/shortcuts-not-mac/italic",
"text": "ctrl-I"
},
"$:/config/shortcuts-not-mac/underline": {
"title": "$:/config/shortcuts-not-mac/underline",
"text": "ctrl-U"
},
"$:/config/shortcuts/cancel-edit-tiddler": {
"title": "$:/config/shortcuts/cancel-edit-tiddler",
"text": "escape"
},
"$:/config/shortcuts/excise": {
"title": "$:/config/shortcuts/excise",
"text": "ctrl-E"
},
"$:/config/shortcuts/heading-1": {
"title": "$:/config/shortcuts/heading-1",
"text": "ctrl-1"
},
"$:/config/shortcuts/heading-2": {
"title": "$:/config/shortcuts/heading-2",
"text": "ctrl-2"
},
"$:/config/shortcuts/heading-3": {
"title": "$:/config/shortcuts/heading-3",
"text": "ctrl-3"
},
"$:/config/shortcuts/heading-4": {
"title": "$:/config/shortcuts/heading-4",
"text": "ctrl-4"
},
"$:/config/shortcuts/heading-5": {
"title": "$:/config/shortcuts/heading-5",
"text": "ctrl-5"
},
"$:/config/shortcuts/heading-6": {
"title": "$:/config/shortcuts/heading-6",
"text": "ctrl-6"
},
"$:/config/shortcuts/link": {
"title": "$:/config/shortcuts/link",
"text": "ctrl-L"
},
"$:/config/shortcuts/linkify": {
"title": "$:/config/shortcuts/linkify",
"text": "alt-shift-L"
},
"$:/config/shortcuts/list-bullet": {
"title": "$:/config/shortcuts/list-bullet",
"text": "ctrl-shift-L"
},
"$:/config/shortcuts/list-number": {
"title": "$:/config/shortcuts/list-number",
"text": "ctrl-shift-N"
},
"$:/config/shortcuts/mono-block": {
"title": "$:/config/shortcuts/mono-block",
"text": "ctrl-shift-M"
},
"$:/config/shortcuts/mono-line": {
"title": "$:/config/shortcuts/mono-line",
"text": "ctrl-M"
},
"$:/config/shortcuts/picture": {
"title": "$:/config/shortcuts/picture",
"text": "ctrl-shift-I"
},
"$:/config/shortcuts/preview": {
"title": "$:/config/shortcuts/preview",
"text": "alt-P"
},
"$:/config/shortcuts/quote": {
"title": "$:/config/shortcuts/quote",
"text": "ctrl-Q"
},
"$:/config/shortcuts/save-tiddler": {
"title": "$:/config/shortcuts/save-tiddler",
"text": "ctrl+enter"
},
"$:/config/shortcuts/stamp": {
"title": "$:/config/shortcuts/stamp",
"text": "ctrl-S"
},
"$:/config/shortcuts/strikethrough": {
"title": "$:/config/shortcuts/strikethrough",
"text": "ctrl-T"
},
"$:/config/shortcuts/subscript": {
"title": "$:/config/shortcuts/subscript",
"text": "ctrl-shift-B"
},
"$:/config/shortcuts/superscript": {
"title": "$:/config/shortcuts/superscript",
"text": "ctrl-shift-P"
},
"$:/config/shortcuts/transcludify": {
"title": "$:/config/shortcuts/transcludify",
"text": "alt-shift-T"
},
"$:/config/WikiParserRules/Inline/wikilink": {
"title": "$:/config/WikiParserRules/Inline/wikilink",
"text": "enable"
},
"$:/snippets/currpalettepreview": {
"title": "$:/snippets/currpalettepreview",
"text": "\\define swatchStyle()\nbackground-color: $(swatchColour)$;\n\\end\n\\define swatch()\n<$set name=\"swatchColour\" value={{##$(colour)$}}\n><div class=\"tc-swatch\" style=<<swatchStyle>> title=<<colour>>/></$set>\n\\end\n<div class=\"tc-swatches-horiz\"><$list filter=\"\nforeground\nbackground\nmuted-foreground\nprimary\npage-background\ntab-background\ntiddler-info-background\n\" variable=\"colour\"><<swatch>></$list></div>"
},
"$:/snippets/download-wiki-button": {
"title": "$:/snippets/download-wiki-button",
"text": "\\define lingo-base() $:/language/ControlPanel/Tools/Download/\n<$button class=\"tc-btn-big-green\">\n<$action-sendmessage $message=\"tm-download-file\" $param=\"$:/core/save/all\" filename=\"index.html\"/>\n<<lingo Full/Caption>> {{$:/core/images/save-button}}\n</$button>"
},
"$:/language": {
"title": "$:/language",
"text": "$:/languages/en-GB"
},
"$:/snippets/languageswitcher": {
"title": "$:/snippets/languageswitcher",
"text": "\\define flag-title()\n$(languagePluginTitle)$/icon\n\\end\n\n<$linkcatcher to=\"$:/language\">\n<div class=\"tc-chooser tc-language-chooser\">\n<$list filter=\"[[$:/languages/en-GB]] [plugin-type[language]sort[description]]\">\n<$set name=\"cls\" filter=\"[all[current]field:title{$:/language}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>>\n<$link>\n<span class=\"tc-image-button\">\n<$set name=\"languagePluginTitle\" value=<<currentTiddler>>>\n<$transclude subtiddler=<<flag-title>>>\n<$list filter=\"[all[current]field:title[$:/languages/en-GB]]\">\n<$transclude tiddler=\"$:/languages/en-GB/icon\"/>\n</$list>\n</$transclude>\n</$set>\n</span>\n<$view field=\"description\">\n<$view field=\"name\">\n<$view field=\"title\"/>\n</$view>\n</$view>\n</$link>\n</div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
},
"$:/core/macros/CSS": {
"title": "$:/core/macros/CSS",
"tags": "$:/tags/Macro",
"text": "\\define colour(name)\n<$transclude tiddler={{$:/palette}} index=\"$name$\"><$transclude tiddler=\"$:/palettes/Vanilla\" index=\"$name$\"/></$transclude>\n\\end\n\n\\define color(name)\n<<colour $name$>>\n\\end\n\n\\define box-shadow(shadow)\n``\n -webkit-box-shadow: $shadow$;\n -moz-box-shadow: $shadow$;\n box-shadow: $shadow$;\n``\n\\end\n\n\\define filter(filter)\n``\n -webkit-filter: $filter$;\n -moz-filter: $filter$;\n filter: $filter$;\n``\n\\end\n\n\\define transition(transition)\n``\n -webkit-transition: $transition$;\n -moz-transition: $transition$;\n transition: $transition$;\n``\n\\end\n\n\\define transform-origin(origin)\n``\n -webkit-transform-origin: $origin$;\n -moz-transform-origin: $origin$;\n transform-origin: $origin$;\n``\n\\end\n\n\\define background-linear-gradient(gradient)\n``\nbackground-image: linear-gradient($gradient$);\nbackground-image: -o-linear-gradient($gradient$);\nbackground-image: -moz-linear-gradient($gradient$);\nbackground-image: -webkit-linear-gradient($gradient$);\nbackground-image: -ms-linear-gradient($gradient$);\n``\n\\end\n\n\\define column-count(columns)\n``\n-moz-column-count: $columns$;\n-webkit-column-count: $columns$;\ncolumn-count: $columns$;\n``\n\\end\n\n\\define datauri(title)\n<$macrocall $name=\"makedatauri\" type={{$title$!!type}} text={{$title$}}/>\n\\end\n\n\\define if-sidebar(text)\n<$reveal state=\"$:/state/sidebar\" type=\"match\" text=\"yes\" default=\"yes\">$text$</$reveal>\n\\end\n\n\\define if-no-sidebar(text)\n<$reveal state=\"$:/state/sidebar\" type=\"nomatch\" text=\"yes\" default=\"yes\">$text$</$reveal>\n\\end\n\n\\define if-background-attachment(text)\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\" type=\"nomatch\" text=\"\">$text$</$reveal>\n\\end\n"
},
"$:/core/macros/colour-picker": {
"title": "$:/core/macros/colour-picker",
"tags": "$:/tags/Macro",
"text": "\\define colour-picker-update-recent()\n<$action-listops\n\t$tiddler=\"$:/config/ColourPicker/Recent\"\n\t$subfilter=\"$(colour-picker-value)$ [list[$:/config/ColourPicker/Recent]remove[$(colour-picker-value)$]] +[limit[8]]\"\n/>\n\\end\n\n\\define colour-picker-inner(actions)\n<$button tag=\"a\" tooltip=\"\"\"$(colour-picker-value)$\"\"\">\n\n$(colour-picker-update-recent)$\n\n$actions$\n\n<div style=\"background-color: $(colour-picker-value)$; width: 100%; height: 100%; border-radius: 50%;\"/>\n\n</$button>\n\\end\n\n\\define colour-picker-recent-inner(actions)\n<$set name=\"colour-picker-value\" value=\"$(recentColour)$\">\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$set>\n\\end\n\n\\define colour-picker-recent(actions)\n{{$:/language/ColourPicker/Recent}} <$list filter=\"[list[$:/config/ColourPicker/Recent]]\" variable=\"recentColour\">\n<$macrocall $name=\"colour-picker-recent-inner\" actions=\"\"\"$actions$\"\"\"/></$list>\n\\end\n\n\\define colour-picker(actions)\n<div class=\"tc-colour-chooser\">\n\n<$macrocall $name=\"colour-picker-recent\" actions=\"\"\"$actions$\"\"\"/>\n\n---\n\n<$list filter=\"LightPink Pink Crimson LavenderBlush PaleVioletRed HotPink DeepPink MediumVioletRed Orchid Thistle Plum Violet Magenta Fuchsia DarkMagenta Purple MediumOrchid DarkViolet DarkOrchid Indigo BlueViolet MediumPurple MediumSlateBlue SlateBlue DarkSlateBlue Lavender GhostWhite Blue MediumBlue MidnightBlue DarkBlue Navy RoyalBlue CornflowerBlue LightSteelBlue LightSlateGrey SlateGrey DodgerBlue AliceBlue SteelBlue LightSkyBlue SkyBlue DeepSkyBlue LightBlue PowderBlue CadetBlue Azure LightCyan PaleTurquoise Cyan Aqua DarkTurquoise DarkSlateGrey DarkCyan Teal MediumTurquoise LightSeaGreen Turquoise Aquamarine MediumAquamarine MediumSpringGreen MintCream SpringGreen MediumSeaGreen SeaGreen Honeydew LightGreen PaleGreen DarkSeaGreen LimeGreen Lime ForestGreen Green DarkGreen Chartreuse LawnGreen GreenYellow DarkOliveGreen YellowGreen OliveDrab Beige LightGoldenrodYellow Ivory LightYellow Yellow Olive DarkKhaki LemonChiffon PaleGoldenrod Khaki Gold Cornsilk Goldenrod DarkGoldenrod FloralWhite OldLace Wheat Moccasin Orange PapayaWhip BlanchedAlmond NavajoWhite AntiqueWhite Tan BurlyWood Bisque DarkOrange Linen Peru PeachPuff SandyBrown Chocolate SaddleBrown Seashell Sienna LightSalmon Coral OrangeRed DarkSalmon Tomato MistyRose Salmon Snow LightCoral RosyBrown IndianRed Red Brown FireBrick DarkRed Maroon White WhiteSmoke Gainsboro LightGrey Silver DarkGrey Grey DimGrey Black\" variable=\"colour-picker-value\">\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$list>\n\n---\n\n<$edit-text tiddler=\"$:/config/ColourPicker/New\" tag=\"input\" default=\"\" placeholder=\"\"/> \n<$edit-text tiddler=\"$:/config/ColourPicker/New\" type=\"color\" tag=\"input\"/>\n<$set name=\"colour-picker-value\" value={{$:/config/ColourPicker/New}}>\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$set>\n\n</div>\n\n\\end\n"
},
"$:/core/macros/copy-to-clipboard": {
"title": "$:/core/macros/copy-to-clipboard",
"tags": "$:/tags/Macro",
"text": "\\define copy-to-clipboard(src,class:\"tc-btn-invisible\",style)\n<$button class=<<__class__>> style=<<__style__>> message=\"tm-copy-to-clipboard\" param=<<__src__>> tooltip={{$:/language/Buttons/CopyToClipboard/Hint}}>\n{{$:/core/images/copy-clipboard}} <$text text={{$:/language/Buttons/CopyToClipboard/Caption}}/>\n</$button>\n\\end\n\n\\define copy-to-clipboard-above-right(src,class:\"tc-btn-invisible\",style)\n<div style=\"position: relative;\">\n<div style=\"position: absolute; bottom: 0; right: 0;\">\n<$macrocall $name=\"copy-to-clipboard\" src=<<__src__>> class=<<__class__>> style=<<__style__>>/>\n</div>\n</div>\n\\end\n\n"
},
"$:/core/macros/diff": {
"title": "$:/core/macros/diff",
"tags": "$:/tags/Macro",
"text": "\\define compareTiddlerText(sourceTiddlerTitle,sourceSubTiddlerTitle,destTiddlerTitle,destSubTiddlerTitle)\n<$set name=\"source\" tiddler=<<__sourceTiddlerTitle__>> subtiddler=<<__sourceSubTiddlerTitle__>>>\n<$set name=\"dest\" tiddler=<<__destTiddlerTitle__>> subtiddler=<<__destSubTiddlerTitle__>>>\n<$diff-text source=<<source>> dest=<<dest>>/>\n</$set>\n</$set>\n\\end\n\n\\define compareTiddlers(sourceTiddlerTitle,sourceSubTiddlerTitle,destTiddlerTitle,destSubTiddlerTitle,exclude)\n<table class=\"tc-diff-tiddlers\">\n<tbody>\n<$set name=\"sourceFields\" filter=\"[<__sourceTiddlerTitle__>fields[]sort[]]\">\n<$set name=\"destFields\" filter=\"[<__destSubTiddlerTitle__>subtiddlerfields<__destTiddlerTitle__>sort[]]\">\n<$list filter=\"[enlist<sourceFields>] [enlist<destFields>] -[enlist<__exclude__>] +[sort[]]\" variable=\"fieldName\">\n<tr>\n<th>\n<$text text=<<fieldName>>/> \n</th>\n<td>\n<$set name=\"source\" tiddler=<<__sourceTiddlerTitle__>> subtiddler=<<__sourceSubTiddlerTitle__>> field=<<fieldName>>>\n<$set name=\"dest\" tiddler=<<__destTiddlerTitle__>> subtiddler=<<__destSubTiddlerTitle__>> field=<<fieldName>>>\n<$diff-text source=<<source>> dest=<<dest>>>\n</$diff-text>\n</$set>\n</$set>\n</td>\n</tr>\n</$list>\n</$set>\n</$set>\n</tbody>\n</table>\n\\end\n"
},
"$:/core/macros/export": {
"title": "$:/core/macros/export",
"tags": "$:/tags/Macro",
"text": "\\define exportButtonFilename(baseFilename)\n$baseFilename$$(extension)$\n\\end\n\n\\define exportButton(exportFilter:\"[!is[system]sort[title]]\",lingoBase,baseFilename:\"tiddlers\")\n<span class=\"tc-popup-keep\"><$button popup=<<qualify \"$:/state/popup/export\">> tooltip={{$lingoBase$Hint}} aria-label={{$lingoBase$Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/export-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$lingoBase$Caption}}/></span>\n</$list>\n</$button></span><$reveal state=<<qualify \"$:/state/popup/export\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Exporter]]\">\n<$set name=\"extension\" value={{!!extension}}>\n<$button class=\"tc-btn-invisible\">\n<$action-sendmessage $message=\"tm-download-file\" $param=<<currentTiddler>> exportFilter=\"\"\"$exportFilter$\"\"\" filename=<<exportButtonFilename \"\"\"$baseFilename$\"\"\">>/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/popup/export\">>/>\n<$transclude field=\"description\"/>\n</$button>\n</$set>\n</$list>\n</div>\n</$reveal>\n\\end\n"
},
"$:/core/macros/image-picker": {
"title": "$:/core/macros/image-picker",
"created": "20170715180840889",
"modified": "20170715180914005",
"tags": "$:/tags/Macro",
"type": "text/vnd.tiddlywiki",
"text": "\\define image-picker-thumbnail(actions)\n<$button tag=\"a\" tooltip=\"\"\"$(imageTitle)$\"\"\">\n$actions$\n<$transclude tiddler=<<imageTitle>>/>\n</$button>\n\\end\n\n\\define image-picker-list(filter,actions)\n<$list filter=\"\"\"$filter$\"\"\" variable=\"imageTitle\">\n<$macrocall $name=\"image-picker-thumbnail\" actions=\"\"\"$actions$\"\"\"/>\n</$list>\n\\end\n\n\\define image-picker(actions,filter:\"[all[shadows+tiddlers]is[image]] -[type[application/pdf]] +[!has[draft.of]$subfilter$sort[title]]\",subfilter:\"\")\n<div class=\"tc-image-chooser\">\n<$vars state-system=<<qualify \"$:/state/image-picker/system\">>>\n<$checkbox tiddler=<<state-system>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"hide\">\n{{$:/language/SystemTiddlers/Include/Prompt}}\n</$checkbox>\n<$reveal state=<<state-system>> type=\"match\" text=\"hide\" default=\"hide\" tag=\"div\">\n<$macrocall $name=\"image-picker-list\" filter=\"\"\"$filter$ +[!is[system]]\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</$reveal>\n<$reveal state=<<state-system>> type=\"nomatch\" text=\"hide\" default=\"hide\" tag=\"div\">\n<$macrocall $name=\"image-picker-list\" filter=\"\"\"$filter$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</$reveal>\n</$vars>\n</div>\n\\end\n\n\\define image-picker-include-tagged-images(actions)\n<$macrocall $name=\"image-picker\" filter=\"[all[shadows+tiddlers]is[image]] [all[shadows+tiddlers]tag[$:/tags/Image]] -[type[application/pdf]] +[!has[draft.of]sort[title]]\" actions=\"\"\"$actions$\"\"\"/>\n\\end\n"
},
"$:/core/macros/lingo": {
"title": "$:/core/macros/lingo",
"tags": "$:/tags/Macro",
"text": "\\define lingo-base()\n$:/language/\n\\end\n\n\\define lingo(title)\n{{$(lingo-base)$$title$}}\n\\end\n"
},
"$:/core/macros/list": {
"title": "$:/core/macros/list",
"tags": "$:/tags/Macro",
"text": "\\define list-links(filter,type:\"ul\",subtype:\"li\",class:\"\",emptyMessage)\n<$type$ class=\"$class$\">\n<$list filter=\"$filter$\" emptyMessage=<<__emptyMessage__>>>\n<$subtype$>\n<$link to={{!!title}}>\n<$transclude field=\"caption\">\n<$view field=\"title\"/>\n</$transclude>\n</$link>\n</$subtype$>\n</$list>\n</$type$>\n\\end\n\n\\define list-links-draggable-drop-actions()\n<$action-listops $tiddler=<<targetTiddler>> $field=<<targetField>> $subfilter=\"+[insertbefore:currentTiddler<actionTiddler>]\"/>\n\\end\n\n\\define list-links-draggable(tiddler,field:\"list\",type:\"ul\",subtype:\"li\",class:\"\",itemTemplate)\n<$vars targetTiddler=\"\"\"$tiddler$\"\"\" targetField=\"\"\"$field$\"\"\">\n<$type$ class=\"$class$\">\n<$list filter=\"[list[$tiddler$!!$field$]]\">\n<$droppable actions=<<list-links-draggable-drop-actions>> tag=\"\"\"$subtype$\"\"\">\n<div class=\"tc-droppable-placeholder\">\n \n</div>\n<div>\n<$transclude tiddler=\"\"\"$itemTemplate$\"\"\">\n<$link to={{!!title}}>\n<$transclude field=\"caption\">\n<$view field=\"title\"/>\n</$transclude>\n</$link>\n</$transclude>\n</div>\n</$droppable>\n</$list>\n</$type$>\n<$tiddler tiddler=\"\">\n<$droppable actions=<<list-links-draggable-drop-actions>> tag=\"div\">\n<div class=\"tc-droppable-placeholder\">\n \n</div>\n<div style=\"height:0.5em;\"/>\n</$droppable>\n</$tiddler>\n</$vars>\n\\end\n\n\\define list-tagged-draggable-drop-actions()\n<!-- Save the current ordering of the tiddlers with this tag -->\n<$set name=\"order\" filter=\"[<tag>tagging[]]\">\n<!-- Remove any list-after or list-before fields from the tiddlers with this tag -->\n<$list filter=\"[<tag>tagging[]]\">\n<$action-deletefield $field=\"list-before\"/>\n<$action-deletefield $field=\"list-after\"/>\n</$list>\n<!-- Assign the list field of the tag with the current ordering -->\n<$action-setfield $tiddler=<<tag>> $field=\"list\" $value=<<order>>/>\n<!-- Add the newly inserted item to the list -->\n<$action-listops $tiddler=<<tag>> $field=\"list\" $subfilter=\"+[insertbefore:currentTiddler<actionTiddler>]\"/>\n<!-- Make sure the newly added item has the right tag -->\n<$action-listops $tiddler=<<actionTiddler>> $tags=\"[<tag>]\"/>\n</$set>\n\\end\n\n\\define list-tagged-draggable(tag,subFilter,emptyMessage,itemTemplate,elementTag:\"div\")\n<$set name=\"tag\" value=\"\"\"$tag$\"\"\">\n<$list filter=\"[<tag>tagging[]$subFilter$]\" emptyMessage=<<__emptyMessage__>>>\n<$elementTag$ class=\"tc-menu-list-item\">\n<$droppable actions=<<list-tagged-draggable-drop-actions>>>\n<$elementTag$ class=\"tc-droppable-placeholder\">\n \n</$elementTag$>\n<$elementTag$>\n<$transclude tiddler=\"\"\"$itemTemplate$\"\"\">\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</$transclude>\n</$elementTag$>\n</$droppable>\n</$elementTag$>\n</$list>\n<$tiddler tiddler=\"\">\n<$droppable actions=<<list-tagged-draggable-drop-actions>>>\n<$elementTag$ class=\"tc-droppable-placeholder\">\n \n</$elementTag$>\n<$elementTag$ style=\"height:0.5em;\">\n</$elementTag$>\n</$droppable>\n</$tiddler>\n</$set>\n\\end\n"
},
"$:/core/macros/tabs": {
"title": "$:/core/macros/tabs",
"tags": "$:/tags/Macro",
"text": "\\define tabs(tabsList,default,state:\"$:/state/tab\",class,template,buttonTemplate,retain)\n<div class=\"tc-tab-set $class$\">\n<div class=\"tc-tab-buttons $class$\">\n<$list filter=\"$tabsList$\" variable=\"currentTab\"><$set name=\"save-currentTiddler\" value=<<currentTiddler>>><$tiddler tiddler=<<currentTab>>><$button set=<<qualify \"$state$\">> setTo=<<currentTab>> default=\"$default$\" selectedClass=\"tc-tab-selected\" tooltip={{!!tooltip}}>\n<$tiddler tiddler=<<save-currentTiddler>>>\n<$set name=\"tv-wikilinks\" value=\"no\">\n<$transclude tiddler=\"$buttonTemplate$\" mode=\"inline\">\n<$transclude tiddler=<<currentTab>> field=\"caption\">\n<$macrocall $name=\"currentTab\" $type=\"text/plain\" $output=\"text/plain\"/>\n</$transclude>\n</$transclude>\n</$set></$tiddler></$button></$tiddler></$set></$list>\n</div>\n<div class=\"tc-tab-divider $class$\"/>\n<div class=\"tc-tab-content $class$\">\n<$list filter=\"$tabsList$\" variable=\"currentTab\">\n\n<$reveal type=\"match\" state=<<qualify \"$state$\">> text=<<currentTab>> default=\"$default$\" retain=\"\"\"$retain$\"\"\">\n\n<$transclude tiddler=\"$template$\" mode=\"block\">\n\n<$transclude tiddler=<<currentTab>> mode=\"block\"/>\n\n</$transclude>\n\n</$reveal>\n\n</$list>\n</div>\n</div>\n\\end\n"
},
"$:/core/macros/tag-picker": {
"title": "$:/core/macros/tag-picker",
"tags": "$:/tags/Macro",
"text": "\\define add-tag-actions()\n<$action-sendmessage $message=\"tm-add-tag\" $param={{$:/temp/NewTagName}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n\\end\n\n\\define add-tag-actions()\n<$action-sendmessage $message=\"tm-add-tag\" $param={{$:/temp/NewTagName}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n\\end\n\n\\define tag-button()\n<$button class=\"tc-btn-invisible\" tag=\"a\">\n$(actions)$\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n<$macrocall $name=\"tag-pill\" tag=<<tag>>/>\n</$button>\n\\end\n\n\\define tag-picker(actions)\n<$set name=\"actions\" value=\"\"\"$actions$\"\"\">\n<div class=\"tc-edit-add-tag\">\n<span class=\"tc-add-tag-name\">\n<$keyboard key=\"ENTER\" actions=<<add-tag-actions>>>\n<$edit-text tiddler=\"$:/temp/NewTagName\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Tags/Add/Placeholder}} focusPopup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-edit-texteditor tc-popup-handle\"/>\n</$keyboard>\n</span> <$button popup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-btn-invisible\" tooltip={{$:/language/EditTemplate/Tags/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Tags/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button> <span class=\"tc-add-tag-button\">\n<$set name=\"tag\" value={{$:/temp/NewTagName}}>\n<$button set=\"$:/temp/NewTagName\" setTo=\"\" class=\"\">\n$actions$\n<$action-deletetiddler $tiddler=\"$:/temp/NewTagName\"/>\n{{$:/language/EditTemplate/Tags/Add/Button}}\n</$button>\n</$set>\n</span>\n</div>\n<div class=\"tc-block-dropdown-wrapper\">\n<$reveal state=<<qualify \"$:/state/popup/tags-auto-complete\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown\">\n<$list filter=\"[{$:/temp/NewTagName}minlength{$:/config/Tags/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$list filter=\"[tags[]!is[system]search:title{$:/temp/NewTagName}sort[]]\" variable=\"tag\">\n<<tag-button>>\n</$list></$list>\n<hr>\n<$list filter=\"[{$:/temp/NewTagName}minlength{$:/config/Tags/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$list filter=\"[tags[]is[system]search:title{$:/temp/NewTagName}sort[]]\" variable=\"tag\">\n<<tag-button>>\n</$list></$list>\n</div>\n</$reveal>\n</div>\n</$set>\n\\end\n"
},
"$:/core/macros/tag": {
"title": "$:/core/macros/tag",
"tags": "$:/tags/Macro",
"text": "\\define tag-pill-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-pill-inner(tag,icon,colour,fallbackTarget,colourA,colourB,element-tag,element-attributes,actions)\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<$element-tag$ $element-attributes$ class=\"tc-tag-label tc-btn-invisible\" style=<<tag-pill-styles>>>\n$actions$<$transclude tiddler=\"\"\"$icon$\"\"\"/> <$view tiddler=\"\"\"$tag$\"\"\" field=\"title\" format=\"text\" />\n</$element-tag$>\n</$vars>\n\\end\n\n\\define tag-pill-body(tag,icon,colour,palette,element-tag,element-attributes,actions)\n<$macrocall $name=\"tag-pill-inner\" tag=\"\"\"$tag$\"\"\" icon=\"\"\"$icon$\"\"\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}} element-tag=\"\"\"$element-tag$\"\"\" element-attributes=\"\"\"$element-attributes$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n\\end\n\n\\define tag-pill(tag,element-tag:\"span\",element-attributes:\"\",actions:\"\")\n<span class=\"tc-tag-list-item\">\n<$macrocall $name=\"tag-pill-body\" tag=\"\"\"$tag$\"\"\" icon={{$tag$!!icon}} colour={{$tag$!!color}} palette={{$:/palette}} element-tag=\"\"\"$element-tag$\"\"\" element-attributes=\"\"\"$element-attributes$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</span>\n\\end\n\n\\define tag(tag)\n{{$tag$||$:/core/ui/TagTemplate}}\n\\end\n"
},
"$:/core/macros/thumbnails": {
"title": "$:/core/macros/thumbnails",
"tags": "$:/tags/Macro",
"text": "\\define thumbnail(link,icon,color,background-color,image,caption,width:\"280\",height:\"157\")\n<$link to=\"\"\"$link$\"\"\"><div class=\"tc-thumbnail-wrapper\">\n<div class=\"tc-thumbnail-image\" style=\"width:$width$px;height:$height$px;\"><$reveal type=\"nomatch\" text=\"\" default=\"\"\"$image$\"\"\" tag=\"div\" style=\"width:$width$px;height:$height$px;\">\n[img[$image$]]\n</$reveal><$reveal type=\"match\" text=\"\" default=\"\"\"$image$\"\"\" tag=\"div\" class=\"tc-thumbnail-background\" style=\"width:$width$px;height:$height$px;background-color:$background-color$;\"></$reveal></div><div class=\"tc-thumbnail-icon\" style=\"fill:$color$;color:$color$;\">\n$icon$\n</div><div class=\"tc-thumbnail-caption\">\n$caption$\n</div>\n</div></$link>\n\\end\n\n\\define thumbnail-right(link,icon,color,background-color,image,caption,width:\"280\",height:\"157\")\n<div class=\"tc-thumbnail-right-wrapper\"><<thumbnail \"\"\"$link$\"\"\" \"\"\"$icon$\"\"\" \"\"\"$color$\"\"\" \"\"\"$background-color$\"\"\" \"\"\"$image$\"\"\" \"\"\"$caption$\"\"\" \"\"\"$width$\"\"\" \"\"\"$height$\"\"\">></div>\n\\end\n\n\\define list-thumbnails(filter,width:\"280\",height:\"157\")\n<$list filter=\"\"\"$filter$\"\"\"><$macrocall $name=\"thumbnail\" link={{!!link}} icon={{!!icon}} color={{!!color}} background-color={{!!background-color}} image={{!!image}} caption={{!!caption}} width=\"\"\"$width$\"\"\" height=\"\"\"$height$\"\"\"/></$list>\n\\end\n"
},
"$:/core/macros/timeline": {
"title": "$:/core/macros/timeline",
"created": "20141212105914482",
"modified": "20141212110330815",
"tags": "$:/tags/Macro",
"text": "\\define timeline-title()\n<!-- Override this macro with a global macro \n of the same name if you need to change \n how titles are displayed on the timeline \n -->\n<$view field=\"title\"/>\n\\end\n\\define timeline(limit:\"100\",format:\"DDth MMM YYYY\",subfilter:\"\",dateField:\"modified\")\n<div class=\"tc-timeline\">\n<$list filter=\"[!is[system]$subfilter$has[$dateField$]!sort[$dateField$]limit[$limit$]eachday[$dateField$]]\">\n<div class=\"tc-menu-list-item\">\n<$view field=\"$dateField$\" format=\"date\" template=\"$format$\"/>\n<$list filter=\"[sameday:$dateField${!!$dateField$}!is[system]$subfilter$!sort[$dateField$]]\">\n<div class=\"tc-menu-list-subitem\">\n<$link to={{!!title}}>\n<<timeline-title>>\n</$link>\n</div>\n</$list>\n</div>\n</$list>\n</div>\n\\end\n"
},
"$:/core/macros/toc": {
"title": "$:/core/macros/toc",
"tags": "$:/tags/Macro",
"text": "\\define toc-caption()\n<$set name=\"tv-wikilinks\" value=\"no\">\n <$transclude field=\"caption\">\n <$view field=\"title\"/>\n </$transclude>\n</$set>\n\\end\n\n\\define toc-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<ol class=\"tc-toc\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag[$tag$]!has[draft.of]$sort$] -[[$tag$]] $exclude$\"\"\">\n <$vars item=<<currentTiddler>> path=\"\"\"$path$/$tag$\"\"\" excluded=\"\"\"$exclude$ -[[$tag$]]\"\"\">\n <$set name=\"toc-item-class\" filter=\"\"\"$itemClassFilter$\"\"\" emptyValue=\"toc-item\" value=\"toc-item-selected\">\n <li class=<<toc-item-class>>>\n <$list filter=\"[all[current]toc-link[no]]\" emptyMessage=\"<$link><$view field='caption'><$view field='title'/></$view></$link>\">\n <<toc-caption>>\n </$list>\n <$macrocall $name=\"toc-body\" tag=<<item>> sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=<<excluded>> path=<<path>>/>\n </li>\n </$set>\n </$vars>\n </$list>\n</ol>\n\\end\n\n\\define toc(tag,sort:\"\",itemClassFilter:\" \")\n<<toc-body tag:\"\"\"$tag$\"\"\" sort:\"\"\"$sort$\"\"\" itemClassFilter:\"\"\"$itemClassFilter$\"\"\">>\n\\end\n\n\\define toc-linked-expandable-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<!-- helper function -->\n<$set name=\"toc-state\" value=<<qualify \"\"\"$:/state/toc$path$-$(currentTiddler)$\"\"\">>>\n <$set name=\"toc-item-class\" filter=\"\"\"$itemClassFilter$\"\"\" emptyValue=\"toc-item\" value=\"toc-item-selected\">\n <li class=<<toc-item-class>>>\n <$link>\n <$reveal type=\"nomatch\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n </$button>\n </$reveal>\n <<toc-caption>>\n </$link>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-expandable\" tag=<<currentTiddler>> sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=\"\"\"$exclude$\"\"\" path=\"\"\"$path$\"\"\"/>\n </$reveal>\n </li>\n </$set>\n</$set>\n\\end\n\n\\define toc-unlinked-expandable-body(tag,sort:\"\",itemClassFilter:\" \",exclude,path)\n<!-- helper function -->\n<$set name=\"toc-state\" value=<<qualify \"\"\"$:/state/toc$path$-$(currentTiddler)$\"\"\">>>\n <$set name=\"toc-item-class\" filter=\"\"\"$itemClassFilter$\"\"\" emptyValue=\"toc-item\" value=\"toc-item-selected\">\n <li class=<<toc-item-class>>>\n <$reveal type=\"nomatch\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-expandable\" tag=<<currentTiddler>> sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=\"\"\"$exclude$\"\"\" path=\"\"\"$path$\"\"\"/>\n </$reveal>\n </li>\n </$set>\n</$set>\n\\end\n\n\\define toc-expandable-empty-message()\n<<toc-linked-expandable-body tag:\"\"\"$(tag)$\"\"\" sort:\"\"\"$(sort)$\"\"\" itemClassFilter:\"\"\"$(itemClassFilter)$\"\"\" exclude:\"\"\"$(excluded)$\"\"\" path:\"\"\"$(path)$\"\"\">>\n\\end\n\n\\define toc-expandable(tag,sort:\"\",itemClassFilter:\" \",exclude,path)\n<$vars tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" excluded=\"\"\"$exclude$ -[[$tag$]]\"\"\" path=\"\"\"$path$/$tag$\"\"\">\n <ol class=\"tc-toc toc-expandable\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag[$tag$]!has[draft.of]$sort$] -[[$tag$]] $exclude$\"\"\">\n <$list filter=\"[all[current]toc-link[no]]\" emptyMessage=<<toc-expandable-empty-message>> >\n <$macrocall $name=\"toc-unlinked-expandable-body\" tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"itemClassFilter\"\"\" exclude=<<excluded>> path=<<path>> />\n </$list>\n </$list>\n </ol>\n</$vars>\n\\end\n\n\\define toc-linked-selective-expandable-body(tag,sort:\"\",itemClassFilter:\" \",exclude,path)\n<$set name=\"toc-state\" value=<<qualify \"\"\"$:/state/toc$path$-$(currentTiddler)$\"\"\">>>\n <$set name=\"toc-item-class\" filter=\"\"\"$itemClassFilter$\"\"\" emptyValue=\"toc-item\" value=\"toc-item-selected\" >\n <li class=<<toc-item-class>>>\n <$link>\n <$list filter=\"[all[current]tagging[]limit[1]]\" variable=\"ignore\" emptyMessage=\"<$button class='tc-btn-invisible'>{{$:/core/images/blank}}</$button>\">\n <$reveal type=\"nomatch\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n </$button>\n </$reveal>\n </$list>\n <<toc-caption>>\n </$link>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-selective-expandable\" tag=<<currentTiddler>> sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=\"\"\"$exclude$\"\"\" path=\"\"\"$path$\"\"\"/>\n </$reveal>\n </li>\n </$set>\n</$set>\n\\end\n\n\\define toc-unlinked-selective-expandable-body(tag,sort:\"\",itemClassFilter:\" \",exclude,path)\n<$set name=\"toc-state\" value=<<qualify \"\"\"$:/state/toc$path$-$(currentTiddler)$\"\"\">>>\n <$set name=\"toc-item-class\" filter=\"\"\"$itemClassFilter$\"\"\" emptyValue=\"toc-item\" value=\"toc-item-selected\">\n <li class=<<toc-item-class>>>\n <$list filter=\"[all[current]tagging[]limit[1]]\" variable=\"ignore\" emptyMessage=\"<$button class='tc-btn-invisible'>{{$:/core/images/blank}}</$button> <$view field='caption'><$view field='title'/></$view>\">\n <$reveal type=\"nomatch\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$button set=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n </$list>\n <$reveal type=\"match\" state=<<toc-state>> text=\"open\">\n <$macrocall $name=\"\"\"toc-selective-expandable\"\"\" tag=<<currentTiddler>> sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=\"\"\"$exclude$\"\"\" path=\"\"\"$path$\"\"\"/>\n </$reveal>\n </li>\n </$set>\n</$set>\n\\end\n\n\\define toc-selective-expandable-empty-message()\n<<toc-linked-selective-expandable-body tag:\"\"\"$(tag)$\"\"\" sort:\"\"\"$(sort)$\"\"\" itemClassFilter:\"\"\"$(itemClassFilter)$\"\"\" exclude:\"\"\"$(excluded)$\"\"\" path:\"\"\"$(path)$\"\"\">>\n\\end\n\n\\define toc-selective-expandable(tag,sort:\"\",itemClassFilter,exclude,path)\n<$vars tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" excluded=\"\"\"$exclude$ -[[$tag$]]\"\"\" path=\"\"\"$path$/$tag$\"\"\">\n <ol class=\"tc-toc toc-selective-expandable\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag[$tag$]!has[draft.of]$sort$] -[[$tag$]] $exclude$\"\"\">\n <$list filter=\"[all[current]toc-link[no]]\" variable=\"ignore\" emptyMessage=<<toc-selective-expandable-empty-message>> >\n <$macrocall $name=toc-unlinked-selective-expandable-body tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" itemClassFilter=\"\"\"$itemClassFilter$\"\"\" exclude=<<excluded>> path=<<path>> >\n </$list>\n </$list>\n </ol>\n</$vars>\n\\end\n\n\\define toc-tabbed-selected-item-filter(selectedTiddler)\n[all[current]field:title{$selectedTiddler$}]\n\\end\n\n\\define toc-tabbed-external-nav(tag,sort:\"\",selectedTiddler:\"$:/temp/toc/selectedTiddler\",unselectedText,missingText,template:\"\")\n<$tiddler tiddler={{$selectedTiddler$}}>\n <div class=\"tc-tabbed-table-of-contents\">\n <$linkcatcher to=\"$selectedTiddler$\">\n <div class=\"tc-table-of-contents\">\n <$macrocall $name=\"toc-selective-expandable\" tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" itemClassFilter=<<toc-tabbed-selected-item-filter selectedTiddler:\"\"\"$selectedTiddler$\"\"\">>/>\n </div>\n </$linkcatcher>\n <div class=\"tc-tabbed-table-of-contents-content\">\n <$reveal state=\"\"\"$selectedTiddler$\"\"\" type=\"nomatch\" text=\"\">\n <$transclude mode=\"block\" tiddler=\"$template$\">\n <h1><<toc-caption>></h1>\n <$transclude mode=\"block\">$missingText$</$transclude>\n </$transclude>\n </$reveal>\n <$reveal state=\"\"\"$selectedTiddler$\"\"\" type=\"match\" text=\"\">\n $unselectedText$\n </$reveal>\n </div>\n </div>\n</$tiddler>\n\\end\n\n\\define toc-tabbed-internal-nav(tag,sort:\"\",selectedTiddler:\"$:/temp/toc/selectedTiddler\",unselectedText,missingText,template:\"\")\n<$linkcatcher to=\"\"\"$selectedTiddler$\"\"\">\n <$macrocall $name=\"toc-tabbed-external-nav\" tag=\"\"\"$tag$\"\"\" sort=\"\"\"$sort$\"\"\" selectedTiddler=\"\"\"$selectedTiddler$\"\"\" unselectedText=\"\"\"$unselectedText$\"\"\" missingText=\"\"\"$missingText$\"\"\" template=\"\"\"$template$\"\"\"/>\n</$linkcatcher>\n\\end\n\n"
},
"$:/core/macros/translink": {
"title": "$:/core/macros/translink",
"tags": "$:/tags/Macro",
"text": "\\define translink(title,mode:\"block\")\n<div style=\"border:1px solid #ccc; padding: 0.5em; background: black; foreground; white;\">\n<$link to=\"\"\"$title$\"\"\">\n<$text text=\"\"\"$title$\"\"\"/>\n</$link>\n<div style=\"border:1px solid #ccc; padding: 0.5em; background: white; foreground; black;\">\n<$transclude tiddler=\"\"\"$title$\"\"\" mode=\"$mode$\">\n\"<$text text=\"\"\"$title$\"\"\"/>\" is missing\n</$transclude>\n</div>\n</div>\n\\end\n"
},
"$:/core/macros/tree": {
"title": "$:/core/macros/tree",
"tags": "$:/tags/Macro",
"text": "\\define leaf-node(prefix)\n<li>\n<$list filter=\"\"\"[[$prefix$$(chunk)$]is[shadow]] [[$prefix$$(chunk)$]is[tiddler]] +[removeprefix[$prefix$]] +[limit[1]]\"\"\" \nemptyMessage=\"\"\"<$text text=\"$prefix$$(chunk)$\"/>\"\"\">\n<span>{{$:/core/images/file}}</span> <$link to=\"\"\"$prefix$$(chunk)$\"\"\">\n<$view field=\"title\"/>\n</$link> \n</$list>\n</li>\n\\end\n\n\\define branch-node(prefix)\n<$reveal type=\"nomatch\" text=\"\" default=\"\"\"$(chunk)$\"\"\">\n<li> \n<$list filter=\"\"\"[all[shadows+tiddlers]prefix[$prefix$$(chunk)$]] -[[$prefix$$(chunk)$]] +[limit[1]]\"\"\">\n<$reveal type=\"nomatch\" state=\"\"\"$:/state/tree/$prefix$$(chunk)$\"\"\" text=\"show\">\n<$button set=\"\"\"$:/state/tree/$prefix$$(chunk)$\"\"\" setTo=\"show\" class=\"tc-btn-invisible\">{{$:/core/images/folder}} <$text text=\"\"\"$(chunk)$\"\"\"/></$button>\n</$reveal>\n<$reveal type=\"match\" state=\"\"\"$:/state/tree/$prefix$$(chunk)$\"\"\" text=\"show\">\n<$button set=\"\"\"$:/state/tree/$prefix$$(chunk)$\"\"\" setTo=\"hide\" class=\"tc-btn-invisible\">{{$:/core/images/folder}} <$text text=\"\"\"$(chunk)$\"\"\"/></$button>\n</$reveal>\n</$list>\n<$list filter=\"\"\"[all[shadows+tiddlers]prefix[$prefix$$(chunk)$]] -[[$prefix$$(chunk)$]] +[limit[1]]\"\"\"><span>(<$count filter=\"\"\"[all[shadows+tiddlers]prefix[$prefix$$(chunk)$]] -[[$prefix$$(chunk)$]]\"\"\"/>)</span>\n<$reveal type=\"match\" state=\"\"\"$:/state/tree/$prefix$$(chunk)$\"\"\" text=\"show\">\n<$macrocall $name=\"tree-node\" prefix=\"\"\"$prefix$$(chunk)$\"\"\"/>\n</$reveal>\n</$list>\n</li>\n</$reveal>\n\\end\n\n\\define tree-node(prefix)\n<ol>\n<$list filter=\"[all[shadows+tiddlers]removeprefix[$prefix$]splitbefore[/]sort[title]] +[!suffix[/]]\" variable=\"chunk\">\n<<leaf-node \"\"\"$prefix$\"\"\">>\n</$list>\n<$list filter=\"[all[shadows+tiddlers]removeprefix[$prefix$]splitbefore[/]sort[title]] +[suffix[/]]\" variable=\"chunk\">\n<<branch-node \"\"\"$prefix$\"\"\">>\n</$list>\n</ol>\n\\end\n\n\\define tree(prefix)\n<div class=\"tc-tree\">\n<span><$text text=\"\"\"$prefix$\"\"\"/></span>\n<div>\n<$macrocall $name=\"tree-node\" prefix=\"\"\"$prefix$\"\"\"/>\n</div>\n</div>\n\\end\n"
},
"$:/snippets/minilanguageswitcher": {
"title": "$:/snippets/minilanguageswitcher",
"text": "<$select tiddler=\"$:/language\">\n<$list filter=\"[[$:/languages/en-GB]] [plugin-type[language]sort[title]]\">\n<option value=<<currentTiddler>>><$view field=\"description\"><$view field=\"name\"><$view field=\"title\"/></$view></$view></option>\n</$list>\n</$select>"
},
"$:/snippets/minithemeswitcher": {
"title": "$:/snippets/minithemeswitcher",
"text": "\\define lingo-base() $:/language/ControlPanel/Theme/\n<<lingo Prompt>> <$select tiddler=\"$:/theme\">\n<$list filter=\"[plugin-type[theme]sort[title]]\">\n<option value=<<currentTiddler>>><$view field=\"name\"><$view field=\"title\"/></$view></option>\n</$list>\n</$select>"
},
"$:/snippets/modules": {
"title": "$:/snippets/modules",
"text": "\\define describeModuleType(type)\n{{$:/language/Docs/ModuleTypes/$type$}}\n\\end\n<$list filter=\"[moduletypes[]]\">\n\n!! <$macrocall $name=\"currentTiddler\" $type=\"text/plain\" $output=\"text/plain\"/>\n\n<$macrocall $name=\"describeModuleType\" type=<<currentTiddler>>/>\n\n<ul><$list filter=\"[all[current]modules[]]\"><li><$link><<currentTiddler>></$link>\n</li>\n</$list>\n</ul>\n</$list>\n"
},
"$:/palette": {
"title": "$:/palette",
"text": "$:/palettes/Vanilla"
},
"$:/snippets/paletteeditor": {
"title": "$:/snippets/paletteeditor",
"text": "\\define lingo-base() $:/language/ControlPanel/Palette/Editor/\n\\define describePaletteColour(colour)\n<$transclude tiddler=\"$:/language/Docs/PaletteColours/$colour$\"><$text text=\"$colour$\"/></$transclude>\n\\end\n<$set name=\"currentTiddler\" value={{$:/palette}}>\n\n<<lingo Prompt>> <$link to={{$:/palette}}><$macrocall $name=\"currentTiddler\" $output=\"text/plain\"/></$link>\n\n<$list filter=\"[all[current]is[shadow]is[tiddler]]\" variable=\"listItem\">\n<<lingo Prompt/Modified>>\n<$button message=\"tm-delete-tiddler\" param={{$:/palette}}><<lingo Reset/Caption>></$button>\n</$list>\n\n<$list filter=\"[all[current]is[shadow]!is[tiddler]]\" variable=\"listItem\">\n<<lingo Clone/Prompt>>\n</$list>\n\n<$button message=\"tm-new-tiddler\" param={{$:/palette}}><<lingo Clone/Caption>></$button>\n\n<table>\n<tbody>\n<$list filter=\"[all[current]indexes[]]\" variable=\"colourName\">\n<tr>\n<td>\n''<$macrocall $name=\"describePaletteColour\" colour=<<colourName>>/>''<br/>\n<$macrocall $name=\"colourName\" $output=\"text/plain\"/>\n</td>\n<td>\n<$edit-text index=<<colourName>> tag=\"input\"/>\n<br>\n<$edit-text index=<<colourName>> type=\"color\" tag=\"input\"/>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n</$set>\n"
},
"$:/snippets/palettepreview": {
"title": "$:/snippets/palettepreview",
"text": "<$set name=\"currentTiddler\" value={{$:/palette}}>\n{{||$:/snippets/currpalettepreview}}\n</$set>\n"
},
"$:/snippets/paletteswitcher": {
"title": "$:/snippets/paletteswitcher",
"text": "<$linkcatcher to=\"$:/palette\">\n<div class=\"tc-chooser\"><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Palette]sort[description]]\"><$set name=\"cls\" filter=\"[all[current]prefix{$:/palette}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$view field=\"name\" format=\"text\"/>'' - <$view field=\"description\" format=\"text\"/>{{||$:/snippets/currpalettepreview}}</$link>\n</div></$set>\n</$list>\n</div>\n</$linkcatcher>"
},
"$:/snippets/peek-stylesheets": {
"title": "$:/snippets/peek-stylesheets",
"text": "\\define expandable-stylesheets-list()\n<ol>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<$vars state=<<qualify \"$:/config/peek-stylesheets/open/\">>>\n<$set name=\"state\" value={{{ [<state>addsuffix<currentTiddler>] }}}>\n<li>\n<$reveal type=\"match\" state=<<state>> text=\"yes\" tag=\"span\">\n<$button set=<<state>> setTo=\"no\" class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"nomatch\" state=<<state>> text=\"yes\" tag=\"span\">\n<$button set=<<state>> setTo=\"yes\" class=\"tc-btn-invisible\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$link>\n<$view field=\"title\"/>\n</$link>\n<$reveal type=\"match\" state=<<state>> text=\"yes\" tag=\"div\">\n<$set name=\"source\" tiddler=<<currentTiddler>>>\n<$wikify name=\"styles\" text=<<source>>>\n<pre>\n<code>\n<$text text=<<styles>>/>\n</code>\n</pre>\n</$wikify>\n</$set>\n</$reveal>\n</li>\n</$set>\n</$vars>\n</$list>\n</ol>\n\\end\n\n\\define stylesheets-list()\n<ol>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<li>\n<$link>\n<$view field=\"title\"/>\n</$link>\n<$set name=\"source\" tiddler=<<currentTiddler>>>\n<$wikify name=\"styles\" text=<<source>>>\n<pre>\n<code>\n<$text text=<<styles>>/>\n</code>\n</pre>\n</$wikify>\n</$set>\n</li>\n</$list>\n</ol>\n\\end\n\n<$vars modeState=<<qualify \"$:/config/peek-stylesheets/mode/\">>>\n\n<$reveal type=\"nomatch\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<$button set=<<modeState>> setTo=\"expanded\" class=\"tc-btn-invisible\">{{$:/core/images/chevron-right}} {{$:/language/ControlPanel/Stylesheets/Expand/Caption}}</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<$button set=<<modeState>> setTo=\"restored\" class=\"tc-btn-invisible\">{{$:/core/images/chevron-down}} {{$:/language/ControlPanel/Stylesheets/Restore/Caption}}</$button>\n</$reveal>\n\n<$reveal type=\"nomatch\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<<expandable-stylesheets-list>>\n</$reveal>\n<$reveal type=\"match\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<<stylesheets-list>>\n</$reveal>\n\n</$vars>\n"
},
"$:/temp/search": {
"title": "$:/temp/search",
"text": ""
},
"$:/tags/AdvancedSearch": {
"title": "$:/tags/AdvancedSearch",
"list": "[[$:/core/ui/AdvancedSearch/Standard]] [[$:/core/ui/AdvancedSearch/System]] [[$:/core/ui/AdvancedSearch/Shadows]] [[$:/core/ui/AdvancedSearch/Filter]]"
},
"$:/tags/AdvancedSearch/FilterButton": {
"title": "$:/tags/AdvancedSearch/FilterButton",
"list": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown $:/core/ui/AdvancedSearch/Filter/FilterButtons/clear $:/core/ui/AdvancedSearch/Filter/FilterButtons/export $:/core/ui/AdvancedSearch/Filter/FilterButtons/delete"
},
"$:/tags/ControlPanel": {
"title": "$:/tags/ControlPanel",
"list": "$:/core/ui/ControlPanel/Info $:/core/ui/ControlPanel/Appearance $:/core/ui/ControlPanel/Settings $:/core/ui/ControlPanel/Saving $:/core/ui/ControlPanel/Plugins $:/core/ui/ControlPanel/Tools $:/core/ui/ControlPanel/Internals"
},
"$:/tags/ControlPanel/Info": {
"title": "$:/tags/ControlPanel/Info",
"list": "$:/core/ui/ControlPanel/Basics $:/core/ui/ControlPanel/Advanced"
},
"$:/tags/ControlPanel/Plugins": {
"title": "$:/tags/ControlPanel/Plugins",
"list": "[[$:/core/ui/ControlPanel/Plugins/Installed]] [[$:/core/ui/ControlPanel/Plugins/Add]]"
},
"$:/tags/EditTemplate": {
"title": "$:/tags/EditTemplate",
"list": "[[$:/core/ui/EditTemplate/controls]] [[$:/core/ui/EditTemplate/title]] [[$:/core/ui/EditTemplate/tags]] [[$:/core/ui/EditTemplate/shadow]] [[$:/core/ui/ViewTemplate/classic]] [[$:/core/ui/EditTemplate/body]] [[$:/core/ui/EditTemplate/type]] [[$:/core/ui/EditTemplate/fields]]"
},
"$:/tags/EditToolbar": {
"title": "$:/tags/EditToolbar",
"list": "[[$:/core/ui/Buttons/delete]] [[$:/core/ui/Buttons/cancel]] [[$:/core/ui/Buttons/save]]"
},
"$:/tags/EditorToolbar": {
"title": "$:/tags/EditorToolbar",
"list": "$:/core/ui/EditorToolbar/paint $:/core/ui/EditorToolbar/opacity $:/core/ui/EditorToolbar/line-width $:/core/ui/EditorToolbar/rotate-left $:/core/ui/EditorToolbar/clear $:/core/ui/EditorToolbar/bold $:/core/ui/EditorToolbar/italic $:/core/ui/EditorToolbar/strikethrough $:/core/ui/EditorToolbar/underline $:/core/ui/EditorToolbar/superscript $:/core/ui/EditorToolbar/subscript $:/core/ui/EditorToolbar/mono-line $:/core/ui/EditorToolbar/mono-block $:/core/ui/EditorToolbar/quote $:/core/ui/EditorToolbar/list-bullet $:/core/ui/EditorToolbar/list-number $:/core/ui/EditorToolbar/heading-1 $:/core/ui/EditorToolbar/heading-2 $:/core/ui/EditorToolbar/heading-3 $:/core/ui/EditorToolbar/heading-4 $:/core/ui/EditorToolbar/heading-5 $:/core/ui/EditorToolbar/heading-6 $:/core/ui/EditorToolbar/link $:/core/ui/EditorToolbar/excise $:/core/ui/EditorToolbar/picture $:/core/ui/EditorToolbar/stamp $:/core/ui/EditorToolbar/size $:/core/ui/EditorToolbar/editor-height $:/core/ui/EditorToolbar/more $:/core/ui/EditorToolbar/preview $:/core/ui/EditorToolbar/preview-type"
},
"$:/tags/Manager/ItemMain": {
"title": "$:/tags/Manager/ItemMain",
"list": "$:/Manager/ItemMain/WikifiedText $:/Manager/ItemMain/RawText $:/Manager/ItemMain/Fields"
},
"$:/tags/Manager/ItemSidebar": {
"title": "$:/tags/Manager/ItemSidebar",
"list": "$:/Manager/ItemSidebar/Tags $:/Manager/ItemSidebar/Colour $:/Manager/ItemSidebar/Icon $:/Manager/ItemSidebar/Tools"
},
"$:/tags/MoreSideBar": {
"title": "$:/tags/MoreSideBar",
"list": "[[$:/core/ui/MoreSideBar/All]] [[$:/core/ui/MoreSideBar/Recent]] [[$:/core/ui/MoreSideBar/Tags]] [[$:/core/ui/MoreSideBar/Missing]] [[$:/core/ui/MoreSideBar/Drafts]] [[$:/core/ui/MoreSideBar/Orphans]] [[$:/core/ui/MoreSideBar/Types]] [[$:/core/ui/MoreSideBar/System]] [[$:/core/ui/MoreSideBar/Shadows]] [[$:/core/ui/MoreSideBar/Explorer]] [[$:/core/ui/MoreSideBar/Plugins]]",
"text": ""
},
"$:/tags/PageControls": {
"title": "$:/tags/PageControls",
"list": "[[$:/core/ui/Buttons/home]] [[$:/core/ui/Buttons/close-all]] [[$:/core/ui/Buttons/fold-all]] [[$:/core/ui/Buttons/unfold-all]] [[$:/core/ui/Buttons/permaview]] [[$:/core/ui/Buttons/new-tiddler]] [[$:/core/ui/Buttons/new-journal]] [[$:/core/ui/Buttons/new-image]] [[$:/core/ui/Buttons/import]] [[$:/core/ui/Buttons/export-page]] [[$:/core/ui/Buttons/control-panel]] [[$:/core/ui/Buttons/advanced-search]] [[$:/core/ui/Buttons/manager]] [[$:/core/ui/Buttons/tag-manager]] [[$:/core/ui/Buttons/language]] [[$:/core/ui/Buttons/palette]] [[$:/core/ui/Buttons/theme]] [[$:/core/ui/Buttons/storyview]] [[$:/core/ui/Buttons/encryption]] [[$:/core/ui/Buttons/timestamp]] [[$:/core/ui/Buttons/full-screen]] [[$:/core/ui/Buttons/print]] [[$:/core/ui/Buttons/save-wiki]] [[$:/core/ui/Buttons/refresh]] [[$:/core/ui/Buttons/more-page-actions]]"
},
"$:/tags/PageTemplate": {
"title": "$:/tags/PageTemplate",
"list": "[[$:/core/ui/PageTemplate/topleftbar]] [[$:/core/ui/PageTemplate/toprightbar]] [[$:/core/ui/PageTemplate/sidebar]] [[$:/core/ui/PageTemplate/story]] [[$:/core/ui/PageTemplate/alerts]]",
"text": ""
},
"$:/tags/SideBar": {
"title": "$:/tags/SideBar",
"list": "[[$:/core/ui/SideBar/Open]] [[$:/core/ui/SideBar/Recent]] [[$:/core/ui/SideBar/Tools]] [[$:/core/ui/SideBar/More]]",
"text": ""
},
"$:/tags/TiddlerInfo": {
"title": "$:/tags/TiddlerInfo",
"list": "[[$:/core/ui/TiddlerInfo/Tools]] [[$:/core/ui/TiddlerInfo/References]] [[$:/core/ui/TiddlerInfo/Tagging]] [[$:/core/ui/TiddlerInfo/List]] [[$:/core/ui/TiddlerInfo/Listed]] [[$:/core/ui/TiddlerInfo/Fields]]",
"text": ""
},
"$:/tags/TiddlerInfo/Advanced": {
"title": "$:/tags/TiddlerInfo/Advanced",
"list": "[[$:/core/ui/TiddlerInfo/Advanced/ShadowInfo]] [[$:/core/ui/TiddlerInfo/Advanced/PluginInfo]]"
},
"$:/tags/ViewTemplate": {
"title": "$:/tags/ViewTemplate",
"list": "[[$:/core/ui/ViewTemplate/title]] [[$:/core/ui/ViewTemplate/unfold]] [[$:/core/ui/ViewTemplate/subtitle]] [[$:/core/ui/ViewTemplate/tags]] [[$:/core/ui/ViewTemplate/classic]] [[$:/core/ui/ViewTemplate/body]]"
},
"$:/tags/ViewToolbar": {
"title": "$:/tags/ViewToolbar",
"list": "[[$:/core/ui/Buttons/more-tiddler-actions]] [[$:/core/ui/Buttons/info]] [[$:/core/ui/Buttons/new-here]] [[$:/core/ui/Buttons/new-journal-here]] [[$:/core/ui/Buttons/clone]] [[$:/core/ui/Buttons/export-tiddler]] [[$:/core/ui/Buttons/edit]] [[$:/core/ui/Buttons/delete]] [[$:/core/ui/Buttons/permalink]] [[$:/core/ui/Buttons/permaview]] [[$:/core/ui/Buttons/open-window]] [[$:/core/ui/Buttons/close-others]] [[$:/core/ui/Buttons/close]] [[$:/core/ui/Buttons/fold-others]] [[$:/core/ui/Buttons/fold]]"
},
"$:/snippets/themeswitcher": {
"title": "$:/snippets/themeswitcher",
"text": "<$linkcatcher to=\"$:/theme\">\n<div class=\"tc-chooser\"><$list filter=\"[plugin-type[theme]sort[title]]\"><$set name=\"cls\" filter=\"[all[current]field:title{$:/theme}] [[$:/theme]!has[text]addsuffix[s/tiddlywiki/vanilla]field:title<currentTiddler>] +[limit[1]]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$view field=\"name\" format=\"text\"/>'' <$view field=\"description\" format=\"text\"/></$link></div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
},
"$:/core/wiki/title": {
"title": "$:/core/wiki/title",
"text": "{{$:/SiteTitle}} --- {{$:/SiteSubtitle}}"
},
"$:/view": {
"title": "$:/view",
"text": "classic"
},
"$:/snippets/viewswitcher": {
"title": "$:/snippets/viewswitcher",
"text": "\\define icon()\n$:/core/images/storyview-$(storyview)$\n\\end\n<$linkcatcher to=\"$:/view\">\n<div class=\"tc-chooser\">\n<$list filter=\"[storyviews[]]\" variable=\"storyview\">\n<$set name=\"cls\" filter=\"[<storyview>prefix{$:/view}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>>\n<$link to=<<storyview>>>\n<$transclude tiddler=<<icon>>/>\n<$text text=<<storyview>>/>\n</$link>\n</div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
}
}
}
/*\
title: $:/core/modules/filters/sort_ddmmyyyy.js
type: application/javascript
module-type: filteroperator
Filter operator for sorting
Adds sort for a ddmmyyyy formatted field.
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
/*
Export our filter function
*/
exports.sortddmmyyyy = function(source,operator,options) {
var results = prepare_results(source);
specialsort(results,operator.operand || "title",operator.prefix === "!",false,false);
return results;
};
var prepare_results = function (source) {
var results = [];
source(function(tiddler,title) {
results.push(title);
});
return results;
};
var specialsort = function(titles,sortField,isDescending,isCaseSensitive,isNumeric) {
/* var self = this; */
titles.sort(function(a,b) {
var x,y,
compareNumbers = function(x,y) {
var result =
isNaN(x) && !isNaN(y) ? (isDescending ? -1 : 1) :
!isNaN(x) && isNaN(y) ? (isDescending ? 1 : -1) :
(isDescending ? y - x : x - y);
return result;
};
if(sortField !== "title") {
var tiddlerA = $tw.wiki.getTiddler(a),
tiddlerB = $tw.wiki.getTiddler(b);
if(tiddlerA) {
a = tiddlerA.fields[sortField] || "";
} else {
a = "";
}
if(tiddlerB) {
b = tiddlerB.fields[sortField] || "";
} else {
b = "";
}
}
x = Number(a);
y = Number(b);
if(isNumeric && (!isNaN(x) || !isNaN(y))) {
return compareNumbers(x,y);
} else if($tw.utils.isDate(a) && $tw.utils.isDate(b)) {
return isDescending ? b - a : a - b;
} else {
a = String(a);
b = String(b);
a = a.split(".").reverse().join("");
b = b.split(".").reverse().join("");
return isDescending ? b.localeCompare(a) : a.localeCompare(b);
}
});
};
})();
/*\
title: $:/core/modules/widgets/dropzone.js
type: application/javascript
module-type: widget
Dropzone widget
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
var Widget = require("$:/core/modules/widgets/widget.js").widget;
var DropZoneWidget = function(parseTreeNode,options) {
this.initialise(parseTreeNode,options);
};
/*
Inherit from the base widget class
*/
DropZoneWidget.prototype = new Widget();
/*
Render this widget into the DOM
*/
DropZoneWidget.prototype.render = function(parent,nextSibling) {
var self = this;
// Remember parent
this.parentDomNode = parent;
// Compute attributes and execute state
this.computeAttributes();
this.execute();
// Create element
var domNode = this.document.createElement("div");
domNode.className = "tc-dropzone";
// Add event handlers
$tw.utils.addEventListeners(domNode,[
{name: "dragenter", handlerObject: this, handlerMethod: "handleDragEnterEvent"},
{name: "dragover", handlerObject: this, handlerMethod: "handleDragOverEvent"},
{name: "dragleave", handlerObject: this, handlerMethod: "handleDragLeaveEvent"},
{name: "drop", handlerObject: this, handlerMethod: "handleDropEvent"},
{name: "paste", handlerObject: this, handlerMethod: "handlePasteEvent"}
]);
domNode.addEventListener("click",function (event) {
},false);
// Insert element
parent.insertBefore(domNode,nextSibling);
this.renderChildren(domNode,null);
this.domNodes.push(domNode);
// Stack of outstanding enter/leave events
this.currentlyEntered = [];
};
DropZoneWidget.prototype.enterDrag = function(event) {
if(this.currentlyEntered.indexOf(event.target) === -1) {
this.currentlyEntered.push(event.target);
}
// If we're entering for the first time we need to apply highlighting
$tw.utils.addClass(this.domNodes[0],"tc-dragover");
};
DropZoneWidget.prototype.leaveDrag = function(event) {
var pos = this.currentlyEntered.indexOf(event.target);
if(pos !== -1) {
this.currentlyEntered.splice(pos,1);
}
// Remove highlighting if we're leaving externally
if(this.currentlyEntered.length === 0) {
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
}
};
DropZoneWidget.prototype.handleDragEnterEvent = function(event) {
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
this.enterDrag(event);
// Tell the browser that we're ready to handle the drop
event.preventDefault();
// Tell the browser not to ripple the drag up to any parent drop handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handleDragOverEvent = function(event) {
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
// Tell the browser that we're still interested in the drop
event.preventDefault();
event.dataTransfer.dropEffect = "copy"; // Explicitly show this is a copy
};
DropZoneWidget.prototype.handleDragLeaveEvent = function(event) {
this.leaveDrag(event);
};
DropZoneWidget.prototype.handleDropEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
};
this.leaveDrag(event);
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
var self = this,
dataTransfer = event.dataTransfer;
// Remove highlighting
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
// Import any files in the drop
var numFiles = 0;
if(dataTransfer.files) {
numFiles = this.wiki.readFiles(dataTransfer.files,{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
}
// Try to import the various data types we understand
if(numFiles === 0) {
$tw.utils.importDataTransfer(dataTransfer,this.wiki.generateNewTitle("Untitled"),readFileCallback);
}
// Tell the browser that we handled the drop
event.preventDefault();
// Stop the drop ripple up to any parent handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handlePasteEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
};
// Let the browser handle it if we're in a textarea or input box
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) == -1) {
var self = this,
items = event.clipboardData.items;
// Enumerate the clipboard items
for(var t = 0; t<items.length; t++) {
var item = items[t];
if(item.kind === "file") {
// Import any files
this.wiki.readFile(item.getAsFile(),{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
} else if(item.kind === "string") {
// Create tiddlers from string items
var type = item.type;
item.getAsString(function(str) {
var tiddlerFields = {
title: self.wiki.generateNewTitle("Untitled"),
text: str,
type: type
};
if($tw.log.IMPORT) {
console.log("Importing string '" + str + "', type: '" + type + "'");
}
});
}
}
// Tell the browser that we've handled the paste
event.stopPropagation();
event.preventDefault();
}
};
/*
Compute the internal state of the widget
*/
DropZoneWidget.prototype.execute = function() {
this.dropzoneDeserializer = this.getAttribute("deserializer");
// Make child widgets
this.makeChildWidgets();
};
/*
Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering
*/
DropZoneWidget.prototype.refresh = function(changedTiddlers) {
return this.refreshChildren(changedTiddlers);
};
exports.dropzone = DropZoneWidget;
})();
\whitespace trim
<$button message="tm-edit-tiddler" tooltip={{$:/language/Buttons/Edit/Hint}} aria-label={{$:/language/Buttons/Edit/Caption}} class=<<tv-config-toolbar-class>>>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/edit-button}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text=" "/>
<$text text={{$:/language/Buttons/Edit/Caption}}/>
</span>
</$list>
</$button>
\whitespace trim
\define config-title()
$:/config/ViewToolbarButtons/Visibility/$(listItem)$
\end
<$button popup=<<qualify "$:/state/popup/more">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass="tc-selected">
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/down-arrow}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text=" "/>
<$text text={{$:/language/Buttons/More/Caption}}/>
</span>
</$list>
</$button>
<$reveal state=<<qualify "$:/state/popup/more">> type="popup" position="belowleft" animate="yes">
<div class="tc-drop-down" style="max-width:200px!important; color:red">
<$set name="tv-config-toolbar-icons" value="yes">
<$set name="tv-config-toolbar-text" value="yes">
<$set name="tv-config-toolbar-class" value="tc-btn-invisible">
<$list filter="[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]] -[[$:/core/ui/Buttons/more-tiddler-actions]]" variable="listItem">
<$reveal type="match" state=<<config-title>> text="hide">
<$set name="tv-config-toolbar-class" filter="[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]">
<$transclude tiddler=<<listItem>> mode="inline"/>
</$set>
</$reveal>
</$list>
</$set>
</$set>
</$set>
</div>
</$reveal>
\whitespace trim
\define journalButtonTags()
[[$(currentTiddlerTag)$]] $(journalTags)$
\end
\define journalButton()
<$button tooltip={{$:/language/Buttons/NewJournalHere/Hint}} aria-label={{$:/language/Buttons/NewJournalHere/Caption}} class=<<tv-config-toolbar-class>>>
<$action-sendmessage $message="tm-new-tiddler" title=<<now """$(journalTitleTemplate)$ """>> tags=<<journalButtonTags>>/>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/new-journal-button}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text={{$:/language/Buttons/NewJournalHere/Caption}}/>
</span>
</$list>
</$button>
\end
<$set name="journalTitleTemplate" value={{$:/config/NewJournal/Title}}>
<$set name="journalTags" value={{$:/config/NewJournal/Tags}}>
<$set name="currentTiddlerTag" value=<<currentTiddler>>>
<<journalButton>>
</$set>
</$set>
</$set>
\whitespace trim
<$button message="tm-open-window" tooltip={{$:/language/Buttons/OpenWindow/Hint}} aria-label={{$:/language/Buttons/OpenWindow/Caption}} class=<<tv-config-toolbar-class>>>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/open-window}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text=" "/>
<$text text={{$:/language/Buttons/OpenWindow/Caption}}/>
</span>
</$list>
</$button>
\whitespace trim
<$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Print this entry">
<$action-setfield $tiddler="$:/temp/print/preview" $field="tiddler-title" $value=<<storyTiddler>>/>
<!-- Store map path in 'map-path' field of $:/temp/print/preview for map placeholder -->
<$list filter="[title<storyTiddler>]+[addprefix[$:/plugins/felixhayashi/tiddlymap/graph/views/]]">
<$action-setfield $tiddler="$:/temp/print/preview" $field="map-path" $value={{!!config.background_image}}/>
</$list>
<$action-sendmessage $message="tm-open-window" $param="$:/temp/print/preview"/>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<i class="fas fa-print"></i>
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text=" "/>
<$text text="Print this entry"/>
</span>
</$list>
</$button>
\whitespace trim
<$button tooltip="Print this tiddler" aria-label="print tiddler" class=<<tv-config-toolbar-class>>>
<$action-setfield $tiddler="$:/temp/print/preview" $field="tiddler-title" $value=<<storyTiddler>>/>
<!-- Store map path in 'map-path' field of $:/temp/print/preview for map placeholder -->
<$list filter="[title<storyTiddler>]+[addprefix[$:/plugins/felixhayashi/tiddlymap/graph/views/]]">
<$action-setfield $tiddler="$:/temp/print/preview" $field="map-path" $value={{!!config.background_image}}/>
</$list>
<$action-sendmessage $message="tm-open-window" $param="$:/temp/print/preview"/>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/print-button}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text">
<$text text=" "/>
<$text text="print tiddler"/>
</span>
</$list>
</$button>
<$button message="tm-save-wiki" param={{$:/config/SaveWikiButton/Template}} tooltip={{$:/language/Buttons/SaveWiki/Hint}} aria-label={{$:/language/Buttons/SaveWiki/Caption}} class=<<tv-config-toolbar-class>>>
<span class="tc-dirty-indicator">
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/save-button}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text"><$text text={{$:/language/Buttons/SaveWiki/Caption}}/></span>
</$list>
</span>
</$button>
<$action-sendmessage
$message="tm-edit-text-operation"
$param="wrap-selection"
prefix="[["
suffix="]]"
/>
<$action-sendmessage
$message="tm-edit-text-operation"
$param="replace-selection"
text=<<now "0DD.0MM.YYYY">>
/>
<$action-sendmessage
$message="tm-edit-text-operation"
$param="wrap-selection"
prefix="{{"
suffix="}}"
/>
<$list filter="[title[$:/core/ui/Buttons/edit]tag[$:/tags/ViewToolbar]]">
<$reveal state="$:/state/sidebar" type="nomatch" text="no">
<$button set="$:/state/sidebar" setTo="no" tooltip={{$:/language/Buttons/HideSideBar/Hint}} aria-label={{$:/language/Buttons/HideSideBar/Caption}} class="tc-btn-invisible">{{$:/core/images/chevron-right}}</$button>
</$reveal>
<$reveal state="$:/state/sidebar" type="match" text="no">
<$button set="$:/state/sidebar" setTo="yes" tooltip={{$:/language/Buttons/ShowSideBar/Hint}} aria-label={{$:/language/Buttons/ShowSideBar/Caption}} class="tc-btn-invisible">{{$:/core/images/chevron-left}}</$button>
</$reveal>
</$list>
\define lingo-base() $:/language/Import/
\define buttons()
<$button message="tm-delete-tiddler" param=<<currentTiddler>>><<lingo Listing/Cancel/Caption>></$button>
<$button message="tm-perform-import" param=<<currentTiddler>>><<lingo Listing/Import/Caption>></$button>
<<lingo Listing/Preview>> <$select tiddler="$:/state/importpreviewtype" default="$:/core/ui/ImportPreviews/Text">
<$list filter="[all[shadows+tiddlers]tag[$:/tags/ImportPreview]!has[draft.of]]">
<option value=<<currentTiddler>>>{{!!caption}}</option>
</$list>
</$select>
\end
<$list filter="[all[current]field:plugin-type[import]]">
<div class="tc-import">
<<lingo Listing/Hint>>
<<buttons>>
{{||$:/core/ui/ImportListing}}
<<buttons>>
</div>
</$list>
\define title-styles()
fill:$(foregroundColor)$;
\end
\define config-title()
$:/config/ViewToolbarButtons/Visibility/$(listItem)$
\end
<div class="tc-tiddler-title">
<div class="tc-titlebar">
<span class="tc-tiddler-controls">
<$list filter="[all[current]field:noclosebt[✔]]">
<$list filter="[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]] -[[$:/core/ui/Buttons/close]]" variable="listItem"><$reveal type="nomatch" state=<<config-title>> text="hide"><$transclude tiddler=<<listItem>>/></$reveal></$list>
</$list>
<$list filter="[all[current]!field:noclosebt[✔]]">
<$list filter="[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]]" variable="listItem"><$reveal type="nomatch" state=<<config-title>> text="hide"><$transclude tiddler=<<listItem>>/></$reveal></$list>
</$list>
</span>
<$set name="tv-wikilinks" value={{$:/config/Tiddlers/TitleLinks}}>
<$link>
<$set name="foregroundColor" value={{!!color}}>
<span class="tc-tiddler-title-icon" style=<<title-styles>>>
<$transclude tiddler={{!!icon}}/>
</span>
</$set>
<$list filter="[all[current]removeprefix[$:/]]">
<h2 class="tc-title" title={{$:/language/SystemTiddler/Tooltip}}>
<span class="tc-system-title-prefix">$:/</span><$text text=<<currentTiddler>>/>
</h2>
</$list>
<$list filter="[all[current]!prefix[$:/]]">
<h2 class="tc-title">
<$view field="title"/>
</h2>
</$list>
</$link>
</$set>
</div>
<$reveal type="nomatch" text="" default="" state=<<tiddlerInfoState>> class="tc-tiddler-info tc-popup-handle" animate="yes" retain="yes">
<$transclude tiddler="$:/core/ui/TiddlerInfo"/>
</$reveal>
</div>
[[Interactive Historical Atlas of the Disciplines]]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<body style="background-color:#4A4847; position:relative; padding: 8px; margin: 0px 0px -100px 0px">
<span style="text-align:center; color: #f2f2f2; font-size: calc(8px + 0.4vw);" class="whitelink center"><b>© 2018–<<now YYYY>> Interactive Historical Atlas of the Disciplines</b></span>
<span class="flexcontainer">
<div class="box"><span style="color: #f2f2f2;"></span></div>
<div class="box" style="min-width:80vw"><span style="text-align:center; color: #f2f2f2; font-size: calc(8px + 0.4vw);" class="whitelink">Project launched by Dr Raphaël Sandoz, University of Geneva</span></div>
<div class="box"><span style="color: #f2f2f2; float:right; margin-left:auto; margin-right:0; padding-bottom:5px; padding-right:5px;"><$button class="topbarbutton tc-btn-invisible" style="margin-left:auto; margin-right:0; float:right;" tooltip="Settings"><$action-navigate $to="Settings"/><i class="fas fa-cog"></i></$button></span></div>
</span>
</body>
/* Hide splash screen after loading */
.tc-body { background-image: none; }
/* Hide tags labels */
button.tc-tag-label, span.tc-tag-label { display: none; }
/* Configuration of tiddler's title */
.tc-titlebar h2 { display:block; text-align:center; margin-left: 12.5vw; margin-right: 12.5vw; } /* Center tiddler's title */
/* .tc-titlebar h2 { display:none; } hide tiddler's title */
/* Hide titles of tiddlers tagged 'hide_title' */
.tc-tagged-hide_title .tc-titlebar h2 { display:none; }
/* Customize the font of tiddler's title (responsive) */
.tc-titlebar .tc-title { font-size: calc(12px + 1.8vw); }
/* Customize the font of headings (responsive) */
H2 { font-size: calc(11px + 1vw); }
H3 { font-size: calc(10px + 0.8vw); }
/* Position buttons of map editor's toolbar */
.tmap-menu-bar { margin-top:-35px!important; }
/* Disable outline in selections */
*:focus { outline:none !important; }
/* Tables without borders */
.borderless,
.borderless table,
.borderless td,
.borderless tr,
.borderless th,
.borderless tbody
{ border:0 !important; }
/* Compensating the cell padding */
.cell { margin:-1px -7px; padding:1px 7px; }
/* Flex container with middle element centered */
.flexcontainer { display: flex; }
.box { flex: 1; display: flex; justify-content: center; }
.box:first-child > span { margin-right: auto; }
.box:last-child > span { margin-left: auto; }
/* Hide elements depending on screen size */
@media screen and (min-width: 791px) { #hbig{display:none;} }
@media screen and (max-width: 790px) { #hsmall{display:none;} }
@media screen and (max-width: 420px) { #hminus{display:none;} }
@media screen and (max-width: 550px) { #hmed{display:none;} }
@media screen and (max-width: 1000px) { #scale_big{display:none;} }
@media screen and (min-width: 1000px) { #scale_small{display:none;} }
@media screen and (min-width: 450px) { #scale_med{display:none;} }
/* Hide elements on printed documents (class 'noprint') */
@media print {
.noprint { display:none; }
}
/* Show elements only on printed documents (class 'noscreen') */
@media screen {
.noscreen {display:none;}
}
/* Button zone for the toolbar in the header */
.zonebutton { background-color:#666462; border-radius: 6px; padding-left:0.4em; padding-right:0.4em; padding-top:0.2em; padding-bottom:0.2em; }
.zonebutton:hover { background-color: #A09E9C; }
/* Disable underlines in external links and adjust colors */
a.tc-tiddlylink-external { text-decoration: none; color: #1A1AA2; background-color: inherit; }
a.tc-tiddlylink-external:visited { text-decoration: none; color: #53289e; background-color: inherit; }
a.tc-tiddlylink-external:hover { text-decoration: none; color: #16386a; background-color: inherit; }
/* Disable underlines in internal links */
button.tc-tiddlylink:hover, a.tc-tiddlylink:hover { text-decoration: none; color: #242538; background-color: inherit; }
/* Change outline to color highlight for links and buttons */
button.tc-tiddlylink:focus, a.tc-tiddlylink:focus { outline: 0; border: none; -moz-outline-style: none; color:#3e3a5b; }
button.tc-btn-invisible:focus, a.tc-btn-invisible:focus { color:#3e3a5b; }
/* Change outline to color highlight in 'Select' menus */
select:-moz-focusring { color: transparent; text-shadow: 0 0 0 #3e3a5b; }
/* Set the height of responsive images */
.resp_image_big { height: calc(14vw + 40vh - 1px); }
.resp_image_small { height: calc(10vw + 40vh - 1px); }
/* Change opacity of pictures on hover + add border */
.hpic { opacity: 1; filter: alpha(opacity=100); border-style: solid; border-width: thin; border-color: #cfcfcf; }
.hpic:hover { opacity: 0.82; filter: alpha(opacity=82); }
/* Change opacity of pictures on hover */
.hovpic { opacity: 1; filter: alpha(opacity=100); }
.hovpic:hover { opacity: 0.90; filter: alpha(opacity=90); }
/* Set the dimension of images popups */
.drop-down-pop img { position: relative; width: 94vw; padding: 2px; }
.drop-down-pop { position: relative; max-width: 94%; display:block; text-align:center; margin-left: 3%; margin-right: 3%; top: -20vh; }
/* Prevent the drag and drop of the element */
.nodragdrop { pointer-events: none; user-select: none; -moz-user-select: none; -webkit-user-select: none; -ms-user-select: none; -khtml-user-select: none; -o-user-select: none; }
/* Prevent the selection of the element */
.noselect { user-select: none; -moz-user-select: none; -webkit-user-select: none; -ms-user-select: none; -khtml-user-select: none; -o-user-select: none; }
/* Allow to center or right-align tables */
.centertable { margin-left:auto; margin-right:auto; float:center }
.righttable { margin-left:auto; margin-right:0; float:right }
/* Preset background colors */
.grey{ background-color:#b0b0b0; }
.grey0{ background-color:#e7e9eb; }
.grey1{ background-color:#cfcfcf; }
.grey2{ background-color:#888a85; }
.blue{ background-color:#3A70B7; }
.red{ background-color:#ef2929; }
.ilink{ background-color:#5D64A1; }
.tiddlertitle{ background-color:#5B586F; }
.tiddlerbgcolor{ background-color:#f2f2f2; }
.generalbgcolor{ background-color:#f4f4f4; }
.lightgrbg{ background-color: #ededed; }
/* Preset font colors */
.redfont{ color:#ef2929; }
.bluefont{ color:#3A70B7; }
.darkbluefont{ color:#113967; }
.greyfont{ color:#717274; }
.lightgreyfont{ color:#cfcfcf; }
.darkgreyfont{ color:#585858; }
.ilinkfont{ color:#5D64A1; }
.titlefont{ color:#5B586F; }
/* Custom colors for links*/
.whitelink a { color:#f2f2f2 };
/* Transparent element */
.transparent{ opacity: 0; }
/* Unselectable element */
.unselectable{ -webkit-user-select: none; -moz-user-select: none; -ms-user-select: none; user-select: none; pointer-events: none; }
/* Code for centering or right align texts and objects */
.center { display:block; text-align:center; }
.right { display:block; text-align:right; }
.justify { display:block; text-align:justify; }
.centero { margin-left:auto; margin-right:auto; }
.righto { margin-left:auto; margin-right:0; float:right }
.lefto { margin-left:0; margin-right:auto; float:left }
/* Configuration of the home tiddler (tagged 'home') */
.tc-tagged-home {
/*
background-image: url(<<datauri "test.jpg">>);
background-repeat: no-repeat;
background-position: center;
*/
background-color:#c6c3cd;
}
/* Style for toolbar buttons */
.topbarbutton { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color:#aaaaaa; }
.topbarbutton:hover { color: black; }
.featurebutton { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color:#5D64A1; }
.featurebutton:hover { color: #595959; }
.clickbutton { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color:#595959; }
.clickbutton:hover { color: #2F3252; }
.linkbutton { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color:#5D64A1; }
.linkbutton:hover { color: #16386a; }
.topbarlink { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color: #f2f2f2; }
.topbarlink:hover { color: #C9C7CD; }
.erasebutton { -webkit-transition-duration: 0.1s; transition-duration: 0.1s; color:#85838a; }
.erasebutton:hover { color: #2F3252; }
/* Message boxes */
.msg-warning { overflow:hidden; background-color:#e4d7c6; border-style: solid; border-width: thin; border-color: #cfcfcf; -webkit-border-radius: 8px; border-radius: 8px; }
.msg-notification { overflow:hidden; background-color:#e6e9ed; border-style: solid; border-width: thin; border-color: #cfcfcf; -webkit-border-radius: 8px; border-radius: 8px; }
.infobg { overflow:hidden; padding:30px; background-color:#EBEAED; border:1px solid #c3c3c5; -webkit-border-radius: 8px; border-radius: 8px; }
/* Style & size of edit boxes (57.1 full width size) */
.editbox { width:100%; height:10em; background-color:#F7F7F7; }
.commentbox { width:100%; height:100%; background-color:#F7F7F7; }
.editboxsmall { height:1.7em; background-color:#F7F7F7; border-style: solid; border-width: thin; border-color: #cfcfcf; }
.editbox_list { width:100%; height:100%; resize:none; overflow:hidden; background-color:#f4f4f4; }
.editfield { width:9.6em; height:1.7em; }
.longeditfield { width:15em; height:1.7em; }
.mediumeditfield { width:6.5em; height:1.7em; }
.smalleditfield { width:5em; height:1.7em; }
.minieditfield { width:1.2em; height:1.7em; }
.smallnumbereditfield { width:3.2em; height:1.7em; text-align:center; }
.numbereditfield { width:3.5em; height:1.7em; text-align:center; }
.smalldateeditfield { width:3.0em; height:1.7em; text-align:center; }
.dateeditfield { width:4em; height:1.7em; text-align:center; }
.dateseditfield { width:6em; height:1.7em; text-align:center; }
.minicenterfield { width:1.7em; height:1.7em; text-align:center; }
.searchfield { width:11em; height:1.7em; }
.rcfield { height:1.8em; padding:0.05vw; margin:0.05vw 0.05vw; background-color: #f4f4f4; border-style: solid; border-width: thin; border-color: #cfcfcf; overflow:hidden; -webkit-border-radius: 5px; border-radius: 5px; font-size: calc(10px + 0.5vw); }
.rcfield:hover { border-color: #BDBBC5; }
.frmfield { padding:0.05vw; margin:0.05vw 0.05vw; border-style: solid; border-width: thin; border-color: #cfcfcf; -webkit-border-radius: 5px; border-radius: 5px; font-size: calc(10px + 0.5vw); resize: none; }
.frmfield:hover { border-color: #BDBBC5; background-color: #F7F7F7; }
.listfield { padding:0.05vw; margin:0.05vw 0.05vw; border-style: solid; border-width: thin; border-color: #cfcfcf; -webkit-border-radius: 5px; border-radius: 1px; font-size: calc(10px + 0.5vw); resize: none; }
.listfield:hover { border-color: #BDBBC5; background-color: #F7F7F7; }
.noborderselect { height:1.6em; border:0; -webkit-appearance: none; -moz-appearance: none; cursor: pointer; }
.noborderfield { height:1.6em; border:0; -webkit-appearance: none; -moz-appearance: none; }
.noborderfield:hover { background-color: #F5F5F5; }
.smallnoborderfield { width:11em; height:1.6em; border:0; -webkit-appearance: none; -moz-appearance: none; }
.smallnoborderfield:hover { background-color: #F5F5F5; }
.flatfield { background-color: #f2f2f2; border-style: solid; border-width: thin; border-color: transparent; overflow:hidden; -webkit-border-radius: 3px; border-radius: 3px; }
.flatfield:hover { border-color: #BDBBC5; background-color: #F8F9FA; }
.buttonfield { height:1.8em; padding:1px; margin:1px 1px; background-color: #ededed; border-style: solid; border-width: thin; border-color: #cfcfcf; overflow:hidden; -webkit-border-radius: 5px; border-radius: 5px; font-size: calc(10px + 0.5vw); }
.buttonfield:hover { border-color: #BDBBC5; background-color: #E4E4E4; }
/* Select fields cosmetic */
.selfield { position: relative; float: center; min-width: 10px; margin: 1px 0%; font-size: calc(10px + 0.5vw); }
select::-ms-expand { display: none; } /*Remove IE11 button*/
.selfield:after { content: '\25BE'; color: #A3A3A3; font-size: 1.1em; right: 9px; top: 0.2em; height: 1.75em; line-height: 1.75; padding: 0px 0px 0px 3px; pointer-events: none; position: absolute; }
.selfield select { -webkit-appearance: none; -moz-appearance: none; appearance: none; display: block; width: 100%; max-width: 500px; height: 1.8em; float: right; margin: 5px 4px; padding: 0px 12px; line-height: 1.75; color: #595959; background-color: #ededed; border: 1px solid #cccccc; -ms-word-break: normal; word-break: normal; -webkit-border-radius: 5px; border-radius: 5px; cursor: pointer; }
.selfield:hover { border-color: #BDBBC5; background-color: #E4E4E4; }
/* Small select boxes */
.sselfield { position: relative; float: center; max-width: 180px; margin: 1px 0%; font-size: calc(10px + 0.5vw); }
select::-ms-expand { display: none; } /*Remove IE11 button*/
.sselfield:after { content: '\25BE'; color: #A3A3A3; font-size: 1.1em; right: 9px; top: 0.2em; height: 1.75em; line-height: 1.75; padding: 0px 0px 0px 3px; pointer-events: none; position: absolute; }
.sselfield select { -webkit-appearance: none; -moz-appearance: none; appearance: none; display: block; width: 140px; height: 1.8em; float: right; margin: 4px 3px; padding: 0px 8px; line-height: 1.75; color: #595959; background-color: #ededed; border: 1px solid #cccccc; -ms-word-break: normal; word-break: normal; -webkit-border-radius: 5px; border-radius: 5px; cursor: pointer; }
/* Multiple select boxes */
.mselfield { position: relative; float: center; height: 100px; font-size: calc(10px + 0.5vw); border-style: solid; border-width: thin; border-color: #cccccc; cursor: pointer; background-color: #f4f4f4; }
.mselfield:hover { border-style: solid; border-width: thin; border-color: #BDBBC5; background-color: #F8F8F8; }
/* Frame around maps */
.mapframe { overflow: auto; width: 100%; height: 100%; border-style: solid; border-width: thin; border-color: #cfcfcf; line-height: 20px; }
/* Style for thumbnails in discover function */
.dthumb { display:block; text-align:center; height: 1.6em; line-height: 1.6em; position:relative; top:-1.6em; border-style: solid; border-width: thin; border-top: 0; border-color: #cfcfcf; background-color: black; color: #eeeeec; }
/* Entry field for background of maps on maps editor */
.bck_img_field { width:16em; height:1.8em; padding:0.05vw; border-style: solid; border-width: thin; border-color: #cfcfcf; overflow:hidden; -webkit-border-radius: 3px; border-radius: 3px; font-size: calc(8px + 0.5vw); background-color: none; color: #555753; transform: translate(0px, 0.9px) }
.bck_img_field:hover { border-color: #BDBBC5; background-color: #F8F9FA; }
http://atlas-disciplines.unige.ch
<body style="background-color:#4A4847; position:relative; width: 100%; margin: -32px 0px -8px 0px; padding-left: 0.5vw; padding-right: 0.5vw; align-items: center" class="flexcontainer">
<div class="box nodragdrop" id="hsmall"><span style="display: flex; margin: 16px 0px -5px 0px; padding-top: 0.6vw; padding-bottom: 0.6vw; padding-left: 3vw; width: calc(130px + 20vw)"><div style="width: 36%; padding-top: 0px;">[img[unige-logo.svg]]</div><div style="width: 64%; padding-left: 16px; padding-top: 2px;">[img[Logo_FNS_dark_eng.svg]]</div></span></div>
<div class="box nodragdrop" id="hbig"><span style="display: flex; flex-direction: column; justify-content: center; margin: 18px 0px -2px 0px; padding-bottom: 0.1vw; padding-right: 4vw;"><div style="width: calc(53px + 4vw);">[img[unige-logo.svg]]</div><div style="width: calc(102px + 4vw); margin: 1px 0px 0px -23px;">[img[Logo_FNS_dark_eng_small.svg]]</div></span></div>
<div class="box noselect"><span style="display: flex; margin: 12px 0px -7px 0px; padding-left:1vw; padding-right:1vw; padding-bottom:1px; font-size: calc(11px + 0.3vw);"><p class="center whitelink" style="color:#f2f2f2;"><$link to="About"><div class="zonebutton"> <i class="fa fa-info-circle"> </i> About </div></$link></p>
<div style="padding-right:4px"></div><!-- <p class="center whitelink" style="color:#f2f2f2"><a style=" text-decoration:none" href="/"><div class="zonebutton"> <i class="fa fa-chevron-circle-right"> </i> Go to homepage </div></a></p> -->
<div style="padding-right:4px"></div><p class="center whitelink" style="color:#f2f2f2;"><$link to="User manual"><div class="zonebutton"> <i class="fas fa-question-circle"> </i> Help </div></$link></p></span></div>
<div class="box" id="hsmall"><span><$button class="tc-btn-invisible topbarlink" style="text-align:left; ; line-height: 1.32; position:relative; margin: calc(1.0em + 0.3vw) 0em -0.2em 0em; padding-left: 11vw; padding-right: 1.5vw; font-size: calc(11px + 0.3vw); transform: scale(0.95) translate(0px, -1px)" popup="$:/temp/popup_authorship">Contact: Dr Raphaël Sandoz<br><i class="fas fa-envelope" style="transform: scale(0.92) translate(0px, 1px); padding-right:0.4em"></i>raphael.sandoz<i class="fa fa-at" style="transform: scale(0.72) translate(0px, 1.5px)"></i>unige.ch</$button></span></div>
<div class="box" id="hbig"><span id="hminus"><$button class="tc-btn-invisible topbarlink" style="text-align:left; font-size: calc(10px + 0.2vw); line-height: 1.32; position:relative; margin: calc(1.5em + 0.4vw) 0em -1em 0em; padding-left: 2.5vw; padding-right: 1.4vw; transform: scale(0.88) translate(0px, -1px)" popup="$:/temp/popup_authorship"><i class="fas fa-envelope" style="transform: scale(0.88) translate(0px, 1px); padding-right:0.4em"></i>Contact:<br>raphael.<br id="scale_med">sandoz<i class="fa fa-at" style="transform: scale(0.7) translate(0px, 1.5px)"></i>unige.ch</$button></span></div>
</body>
<$reveal type="popup" state="$:/temp/popup_authorship"><div style="position: fixed; right: 0; padding-right:5px; background-color: transparent;">{{Authorship}}</div></$reveal>
The following tiddlers were imported:
# [[Vives.png]]
# [[Whewell.png]]
# [[Whewell_hierarchy.png]]
# [[Whittaker.png]]
# [[Wilson.png]]
# [[Wolff.png]]
# [[Wundt.png]]
# [[Zabarella.png]]
# [[Zara.png]]
# [[Zwinger.png]]
Import files (text, image, TiddlyWiki, JSON, ...)
{
"tiddlers": {
"$:/language/Buttons/AdvancedSearch/Caption": {
"title": "$:/language/Buttons/AdvancedSearch/Caption",
"text": "Erweiterte Suche"
},
"$:/language/Buttons/AdvancedSearch/Hint": {
"title": "$:/language/Buttons/AdvancedSearch/Hint",
"text": "Erweiterte Suche"
},
"$:/language/Buttons/Cancel/Caption": {
"title": "$:/language/Buttons/Cancel/Caption",
"text": "Abbrechen"
},
"$:/language/Buttons/Cancel/Hint": {
"title": "$:/language/Buttons/Cancel/Hint",
"text": "Änderungen verwerfen"
},
"$:/language/Buttons/Clone/Caption": {
"title": "$:/language/Buttons/Clone/Caption",
"text": "Klone"
},
"$:/language/Buttons/Clone/Hint": {
"title": "$:/language/Buttons/Clone/Hint",
"text": "Klone diesen Tiddler"
},
"$:/language/Buttons/Close/Caption": {
"title": "$:/language/Buttons/Close/Caption",
"text": "Schließen"
},
"$:/language/Buttons/Close/Hint": {
"title": "$:/language/Buttons/Close/Hint",
"text": "Schließe diesen Tiddler"
},
"$:/language/Buttons/CloseAll/Caption": {
"title": "$:/language/Buttons/CloseAll/Caption",
"text": "Alle schließen"
},
"$:/language/Buttons/CloseAll/Hint": {
"title": "$:/language/Buttons/CloseAll/Hint",
"text": "Alle Tiddler schließen"
},
"$:/language/Buttons/CloseOthers/Caption": {
"title": "$:/language/Buttons/CloseOthers/Caption",
"text": "Andere schließen"
},
"$:/language/Buttons/CloseOthers/Hint": {
"title": "$:/language/Buttons/CloseOthers/Hint",
"text": "Alle anderen Tiddler schließen"
},
"$:/language/Buttons/ControlPanel/Caption": {
"title": "$:/language/Buttons/ControlPanel/Caption",
"text": "Control-Panel"
},
"$:/language/Buttons/ControlPanel/Hint": {
"title": "$:/language/Buttons/ControlPanel/Hint",
"text": "Öffne das Control-Panel"
},
"$:/language/Buttons/CopyToClipboard/Caption": {
"title": "$:/language/Buttons/CopyToClipboard/Caption",
"text": "Kopiere in die Zwischenablage"
},
"$:/language/Buttons/CopyToClipboard/Hint": {
"title": "$:/language/Buttons/CopyToClipboard/Hint",
"text": "Kopiere diesen Text in die Zwischenablage"
},
"$:/language/Buttons/Delete/Caption": {
"title": "$:/language/Buttons/Delete/Caption",
"text": "Löschen"
},
"$:/language/Buttons/Delete/Hint": {
"title": "$:/language/Buttons/Delete/Hint",
"text": "Lösche diesen Tiddler"
},
"$:/language/Buttons/Edit/Caption": {
"title": "$:/language/Buttons/Edit/Caption",
"text": "Bearbeiten"
},
"$:/language/Buttons/Edit/Hint": {
"title": "$:/language/Buttons/Edit/Hint",
"text": "Bearbeite diesen Tiddler"
},
"$:/language/Buttons/Encryption/Caption": {
"title": "$:/language/Buttons/Encryption/Caption",
"text": "Verschlüsselung"
},
"$:/language/Buttons/Encryption/Hint": {
"title": "$:/language/Buttons/Encryption/Hint",
"text": "Aktivieren oder löschen des Passworts für dieses Wiki"
},
"$:/language/Buttons/Encryption/ClearPassword/Caption": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Caption",
"text": "Verschlüsselung deaktivieren"
},
"$:/language/Buttons/Encryption/ClearPassword/Hint": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Hint",
"text": "Lösche das Passwort und speichere ohne Verschlüsselung"
},
"$:/language/Buttons/Encryption/SetPassword/Caption": {
"title": "$:/language/Buttons/Encryption/SetPassword/Caption",
"text": "Verschlüsselung"
},
"$:/language/Buttons/Encryption/SetPassword/Hint": {
"title": "$:/language/Buttons/Encryption/SetPassword/Hint",
"text": "Definiert ein Passwort, um dieses Wiki zu verschlüsseln"
},
"$:/language/Buttons/ExportPage/Caption": {
"title": "$:/language/Buttons/ExportPage/Caption",
"text": "Alle exportieren"
},
"$:/language/Buttons/ExportPage/Hint": {
"title": "$:/language/Buttons/ExportPage/Hint",
"text": "Alle Tiddler exportieren"
},
"$:/language/Buttons/ExportTiddler/Caption": {
"title": "$:/language/Buttons/ExportTiddler/Caption",
"text": "Exportieren"
},
"$:/language/Buttons/ExportTiddler/Hint": {
"title": "$:/language/Buttons/ExportTiddler/Hint",
"text": "Diesen Tiddler exportieren"
},
"$:/language/Buttons/ExportTiddlers/Caption": {
"title": "$:/language/Buttons/ExportTiddlers/Caption",
"text": "Mehrere exportieren"
},
"$:/language/Buttons/ExportTiddlers/Hint": {
"title": "$:/language/Buttons/ExportTiddlers/Hint",
"text": "Mehrere Tiddler exportieren"
},
"$:/language/Buttons/Fold/Caption": {
"title": "$:/language/Buttons/Fold/Caption",
"text": "Ausblenden Textbereich"
},
"$:/language/Buttons/Fold/Hint": {
"title": "$:/language/Buttons/Fold/Hint",
"text": "Der Tiddler Textbereich wird ausgeblendet"
},
"$:/language/Buttons/Fold/FoldBar/Caption": {
"title": "$:/language/Buttons/Fold/FoldBar/Caption",
"text": "Textbereich ein/aus"
},
"$:/language/Buttons/Fold/FoldBar/Hint": {
"title": "$:/language/Buttons/Fold/FoldBar/Hint",
"text": "Optionelle Buttons im Tiddler, um den Textbereich ein- bzw. auszublenden"
},
"$:/language/Buttons/Unfold/Caption": {
"title": "$:/language/Buttons/Unfold/Caption",
"text": "Einblenden Textbereich"
},
"$:/language/Buttons/Unfold/Hint": {
"title": "$:/language/Buttons/Unfold/Hint",
"text": "Der Tiddler Textbereich wird eingeblendet"
},
"$:/language/Buttons/FoldOthers/Caption": {
"title": "$:/language/Buttons/FoldOthers/Caption",
"text": "Ausblenden andere Textbereiche"
},
"$:/language/Buttons/FoldOthers/Hint": {
"title": "$:/language/Buttons/FoldOthers/Hint",
"text": "Die Textbereiche aller anderen Tiddler werden ausgeblendet"
},
"$:/language/Buttons/FoldAll/Caption": {
"title": "$:/language/Buttons/FoldAll/Caption",
"text": "Ausblenden aller Textbereiche"
},
"$:/language/Buttons/FoldAll/Hint": {
"title": "$:/language/Buttons/FoldAll/Hint",
"text": "Ausblenden der Textbereiche aller Tiddler"
},
"$:/language/Buttons/UnfoldAll/Caption": {
"title": "$:/language/Buttons/UnfoldAll/Caption",
"text": "Einblenden aller Textbereiche"
},
"$:/language/Buttons/UnfoldAll/Hint": {
"title": "$:/language/Buttons/UnfoldAll/Hint",
"text": "Einblenden der Textbereiche aller Tiddler"
},
"$:/language/Buttons/FullScreen/Caption": {
"title": "$:/language/Buttons/FullScreen/Caption",
"text": "Vollbild"
},
"$:/language/Buttons/FullScreen/Hint": {
"title": "$:/language/Buttons/FullScreen/Hint",
"text": "Aktivieren oder Deaktivieren des Vollbild-Modus"
},
"$:/language/Buttons/Help/Caption": {
"title": "$:/language/Buttons/Help/Caption",
"text": "Hilfe"
},
"$:/language/Buttons/Help/Hint": {
"title": "$:/language/Buttons/Help/Hint",
"text": "Hilfe anzeigen"
},
"$:/language/Buttons/Import/Caption": {
"title": "$:/language/Buttons/Import/Caption",
"text": "Import"
},
"$:/language/Buttons/Import/Hint": {
"title": "$:/language/Buttons/Import/Hint",
"text": "Importiere unterschiedliche Dateitypen. zB: Text, Bilder, TiddlyWiki oder JSON"
},
"$:/language/Buttons/Info/Caption": {
"title": "$:/language/Buttons/Info/Caption",
"text": "Info"
},
"$:/language/Buttons/Info/Hint": {
"title": "$:/language/Buttons/Info/Hint",
"text": "Informationen zu diesem Tiddler anzeigen"
},
"$:/language/Buttons/Home/Caption": {
"title": "$:/language/Buttons/Home/Caption",
"text": "Home"
},
"$:/language/Buttons/Home/Hint": {
"title": "$:/language/Buttons/Home/Hint",
"text": "Öffnen der Standard-Tiddler"
},
"$:/language/Buttons/Language/Caption": {
"title": "$:/language/Buttons/Language/Caption",
"text": "Sprache"
},
"$:/language/Buttons/Language/Hint": {
"title": "$:/language/Buttons/Language/Hint",
"text": "Auswahldialog für die Systemsprache"
},
"$:/language/Buttons/Manager/Caption": {
"title": "$:/language/Buttons/Manager/Caption",
"text": "Tiddler Manager"
},
"$:/language/Buttons/Manager/Hint": {
"title": "$:/language/Buttons/Manager/Hint",
"text": "Öffne den Tiddler Manager"
},
"$:/language/Buttons/More/Caption": {
"title": "$:/language/Buttons/More/Caption",
"text": "mehr"
},
"$:/language/Buttons/More/Hint": {
"title": "$:/language/Buttons/More/Hint",
"text": "Weitere Aktionen"
},
"$:/language/Buttons/NewHere/Caption": {
"title": "$:/language/Buttons/NewHere/Caption",
"text": "Neu hier"
},
"$:/language/Buttons/NewHere/Hint": {
"title": "$:/language/Buttons/NewHere/Hint",
"text": "Erstelle einen neuen Tiddler, der mit dem Namen dieses Tiddlers getaggt ist"
},
"$:/language/Buttons/NewJournal/Caption": {
"title": "$:/language/Buttons/NewJournal/Caption",
"text": "Neues Journal"
},
"$:/language/Buttons/NewJournal/Hint": {
"title": "$:/language/Buttons/NewJournal/Hint",
"text": "Erstelle einen neuen Journal-Tiddler"
},
"$:/language/Buttons/NewJournalHere/Caption": {
"title": "$:/language/Buttons/NewJournalHere/Caption",
"text": "Neues Journal hier"
},
"$:/language/Buttons/NewJournalHere/Hint": {
"title": "$:/language/Buttons/NewJournalHere/Hint",
"text": "Erstelle ein neues Journal der mit diesem getaggt ist"
},
"$:/language/Buttons/NewImage/Caption": {
"title": "$:/language/Buttons/NewImage/Caption",
"text": "Neues Bild"
},
"$:/language/Buttons/NewImage/Hint": {
"title": "$:/language/Buttons/NewImage/Hint",
"text": "Erstelle ein neues Bild"
},
"$:/language/Buttons/NewMarkdown/Caption": {
"title": "$:/language/Buttons/NewMarkdown/Caption",
"text": "Neuer Markdown Tiddler"
},
"$:/language/Buttons/NewMarkdown/Hint": {
"title": "$:/language/Buttons/NewMarkdown/Hint",
"text": "Erstelle einen neuen \"Markdown\" Tiddler"
},
"$:/language/Buttons/NewTiddler/Caption": {
"title": "$:/language/Buttons/NewTiddler/Caption",
"text": "Neuer Tiddler"
},
"$:/language/Buttons/NewTiddler/Hint": {
"title": "$:/language/Buttons/NewTiddler/Hint",
"text": "Erstelle einen neuen Tiddler"
},
"$:/language/Buttons/OpenWindow/Caption": {
"title": "$:/language/Buttons/OpenWindow/Caption",
"text": "Öffne in neuem Fenster"
},
"$:/language/Buttons/OpenWindow/Hint": {
"title": "$:/language/Buttons/OpenWindow/Hint",
"text": "Öffne diesen Tiddler in einem neuen Fenster"
},
"$:/language/Buttons/Palette/Caption": {
"title": "$:/language/Buttons/Palette/Caption",
"text": "Palette"
},
"$:/language/Buttons/Palette/Hint": {
"title": "$:/language/Buttons/Palette/Hint",
"text": "Wähle eine Farbpalette"
},
"$:/language/Buttons/Permalink/Caption": {
"title": "$:/language/Buttons/Permalink/Caption",
"text": "Permalink"
},
"$:/language/Buttons/Permalink/Hint": {
"title": "$:/language/Buttons/Permalink/Hint",
"text": "Die Adressleiste des Browsers enthält einen Link zu diesem Tiddler"
},
"$:/language/Buttons/Permaview/Caption": {
"title": "$:/language/Buttons/Permaview/Caption",
"text": "Permaview"
},
"$:/language/Buttons/Permaview/Hint": {
"title": "$:/language/Buttons/Permaview/Hint",
"text": "Die Adressleiste des Browsers enthält einen Link zu allen offenen Tiddlern in dieser Story"
},
"$:/language/Buttons/Print/Caption": {
"title": "$:/language/Buttons/Print/Caption",
"text": "Seite drucken"
},
"$:/language/Buttons/Print/Hint": {
"title": "$:/language/Buttons/Print/Hint",
"text": "Aktuelle Seite drucken"
},
"$:/language/Buttons/Refresh/Caption": {
"title": "$:/language/Buttons/Refresh/Caption",
"text": "Aktualisieren"
},
"$:/language/Buttons/Refresh/Hint": {
"title": "$:/language/Buttons/Refresh/Hint",
"text": "Die Seite wird neu in den Browser geladen"
},
"$:/language/Buttons/Save/Caption": {
"title": "$:/language/Buttons/Save/Caption",
"text": "Fertig"
},
"$:/language/Buttons/Save/Hint": {
"title": "$:/language/Buttons/Save/Hint",
"text": "Änderungen für diesen Tiddler bestätigen"
},
"$:/language/Buttons/SaveWiki/Caption": {
"title": "$:/language/Buttons/SaveWiki/Caption",
"text": "Speichern"
},
"$:/language/Buttons/SaveWiki/Hint": {
"title": "$:/language/Buttons/SaveWiki/Hint",
"text": "Das Wiki speichern"
},
"$:/language/Buttons/StoryView/Caption": {
"title": "$:/language/Buttons/StoryView/Caption",
"text": "Story-Modus"
},
"$:/language/Buttons/StoryView/Hint": {
"title": "$:/language/Buttons/StoryView/Hint",
"text": "Auswahl des Anzeigemodus für die Story"
},
"$:/language/Buttons/HideSideBar/Caption": {
"title": "$:/language/Buttons/HideSideBar/Caption",
"text": "Sidebar ausblenden"
},
"$:/language/Buttons/HideSideBar/Hint": {
"title": "$:/language/Buttons/HideSideBar/Hint",
"text": "Sidebar ausblenden"
},
"$:/language/Buttons/ShowSideBar/Caption": {
"title": "$:/language/Buttons/ShowSideBar/Caption",
"text": "Sidebar einblenden"
},
"$:/language/Buttons/ShowSideBar/Hint": {
"title": "$:/language/Buttons/ShowSideBar/Hint",
"text": "Sidebar einblenden"
},
"$:/language/Buttons/TagManager/Caption": {
"title": "$:/language/Buttons/TagManager/Caption",
"text": "Tag-Manager"
},
"$:/language/Buttons/TagManager/Hint": {
"title": "$:/language/Buttons/TagManager/Hint",
"text": "Öffne den Tag-Manager"
},
"$:/language/Buttons/Timestamp/Caption": {
"title": "$:/language/Buttons/Timestamp/Caption",
"text": "Zeitstempel"
},
"$:/language/Buttons/Timestamp/Hint": {
"title": "$:/language/Buttons/Timestamp/Hint",
"text": "Einstellung, ob Änderungen den Zeitstempel beeinflussen"
},
"$:/language/Buttons/Timestamp/On/Caption": {
"title": "$:/language/Buttons/Timestamp/On/Caption",
"text": "Zeitstempel EIN"
},
"$:/language/Buttons/Timestamp/On/Hint": {
"title": "$:/language/Buttons/Timestamp/On/Hint",
"text": "Zeitstempel aktualisieren, wenn ein Tiddler verändert wird"
},
"$:/language/Buttons/Timestamp/Off/Caption": {
"title": "$:/language/Buttons/Timestamp/Off/Caption",
"text": "Zeitstempel AUS"
},
"$:/language/Buttons/Timestamp/Off/Hint": {
"title": "$:/language/Buttons/Timestamp/Off/Hint",
"text": "Zeitstempel bleibt unverändert, wenn ein Tiddler geändert wird"
},
"$:/language/Buttons/Theme/Caption": {
"title": "$:/language/Buttons/Theme/Caption",
"text": "Theme"
},
"$:/language/Buttons/Theme/Hint": {
"title": "$:/language/Buttons/Theme/Hint",
"text": "Theme auswählen"
},
"$:/language/Buttons/Bold/Caption": {
"title": "$:/language/Buttons/Bold/Caption",
"text": "Fett"
},
"$:/language/Buttons/Bold/Hint": {
"title": "$:/language/Buttons/Bold/Hint",
"text": "Ausgewählten Text fett darstellen"
},
"$:/language/Buttons/Clear/Caption": {
"title": "$:/language/Buttons/Clear/Caption",
"text": "Löschen"
},
"$:/language/Buttons/Clear/Hint": {
"title": "$:/language/Buttons/Clear/Hint",
"text": "Bild mit Hintergrund Farbe löschen"
},
"$:/language/Buttons/EditorHeight/Caption": {
"title": "$:/language/Buttons/EditorHeight/Caption",
"text": "Editor Höhe"
},
"$:/language/Buttons/EditorHeight/Caption/Auto": {
"title": "$:/language/Buttons/EditorHeight/Caption/Auto",
"text": "Editor Höhe an Inhalt anpassen"
},
"$:/language/Buttons/EditorHeight/Caption/Fixed": {
"title": "$:/language/Buttons/EditorHeight/Caption/Fixed",
"text": "Fixe Höhe:"
},
"$:/language/Buttons/EditorHeight/Hint": {
"title": "$:/language/Buttons/EditorHeight/Hint",
"text": "Wählen Sie die Höhe des Editors"
},
"$:/language/Buttons/Excise/Caption": {
"title": "$:/language/Buttons/Excise/Caption",
"text": "Verschieben"
},
"$:/language/Buttons/Excise/Caption/Excise": {
"title": "$:/language/Buttons/Excise/Caption/Excise",
"text": "Text verschieben"
},
"$:/language/Buttons/Excise/Caption/MacroName": {
"title": "$:/language/Buttons/Excise/Caption/MacroName",
"text": "Makro Name:"
},
"$:/language/Buttons/Excise/Caption/NewTitle": {
"title": "$:/language/Buttons/Excise/Caption/NewTitle",
"text": "Titel des neuen Tiddlers:"
},
"$:/language/Buttons/Excise/Caption/Replace": {
"title": "$:/language/Buttons/Excise/Caption/Replace",
"text": "Ersetze den verschobenen Text mit:"
},
"$:/language/Buttons/Excise/Caption/Replace/Macro": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Macro",
"text": "Makro"
},
"$:/language/Buttons/Excise/Caption/Replace/Link": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Link",
"text": "Link"
},
"$:/language/Buttons/Excise/Caption/Replace/Transclusion": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Transclusion",
"text": "Transklusion"
},
"$:/language/Buttons/Excise/Caption/Tag": {
"title": "$:/language/Buttons/Excise/Caption/Tag",
"text": "Tagge den neuen Tiddler mit dem Titel des aktuellen Tiddlers"
},
"$:/language/Buttons/Excise/Caption/TiddlerExists": {
"title": "$:/language/Buttons/Excise/Caption/TiddlerExists",
"text": "Warnung: Tiddler existiert bereits!"
},
"$:/language/Buttons/Excise/Hint": {
"title": "$:/language/Buttons/Excise/Hint",
"text": "Verschiebe den ausgewählten Text in einen neuen Tiddler"
},
"$:/language/Buttons/Heading1/Caption": {
"title": "$:/language/Buttons/Heading1/Caption",
"text": "Überschrift 1"
},
"$:/language/Buttons/Heading1/Hint": {
"title": "$:/language/Buttons/Heading1/Hint",
"text": "Überschrift 1 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Heading2/Caption": {
"title": "$:/language/Buttons/Heading2/Caption",
"text": "Überschrift 2"
},
"$:/language/Buttons/Heading2/Hint": {
"title": "$:/language/Buttons/Heading2/Hint",
"text": "Überschrift 2 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Heading3/Caption": {
"title": "$:/language/Buttons/Heading3/Caption",
"text": "Überschrift 3"
},
"$:/language/Buttons/Heading3/Hint": {
"title": "$:/language/Buttons/Heading3/Hint",
"text": "Überschrift 3 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Heading4/Caption": {
"title": "$:/language/Buttons/Heading4/Caption",
"text": "Überschrift 4"
},
"$:/language/Buttons/Heading4/Hint": {
"title": "$:/language/Buttons/Heading4/Hint",
"text": "Überschrift 4 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Heading5/Caption": {
"title": "$:/language/Buttons/Heading5/Caption",
"text": "Überschrift 5"
},
"$:/language/Buttons/Heading5/Hint": {
"title": "$:/language/Buttons/Heading5/Hint",
"text": "Überschrift 5 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Heading6/Caption": {
"title": "$:/language/Buttons/Heading6/Caption",
"text": "Überschrift 6"
},
"$:/language/Buttons/Heading6/Hint": {
"title": "$:/language/Buttons/Heading6/Hint",
"text": "Überschrift 6 auf die Zeilen anwenden, die eine Auswahl enthalten"
},
"$:/language/Buttons/Italic/Caption": {
"title": "$:/language/Buttons/Italic/Caption",
"text": "Kursiv"
},
"$:/language/Buttons/Italic/Hint": {
"title": "$:/language/Buttons/Italic/Hint",
"text": "Kursiv auf den selektierten Text anwenden"
},
"$:/language/Buttons/LineWidth/Caption": {
"title": "$:/language/Buttons/LineWidth/Caption",
"text": "Zeilen Länge"
},
"$:/language/Buttons/LineWidth/Hint": {
"title": "$:/language/Buttons/LineWidth/Hint",
"text": "Wählen Sie die Zeilenlänge"
},
"$:/language/Buttons/Link/Caption": {
"title": "$:/language/Buttons/Link/Caption",
"text": "Link"
},
"$:/language/Buttons/Link/Hint": {
"title": "$:/language/Buttons/Link/Hint",
"text": "Erstellt einen Wiki-Link"
},
"$:/language/Buttons/Linkify/Caption": {
"title": "$:/language/Buttons/Linkify/Caption",
"text": "Wikilink"
},
"$:/language/Buttons/Linkify/Hint": {
"title": "$:/language/Buttons/Linkify/Hint",
"text": "Wikilink - Den selektierten Text in eckige Klammern setzen"
},
"$:/language/Buttons/ListBullet/Caption": {
"title": "$:/language/Buttons/ListBullet/Caption",
"text": "Punkteliste"
},
"$:/language/Buttons/ListBullet/Hint": {
"title": "$:/language/Buttons/ListBullet/Hint",
"text": "Zeilen, die eine Markierung enthalten, werden als Punkteliste formatiert"
},
"$:/language/Buttons/ListNumber/Caption": {
"title": "$:/language/Buttons/ListNumber/Caption",
"text": "Aufzählungsliste"
},
"$:/language/Buttons/ListNumber/Hint": {
"title": "$:/language/Buttons/ListNumber/Hint",
"text": "Zeilen, die eine Markierung enthalten, werden als Auzählungsliste formatiert"
},
"$:/language/Buttons/MonoBlock/Caption": {
"title": "$:/language/Buttons/MonoBlock/Caption",
"text": "Dicktengleicher Textblock"
},
"$:/language/Buttons/MonoBlock/Hint": {
"title": "$:/language/Buttons/MonoBlock/Hint",
"text": "Alle Zeilen die eine Markierung enthalten, werden als Textblock mit einer dicktengleichen Schrift formatiert"
},
"$:/language/Buttons/MonoLine/Caption": {
"title": "$:/language/Buttons/MonoLine/Caption",
"text": "Dicktengleich"
},
"$:/language/Buttons/MonoLine/Hint": {
"title": "$:/language/Buttons/MonoLine/Hint",
"text": "Alle markierten Zeichen werden mit einer dicktengleichen Schrift formatiert"
},
"$:/language/Buttons/Opacity/Caption": {
"title": "$:/language/Buttons/Opacity/Caption",
"text": "Transparenz"
},
"$:/language/Buttons/Opacity/Hint": {
"title": "$:/language/Buttons/Opacity/Hint",
"text": "Wählen sie die Transparenz"
},
"$:/language/Buttons/Paint/Caption": {
"title": "$:/language/Buttons/Paint/Caption",
"text": "Malfarbe"
},
"$:/language/Buttons/Paint/Hint": {
"title": "$:/language/Buttons/Paint/Hint",
"text": "Wählen Sie die Malfarbe"
},
"$:/language/Buttons/Picture/Caption": {
"title": "$:/language/Buttons/Picture/Caption",
"text": "Bild"
},
"$:/language/Buttons/Picture/Hint": {
"title": "$:/language/Buttons/Picture/Hint",
"text": "Bild einfügen"
},
"$:/language/Buttons/Preview/Caption": {
"title": "$:/language/Buttons/Preview/Caption",
"text": "Vorschau"
},
"$:/language/Buttons/Preview/Hint": {
"title": "$:/language/Buttons/Preview/Hint",
"text": "Vorschau einblenden"
},
"$:/language/Buttons/PreviewType/Caption": {
"title": "$:/language/Buttons/PreviewType/Caption",
"text": "Vorschau Typ"
},
"$:/language/Buttons/PreviewType/Hint": {
"title": "$:/language/Buttons/PreviewType/Hint",
"text": "Wählen Sie den Vorschau Typ"
},
"$:/language/Buttons/Quote/Caption": {
"title": "$:/language/Buttons/Quote/Caption",
"text": "Zitat"
},
"$:/language/Buttons/Quote/Hint": {
"title": "$:/language/Buttons/Quote/Hint",
"text": "Alle Zeilen, die eine Markierung enthalten werden als Referenz/Zitat formatiert"
},
"$:/language/Buttons/RotateLeft/Caption": {
"title": "$:/language/Buttons/RotateLeft/Caption",
"text": "Links rotieren"
},
"$:/language/Buttons/RotateLeft/Hint": {
"title": "$:/language/Buttons/RotateLeft/Hint",
"text": "Rotiere das Bild um 90° nach links"
},
"$:/language/Buttons/Size/Caption": {
"title": "$:/language/Buttons/Size/Caption",
"text": "Bildgröße"
},
"$:/language/Buttons/Size/Caption/Height": {
"title": "$:/language/Buttons/Size/Caption/Height",
"text": "Höhe:"
},
"$:/language/Buttons/Size/Caption/Resize": {
"title": "$:/language/Buttons/Size/Caption/Resize",
"text": "Bildgröße ändern"
},
"$:/language/Buttons/Size/Caption/Width": {
"title": "$:/language/Buttons/Size/Caption/Width",
"text": "Weite:"
},
"$:/language/Buttons/Size/Hint": {
"title": "$:/language/Buttons/Size/Hint",
"text": "Bildweite ändern"
},
"$:/language/Buttons/Stamp/Caption": {
"title": "$:/language/Buttons/Stamp/Caption",
"text": "Stempel"
},
"$:/language/Buttons/Stamp/Caption/New": {
"title": "$:/language/Buttons/Stamp/Caption/New",
"text": "Eigenen Stempel erstellen"
},
"$:/language/Buttons/Stamp/Hint": {
"title": "$:/language/Buttons/Stamp/Hint",
"text": "Textschnipsel hier einfügen"
},
"$:/language/Buttons/Stamp/New/Title": {
"title": "$:/language/Buttons/Stamp/New/Title",
"text": "Name, der im Menü angezeigt werden soll"
},
"$:/language/Buttons/Stamp/New/Text": {
"title": "$:/language/Buttons/Stamp/New/Text",
"text": "Text des Schnipsels. (Nicher vergessen eine aussagekräftigen Titel zu verwenden)"
},
"$:/language/Buttons/Strikethrough/Caption": {
"title": "$:/language/Buttons/Strikethrough/Caption",
"text": "Durchgestrichen"
},
"$:/language/Buttons/Strikethrough/Hint": {
"title": "$:/language/Buttons/Strikethrough/Hint",
"text": "Ausgewählten Text durchgestrichen darstgellen"
},
"$:/language/Buttons/Subscript/Caption": {
"title": "$:/language/Buttons/Subscript/Caption",
"text": "Tiefsgestellt"
},
"$:/language/Buttons/Subscript/Hint": {
"title": "$:/language/Buttons/Subscript/Hint",
"text": "Ausgewählten Text tiefgestellt darstellen"
},
"$:/language/Buttons/Superscript/Caption": {
"title": "$:/language/Buttons/Superscript/Caption",
"text": "Hochgestellt"
},
"$:/language/Buttons/Superscript/Hint": {
"title": "$:/language/Buttons/Superscript/Hint",
"text": "Ausgewählten Text hochgestellt darstellen"
},
"$:/language/Buttons/Transcludify/Caption": {
"title": "$:/language/Buttons/Transcludify/Caption",
"text": "Transklusion"
},
"$:/language/Buttons/Transcludify/Hint": {
"title": "$:/language/Buttons/Transcludify/Hint",
"text": "Transklusion - Den selektierten Text in geschwungene Klammern setzen"
},
"$:/language/Buttons/Underline/Caption": {
"title": "$:/language/Buttons/Underline/Caption",
"text": "Unterstreichen"
},
"$:/language/Buttons/Underline/Hint": {
"title": "$:/language/Buttons/Underline/Hint",
"text": "Ausgewählten Text unterstrichen darstellen"
},
"$:/language/ControlPanel/Advanced/Caption": {
"title": "$:/language/ControlPanel/Advanced/Caption",
"text": "Erweitert"
},
"$:/language/ControlPanel/Advanced/Hint": {
"title": "$:/language/ControlPanel/Advanced/Hint",
"text": "Interne Informationen über dieses ~TiddlyWiki."
},
"$:/language/ControlPanel/Appearance/Caption": {
"title": "$:/language/ControlPanel/Appearance/Caption",
"text": "Design"
},
"$:/language/ControlPanel/Appearance/Hint": {
"title": "$:/language/ControlPanel/Appearance/Hint",
"text": "Möglichkeiten um das Aussehen Ihres ~TiddlyWikis anzupassen."
},
"$:/language/ControlPanel/Basics/AnimDuration/Prompt": {
"title": "$:/language/ControlPanel/Basics/AnimDuration/Prompt",
"text": "Dauer der Animation:"
},
"$:/language/ControlPanel/Basics/Caption": {
"title": "$:/language/ControlPanel/Basics/Caption",
"text": "Basis"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint",
"text": "Verwenden Sie [[doppelte eckige Klammern]] für Titel mit Leerzeichen oder wählen Sie <$button set=\"$:/DefaultTiddlers\" setTo=\"[list[$:/StoryList]]\">Offene Tiddler beim Laden wiederherstellen.</$button>"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt",
"text": "Standard-Tiddler:"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint",
"text": "Tiddler, die beim Start geladen werden:"
},
"$:/language/ControlPanel/Basics/Language/Prompt": {
"title": "$:/language/ControlPanel/Basics/Language/Prompt",
"text": "Hallo! Aktuelle Sprache:"
},
"$:/language/ControlPanel/Basics/NewJournal/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Title/Prompt",
"text": "Titel des neuen Journal-Tiddlers:"
},
"$:/language/ControlPanel/Basics/NewJournal/Text/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Text/Prompt",
"text": "Text des neuen Journal-Tiddlers:"
},
"$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt",
"text": "Tags des neuen Journal-Tiddlers:"
},
"$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt",
"text": "Titel des neuen Tiddlers:"
},
"$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt",
"text": "Anzahl überschriebener Schatten-Tiddler:"
},
"$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt",
"text": "Anzahl Schatten-Tiddler:"
},
"$:/language/ControlPanel/Basics/Subtitle/Prompt": {
"title": "$:/language/ControlPanel/Basics/Subtitle/Prompt",
"text": "Untertitel:"
},
"$:/language/ControlPanel/Basics/SystemTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/SystemTiddlers/Prompt",
"text": "Anzahl System-Tiddler:"
},
"$:/language/ControlPanel/Basics/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tags/Prompt",
"text": "Anzahl Tags:"
},
"$:/language/ControlPanel/Basics/Tiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tiddlers/Prompt",
"text": "Anzahl Tiddler:"
},
"$:/language/ControlPanel/Basics/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/Title/Prompt",
"text": "Titel dieses ~TiddlyWikis:"
},
"$:/language/ControlPanel/Basics/Username/Prompt": {
"title": "$:/language/ControlPanel/Basics/Username/Prompt",
"text": "Benutzersignatur zum Editieren:"
},
"$:/language/ControlPanel/Basics/Version/Prompt": {
"title": "$:/language/ControlPanel/Basics/Version/Prompt",
"text": "~TiddlyWiki Version:"
},
"$:/language/ControlPanel/EditorTypes/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Caption",
"text": "Editor Typen"
},
"$:/language/ControlPanel/EditorTypes/Editor/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Editor/Caption",
"text": "Editor"
},
"$:/language/ControlPanel/EditorTypes/Hint": {
"title": "$:/language/ControlPanel/EditorTypes/Hint",
"text": "Diese Tiddler definieren, welcher Editor für bestimmte Tiddler Typen (MIME-Type) verwendet werden soll."
},
"$:/language/ControlPanel/EditorTypes/Type/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Type/Caption",
"text": "MIME-Type"
},
"$:/language/ControlPanel/Info/Caption": {
"title": "$:/language/ControlPanel/Info/Caption",
"text": "Info"
},
"$:/language/ControlPanel/Info/Hint": {
"title": "$:/language/ControlPanel/Info/Hint",
"text": "Informationen über dieses TiddlyWiki"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt",
"text": "Tastenkürzel hier eingeben"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Caption",
"text": "Tastenkürzel erstellen"
},
"$:/language/ControlPanel/KeyboardShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Caption",
"text": "Tastenkürzel"
},
"$:/language/ControlPanel/KeyboardShortcuts/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Hint",
"text": "Tastenkürzel Zuweisungen bearbeiten"
},
"$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption",
"text": "Keine Tastenkürzel Zusweisungen vorhanden"
},
"$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint",
"text": "Löschen eines Tastenkürzels"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/All": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/All",
"text": "Alle Plattformen"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac",
"text": "Nur Macintosh"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac",
"text": "Alle Plattformen, außer Macintosh"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux",
"text": "Nur Linux"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux",
"text": "Alle Plattformen, außer Linux"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows",
"text": "Nur Windows"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows",
"text": "Alle Plattformen, außer Windows"
},
"$:/language/ControlPanel/LoadedModules/Caption": {
"title": "$:/language/ControlPanel/LoadedModules/Caption",
"text": "Geladene Module"
},
"$:/language/ControlPanel/LoadedModules/Hint": {
"title": "$:/language/ControlPanel/LoadedModules/Hint",
"text": "Hier werden die geladenen Module und ihre Quelltext-Komponenten angezeigt. Kursiv hervorgehobene Tiddler haben keinen Quelltext. Sie werden während des Boot-Prozesses (Aufrufen des Tiddlywikis) erstellt."
},
"$:/language/ControlPanel/Palette/Caption": {
"title": "$:/language/ControlPanel/Palette/Caption",
"text": "Palette"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Caption",
"text": "Palette klonen"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Prompt",
"text": "Es wird empfohlen, dass Sie diese Schatten-Palette klonen, bevor Sie sie bearbeiten. Der Name der Palette wird im Tiddler-Feld \"description\" eingestellt."
},
"$:/language/ControlPanel/Palette/Editor/Prompt/Modified": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt/Modified",
"text": "Diese Schatten-Palette wurde bearbeitet."
},
"$:/language/ControlPanel/Palette/Editor/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt",
"text": "Bearbeiten"
},
"$:/language/ControlPanel/Palette/Editor/Reset/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Reset/Caption",
"text": "Palette zurücksetzen"
},
"$:/language/ControlPanel/Palette/HideEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/HideEditor/Caption",
"text": "Editor ausblenden"
},
"$:/language/ControlPanel/Palette/Prompt": {
"title": "$:/language/ControlPanel/Palette/Prompt",
"text": "Ausgewählte Farbpalette:"
},
"$:/language/ControlPanel/Palette/ShowEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/ShowEditor/Caption",
"text": "Editor zeigen"
},
"$:/language/ControlPanel/Parsing/Caption": {
"title": "$:/language/ControlPanel/Parsing/Caption",
"text": "Parser"
},
"$:/language/ControlPanel/Parsing/Hint": {
"title": "$:/language/ControlPanel/Parsing/Hint",
"text": "Hier können Sie die globalen Parser-Einstellungen ändern. ACHTUNG: Manche Einstellungen können dazu führen, dass ~TiddlyWiki nicht mehr richtig funktioniert. Sollte das der Fall sein, dann können Sie die Änderungen im [[\"safe mode\"|https://tiddlywiki.com/#SafeMode]] rückgängig machen."
},
"$:/language/ControlPanel/Parsing/Block/Caption": {
"title": "$:/language/ControlPanel/Parsing/Block/Caption",
"text": "Block Regeln"
},
"$:/language/ControlPanel/Parsing/Inline/Caption": {
"title": "$:/language/ControlPanel/Parsing/Inline/Caption",
"text": "Inline Regeln"
},
"$:/language/ControlPanel/Parsing/Pragma/Caption": {
"title": "$:/language/ControlPanel/Parsing/Pragma/Caption",
"text": "Pragma Regeln"
},
"$:/language/ControlPanel/Plugins/Add/Caption": {
"title": "$:/language/ControlPanel/Plugins/Add/Caption",
"text": "Suche"
},
"$:/language/ControlPanel/Plugins/Add/Hint": {
"title": "$:/language/ControlPanel/Plugins/Add/Hint",
"text": "Suche und installiere neue Plugins"
},
"$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint",
"text": "Dieses Plugin ist bereits installiert. Version: <$text text=<<installedVersion>>/>"
},
"$:/language/ControlPanel/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Disable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Disable/Caption",
"text": "deaktivieren"
},
"$:/language/ControlPanel/Plugins/Disable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Disable/Hint",
"text": "Deaktivieren Sie dieses Plugin beim nächsten Laden der Seite."
},
"$:/language/ControlPanel/Plugins/Disabled/Status": {
"title": "$:/language/ControlPanel/Plugins/Disabled/Status",
"text": "(deaktiviert)"
},
"$:/language/ControlPanel/Plugins/Empty/Hint": {
"title": "$:/language/ControlPanel/Plugins/Empty/Hint",
"text": "keine"
},
"$:/language/ControlPanel/Plugins/Enable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Enable/Caption",
"text": "aktivieren"
},
"$:/language/ControlPanel/Plugins/Enable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Enable/Hint",
"text": "Aktivieren Sie dieses Plugin beim nächsten Laden der Seite."
},
"$:/language/ControlPanel/Plugins/Install/Caption": {
"title": "$:/language/ControlPanel/Plugins/Install/Caption",
"text": "installieren"
},
"$:/language/ControlPanel/Plugins/Installed/Hint": {
"title": "$:/language/ControlPanel/Plugins/Installed/Hint",
"text": "Momentan installierte Plugins"
},
"$:/language/ControlPanel/Plugins/Languages/Caption": {
"title": "$:/language/ControlPanel/Plugins/Languages/Caption",
"text": "Sprachen"
},
"$:/language/ControlPanel/Plugins/Languages/Hint": {
"title": "$:/language/ControlPanel/Plugins/Languages/Hint",
"text": "Spracherweiterungen"
},
"$:/language/ControlPanel/Plugins/NoInfoFound/Hint": {
"title": "$:/language/ControlPanel/Plugins/NoInfoFound/Hint",
"text": "Kein ''\"<$text text=<<currentTab>>/>\"'' gefunden"
},
"$:/language/ControlPanel/Plugins/NotInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/NotInstalled/Hint",
"text": "Dieses Plugin ist momentan nicht installiert"
},
"$:/language/ControlPanel/Plugins/OpenPluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/OpenPluginLibrary",
"text": "Öffne das Plugin-Verzeichnis"
},
"$:/language/ControlPanel/Plugins/ClosePluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/ClosePluginLibrary",
"text": "Schließe das Plugin-Verzeichnis"
},
"$:/language/ControlPanel/Plugins/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Plugins/Hint": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Hint",
"text": "Erweiterungen"
},
"$:/language/ControlPanel/Plugins/Reinstall/Caption": {
"title": "$:/language/ControlPanel/Plugins/Reinstall/Caption",
"text": "erneut installieren"
},
"$:/language/ControlPanel/Plugins/Themes/Caption": {
"title": "$:/language/ControlPanel/Plugins/Themes/Caption",
"text": "Themes"
},
"$:/language/ControlPanel/Plugins/Themes/Hint": {
"title": "$:/language/ControlPanel/Plugins/Themes/Hint",
"text": "Theme Erweiterungen"
},
"$:/language/ControlPanel/Saving/Caption": {
"title": "$:/language/ControlPanel/Saving/Caption",
"text": "Speichern"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description",
"text": "Erlaube automatisches Speichern für den \"Download Saver\""
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint",
"text": "Erlaube automatisches Speichern für den \"Download Saver\""
},
"$:/language/ControlPanel/Saving/DownloadSaver/Caption": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Caption",
"text": "Download Saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Hint",
"text": "Diese Einstellungen gelten für den HTML5-compatiblen \"Download Saver\""
},
"$:/language/ControlPanel/Saving/General/Caption": {
"title": "$:/language/ControlPanel/Saving/General/Caption",
"text": "Allgemein"
},
"$:/language/ControlPanel/Saving/General/Hint": {
"title": "$:/language/ControlPanel/Saving/General/Hint",
"text": "Diese Einstellungen gelten für alle Speichermechanismen"
},
"$:/language/ControlPanel/Saving/Hint": {
"title": "$:/language/ControlPanel/Saving/Hint",
"text": "Einstellungen zu den TiddlyWiki Speichermechanismen"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading",
"text": "Erweiterte Einstellungen"
},
"$:/language/ControlPanel/Saving/TiddlySpot/BackupDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/BackupDir",
"text": "Verzeichnis für das \"Backup\""
},
"$:/language/ControlPanel/Saving/TiddlySpot/Backups": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Backups",
"text": "\"Backups\""
},
"$:/language/ControlPanel/Saving/TiddlySpot/Caption": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Caption",
"text": "Speichern auf ~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Description": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Description",
"text": "Diese Einstellungen sind nur für http://tiddlyspot.com und kompatible Server aktiv!"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Filename": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Filename",
"text": "Dateiname für den \"Upload\""
},
"$:/language/ControlPanel/Saving/TiddlySpot/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Heading",
"text": "~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Hint": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Hint",
"text": "//Die Standard-Server-URL ist `http://<wikiname>.tiddlyspot.com/store.cgi` und kann im Feld 'Server-URL' verändert werden. zB: http://example.com/store.php//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Password": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Password",
"text": "Passwort"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ServerURL": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ServerURL",
"text": "Server-URL"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UploadDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UploadDir",
"text": "Verzeichnis für den \"Upload\""
},
"$:/language/ControlPanel/Saving/TiddlySpot/UserName": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UserName",
"text": "Name des Wikis"
},
"$:/language/ControlPanel/Settings/AutoSave/Caption": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Caption",
"text": "Automatisch speichern"
},
"$:/language/ControlPanel/Settings/AutoSave/Disabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Disabled/Description",
"text": "Änderungen NICHT automatisch speichern"
},
"$:/language/ControlPanel/Settings/AutoSave/Enabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Enabled/Description",
"text": "Änderungen automatisch speichern"
},
"$:/language/ControlPanel/Settings/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Hint",
"text": "Änderungen des Wikis automatisch speichern"
},
"$:/language/ControlPanel/Settings/CamelCase/Caption": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Caption",
"text": "Camel Case Wiki Links"
},
"$:/language/ControlPanel/Settings/CamelCase/Hint": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Hint",
"text": "Hier können Sie die automatische Umwandlung von \"~CamelCase Links\" einstellen. ''Wichtig:'' Die Seite muss neu geladen werden, damit die Einstellungen wirksam werden."
},
"$:/language/ControlPanel/Settings/CamelCase/Description": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Description",
"text": "Automatische ~CamelCase Umwandlung aktivieren"
},
"$:/language/ControlPanel/Settings/Caption": {
"title": "$:/language/ControlPanel/Settings/Caption",
"text": "Einstellungen"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Hint",
"text": "Aktivieren oder deaktivieren der Editor Toolbar"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Description": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Description",
"text": "Editor Toolbar anzeigen"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Caption": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Caption",
"text": "Tiddler Info Panel Modus"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Hint": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Hint",
"text": "Einstellung, wann das Info Panel geschlossen wird:"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description",
"text": "Tiddler Info-Panel schließt automatisch"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description",
"text": "TiddlerTiddler Info-Panel bleibt offen, bis es geschlossen wird"
},
"$:/language/ControlPanel/Settings/Hint": {
"title": "$:/language/ControlPanel/Settings/Hint",
"text": "Diese erweiterten Einstellungen ermöglichen Ihnen, das Verhalten von TiddlyWiki zu ändern."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Caption",
"text": "Navigation Adresszeile"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Hint",
"text": "Verhalten der Adresszeile des Browsers, wenn ein Tiddler geöffnet wird:"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description",
"text": "Die Adresszeile des Browsers wird nicht verändert."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description",
"text": "Den aktuellen Tiddler einbinden."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description",
"text": "Alle geöffneten Tiddler einbinden."
},
"$:/language/ControlPanel/Settings/NavigationHistory/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Caption",
"text": "Browser Chronik"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Hint",
"text": "Die Browser Chronik ändern, wenn ein Tiddler angezeigt wird:"
},
"$:/language/ControlPanel/Settings/NavigationHistory/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/No/Description",
"text": "Browser Chronik nicht ändern."
},
"$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description",
"text": "Browser Chronik ändern."
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption",
"text": "Performance Messung"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint",
"text": "Anzeige der Performance Statistik in der Browser Entwickler Konsole. ''Wichtig:'' Seite neu laden um die Einstellung zu aktivieren!"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description",
"text": "Aktiviere Performance Messung"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption",
"text": "Toolbar Button Stil"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint",
"text": "Wählen Sie einen Stil:"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless",
"text": "Ohne Rand"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed",
"text": "Box"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded",
"text": "Abgerundet"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Caption",
"text": "Toolbar Buttons"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Hint",
"text": "Standard Toolbar Button Erscheinungsbild:"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description",
"text": "Icon anzeigen"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description",
"text": "Text anzeigen"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption",
"text": "Standard Sidebar Tab"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint",
"text": "Definition, welcher \"Sidebar Tab\" standardmäßig aktiv ist."
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption",
"text": "Standard \"Mehr Sidebar Tab\""
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint",
"text": "Definition, welcher \"Mehr Sidebar Tab\" standardmäßig aktiv ist."
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/Caption": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/Caption",
"text": "Tiddler Öffnen"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint",
"text": "Navigation bei Klicks //innerhalb// der Story"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint",
"text": "Navigation bei Klicks //außerhalb// der Story"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove",
"text": "Öffne vor dem aktuellen Tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow",
"text": "Öffne unter dem aktuellen Tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop",
"text": "Öffne als ersten Tiddler in der Story"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom",
"text": "Öffne alse letzten Tiddler in der Story"
},
"$:/language/ControlPanel/Settings/TitleLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Caption",
"text": "Tiddler Titel"
},
"$:/language/ControlPanel/Settings/TitleLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Hint",
"text": "Tiddler Titel als Links anzeigen:"
},
"$:/language/ControlPanel/Settings/TitleLinks/No/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/No/Description",
"text": "Tiddler Titel normal anzeigen."
},
"$:/language/ControlPanel/Settings/TitleLinks/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Yes/Description",
"text": "Tiddler Titel als Link anzeigen."
},
"$:/language/ControlPanel/Settings/MissingLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Caption",
"text": "Wiki-Links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Hint",
"text": "Aktiviere Links zu fehlenden Tiddlern. zB: FehlenderTiddler [[Einführung]]"
},
"$:/language/ControlPanel/Settings/MissingLinks/Description": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Description",
"text": "Aktiviere Links zu fehlenden Tiddlern."
},
"$:/language/ControlPanel/StoryView/Caption": {
"title": "$:/language/ControlPanel/StoryView/Caption",
"text": "Anzeige"
},
"$:/language/ControlPanel/StoryView/Prompt": {
"title": "$:/language/ControlPanel/StoryView/Prompt",
"text": "Ausgewählte Anzeige:"
},
"$:/language/ControlPanel/Stylesheets/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Caption",
"text": "Stylesheets"
},
"$:/language/ControlPanel/Stylesheets/Expand/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Expand/Caption",
"text": "Alle erweitern"
},
"$:/language/ControlPanel/Stylesheets/Hint": {
"title": "$:/language/ControlPanel/Stylesheets/Hint",
"text": "Hier wird der \"erweiterte\" CSS Code dargestellt. Die Reihenfolge, kann in der \"Tag-Liste\" <<tag \"$:/tags/Stylesheet\">> mit \"Drag & Drop\" angepasst werden!"
},
"$:/language/ControlPanel/Stylesheets/Restore/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Restore/Caption",
"text": "Alle zurücksetzen"
},
"$:/language/ControlPanel/Theme/Caption": {
"title": "$:/language/ControlPanel/Theme/Caption",
"text": "Theme"
},
"$:/language/ControlPanel/Theme/Prompt": {
"title": "$:/language/ControlPanel/Theme/Prompt",
"text": "Ausgewähltes Theme:"
},
"$:/language/ControlPanel/TiddlerFields/Caption": {
"title": "$:/language/ControlPanel/TiddlerFields/Caption",
"text": "Tiddler Felder"
},
"$:/language/ControlPanel/TiddlerFields/Hint": {
"title": "$:/language/ControlPanel/TiddlerFields/Hint",
"text": "Hier finden Sie alle [[Felder|TiddlerFields]], die in diesem Wiki verwendet werden. Inklusive der Felder aus System-, exklusive Schatten-Tiddler."
},
"$:/language/ControlPanel/Toolbars/Caption": {
"title": "$:/language/ControlPanel/Toolbars/Caption",
"text": "Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Caption",
"text": "Edit Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Hint",
"text": "Auswählen, welche Buttons im \"Edit Modus\" angezeigt werden. Verwenden Sie \"Drag and Drop\", um die Reihenfolge zu ändern"
},
"$:/language/ControlPanel/Toolbars/Hint": {
"title": "$:/language/ControlPanel/Toolbars/Hint",
"text": "Auswählen, welche \"Toolbar Button\" angezeigt werden"
},
"$:/language/ControlPanel/Toolbars/PageControls/Caption": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Caption",
"text": "Page Toolbar"
},
"$:/language/ControlPanel/Toolbars/PageControls/Hint": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Hint",
"text": "Auswählen, welche Buttons im Hauptmenü angezeigt werden. Verwenden Sie \"Drag and Drop\", um die Reihenfolge zu ändern"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Hint",
"text": "Auswählen, welche Editorbuttons angezeigt werden sollen. Manche Buttons sind vom Tiddler-Typ abhängig und werden eventuell ausgeblendet."
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Caption",
"text": "View Toolbar"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Hint",
"text": "Auswählen, welche Buttons im \"View Modus\" angezeigt werden. Verwenden Sie \"Drag and Drop\", um die Reihenfolge zu ändern"
},
"$:/language/ControlPanel/Tools/Download/Full/Caption": {
"title": "$:/language/ControlPanel/Tools/Download/Full/Caption",
"text": "Herunterladen des ''gesamten Wikis''"
},
"$:/core/de-DE/readme": {
"title": "$:/core/de-DE/readme",
"text": "Dieses Plugin enthält die TiddlyWiki Basis Komponenten, bestehend aus:\n\n* JavaScript Code Module.\n* Piktogramme (icons).\n* Vorlagen, die benötigt werden um die TiddlyWiki Oberfläche zu erstellen.\n* British English (''en-GB'') übersetzbare Texte, die von der TW Basis Software verwendet werden.\n"
},
"$:/language/Date/DaySuffix/1": {
"title": "$:/language/Date/DaySuffix/1",
"text": "."
},
"$:/language/Date/DaySuffix/2": {
"title": "$:/language/Date/DaySuffix/2",
"text": "."
},
"$:/language/Date/DaySuffix/3": {
"title": "$:/language/Date/DaySuffix/3",
"text": "."
},
"$:/language/Date/DaySuffix/4": {
"title": "$:/language/Date/DaySuffix/4",
"text": "."
},
"$:/language/Date/DaySuffix/5": {
"title": "$:/language/Date/DaySuffix/5",
"text": "."
},
"$:/language/Date/DaySuffix/6": {
"title": "$:/language/Date/DaySuffix/6",
"text": "."
},
"$:/language/Date/DaySuffix/7": {
"title": "$:/language/Date/DaySuffix/7",
"text": "."
},
"$:/language/Date/DaySuffix/8": {
"title": "$:/language/Date/DaySuffix/8",
"text": "."
},
"$:/language/Date/DaySuffix/9": {
"title": "$:/language/Date/DaySuffix/9",
"text": "."
},
"$:/language/Date/DaySuffix/10": {
"title": "$:/language/Date/DaySuffix/10",
"text": "."
},
"$:/language/Date/DaySuffix/11": {
"title": "$:/language/Date/DaySuffix/11",
"text": "."
},
"$:/language/Date/DaySuffix/12": {
"title": "$:/language/Date/DaySuffix/12",
"text": "."
},
"$:/language/Date/DaySuffix/13": {
"title": "$:/language/Date/DaySuffix/13",
"text": "."
},
"$:/language/Date/DaySuffix/14": {
"title": "$:/language/Date/DaySuffix/14",
"text": "."
},
"$:/language/Date/DaySuffix/15": {
"title": "$:/language/Date/DaySuffix/15",
"text": "."
},
"$:/language/Date/DaySuffix/16": {
"title": "$:/language/Date/DaySuffix/16",
"text": "."
},
"$:/language/Date/DaySuffix/17": {
"title": "$:/language/Date/DaySuffix/17",
"text": "."
},
"$:/language/Date/DaySuffix/18": {
"title": "$:/language/Date/DaySuffix/18",
"text": "."
},
"$:/language/Date/DaySuffix/19": {
"title": "$:/language/Date/DaySuffix/19",
"text": "."
},
"$:/language/Date/DaySuffix/20": {
"title": "$:/language/Date/DaySuffix/20",
"text": "."
},
"$:/language/Date/DaySuffix/21": {
"title": "$:/language/Date/DaySuffix/21",
"text": "."
},
"$:/language/Date/DaySuffix/22": {
"title": "$:/language/Date/DaySuffix/22",
"text": "."
},
"$:/language/Date/DaySuffix/23": {
"title": "$:/language/Date/DaySuffix/23",
"text": "."
},
"$:/language/Date/DaySuffix/24": {
"title": "$:/language/Date/DaySuffix/24",
"text": "."
},
"$:/language/Date/DaySuffix/25": {
"title": "$:/language/Date/DaySuffix/25",
"text": "."
},
"$:/language/Date/DaySuffix/26": {
"title": "$:/language/Date/DaySuffix/26",
"text": "."
},
"$:/language/Date/DaySuffix/27": {
"title": "$:/language/Date/DaySuffix/27",
"text": "."
},
"$:/language/Date/DaySuffix/28": {
"title": "$:/language/Date/DaySuffix/28",
"text": "."
},
"$:/language/Date/DaySuffix/29": {
"title": "$:/language/Date/DaySuffix/29",
"text": "."
},
"$:/language/Date/DaySuffix/30": {
"title": "$:/language/Date/DaySuffix/30",
"text": "."
},
"$:/language/Date/DaySuffix/31": {
"title": "$:/language/Date/DaySuffix/31",
"text": "."
},
"$:/language/Date/Long/Day/0": {
"title": "$:/language/Date/Long/Day/0",
"text": "Sonntag"
},
"$:/language/Date/Long/Day/1": {
"title": "$:/language/Date/Long/Day/1",
"text": "Montag"
},
"$:/language/Date/Long/Day/2": {
"title": "$:/language/Date/Long/Day/2",
"text": "Dienstag"
},
"$:/language/Date/Long/Day/3": {
"title": "$:/language/Date/Long/Day/3",
"text": "Mittwoch"
},
"$:/language/Date/Long/Day/4": {
"title": "$:/language/Date/Long/Day/4",
"text": "Donnerstag"
},
"$:/language/Date/Long/Day/5": {
"title": "$:/language/Date/Long/Day/5",
"text": "Freitag"
},
"$:/language/Date/Long/Day/6": {
"title": "$:/language/Date/Long/Day/6",
"text": "Samstag"
},
"$:/language/Date/Long/Month/1": {
"title": "$:/language/Date/Long/Month/1",
"text": "Januar"
},
"$:/language/Date/Long/Month/2": {
"title": "$:/language/Date/Long/Month/2",
"text": "Februar"
},
"$:/language/Date/Long/Month/3": {
"title": "$:/language/Date/Long/Month/3",
"text": "März"
},
"$:/language/Date/Long/Month/4": {
"title": "$:/language/Date/Long/Month/4",
"text": "April"
},
"$:/language/Date/Long/Month/5": {
"title": "$:/language/Date/Long/Month/5",
"text": "Mai"
},
"$:/language/Date/Long/Month/6": {
"title": "$:/language/Date/Long/Month/6",
"text": "Juni"
},
"$:/language/Date/Long/Month/7": {
"title": "$:/language/Date/Long/Month/7",
"text": "Juli"
},
"$:/language/Date/Long/Month/8": {
"title": "$:/language/Date/Long/Month/8",
"text": "August"
},
"$:/language/Date/Long/Month/9": {
"title": "$:/language/Date/Long/Month/9",
"text": "September"
},
"$:/language/Date/Long/Month/10": {
"title": "$:/language/Date/Long/Month/10",
"text": "Oktober"
},
"$:/language/Date/Long/Month/11": {
"title": "$:/language/Date/Long/Month/11",
"text": "November"
},
"$:/language/Date/Long/Month/12": {
"title": "$:/language/Date/Long/Month/12",
"text": "Dezember"
},
"$:/language/Date/Period/am": {
"title": "$:/language/Date/Period/am",
"text": "am"
},
"$:/language/Date/Period/pm": {
"title": "$:/language/Date/Period/pm",
"text": "pm"
},
"$:/language/Date/Short/Day/0": {
"title": "$:/language/Date/Short/Day/0",
"text": "So"
},
"$:/language/Date/Short/Day/1": {
"title": "$:/language/Date/Short/Day/1",
"text": "Mo"
},
"$:/language/Date/Short/Day/2": {
"title": "$:/language/Date/Short/Day/2",
"text": "Di"
},
"$:/language/Date/Short/Day/3": {
"title": "$:/language/Date/Short/Day/3",
"text": "Mi"
},
"$:/language/Date/Short/Day/4": {
"title": "$:/language/Date/Short/Day/4",
"text": "Do"
},
"$:/language/Date/Short/Day/5": {
"title": "$:/language/Date/Short/Day/5",
"text": "Fr"
},
"$:/language/Date/Short/Day/6": {
"title": "$:/language/Date/Short/Day/6",
"text": "Sa"
},
"$:/language/Date/Short/Month/1": {
"title": "$:/language/Date/Short/Month/1",
"text": "Jan"
},
"$:/language/Date/Short/Month/2": {
"title": "$:/language/Date/Short/Month/2",
"text": "Feb"
},
"$:/language/Date/Short/Month/3": {
"title": "$:/language/Date/Short/Month/3",
"text": "Mär"
},
"$:/language/Date/Short/Month/4": {
"title": "$:/language/Date/Short/Month/4",
"text": "Apr"
},
"$:/language/Date/Short/Month/5": {
"title": "$:/language/Date/Short/Month/5",
"text": "Mai"
},
"$:/language/Date/Short/Month/6": {
"title": "$:/language/Date/Short/Month/6",
"text": "Jun"
},
"$:/language/Date/Short/Month/7": {
"title": "$:/language/Date/Short/Month/7",
"text": "Jul"
},
"$:/language/Date/Short/Month/8": {
"title": "$:/language/Date/Short/Month/8",
"text": "Aug"
},
"$:/language/Date/Short/Month/9": {
"title": "$:/language/Date/Short/Month/9",
"text": "Sep"
},
"$:/language/Date/Short/Month/10": {
"title": "$:/language/Date/Short/Month/10",
"text": "Okt"
},
"$:/language/Date/Short/Month/11": {
"title": "$:/language/Date/Short/Month/11",
"text": "Nov"
},
"$:/language/Date/Short/Month/12": {
"title": "$:/language/Date/Short/Month/12",
"text": "Dez"
},
"$:/language/RelativeDate/Future/Days": {
"title": "$:/language/RelativeDate/Future/Days",
"text": "in <<period>> Tagen"
},
"$:/language/RelativeDate/Future/Hours": {
"title": "$:/language/RelativeDate/Future/Hours",
"text": "in <<period>> Stunden"
},
"$:/language/RelativeDate/Future/Minutes": {
"title": "$:/language/RelativeDate/Future/Minutes",
"text": "in <<period>> Minuten"
},
"$:/language/RelativeDate/Future/Months": {
"title": "$:/language/RelativeDate/Future/Months",
"text": "in <<period>> Monaten"
},
"$:/language/RelativeDate/Future/Second": {
"title": "$:/language/RelativeDate/Future/Second",
"text": "in einer Sekunde"
},
"$:/language/RelativeDate/Future/Seconds": {
"title": "$:/language/RelativeDate/Future/Seconds",
"text": "in <<period>> Sekunden"
},
"$:/language/RelativeDate/Future/Years": {
"title": "$:/language/RelativeDate/Future/Years",
"text": "in <<period>> Jahren"
},
"$:/language/RelativeDate/Past/Days": {
"title": "$:/language/RelativeDate/Past/Days",
"text": "vor <<period>> Tagen"
},
"$:/language/RelativeDate/Past/Hours": {
"title": "$:/language/RelativeDate/Past/Hours",
"text": "vor <<period>> Stunden"
},
"$:/language/RelativeDate/Past/Minutes": {
"title": "$:/language/RelativeDate/Past/Minutes",
"text": "vor <<period>> Minuten"
},
"$:/language/RelativeDate/Past/Months": {
"title": "$:/language/RelativeDate/Past/Months",
"text": "vor <<period>> Monaten"
},
"$:/language/RelativeDate/Past/Second": {
"title": "$:/language/RelativeDate/Past/Second",
"text": "vor einer Sekunde"
},
"$:/language/RelativeDate/Past/Seconds": {
"title": "$:/language/RelativeDate/Past/Seconds",
"text": "vor <<period>> Sekunden"
},
"$:/language/RelativeDate/Past/Years": {
"title": "$:/language/RelativeDate/Past/Years",
"text": "vor <<period>> Jahren"
},
"$:/language/Docs/ModuleTypes/allfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/allfilteroperator",
"text": "Ein Sub-Operator für den ''all'' Filter Operator."
},
"$:/language/Docs/ModuleTypes/animation": {
"title": "$:/language/Docs/ModuleTypes/animation",
"text": "Animationen, die vom RevealWidget verwendet werden."
},
"$:/language/Docs/ModuleTypes/bitmapeditoroperation": {
"title": "$:/language/Docs/ModuleTypes/bitmapeditoroperation",
"text": "Eine \"Bitmap-Editor\" Toolbar Operation."
},
"$:/language/Docs/ModuleTypes/command": {
"title": "$:/language/Docs/ModuleTypes/command",
"text": "Kommandozeilen-Parameter, die mit node.js ausgeführt werden können."
},
"$:/language/Docs/ModuleTypes/config": {
"title": "$:/language/Docs/ModuleTypes/config",
"text": "Daten, die in `$tw.config` eingefügt werden."
},
"$:/language/Docs/ModuleTypes/filteroperator": {
"title": "$:/language/Docs/ModuleTypes/filteroperator",
"text": "Individuelle Funktionen für den Filter-Operator."
},
"$:/language/Docs/ModuleTypes/global": {
"title": "$:/language/Docs/ModuleTypes/global",
"text": "Globale Daten, die in `$tw` eingefügt werden."
},
"$:/language/Docs/ModuleTypes/info": {
"title": "$:/language/Docs/ModuleTypes/info",
"text": "Veröffentlicht System-Informationen mit dem Pseudo-plugin: [[$:/temp/info-plugin]]"
},
"$:/language/Docs/ModuleTypes/isfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/isfilteroperator",
"text": "Operanden für den Filter-Operator: ''is''"
},
"$:/language/Docs/ModuleTypes/library": {
"title": "$:/language/Docs/ModuleTypes/library",
"text": "Allgemeiner Modultyp, für JavaScript Module."
},
"$:/language/Docs/ModuleTypes/macro": {
"title": "$:/language/Docs/ModuleTypes/macro",
"text": "Globale Makro-Definitionen in JavaScript."
},
"$:/language/Docs/ModuleTypes/parser": {
"title": "$:/language/Docs/ModuleTypes/parser",
"text": "Parser für verschiedene Tiddler Typen."
},
"$:/language/Docs/ModuleTypes/saver": {
"title": "$:/language/Docs/ModuleTypes/saver",
"text": "\"Savers\" stellen verschiedene Methoden zum Speichern mit dem Browser zur Verfügung."
},
"$:/language/Docs/ModuleTypes/startup": {
"title": "$:/language/Docs/ModuleTypes/startup",
"text": "Funktionen zur Initialisierung."
},
"$:/language/Docs/ModuleTypes/storyview": {
"title": "$:/language/Docs/ModuleTypes/storyview",
"text": "[[Story-View|Story]] ist für das Verhalten des \"ListWidgets\" zuständig, das die Tiddler \"Hauptanzeige\" verwaltet. Mit dem Toolbutton Story-Modus wird einer dieser Modi ausgewählt."
},
"$:/language/Docs/ModuleTypes/texteditoroperation": {
"title": "$:/language/Docs/ModuleTypes/texteditoroperation",
"text": "Eine Text-Editor Toolbar Operation."
},
"$:/language/Docs/ModuleTypes/tiddlerdeserializer": {
"title": "$:/language/Docs/ModuleTypes/tiddlerdeserializer",
"text": "Konvertiert verschiedene textbasierte Inhaltstypen in das Tiddler-Format."
},
"$:/language/Docs/ModuleTypes/tiddlerfield": {
"title": "$:/language/Docs/ModuleTypes/tiddlerfield",
"text": "Definiert das Verhalten, der unterschiedlichen Tiddler-Felder."
},
"$:/language/Docs/ModuleTypes/tiddlermethod": {
"title": "$:/language/Docs/ModuleTypes/tiddlermethod",
"text": "Methoden werden dem `$tw.Tiddler` Prototypen hinzugefügt."
},
"$:/language/Docs/ModuleTypes/upgrader": {
"title": "$:/language/Docs/ModuleTypes/upgrader",
"text": "Führt spezifische Änderungen während des Upgrade- oder Import-prozesses durch."
},
"$:/language/Docs/ModuleTypes/utils": {
"title": "$:/language/Docs/ModuleTypes/utils",
"text": "Methoden werden `$tw.utils` hinzugefügt."
},
"$:/language/Docs/ModuleTypes/utils-node": {
"title": "$:/language/Docs/ModuleTypes/utils-node",
"text": "Erweitert `$tw.utils` mit Methoden aus node.js."
},
"$:/language/Docs/ModuleTypes/widget": {
"title": "$:/language/Docs/ModuleTypes/widget",
"text": "Widgets verarbeiten das Rendern und Aktualisieren der Anzeige in der DOM."
},
"$:/language/Docs/ModuleTypes/wikimethod": {
"title": "$:/language/Docs/ModuleTypes/wikimethod",
"text": "Methoden werden zu `$tw.Wiki` hinzugefügt."
},
"$:/language/Docs/ModuleTypes/wikirule": {
"title": "$:/language/Docs/ModuleTypes/wikirule",
"text": "Enthält die individuellen Parser Regeln für den WikiText-Parser."
},
"$:/language/Docs/PaletteColours/alert-background": {
"title": "$:/language/Docs/PaletteColours/alert-background",
"text": "Warnung Hintergrund"
},
"$:/language/Docs/PaletteColours/alert-border": {
"title": "$:/language/Docs/PaletteColours/alert-border",
"text": "Warnung Rahmen"
},
"$:/language/Docs/PaletteColours/alert-highlight": {
"title": "$:/language/Docs/PaletteColours/alert-highlight",
"text": "Warnung Hervorhebung"
},
"$:/language/Docs/PaletteColours/alert-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/alert-muted-foreground",
"text": "Warnung gedeckt Vordergrund"
},
"$:/language/Docs/PaletteColours/background": {
"title": "$:/language/Docs/PaletteColours/background",
"text": "Hintergrund Global"
},
"$:/language/Docs/PaletteColours/blockquote-bar": {
"title": "$:/language/Docs/PaletteColours/blockquote-bar",
"text": "Zitat Markierung"
},
"$:/language/Docs/PaletteColours/button-background": {
"title": "$:/language/Docs/PaletteColours/button-background",
"text": "Standard-Button Hintergrund"
},
"$:/language/Docs/PaletteColours/button-border": {
"title": "$:/language/Docs/PaletteColours/button-border",
"text": "Standard-Button Rahmen"
},
"$:/language/Docs/PaletteColours/button-foreground": {
"title": "$:/language/Docs/PaletteColours/button-foreground",
"text": "Standard-Button Vordergrund"
},
"$:/language/Docs/PaletteColours/dirty-indicator": {
"title": "$:/language/Docs/PaletteColours/dirty-indicator",
"text": "Speichern nötig - Indikator"
},
"$:/language/Docs/PaletteColours/code-background": {
"title": "$:/language/Docs/PaletteColours/code-background",
"text": "Code Hintergrund"
},
"$:/language/Docs/PaletteColours/code-border": {
"title": "$:/language/Docs/PaletteColours/code-border",
"text": "Code Rahmen"
},
"$:/language/Docs/PaletteColours/code-foreground": {
"title": "$:/language/Docs/PaletteColours/code-foreground",
"text": "Code Vordergrund"
},
"$:/language/Docs/PaletteColours/download-background": {
"title": "$:/language/Docs/PaletteColours/download-background",
"text": "Herunterladen-Button Hintergrund"
},
"$:/language/Docs/PaletteColours/download-foreground": {
"title": "$:/language/Docs/PaletteColours/download-foreground",
"text": "Herunterladen-Button Vordergrund"
},
"$:/language/Docs/PaletteColours/dragger-background": {
"title": "$:/language/Docs/PaletteColours/dragger-background",
"text": "Ziehen Hintergrund"
},
"$:/language/Docs/PaletteColours/dragger-foreground": {
"title": "$:/language/Docs/PaletteColours/dragger-foreground",
"text": "Ziehen Vordergrund"
},
"$:/language/Docs/PaletteColours/dropdown-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-background",
"text": "Auswahldialog Hintergrund"
},
"$:/language/Docs/PaletteColours/dropdown-border": {
"title": "$:/language/Docs/PaletteColours/dropdown-border",
"text": "Auswahldialog Rahmen"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background-selected",
"text": "Auswahldialog ausgewählter Reiter Hintergrund"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background",
"text": "Auswahldialog Reiter Hintergrund"
},
"$:/language/Docs/PaletteColours/dropzone-background": {
"title": "$:/language/Docs/PaletteColours/dropzone-background",
"text": "Import Zone Hintergrund"
},
"$:/language/Docs/PaletteColours/external-link-background-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-background-hover",
"text": "Externer Link Hintergrund (hover)"
},
"$:/language/Docs/PaletteColours/external-link-background-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-background-visited",
"text": "Externer Link besucht Hintergrund"
},
"$:/language/Docs/PaletteColours/external-link-background": {
"title": "$:/language/Docs/PaletteColours/external-link-background",
"text": "Externer Link Hintergrund"
},
"$:/language/Docs/PaletteColours/external-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-hover",
"text": "Externer Link Vordergrund (hover)"
},
"$:/language/Docs/PaletteColours/external-link-foreground-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-visited",
"text": "Externer Link besucht Vordergrund"
},
"$:/language/Docs/PaletteColours/external-link-foreground": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground",
"text": "Externer Link Vordergrund"
},
"$:/language/Docs/PaletteColours/foreground": {
"title": "$:/language/Docs/PaletteColours/foreground",
"text": "Vordergrund Global"
},
"$:/language/Docs/PaletteColours/message-background": {
"title": "$:/language/Docs/PaletteColours/message-background",
"text": "Meldungs-Box Hintergrund"
},
"$:/language/Docs/PaletteColours/message-border": {
"title": "$:/language/Docs/PaletteColours/message-border",
"text": "Meldungs-Box Rahmen"
},
"$:/language/Docs/PaletteColours/message-foreground": {
"title": "$:/language/Docs/PaletteColours/message-foreground",
"text": "Meldungs-Box Vordergrund"
},
"$:/language/Docs/PaletteColours/modal-backdrop": {
"title": "$:/language/Docs/PaletteColours/modal-backdrop",
"text": "Modaler Dialog abgedunkelt"
},
"$:/language/Docs/PaletteColours/modal-background": {
"title": "$:/language/Docs/PaletteColours/modal-background",
"text": "Modaler Dialog Hintergrund"
},
"$:/language/Docs/PaletteColours/modal-border": {
"title": "$:/language/Docs/PaletteColours/modal-border",
"text": "Modaler Dialog Rahmen"
},
"$:/language/Docs/PaletteColours/modal-footer-background": {
"title": "$:/language/Docs/PaletteColours/modal-footer-background",
"text": "Modaler Dialog Fußzeile Hintergrund"
},
"$:/language/Docs/PaletteColours/modal-footer-border": {
"title": "$:/language/Docs/PaletteColours/modal-footer-border",
"text": "Modaler Dialog Fußzeile Rahmen"
},
"$:/language/Docs/PaletteColours/modal-header-border": {
"title": "$:/language/Docs/PaletteColours/modal-header-border",
"text": "Modaler Dialog Kopfzeile Rahmen"
},
"$:/language/Docs/PaletteColours/muted-foreground": {
"title": "$:/language/Docs/PaletteColours/muted-foreground",
"text": "Global gedeckt Vordergrund"
},
"$:/language/Docs/PaletteColours/notification-background": {
"title": "$:/language/Docs/PaletteColours/notification-background",
"text": "Mitteilung Hintergrund"
},
"$:/language/Docs/PaletteColours/notification-border": {
"title": "$:/language/Docs/PaletteColours/notification-border",
"text": "Mitteilung Rahmen"
},
"$:/language/Docs/PaletteColours/page-background": {
"title": "$:/language/Docs/PaletteColours/page-background",
"text": "Seite Hintergrund"
},
"$:/language/Docs/PaletteColours/pre-background": {
"title": "$:/language/Docs/PaletteColours/pre-background",
"text": "Formatierter Code Hintergrund"
},
"$:/language/Docs/PaletteColours/pre-border": {
"title": "$:/language/Docs/PaletteColours/pre-border",
"text": "Formatierter Code Rahmen"
},
"$:/language/Docs/PaletteColours/primary": {
"title": "$:/language/Docs/PaletteColours/primary",
"text": "Global Primary"
},
"$:/language/Docs/PaletteColours/sidebar-button-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-button-foreground",
"text": "Seitenleiste Button Vordergrund"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover",
"text": "Seitenleiste Bedienelement Vordergrund (hover)"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground",
"text": "Seitenleiste Bedienelement Vordergrund"
},
"$:/language/Docs/PaletteColours/sidebar-foreground-shadow": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground-shadow",
"text": "Seitenleiste Vordergrund Schatten"
},
"$:/language/Docs/PaletteColours/sidebar-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground",
"text": "Seitenleiste Vordergrund"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover",
"text": "Seitenleiste gedeckt Vordergrund (hover)"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground",
"text": "Seitenleiste gedeckt Vordergrund"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background-selected",
"text": "Seitenleiste Reiter"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background",
"text": "Seitenleiste Reiter Hintergrund"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border-selected",
"text": "Seitenleiste Reiter Rahmen für selektierte Reiter"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border",
"text": "Seitenleiste Reiter Rahmen"
},
"$:/language/Docs/PaletteColours/sidebar-tab-divider": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-divider",
"text": "Seitenleiste Reiter Trennzeichen"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected",
"text": "Seitenleiste Reiter Vordergrund für selectierte Reiter"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground",
"text": "Seitenleiste Reiter Vordergrund"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover",
"text": "Seitenleiste Tiddler Link Vordergrund (hover)"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground",
"text": "Seitenleiste Tiddler Link Vordergrund"
},
"$:/language/Docs/PaletteColours/site-title-foreground": {
"title": "$:/language/Docs/PaletteColours/site-title-foreground",
"text": "Wiki Titel Vordergrund"
},
"$:/language/Docs/PaletteColours/static-alert-foreground": {
"title": "$:/language/Docs/PaletteColours/static-alert-foreground",
"text": "Statische Warnung Vordergrund"
},
"$:/language/Docs/PaletteColours/tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/tab-background-selected",
"text": "Reiter Hintergrund für selektierte Reiter"
},
"$:/language/Docs/PaletteColours/tab-background": {
"title": "$:/language/Docs/PaletteColours/tab-background",
"text": "Reiter Hintergrund"
},
"$:/language/Docs/PaletteColours/tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/tab-border-selected",
"text": "Reiter Rahmen für selektierte Reiter"
},
"$:/language/Docs/PaletteColours/tab-border": {
"title": "$:/language/Docs/PaletteColours/tab-border",
"text": "Reiter Rahmen"
},
"$:/language/Docs/PaletteColours/tab-divider": {
"title": "$:/language/Docs/PaletteColours/tab-divider",
"text": "Reiter Trennzeichen"
},
"$:/language/Docs/PaletteColours/tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tab-foreground-selected",
"text": "Reiter Vordergrund für selektierte Reiter"
},
"$:/language/Docs/PaletteColours/tab-foreground": {
"title": "$:/language/Docs/PaletteColours/tab-foreground",
"text": "Reiter Vordergrund"
},
"$:/language/Docs/PaletteColours/table-border": {
"title": "$:/language/Docs/PaletteColours/table-border",
"text": "Tabelle Rahmen"
},
"$:/language/Docs/PaletteColours/table-footer-background": {
"title": "$:/language/Docs/PaletteColours/table-footer-background",
"text": "Tabelle Fußzeile Hintergrund"
},
"$:/language/Docs/PaletteColours/table-header-background": {
"title": "$:/language/Docs/PaletteColours/table-header-background",
"text": "Tabelle Kopfzeile Hintergrund"
},
"$:/language/Docs/PaletteColours/tag-background": {
"title": "$:/language/Docs/PaletteColours/tag-background",
"text": "Tag Hintergrund"
},
"$:/language/Docs/PaletteColours/tag-foreground": {
"title": "$:/language/Docs/PaletteColours/tag-foreground",
"text": "Tag Vordergrund"
},
"$:/language/Docs/PaletteColours/tiddler-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-background",
"text": "Tiddler Hintergrund"
},
"$:/language/Docs/PaletteColours/tiddler-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-border",
"text": "Tiddler Rahmen"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover",
"text": "Tiddler Bedienelement Vordergrund (hover)"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected",
"text": "Tiddler Bedienelement Vordergrund für selektierte Elemente"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground",
"text": "Tiddler Bedienelement Vordergrund"
},
"$:/language/Docs/PaletteColours/tiddler-editor-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-background",
"text": "Tiddler Editor Hintergrund"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border-image": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border-image",
"text": "Tiddler Editor Rahmen Bild"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border",
"text": "Tiddler Editor Rahmen"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-even": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-even",
"text": "Tiddler Editor Hintergrund geradzahlige Felder in Tabelle"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-odd": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-odd",
"text": "Tiddler Editor Hintergrund un-geradzahlige Felder in Tabelle"
},
"$:/language/Docs/PaletteColours/tiddler-info-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-background",
"text": "Tiddler Info Bereich Hintergrund"
},
"$:/language/Docs/PaletteColours/tiddler-info-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-border",
"text": "Tiddler Info Bereich Rahmen"
},
"$:/language/Docs/PaletteColours/tiddler-info-tab-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-tab-background",
"text": "Tiddler Info Bereich Reiter Hintergrund"
},
"$:/language/Docs/PaletteColours/tiddler-link-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-background",
"text": "Tiddler Link Hintergrund"
},
"$:/language/Docs/PaletteColours/tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-foreground",
"text": "Tiddler Link Vordergrund"
},
"$:/language/Docs/PaletteColours/tiddler-subtitle-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-subtitle-foreground",
"text": "Tiddler Untertitel Vordergrund"
},
"$:/language/Docs/PaletteColours/tiddler-title-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-title-foreground",
"text": "Tiddler Titel Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-new-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-new-button",
"text": "Werkzeugleiste 'Neuer Tiddler' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-options-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-options-button",
"text": "Werkzeugleiste 'Optionen' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-save-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-save-button",
"text": "Werkzeugleiste 'Speichern' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-info-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-info-button",
"text": "Werkzeugleiste 'Info' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-edit-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-edit-button",
"text": "Werkzeugleiste 'Bearbeiten' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-close-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-close-button",
"text": "Werkzeugleiste 'Schließen' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-delete-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-delete-button",
"text": "Werkzeugleiste 'Löschen' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-cancel-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-cancel-button",
"text": "Werkzeugleiste 'Abbruch' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/toolbar-done-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-done-button",
"text": "Werkzeugleiste 'Fertig' Button Vordergrund"
},
"$:/language/Docs/PaletteColours/untagged-background": {
"title": "$:/language/Docs/PaletteColours/untagged-background",
"text": "(untagged) Pille Hintergrund"
},
"$:/language/Docs/PaletteColours/very-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/very-muted-foreground",
"text": "Stark abgedunkelter Vordergrund"
},
"$:/language/EditTemplate/Body/External/Hint": {
"title": "$:/language/EditTemplate/Body/External/Hint",
"text": "Dieser Tiddler zeigt den Inhalt einer Datei, die nicht im TW file gespeichert ist. Sie können die \"Tags\" und \"Feld\" Texte ändern, jedoch nicht den Inhalt des Tiddlers!"
},
"$:/language/EditTemplate/Body/Placeholder": {
"title": "$:/language/EditTemplate/Body/Placeholder",
"text": "Geben Sie den Text für diesen Tiddler ein."
},
"$:/language/EditTemplate/Body/Preview/Type/Output": {
"title": "$:/language/EditTemplate/Body/Preview/Type/Output",
"text": "Anzeige"
},
"$:/language/EditTemplate/Field/Remove/Caption": {
"title": "$:/language/EditTemplate/Field/Remove/Caption",
"text": "Lösche Feld"
},
"$:/language/EditTemplate/Field/Remove/Hint": {
"title": "$:/language/EditTemplate/Field/Remove/Hint",
"text": "Lösche Feld"
},
"$:/language/EditTemplate/Fields/Add/Button": {
"title": "$:/language/EditTemplate/Fields/Add/Button",
"text": "ok"
},
"$:/language/EditTemplate/Fields/Add/Name/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Name/Placeholder",
"text": "Feld Name"
},
"$:/language/EditTemplate/Fields/Add/Prompt": {
"title": "$:/language/EditTemplate/Fields/Add/Prompt",
"text": "Feld einfügen:"
},
"$:/language/EditTemplate/Fields/Add/Value/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Value/Placeholder",
"text": "Feld Text / Wert"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/System": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/System",
"text": "System Felder"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/User": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/User",
"text": "Anwender Felder"
},
"$:/language/EditTemplate/Shadow/Warning": {
"title": "$:/language/EditTemplate/Shadow/Warning",
"text": "Dies ist ein Schatten-Tiddler. Jede Änderung, die Sie machen, überschreibt die Standardversion des Plugins: <<pluginLink>>"
},
"$:/language/EditTemplate/Shadow/OverriddenWarning": {
"title": "$:/language/EditTemplate/Shadow/OverriddenWarning",
"text": "Dies ist ein veränderter Tiddler. Um zur Standardversion zurückzukehren, löschen Sie diesen Tiddler. Plugin: <<pluginLink>>"
},
"$:/language/EditTemplate/Tags/Add/Button": {
"title": "$:/language/EditTemplate/Tags/Add/Button",
"text": "ok"
},
"$:/language/EditTemplate/Tags/Add/Placeholder": {
"title": "$:/language/EditTemplate/Tags/Add/Placeholder",
"text": "neuer Tag"
},
"$:/language/EditTemplate/Tags/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Caption",
"text": "Tag Liste"
},
"$:/language/EditTemplate/Tags/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Hint",
"text": "Tag Liste anzeigen"
},
"$:/language/EditTemplate/Title/BadCharacterWarning": {
"title": "$:/language/EditTemplate/Title/BadCharacterWarning",
"text": "Warnung: Folgende Zeichen im Titel können zu Problemen führen: <<bad-chars>>"
},
"$:/language/EditTemplate/Title/Exists/Prompt": {
"title": "$:/language/EditTemplate/Title/Exists/Prompt",
"text": "Tiddler Name existiert bereits"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Ändere ''<$text text=<<fromTitle>>/>'' -> ''<$text text=<<toTitle>>/>'' in //tags// und //list// Feld aller anderen Tiddler"
},
"$:/language/EditTemplate/Type/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Type/Dropdown/Caption",
"text": "Tiddler Typ Liste"
},
"$:/language/EditTemplate/Type/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Type/Dropdown/Hint",
"text": "Anzeigen der Tiddler Typ Liste"
},
"$:/language/EditTemplate/Type/Delete/Caption": {
"title": "$:/language/EditTemplate/Type/Delete/Caption",
"text": "Lösche Inhalts Typ"
},
"$:/language/EditTemplate/Type/Delete/Hint": {
"title": "$:/language/EditTemplate/Type/Delete/Hint",
"text": "Lösche Inhalts Typ"
},
"$:/language/EditTemplate/Type/Placeholder": {
"title": "$:/language/EditTemplate/Type/Placeholder",
"text": "Tiddler Format"
},
"$:/language/EditTemplate/Type/Prompt": {
"title": "$:/language/EditTemplate/Type/Prompt",
"text": "Typ:"
},
"$:/language/Exporters/StaticRiver": {
"title": "$:/language/Exporters/StaticRiver",
"text": "HTML - Statisch"
},
"$:/language/Exporters/JsonFile": {
"title": "$:/language/Exporters/JsonFile",
"text": "JSON - Format"
},
"$:/language/Exporters/CsvFile": {
"title": "$:/language/Exporters/CsvFile",
"text": "CSV - Format"
},
"$:/language/Exporters/TidFile": {
"title": "$:/language/Exporters/TidFile",
"text": ".tid - Format"
},
"$:/language/Docs/Fields/_canonical_uri": {
"title": "$:/language/Docs/Fields/_canonical_uri",
"text": "Die komplette URI eines externen Foto Tiddlers. URI = Uniform Resource Identifier, Identifikator für Ressourcen im Internet."
},
"$:/language/Docs/Fields/bag": {
"title": "$:/language/Docs/Fields/bag",
"text": "Der Name eines ~TiddlyWeb \"bags\" von dem der Tiddler kam."
},
"$:/language/Docs/Fields/caption": {
"title": "$:/language/Docs/Fields/caption",
"text": "Der Text, der auf \"Tab-Buttons\" angezeigt wird."
},
"$:/language/Docs/Fields/color": {
"title": "$:/language/Docs/Fields/color",
"text": "Der CSS Farbwert, der mit einem Tiddler assoziiert wird."
},
"$:/language/Docs/Fields/component": {
"title": "$:/language/Docs/Fields/component",
"text": "Der Name einer Komponente, die für eine [[Alarm Anzeige|AlertMechanism]] verantwortlich ist."
},
"$:/language/Docs/Fields/current-tiddler": {
"title": "$:/language/Docs/Fields/current-tiddler",
"text": "Wird verwendet um den \"obersten\" Tiddler in der [[Tiddler Historie|HistoryMechanism]] zwischen zu speichern."
},
"$:/language/Docs/Fields/created": {
"title": "$:/language/Docs/Fields/created",
"text": "Datum an dem der Tiddler erstellt wurde."
},
"$:/language/Docs/Fields/creator": {
"title": "$:/language/Docs/Fields/creator",
"text": "Name des Erstellers dieses Tiddlers."
},
"$:/language/Docs/Fields/dependents": {
"title": "$:/language/Docs/Fields/dependents",
"text": "Listet die Abhängigkeiten bei \"plugins\" auf."
},
"$:/language/Docs/Fields/description": {
"title": "$:/language/Docs/Fields/description",
"text": "Die Beschreibung für ein \"plugin\" oder einen \"modalen\" Dialog."
},
"$:/language/Docs/Fields/draft.of": {
"title": "$:/language/Docs/Fields/draft.of",
"text": "Entwurf von - enthält den Titel des Tiddlers, zu dem dieser Entwurf-Tiddler gehört."
},
"$:/language/Docs/Fields/draft.title": {
"title": "$:/language/Docs/Fields/draft.title",
"text": "Entwurf Titel - enthält den neuen Titel, wenn der Entwurf-Tiddler gespeichert wird."
},
"$:/language/Docs/Fields/footer": {
"title": "$:/language/Docs/Fields/footer",
"text": "Der Fußnoten Text bei einem \"~Wizard-Dialog\""
},
"$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against": {
"title": "$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against",
"text": "Ein temporäres Feld, verwendet in [[$:/core/templates/static.content]]"
},
"$:/language/Docs/Fields/icon": {
"title": "$:/language/Docs/Fields/icon",
"text": "Der Titel eines ~Icon-Tiddlers, der mit diesem Tiddler verbunden ist."
},
"$:/language/Docs/Fields/library": {
"title": "$:/language/Docs/Fields/library",
"text": "Wenn dieses Feld=\"yes\" ist, dann soll der Tiddler als JavaScript Bibliothek gespeichert werden."
},
"$:/language/Docs/Fields/list": {
"title": "$:/language/Docs/Fields/list",
"text": "Eine geordnete Tiddler Liste, die mit diesem Tiddler verbunden ist."
},
"$:/language/Docs/Fields/list-before": {
"title": "$:/language/Docs/Fields/list-before",
"text": "Dient zum Einfügen von Tiddler Titeln in das \"list\" Feld. Wenn gesetzt, wird der neue Tiddler ''vor'' dem hier definierten Tiddler in die Liste eingefügt. Wenn vorhanden, aber leer, dann wird der neue Tiddler an den Anfang der Liste gesetzt."
},
"$:/language/Docs/Fields/list-after": {
"title": "$:/language/Docs/Fields/list-after",
"text": "Dient zum Einfügen von Tiddler Titeln in das \"list\" Feld. Wenn gesetzt, wird der neue Tiddler ''nach'' dem hier definierten Tiddler in die Liste eingefügt."
},
"$:/language/Docs/Fields/modified": {
"title": "$:/language/Docs/Fields/modified",
"text": "Datum, an dem der Tiddler zuletzt verändert wurde."
},
"$:/language/Docs/Fields/modifier": {
"title": "$:/language/Docs/Fields/modifier",
"text": "Name der Person, die den Tiddler zuletzt verändert hat."
},
"$:/language/Docs/Fields/name": {
"title": "$:/language/Docs/Fields/name",
"text": "Ein Menschen lesbarer Name für einen \"plugin\" Tiddler."
},
"$:/language/Docs/Fields/plugin-priority": {
"title": "$:/language/Docs/Fields/plugin-priority",
"text": "Ein numerischer Wert, der die Priorität eines \"plugins\" festlegt."
},
"$:/language/Docs/Fields/plugin-type": {
"title": "$:/language/Docs/Fields/plugin-type",
"text": "Der Typ eines \"plugins\"."
},
"$:/language/Docs/Fields/revision": {
"title": "$:/language/Docs/Fields/revision",
"text": "Die Revisionsnummer eines Tiddlers. Wird von einem Server vergeben."
},
"$:/language/Docs/Fields/released": {
"title": "$:/language/Docs/Fields/released",
"text": "Datum der ~TiddlyWiki Ausgabe."
},
"$:/language/Docs/Fields/source": {
"title": "$:/language/Docs/Fields/source",
"text": "Eine Quelltext URL, verbunden mit diesem Tiddler."
},
"$:/language/Docs/Fields/subtitle": {
"title": "$:/language/Docs/Fields/subtitle",
"text": "Der Untertitel für einen \"~Wizard-Dialog\"."
},
"$:/language/Docs/Fields/tags": {
"title": "$:/language/Docs/Fields/tags",
"text": "Eine Liste von \"Tags\" für diesen Tiddler."
},
"$:/language/Docs/Fields/text": {
"title": "$:/language/Docs/Fields/text",
"text": "Der Haupttext eines Tiddlers."
},
"$:/language/Docs/Fields/title": {
"title": "$:/language/Docs/Fields/title",
"text": "Ein individueller einmaliger Name eines Tiddlers."
},
"$:/language/Docs/Fields/type": {
"title": "$:/language/Docs/Fields/type",
"text": "Legt den Typ eines Tiddlers fest (aka MIME-type)."
},
"$:/language/Docs/Fields/version": {
"title": "$:/language/Docs/Fields/version",
"text": "Versions-Information eines \"plugins\"."
},
"$:/language/Filters/AllTiddlers": {
"title": "$:/language/Filters/AllTiddlers",
"text": "Alle Tiddler außer System-Tiddler"
},
"$:/language/Filters/RecentSystemTiddlers": {
"title": "$:/language/Filters/RecentSystemTiddlers",
"text": "Kürzlich veränderte Tiddler, inklusive System-Tiddler"
},
"$:/language/Filters/RecentTiddlers": {
"title": "$:/language/Filters/RecentTiddlers",
"text": "Kürzlich veränderte Tiddler"
},
"$:/language/Filters/AllTags": {
"title": "$:/language/Filters/AllTags",
"text": "Alle Tags außer System-Tags"
},
"$:/language/Filters/Missing": {
"title": "$:/language/Filters/Missing",
"text": "Fehlende Tiddler"
},
"$:/language/Filters/Drafts": {
"title": "$:/language/Filters/Drafts",
"text": "Entwurf Tiddler"
},
"$:/language/Filters/Orphans": {
"title": "$:/language/Filters/Orphans",
"text": "Waisen Tiddler"
},
"$:/language/Filters/SystemTiddlers": {
"title": "$:/language/Filters/SystemTiddlers",
"text": "System-Tiddler"
},
"$:/language/Filters/ShadowTiddlers": {
"title": "$:/language/Filters/ShadowTiddlers",
"text": "Schatten-Tiddler"
},
"$:/language/Filters/OverriddenShadowTiddlers": {
"title": "$:/language/Filters/OverriddenShadowTiddlers",
"text": "Überschriebene Schatten-Tiddler"
},
"$:/language/Filters/SystemTags": {
"title": "$:/language/Filters/SystemTags",
"text": "System-Tags"
},
"$:/language/Filters/StoryList": {
"title": "$:/language/Filters/StoryList",
"text": "Tiddler im \"story river\", außer <$text text=\"$:/AdvancedSearch\"/>"
},
"$:/language/Filters/TypedTiddlers": {
"title": "$:/language/Filters/TypedTiddlers",
"text": "Nicht \"wiki-text\" Tiddler"
},
"GettingStarted": {
"title": "GettingStarted",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\nWillkommen bei ~TiddlyWiki, einem persönlichen nicht-linearen Web-Notizbuch.\n\nVor dem Start, vergewissern Sie sich, dass Sie dieses Wiki auch wirklich speichern können. Weitere Informationen finden Sie für:\n\n* Österreich: https://tiddlywiki.com/languages/de-AT\n* Deutschland: https://tiddlywiki.com/languages/de-DE\n* Allgemein (englisch): https://tiddlywiki.com \n\nErste Schritte:\n\n* Erstellen Sie einen neuen Tiddler mit dem \"Plus-Button\" in der rechten Navigationsleiste.\n* Einstellungen können im [[Kontrollpanel|$:/ControlPanel]] vorgenommen werden. Siehe: \"Zahnrad-Button\" \n** Das Anzeigen dieses Tiddlers können Sie verhindern, indem Sie die \"~DefaultTiddlers\" im ''Basis-Tab'' verändern.\n* Speichern wird mit dem \"Speichern-Button\" in der Navigationsleiste ausgelöst. \n* Österreich: [[Weitere Informationen zu WikiText|https://tiddlywiki.com/languages/de-AT/index.html#WikiText]]\n* Deutschland: [[Weitere Informationen zu WikiText|https://tiddlywiki.com/languages/de-DE/index.html#WikiText]]\n\nHinweis: Die österreichische und deutsche Version unterscheiden sich momentan nur in der Flagge, die bei der Standard Sprachauswahl angezeigt wird. In Zukunft können Beschriftungen der Benutzeroberfläche geringfügig von einander abweichen. zB: Jänner - Januar.\n\n!! Einrichten dieser ~TiddlyWiki\n\n<div class=\"tc-control-panel\">\n\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit-text tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n</div>\n\nSee the [[control panel|$:/ControlPanel]] for more options.\n"
},
"$:/language/Help/build": {
"title": "$:/language/Help/build",
"description": "Ausführen, von vorkonfigurierten Befehlen.",
"text": "Dieser Befehl erstellt die vorkonfigurierten Ziele, der aktuellen Wiki Edition. Sind keine Ziele spezifiziert, dann werden all konfigurierten Ziele erstellt.\n\n```\n--build <target> [<target> ...]\n```\n\nZiele werden in der `tiddlywiki.info` Datei, im Wiki Verzeichnis konfiguriert.\n"
},
"$:/language/Help/clearpassword": {
"title": "$:/language/Help/clearpassword",
"description": "Lösche das Passwort, das für die vorhergehenen Verschlüsselungen verwendet wurde.",
"text": "Lösche das Passwort, das für die vorhergehenen Verschlüsselungen verwendet wurde.\n\n```\n--clearpassword\n```\n"
},
"$:/language/Help/default": {
"title": "$:/language/Help/default",
"text": "\\define commandTitle()\n$:/language/Help/$(command)$\n\\end\n```\nVerwendung: tiddlywiki [<wikifolder>] [--<command> [<args>...]...]\n```\n\nVerfügbare Befehle:\n\n<ul>\n<$list filter=\"[commands[]sort[title]]\" variable=\"command\">\n<li><$link to=<<commandTitle>>><$macrocall $name=\"command\" $type=\"text/plain\" $output=\"text/plain\"/></$link>: <$transclude tiddler=<<commandTitle>> field=\"description\"/></li>\n</$list>\n</ul>\n\nDetailierte Informationen zu den Befehlen:\n\n```\ntiddlywiki --help <command>\n```\n"
},
"$:/language/Help/editions": {
"title": "$:/language/Help/editions",
"description": "Listet alle verfügbaren TiddlyWiki Editionen auf",
"text": "Listet alle verfügbaren TiddlyWiki Editionen auf.\n\n```\n--editions\n```\n\nSie können ein neues Wiki mit dem `--init` Kommando erstellen. Dabei wird eine der angezeigten Editionen \"geklont\".\n"
},
"$:/language/Help/fetch": {
"title": "$:/language/Help/fetch",
"description": "Fetch tiddlers from wiki by URL",
"text": "Abrufen eines oder mehrerer Dateien über HTTP/HTTPS. Importieren der tiddler, die dem Filter entsprechen. Umwandeln der ankommenden Titel, wenn nötig.\n\n```\n--fetch file <url> <import-filter> <transform-filter>\n--fetch files <url-filter> <import-filter> <transform-filter>\n--fetch raw-file <url> <transform-filter>\n--fetch raw-files <url-filter> <transform-filter>\n```\n\nWird der `file` Parameter verwendet, wird nur eine einzelne Datei geholt. Der erste Parameter ist die URL von der die Datei ''importiert'' werden soll.\n\nWird der `files` Parameter verwendet, werden mehrere Dateien geholt. In diesem Fall ist der erste Parameter ein Filter, der eine Liste von URLs ergibt, von denen die Dateien gelesen werden sollen. Zum Beispiel: Mehrere Tiddler sind getagged mit: `remote-server` und enthalten ein Feld: `url`. ... Der Filter `[tag[remote-server]get[url]]` wird alle verfügbaren URLs ansprechen.\n\nWerden die `raw-file` oder `raw-files` Varianten verwendet, wird der Klartext der Datei importiert. Es wird nicht versucht die Import-logik anzuwenden.\n\nDer `<import-filter>` Parameter spezifiziert jene Tiddler, die importiert werden sollen. Ohne diesen Parameter wird standardmäßig `[all[tiddlers]]` als Filter verwendet.\n\nDer `<transform-filter>` Parameter, spezifiziert einen Filter, mit dem der Tiddler Name verändert werden kann. zB: `[addprefix[$:/meinImport/]]` würde `$:/meinImport/` allen Tiddler Namen voran stellen.\n\nWird `--verbose` vor dem `--fetch` Befehl benutzt, dann werden erweiterte Diagnose Infos ausgegeben.\n\nHinweis: ~TiddlyWiki wird ''keine'' veralteten plugins importieren.\n\nDas folgende Beispiel wird alle \"nicht-system\" Tiddler von https://tiddlywiki.com holen und in ein `JSON` file speichern.\n\n```\ntiddlywiki --verbose --fetch file \"https://tiddlywiki.com/\" \"[!is[system]]\" \"\" --rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[!is[system]]\"\n```\n\nDas folgende Beispiel holt die \"favicon\" Datei von tiddlywiki.com und speichert sie als \"output.ico\".\n\n```\ntiddlywiki --verbose --fetch raw-file \"https://tiddlywiki.com/favicon.ico\" \"[[Icon Tiddler]]\" --savetiddler \"Icon Tiddler\" output.ico\n```\n\n''Wichtig!''\n\nEs wird darauf hingewiesen, dass der Parameter `\"[[Icon Tiddler]]\"` für den `--fetch` Befehl zusätzliche Klammern enthält. Er wird hier als Tranformations-Filter verwendet!\n\nDer zweite `\"Icon Tiddler\"` Parameter für `--savetiddler` enthält keine eckigen Klammern. Er wird als Dateiname verwendet\n\n"
},
"$:/language/Help/help": {
"title": "$:/language/Help/help",
"description": "Anzeige der Hilfe für die TiddlyWiki Befehle.",
"text": "Anzeige der Hilfe für die TiddlyWiki Befehle.\n\nBeispiel:\n\n```\n--help [<command>]\n```\n\nWird der Parameter <command> nicht angegeben, werden alle Befehle aufgelistet.\n"
},
"$:/language/Help/import": {
"title": "$:/language/Help/import",
"description": "Importiert mehrere Tiddler aus einer Datei",
"text": "Dieser Befehl importiert / extrahiert Tiddler aus folgenden Dateien: \n\n* TiddlyWiki `*.html`\n* `*.tiddler`\n* `*.tid`\n* `*.json`\n* oder andere lokale `text` Dateien\n\nDer `<deserializer>` Parameter muss angegeben werden. Anders als beim `--load` Befehl, der diese Information aus der Dateiendung ableiten kann.\n\n```\n--import <filepath> <deserializer> [<title>] [<encoding>]\n```\n\nTiddlyWiki enthält folgende `deserializer` Standard-Typen:\n\n* application/javascript\n* application/json\n* application/x-tiddler\n* application/x-tiddler-html-div\n* application/x-tiddlers\n* text/html\n* text/plain\n\nDer Tiddler-Titel entspricht nach dem Import, dem Dateinamen.\n\nDie Zeichenkodierung ist auf `utf8` eingestellt. Sie kann aber auf `base64` für binäre Daten geändert werden.\n\nHinweis: ~TiddlyWiki importiert nur neuere Plugins, als jene, die bereits geladen sind.\n"
},
"$:/language/Help/init": {
"title": "$:/language/Help/init",
"description": "Initialisiere eine neues Wiki Verzeichnis.",
"text": "Initialisiere eine neues [[Wiki Verzeichnis|WikiFolders]] mit der Kopie einer Edition.\n\n```\n--init <edition> [<edition> ...]\n```\n\nBeispiel:\n\n```\ntiddlywiki ./MyWikiFolder --init empty\n```\n\nAnmerkung:\n\n* Das Wiki Verzeichnis wird angelegt, wenn es nicht existiert.\n* Der <edition> Parameter ist standardmäßig: ''empty''.\n* Der --init Befehl bricht ab, wenn das angegebene Verzeichnis nicht leer ist.\n* Der --init Befehl löscht alle `includeWikis` Definitionen aus der neuen `tiddlywiki.info` Datei\n* Wenn mehrere Editionen importiert werden, wird die zuletzt importierte `tidlywiki.info` Datei aktiv sein. Alle anderen weden überschrieben.\n\n* `--editions` listet alle verfügbaren Editionen auf.\n"
},
"$:/language/Help/load": {
"title": "$:/language/Help/load",
"description": "Lade Tiddler von einer Datei.",
"text": "Lade Tiddler aus einer TiddlyWiki `.html`, `.tiddler`, `.tid`, `.json` oder anderen lokalen Datei.\n\nDie Umsetzung der geladenen Datei wird anhand der Datei-Erweiterung bestimmt. Verwenden sie den alternativen `import` Befehl, wenn sie den Umsetzungstyp ändern möchten.\n\n\n```\n--load <filepath>\n--load <dirpath>\n```\n\nUm Daten aus einer verschlüsselten TiddlyWiki Datei zu laden, muss zuerst mit dem \"password\" Parameter ein Passwort definiert werden. \n\nBeispiel:\n\n```\ntiddlywiki ./MyWiki --password pa55w0rd --load my_encrypted_wiki.html\n```\n\nHinweis: TiddlyWiki wird nur neuere Versionen eines bestehenden Plugins laden!\n"
},
"$:/language/Help/makelibrary": {
"title": "$:/language/Help/makelibrary",
"description": "Erstellt die \"Upgrade Bibliothek\", die vom upgrade Prozess benötigt wird",
"text": "Erstellt den tiddler: `$:/UpgradeLibrary`, der vom upgrade Prozess benötigt wird.\n\nDie \"Upgrade Bibliothek\" ist ein \"normales\" Plugin, vom Typ: `library`. Es enthält eine Kopie jedes Plugins, Themas und Sprachpacketes, das im TiddlyWiki Archiv enthalten ist.\n\nDieser Befehl ist ein \"interner\" Befehl! Er ist nur relevant für Benutzer, die einen spezifischen \"Upgrade Prezess\" erstellen müssen. zB: Umwandeln von einem Tiddler in mehrere Tiddler, um Inkompatibilitäten zu vermeiden.\n\n```\n--makelibrary <title>\n```\n\nDas \"title\" Argument ist standardmäßig: `$:/UpgradeLibrary`.\n"
},
"$:/language/Help/notfound": {
"title": "$:/language/Help/notfound",
"text": "Keine Hilfe zu diesem Thema gefunden!"
},
"$:/language/Help/output": {
"title": "$:/language/Help/output",
"description": "Setzt das Basis Ausgabeverzeichnis für die folgenden Befehle.",
"text": "Setzt das Basis Ausgabeverzeichnis für die folgenden Befehle. Das Standard Verzeichnis heißt: `output` und ist ein Unterverzeichnis des `edition` Verzeichnisses.\n\n```\n--output <pathname>\n```\n\nIst das spezifizierte Verzeichnis \"relativ\", dann wird es relativ zum bestehenden Arbeitsverzeichnis angelegt.\nZum Beispiel: `--output .` setzt das Ausgabeverzeichnis auf das aktuelle Verzeichnis.\n"
},
"$:/language/Help/password": {
"title": "$:/language/Help/password",
"description": "Setzen eines Passwortes für Verschlüsselungsoperationen.",
"text": "Setzen eines Passwortes für Verschlüsselungsoperationen\n\n```\n--password <password>\n```\n\nHinweis: Diese Option kann nicht verwendet werden, um ein \"Server Passwort\" festzulegen! Informationen zum Server Passwort siehe \"--server\" Kommando.\n"
},
"$:/language/Help/render": {
"title": "$:/language/Help/render",
"description": "Ausgabe individueller Tiddler in Dateien",
"text": "Individuelle Tiddler werden anhand von Filtern spezifiziert, gelesen und in Dateien umgesetzt.\n\nOptionell kann eine Template-Datei angegeben werden. In diesem Fall wird nicht der Inhalt des Tiddlers, sondern des Templates umgesetzt. Die `currentTiddler` Variable wird auf den Titel, des auszugebenden, Tiddlers gesetzt.\n\nEs können noch zusätzliche Variablen per Name und Wert gesetzt werden.\n\n```\n--render <tiddler-filter> [<filename-filter>] [<render-type>] [<template>] [<name>] [<value>]\n```\n\n* ''tiddler-filter'': Ein Filter, der die Auszugebenden Tiddler eindeutig spezifiziert. \n* ''filename-filter'': [Option] Filter, der aus Tiddler Titeln, Pfadnamen extrahiert. Wenn weggelassen, dann wird der Standard verwendet: `[is[tiddler]addsuffix[.html]]`, welcher den Titel als Dateiname verwendet.\n* ''template'': [Option] Template, das verwendet werden soll\n* ''render-type'': [Option] Ausgabe Type: `text/html` (Standard) generiert HTML Text und `text/plain` gibt den \"reinen\" Text Inhalt zurück. `text/plain` ignoriert HTML Marker und andere \"nicht-druckbare\" Zeichen.\n* ''name'': [Option] Name einer zusätzlichen Variablen.\n* ''value'': [Option] Wert dieser zusätzlichen Variablen.\n\nStandardmäßig sind die Dateinamen \"relativ\" zum `output` Verzeichnis, des `edition` Verzeichnisses.\n\nMit dem `--output` Befehl kann die Ausgabe in jedes beliebige Verzeichnis umgeleitet werden.\n\nWichtig:\n\n* Das `output` Verzeichnis wird nicht gelöscht, bevor neue Dateien geschrieben werden.\n* Verzeichnisse und Dateien werden automatisch angelegt, sollten sie nicht vorhanden sein.\n* Wenn eine Datei Leerzeichen enthält, dann muss dies ''doppelt'' angezeigt werden. Für TiddlyWiki mit eckigen Klammern `[[]]` und für die Kommandozeile mit Hochkomma \"\". Zum Beispiel: `--render \"[[Motovun Jack.jpg]]\"`\n* Dateinamens-Filter zeigen immer auf den Titel, des gerade umzusetzenden Tiddlers. Das erlaubt uns, diesen als Basis für den Dateinamen zu verwenden. zB: `[encodeuricomponent[]addprefix[static/]]` ... Verwendet eine URI-Enkodierung für jeden Dateinamen und stellt das Wort `static/` als Pfadname voran. \n* Der `--render` Befehl ist flexibler und ersetzt daher `--rendertiddler` und `--rendertiddlers`, welche mit V5.1.15 auslaufen!\n\nBeispiel:\n\n* `--render \"[!is[system]]\" \"[encodeuricomponent[]addprefix[tiddlers/]addsuffix[.html]]\"` ... Übersetzt alle Nicht-System Tiddler und schreibt sie in ein Unterverzeichnis `tiddlers/` mit URL-kodiertem Titel und der Erweiterung `.html`\n\n"
},
"$:/language/Help/rendertiddler": {
"title": "$:/language/Help/rendertiddler",
"description": "Ausgabe eines individuellen Tiddlers, in einem spezifizierten Format.",
"text": "''WICHTIG:''\n\n* Der `--rendertiddler` Befehl wird ab V5.1.15 durch `--render` ersetzt. \n* `--rendertiddler` wird auslaufen und sollte daher nicht mehr verwendet werden!\n\nAusgabe eines individuellen Tiddlers, in einem spezifizierten Format (standard: `text/html`) und Dateinamen.\n\nOptional kann ein Template tiddler angegeben werden. Die \"currentTiddler\" Variable wird auf den Tiddler gesetzt, der zu rendern ist.\n\n```\n--rendertiddler <title> <filename> [<type>] [<template>] [<name>] [<value>]\n```\n\nStandardmäßig ist das `output` Verzeichnis ein Unterverzeichnis im `edition` Verzeichnis. Der `--output` Befehl kann verwendet werden, um ein anderes Verzeichnis auszuwählen.\n\nNicht vorhandene Verzeichnisse werden automatisch erstellt.\n\n''Beispiel:''\n\nDer folgende Befehl speichert alle tiddler mit dem `tag: done` in eine `JSON` Datei mit dem Namen: `output.json`. Das Template `$:/core/templates/exporters/JsonFile` wird auf die zu speichernden Daten angewandt.\n\n```\n--rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[tag[done]]\"\n```\n"
},
"$:/language/Help/rendertiddlers": {
"title": "$:/language/Help/rendertiddlers",
"description": "Gefilterte Ausgabe von Tiddlern, in einem spezifizierten Format.",
"text": "''WICHTIG:''\n\n* Der `--rendertiddlers` Befehl wird ab V5.1.15 durch `--render` ersetzt. \n* `--rendertiddlers` wird auslaufen und sollte daher nicht mehr verwendet werden!\n\nGefilterte Ausgabe mehrerer Tiddler, in ein angegebenes Dateiformat (standard: `text/html`) mit spezifischer Erweiterung (Standard: `.html`).\n\n```\n--rendertiddlers <filter> <template> <pathname> [<type>] [<extension>] [\"noclean\"]\n```\n\nBeispiel:\n\n```\n--rendertiddlers [!is[system]] $:/core/templates/static.tiddler.html ./static text/plain\n```\n\nStandardmäßig ist das `output` Verzeichnis ein Unterverzeichnis im `edition` Verzeichnis. Der `--output` Befehl kann verwendet werden, um ein anderes Verzeichnis auszuwählen.\n\nNicht vorhandene Verzeichnisse werden automatisch erstellt und enthaltene Dateien werden gelöscht. Mit dem \"noclean\" Parameter, kann das löschen vorhandener Dateien unterdrückt werden.\n"
},
"$:/language/Help/save": {
"title": "$:/language/Help/save",
"description": "Speichert Klartext Tiddler als Dateien",
"text": "Speichert einzelne oder mehrere Klartext Tiddler als Text oder im Binärformat in Dateien. Die zu speichernden Tiddler werden über Filter spezifiziert. \n\n\n```\n--save <tiddler-filter> <filename-filter>\n```\n\n* ''tiddler-filter'': Ein Filter, der die zu speichernden Tiddler anzeigt. \n* ''filename-filter'': [Option] Ein Filter, der die Tiddler Titel in Verzeichnis Namen aufspaltet. Wenn nicht spezifiziert, dann wird: `[is[tiddler]]` verwendet. `[is[tiddler]]` übernimmt den Tiddler Titel unverändert.\n\nStandardmäßig sind die Dateinamen \"relativ\" zum `output` Verzeichnis, des `edition` Verzeichnisses.\n\nMit dem `--output` Befehl kann die Ausgabe in jedes beliebige Verzeichnis umgeleitet werden.\n\nHinweise:\n\n* Das `output` Verzeichnis wird nicht gelöscht, bevor neue Dateien geschrieben werden.\n* Verzeichnisse und Dateien werden automatisch angelegt, sollten sie nicht vorhanden sein.\n* Wenn eine Datei Leerzeichen enthält, dann muss dies ''doppelt'' angezeigt werden. Für TiddlyWiki mit eckigen Klammern `[[]]` und für die Kommandozeile mit Hochkomma \"\". Zum Beispiel: `--render \"[[Motovun Jack.jpg]]\"`\n* Dateinamens-Filter zeigen immer auf den Titel, des gerade umzusetzenden Tiddlers. Das erlaubt uns, diesen als Basis für den Dateinamen zu verwenden. zB: `[encodeuricomponent[]addprefix[static/]]` ... Verwendet eine URI-Enkodierung für jeden Dateinamen und stellt das Wort `static/` als Pfadname voran. \n* Der `--save` Befehl ist flexibler und ersetzt daher `--savetiddler` und `--savetiddlers`, welche mit V5.1.15 auslaufen!\n\nBeispiel:\n\n* `--save \"[!is[system]is[image]]\" \"[encodeuricomponent[]addprefix[tiddlers/]]\"` -- Übersetzt alle Nicht-System Bild Tiddler in Datein und schreibt diese URL-kodiert in das Unterverzeichnis `tiddlers/`\n"
},
"$:/language/Help/savetiddler": {
"title": "$:/language/Help/savetiddler",
"description": "Speichert einen Tiddler als File.",
"text": "''WICHTIG:''\n\n* Der `--savetiddler` Befehl wird ab V5.1.15 durch `--save` ersetzt. \n* `--savetiddler` wird auslaufen und sollte daher nicht mehr verwendet werden!\n\nSpeichert einen individuellen Tiddler im Text- oder Binärformat mit dem angegebenen Dateinamen.\n\n```\n--savetiddler <title> <filename>\n```\n\nStandardmäßig ist das `output` Verzeichnis ein Unterverzeichnis im `edition` Verzeichnis. Der `--output` Befehl kann verwendet werden, um ein anderes Verzeichnis auszuwählen.\n\nNicht vorhandene Verzeichnisse werden automatisch erstellt.\n"
},
"$:/language/Help/savetiddlers": {
"title": "$:/language/Help/savetiddlers",
"description": "Speichert eine Gruppe von Tiddler in ein Verzeichnis",
"text": "''WICHTIG:''\n\n* Der `--savetiddlers` Befehl wird ab V5.1.15 durch `--save` ersetzt. \n* `--savetiddlers` wird auslaufen und sollte daher nicht mehr verwendet werden!\n\nSpeichert eine Gruppe von Tiddler im Text- oder Binärformat in ein angegebenes Verzeichnis.\n\n```\n--savetiddlers <filter> <pathname> [\"noclean\"]\n```\n\nStandardmäßig ist das `output` Verzeichnis ein Unterverzeichnis im `edition` Verzeichnis. Der `--output` Befehl kann verwendet werden, um ein anderes Verzeichnis auszuwählen.\n\nWichtig: Alle Dateien im Ausgabeverzeichnis werden automatisch gelöscht, wenn dieser Befehl verwendet wird. Um dies zu verhindern kann der ''noclean'' Parameter verwendet werden.\n\nNicht vorhandene Verzeichnisse im Pfadnamen werden automatisch erstellt.\n"
},
"$:/language/Help/server": {
"title": "$:/language/Help/server",
"description": "Stellt einen HTTP server für TiddlyWiki zur Verfügung.",
"text": "TiddlyWiki bringt einen sehr einfachen Web-Server mit. Dieser ist zwar kompatibel mit dem TiddlyWeb Protokoll, ist jedoch nicht ausgereift genug, um im produktiven Einsatz im Netz eingesetzt zu werden. \n\nDer Server kann spezifische Tiddler im angegebenen Format anzeigen (rendern). Zudem können einzelne, oder mehrere Tiddler im JSON Format übertragen werden. Die unterstützten HTTP Funktionen sind: `GET`, `PUT` und `DELETE`\n\n```\n--server <port> <roottiddler> <rendertype> <servetype> <username> <password> <host>\n```\n\nDie Parameter sind: \n\n* ''port'' - Port Nummer mit der kommuniziert werden soll (Standard: \"8080\"). Ein \"nicht-numerisher\" Wert wird als System-Umgebungsvariable interpretiert, von der der Wert gelesen werden soll.\n* ''roottiddler'' - Der Tiddler, der als ~Basis-Tiddler verwendet werden soll ( Standard: \"$:/core/save/all\").\n* ''rendertype'' - MIME-Type, zu dem der ~Basis-Tiddler \"gerendert\" werden soll ( Standard: \"text/plain\").\n* ''servetype'' - MIME-Type, mit dem der Basis-Tiddler ausgeliefert werden soll ( Standard: \"text/html\").\n* ''username'' - Benutzer Name, mit dem veränderte Tiddler signiert werden.\n* ''password'' - Passwort mit dem eine sehr \"simple\" Zugangsbeschränkung aufgebaut werden kann.\n* ''host'' - ~Host-Name von dem ausgeliefert werden soll. Host ist optional ( Standard: \"127.0.0.1\" oder auch \"localhost\").\n* ''pathprefix'' - Optionales prefix für Pfade.\n\nWenn beim Serverstart ein Passwort angegeben wird, dann wird der Benutzer aufgefordert den Benutzernamen und das Passwort einzugeben, bevor ein Wiki angezeigt wird. ACHTUNG: Das Passwort wird im Klartext übertragen. Diese Vorgehensweise ist nicht für den Einsatz im Netz geeignet.\n\nBeispiel:\n\n```\n--server 8080 $:/core/save/all text/plain text/html MeinBenutzerName passw0rt\n```\n\nDer Name und das Passwort können als \"leere\" Zeichenketten definiert werden, wenn ein \"hostname\" oder \"pathprefix\" nötig ist, jedoch kein Passwort verwendet werden soll.\n\n```\n--server 8080 $:/core/save/all text/plain text/html \"\" \"\" 192.168.0.245\n```\n\nEs ist möglich mehrere TiddlyWiki Server gleichzeitig zu starten. Jeder Server muss jedoch mit einem eigenen Port gestartet werden. Es kann sinnvoll sein, den Prozess mit lokalen \"Umgebungsvariable\" zu starten. Hier wird \"MEINE_PORT_NUMMER\" als Beispiel verwendet.\n\n\n```\n--server MEINE_PORT_NUMMER $:/core/save/all text/plain text/html MyUserName passw0rd\n```"
},
"$:/language/Help/setfield": {
"title": "$:/language/Help/setfield",
"description": "Experimentell - Setzt ein Tiddler \"field\" auf einen bestimmten Wert",
"text": "//Wichtig! Dieser Befehl is experimentell und kann während der Betaphase geändert oder ersetzt werden!//\n\nSetzt ein spezifiziertes Feld, für eine Gruppe von Tiddlern. Ein Template wird \"wikifiziert\" und das Ergebnis in das Feld geschrieben. Die `currentTiddler` Variable wird auf den jeweiligen Tiddler gesetzt. \n\n```\n--setfield <filter> <fieldname> <templatetitle> <rendertype>\n```\n\nThe parameters are:\n\n* ''filter'' - Filter, der die zu modifizierenden Tiddler auswählt.\n* ''fieldname'' - Das zu verändernde Feld (Standardwert: \"text\").\n* ''templatetitle'' - Der zu wikifizierende Vorlagen Tiddler, dessen Ergebnis in das Feld geschrieben wird. Wenn Leer, dann wird das Feld gelöscht.\n* ''rendertype'' - Der Text Typ für den \"rendering\" Vorgang (Standardwert: \"text/plain\"; \"text/html\" kann verwendet werden, um \"HTML tags\" zu erzeugen).\n"
},
"$:/language/Help/unpackplugin": {
"title": "$:/language/Help/unpackplugin",
"description": "Extrahiere Tiddler aus einem Plugin",
"text": "Extrahiert alle Tiddler aus einem plugin und schreibt diese als einzelne Tiddler Dateien:\n\n```\n--unpackplugin <title>\n```\n"
},
"$:/language/Help/verbose": {
"title": "$:/language/Help/verbose",
"description": "Aktiviert die erweiterte Fehlerausgabe.",
"text": "Aktiviert die erweiterte Fehlerausgabe. Nützlich um Fehler zu finden.\n\n```\n--verbose\n```\n"
},
"$:/language/Help/version": {
"title": "$:/language/Help/version",
"description": "Gibt die Versionsnummer von TiddlyWiki aus.",
"text": "Gibt die Versionsnummer von TiddlyWiki aus.\n\n```\n--version\n```\n"
},
"$:/language/Import/Imported/Hint": {
"title": "$:/language/Import/Imported/Hint",
"text": "Folgende Tiddler wurden importiert:"
},
"$:/language/Import/Listing/Cancel/Caption": {
"title": "$:/language/Import/Listing/Cancel/Caption",
"text": "Abbrechen"
},
"$:/language/Import/Listing/Hint": {
"title": "$:/language/Import/Listing/Hint",
"text": "Diese Tiddler können importiert werden:"
},
"$:/language/Import/Listing/Import/Caption": {
"title": "$:/language/Import/Listing/Import/Caption",
"text": "Importieren"
},
"$:/language/Import/Listing/Select/Caption": {
"title": "$:/language/Import/Listing/Select/Caption",
"text": "Auswahl"
},
"$:/language/Import/Listing/Status/Caption": {
"title": "$:/language/Import/Listing/Status/Caption",
"text": "Status"
},
"$:/language/Import/Listing/Title/Caption": {
"title": "$:/language/Import/Listing/Title/Caption",
"text": "Titel"
},
"$:/language/Import/Listing/Preview": {
"title": "$:/language/Import/Listing/Preview",
"text": "Vorschau:"
},
"$:/language/Import/Listing/Preview/Text": {
"title": "$:/language/Import/Listing/Preview/Text",
"text": "Text"
},
"$:/language/Import/Listing/Preview/TextRaw": {
"title": "$:/language/Import/Listing/Preview/TextRaw",
"text": "Text - roh"
},
"$:/language/Import/Listing/Preview/Fields": {
"title": "$:/language/Import/Listing/Preview/Fields",
"text": "Felder"
},
"$:/language/Import/Listing/Preview/Diff": {
"title": "$:/language/Import/Listing/Preview/Diff",
"text": "Diff - Text"
},
"$:/language/Import/Listing/Preview/DiffFields": {
"title": "$:/language/Import/Listing/Preview/DiffFields",
"text": "Diff - Felder"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible",
"text": "Unterdrückte, inkompatible oder veraltete \"plugins\""
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Version": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Version",
"text": "Einige \"plugins\" weden unterdrückt! Importierte plugins: <<incoming>> sind älter als existierende: <<existing>>."
},
"$:/language/Import/Upgrader/Plugins/Upgraded": {
"title": "$:/language/Import/Upgrader/Plugins/Upgraded",
"text": "Aktualisieren der plugins von: <<incoming>> nach: <<upgraded>>"
},
"$:/language/Import/Upgrader/State/Suppressed": {
"title": "$:/language/Import/Upgrader/State/Suppressed",
"text": "Unterdrückte temporäre Status Tiddler"
},
"$:/language/Import/Upgrader/System/Suppressed": {
"title": "$:/language/Import/Upgrader/System/Suppressed",
"text": "Unterdrückte \"System Tiddler\""
},
"$:/language/Import/Upgrader/ThemeTweaks/Created": {
"title": "$:/language/Import/Upgrader/ThemeTweaks/Created",
"text": "Migrieren der \"theme tweaks\" von: <$text text=<<from>>/>"
},
"$:/language/AboveStory/ClassicPlugin/Warning": {
"title": "$:/language/AboveStory/ClassicPlugin/Warning",
"text": "Es scheint, Sie möchten ein Plugin verwenden, dass für [[TiddlyWiki Classic|https://tiddlywiki.com/#TiddlyWikiClassic]] entwickelt wurde. Diese Plugins können jedoch mit ~TiddlyWiki Version 5 nicht verwendet werden. ~TiddlyWiki Classic plugin erkannt:"
},
"$:/language/BinaryWarning/Prompt": {
"title": "$:/language/BinaryWarning/Prompt",
"text": "Dieser Tiddler enthält binäre Daten."
},
"$:/language/ClassicWarning/Hint": {
"title": "$:/language/ClassicWarning/Hint",
"text": "Dieser Tiddler wurde im TiddlyWiki Classic Format erstellt. Dieses Format ist nur teilweise kompatibel mit TiddlyWiki Version 5. Mehr Info finden Sie unter: https://tiddlywiki.com/static/Upgrading.html"
},
"$:/language/ClassicWarning/Upgrade/Caption": {
"title": "$:/language/ClassicWarning/Upgrade/Caption",
"text": "upgrade"
},
"$:/language/CloseAll/Button": {
"title": "$:/language/CloseAll/Button",
"text": "alle schließen"
},
"$:/language/ColourPicker/Recent": {
"title": "$:/language/ColourPicker/Recent",
"text": "Kürzlich:"
},
"$:/language/ConfirmCancelTiddler": {
"title": "$:/language/ConfirmCancelTiddler",
"text": "Wollen Sie die Änderungen im Tiddler: \"<$text text=<<title>>/>\" verwerfen?"
},
"$:/language/ConfirmDeleteTiddler": {
"title": "$:/language/ConfirmDeleteTiddler",
"text": "Wollen Sie den Tiddler: \"<$text text=<<title>>/>\" löschen?"
},
"$:/language/ConfirmOverwriteTiddler": {
"title": "$:/language/ConfirmOverwriteTiddler",
"text": "Tiddler: \"<$text text=<<title>>/>\" existiert! OK überschreibt den tiddler!"
},
"$:/language/ConfirmEditShadowTiddler": {
"title": "$:/language/ConfirmEditShadowTiddler",
"text": "Sie sind dabei, einen Schatten-Tiddler zu verändern. Zukünftige, automatische Anpassungen werden dadurch unterdrückt. Sie können Ihre Änderungen rückgängig machen, indem Sie diesen Tiddler wieder löschen. Wollen Sie den Tiddler: \"<$text text=<<title>>/>\" ändern?"
},
"$:/language/Count": {
"title": "$:/language/Count",
"text": "Anzahl"
},
"$:/language/DefaultNewTiddlerTitle": {
"title": "$:/language/DefaultNewTiddlerTitle",
"text": "Neuer Tiddler"
},
"$:/language/Diffs/CountMessage": {
"title": "$:/language/Diffs/CountMessage",
"text": "<<diff-count>> Unterschied(e)"
},
"$:/language/DropMessage": {
"title": "$:/language/DropMessage",
"text": "Hierher ziehen (oder Escape um abzubrechen)"
},
"$:/language/Encryption/Cancel": {
"title": "$:/language/Encryption/Cancel",
"text": "Abbrechen"
},
"$:/language/Encryption/ConfirmClearPassword": {
"title": "$:/language/Encryption/ConfirmClearPassword",
"text": "Wollen Sie das Passwort löschen? Damit wird die Verschlüsselung beim nächsten Speichervorgang abgeschalten!"
},
"$:/language/Encryption/PromptSetPassword": {
"title": "$:/language/Encryption/PromptSetPassword",
"text": "Der TiddlyWiki Inhalt wird mit dem nächsten Speichern verschlüsselt!"
},
"$:/language/Encryption/Username": {
"title": "$:/language/Encryption/Username",
"text": "Benutzername"
},
"$:/language/Encryption/Password": {
"title": "$:/language/Encryption/Password",
"text": "Passwort"
},
"$:/language/Encryption/RepeatPassword": {
"title": "$:/language/Encryption/RepeatPassword",
"text": "Passwort wiederholen"
},
"$:/language/Encryption/PasswordNoMatch": {
"title": "$:/language/Encryption/PasswordNoMatch",
"text": "Passwörter stimmen nicht überein"
},
"$:/language/Encryption/SetPassword": {
"title": "$:/language/Encryption/SetPassword",
"text": "Passwort setzen"
},
"$:/language/Error/Caption": {
"title": "$:/language/Error/Caption",
"text": "Fehler"
},
"$:/language/Error/EditConflict": {
"title": "$:/language/Error/EditConflict",
"text": "Datei auf Server verändert"
},
"$:/language/Error/Filter": {
"title": "$:/language/Error/Filter",
"text": "Filter Fehler"
},
"$:/language/Error/FilterSyntax": {
"title": "$:/language/Error/FilterSyntax",
"text": "Syntax Fehler im Filter-Ausdruck"
},
"$:/language/Error/IsFilterOperator": {
"title": "$:/language/Error/IsFilterOperator",
"text": "Filter Fehler: Unbekannter Operand für den 'is' Filter Operator"
},
"$:/language/Error/LoadingPluginLibrary": {
"title": "$:/language/Error/LoadingPluginLibrary",
"text": "Fehler beim Laden der \"plugin library\""
},
"$:/language/Error/RecursiveTransclusion": {
"title": "$:/language/Error/RecursiveTransclusion",
"text": "Recursive Transclusion: Fehler im \"transclude widget\""
},
"$:/language/Error/RetrievingSkinny": {
"title": "$:/language/Error/RetrievingSkinny",
"text": "Fehler beim Empfangen einer \"skinny\" Tiddler Liste"
},
"$:/language/Error/SavingToTWEdit": {
"title": "$:/language/Error/SavingToTWEdit",
"text": "Fehler beim Speichern mit \"TWEdit\""
},
"$:/language/Error/WhileSaving": {
"title": "$:/language/Error/WhileSaving",
"text": "Fehler beim Speichern"
},
"$:/language/Error/XMLHttpRequest": {
"title": "$:/language/Error/XMLHttpRequest",
"text": "XMLHttpRequest Fehler-Code"
},
"$:/language/InternalJavaScriptError/Title": {
"title": "$:/language/InternalJavaScriptError/Title",
"text": "Interner JavaScript Fehler"
},
"$:/language/InternalJavaScriptError/Hint": {
"title": "$:/language/InternalJavaScriptError/Hint",
"text": "Es tut uns leid, aber bitte starten Sie Ihr TiddlyWiki neu, indem sie die Seite im Browser neu laden."
},
"$:/language/InvalidFieldName": {
"title": "$:/language/InvalidFieldName",
"text": "Das Feld: \"<$text text=<<fieldName>>/>\" enthält illegale Zeichen. Felder müssen klein geschrieben werden. Erlaubte Sonderzeichen sind: Zahlen, Unterstrich (`_`), Minus (`-`) und Punkt (`.`)."
},
"$:/language/LazyLoadingWarning": {
"title": "$:/language/LazyLoadingWarning",
"text": "<p>Lade externe Datei von ''<$text text={{!!_canonical_uri}}/>''</p><p>Wenn diese Meldung nicht automatisch gelöscht wird, dann verwenden Sie wahrscheinlich einen Browser der diese Funktion nicht unterstützt. Oder die Tiddler \"conent-type\" Eistellung passt nicht, zu der, der externen Datei. Siehe https://tiddlywiki.com/#ExternalText</p>"
},
"$:/language/LoginToTiddlySpace": {
"title": "$:/language/LoginToTiddlySpace",
"text": "Login bei TiddlySpace"
},
"$:/language/Manager/Controls/FilterByTag/None": {
"title": "$:/language/Manager/Controls/FilterByTag/None",
"text": "(kein)"
},
"$:/language/Manager/Controls/FilterByTag/Prompt": {
"title": "$:/language/Manager/Controls/FilterByTag/Prompt",
"text": "Filtern nach tag:"
},
"$:/language/Manager/Controls/Order/Prompt": {
"title": "$:/language/Manager/Controls/Order/Prompt",
"text": "Invertiert"
},
"$:/language/Manager/Controls/Search/Placeholder": {
"title": "$:/language/Manager/Controls/Search/Placeholder",
"text": "Suche"
},
"$:/language/Manager/Controls/Search/Prompt": {
"title": "$:/language/Manager/Controls/Search/Prompt",
"text": "Suche:"
},
"$:/language/Manager/Controls/Show/Option/Tags": {
"title": "$:/language/Manager/Controls/Show/Option/Tags",
"text": "Tags"
},
"$:/language/Manager/Controls/Show/Option/Tiddlers": {
"title": "$:/language/Manager/Controls/Show/Option/Tiddlers",
"text": "Tiddler"
},
"$:/language/Manager/Controls/Show/Prompt": {
"title": "$:/language/Manager/Controls/Show/Prompt",
"text": "Anzeigen:"
},
"$:/language/Manager/Controls/Sort/Prompt": {
"title": "$:/language/Manager/Controls/Sort/Prompt",
"text": "Sortieren nach:"
},
"$:/language/Manager/Item/Colour": {
"title": "$:/language/Manager/Item/Colour",
"text": "Farbe"
},
"$:/language/Manager/Item/Fields": {
"title": "$:/language/Manager/Item/Fields",
"text": "Feld"
},
"$:/language/Manager/Item/Icon/None": {
"title": "$:/language/Manager/Item/Icon/None",
"text": "(kein)"
},
"$:/language/Manager/Item/Icon": {
"title": "$:/language/Manager/Item/Icon",
"text": "Icon"
},
"$:/language/Manager/Item/RawText": {
"title": "$:/language/Manager/Item/RawText",
"text": "Text"
},
"$:/language/Manager/Item/Tags": {
"title": "$:/language/Manager/Item/Tags",
"text": "Tags"
},
"$:/language/Manager/Item/Tools": {
"title": "$:/language/Manager/Item/Tools",
"text": "Tools"
},
"$:/language/Manager/Item/WikifiedText": {
"title": "$:/language/Manager/Item/WikifiedText",
"text": "Wikified Text"
},
"$:/language/MissingTiddler/Hint": {
"title": "$:/language/MissingTiddler/Hint",
"text": "Fehlender Tiddler \"<$text text=<<currentTiddler>>/>\" - klicken Sie {{||$:/core/ui/Buttons/edit}} um ihn zu erzeugen."
},
"$:/language/No": {
"title": "$:/language/No",
"text": "Nein"
},
"$:/language/OfficialPluginLibrary": {
"title": "$:/language/OfficialPluginLibrary",
"text": "Offizielles ~TiddlyWiki Plugin-Verzeichnis"
},
"$:/language/OfficialPluginLibrary/Hint": {
"title": "$:/language/OfficialPluginLibrary/Hint",
"text": "Offizielles ~TiddlyWiki Plugin-Verzeichnis auf tiddlywiki.com. Plugin, Themes und Sprach Dateien werden vom \"core team\" gewartet."
},
"$:/language/PluginReloadWarning": {
"title": "$:/language/PluginReloadWarning",
"text": "Das Wiki muss gespeichert {{$:/core/ui/Buttons/save-wiki}} und neu gladen {{$:/core/ui/Buttons/refresh}} werden, damit die Plugins ausgeführt werden."
},
"$:/language/RecentChanges/DateFormat": {
"title": "$:/language/RecentChanges/DateFormat",
"text": "YYYY MMM DD"
},
"$:/language/SystemTiddler/Tooltip": {
"title": "$:/language/SystemTiddler/Tooltip",
"text": "Das ist ein System-Tiddler"
},
"$:/language/SystemTiddlers/Include/Prompt": {
"title": "$:/language/SystemTiddlers/Include/Prompt",
"text": "System-Tiddler einschließen"
},
"$:/language/TagManager/Colour/Heading": {
"title": "$:/language/TagManager/Colour/Heading",
"text": "Farbe"
},
"$:/language/TagManager/Count/Heading": {
"title": "$:/language/TagManager/Count/Heading",
"text": "Anzahl"
},
"$:/language/TagManager/Icon/Heading": {
"title": "$:/language/TagManager/Icon/Heading",
"text": "Symbol"
},
"$:/language/TagManager/Info/Heading": {
"title": "$:/language/TagManager/Info/Heading",
"text": "Info"
},
"$:/language/TagManager/Tag/Heading": {
"title": "$:/language/TagManager/Tag/Heading",
"text": "Tag"
},
"$:/language/Tiddler/DateFormat": {
"title": "$:/language/Tiddler/DateFormat",
"text": "DDth MMM YYYY um 0hh:0mm"
},
"$:/language/UnsavedChangesWarning": {
"title": "$:/language/UnsavedChangesWarning",
"text": "TiddlyWiki wurde geändert, aber noch nicht gespeichert!"
},
"$:/language/Yes": {
"title": "$:/language/Yes",
"text": "Ja"
},
"$:/language/Modals/Download": {
"title": "$:/language/Modals/Download",
"type": "text/vnd.tiddlywiki",
"subtitle": "Änderungen Speichern",
"footer": "<$button message=\"tm-close-tiddler\">Schließen</$button>",
"help": "https://tiddlywiki.com/static/DownloadingChanges.html",
"text": "Ihr Browser unterstützt nur manuelles Speichern. \n\nUm das geänderte Wiki zu speichern, machen Sie einen \"rechts klick\" auf den folgenden Link. Wählen Sie \"Datei herunterladen\" oder \"Datei speichern\" und wählen Sie Name und Verzeichnis.\n\n//Sie können den Vorgang etwas beschleunigen, indem Sie die \"Control-Taste\" (Windows) oder die \"Options/Alt-Taste\" (Max OS X) drücken. Es wird kein \"Speichern Dialog\" erscheinen. Jedoch wird bei einigen Browsern die Datei einen zufälligen Namen bekommen. Sie müssen die Datei eventuell umbenennen, um sie öffnen zu können.//\n\nBei \"Smartphones\", die das Speichern von Dateien nicht erlauben, können Sie ein Lesezeichen erstellen, dass mit Ihrem PC synchronisiert wird. Dort können Sie die Dateien dann wie gewohnt speichern.\n"
},
"$:/language/Modals/SaveInstructions": {
"title": "$:/language/Modals/SaveInstructions",
"type": "text/vnd.tiddlywiki",
"subtitle": "Aktuellen Stand speichern",
"footer": "<$button message=\"tm-close-tiddler\">Schließen</$button>",
"help": "https://tiddlywiki.com/static/SavingChanges.html",
"text": "Ihre Änderungen sollen als ~TiddlyWiki HTML Datei gespeichert werden. \n\n!!! Desktop Browser\n\n# Verwenden Sie ''Speichern unter'' aus dem ''Datei'' Menü.\n# Wählen Sie den Dateinamen und das Verzeichnis. \n\n#* Bei einigen Browsern müssen Sie das Format explizit angeben. Zb: ''Webseite, nur HTML'' oder ähnliches.\n# Den Browser-Tab schließen.\n\n!!! Smartphone Browser\n\n# Erstellen Sie ein \"Lesezeichen\"\n#* Wenn Sie \"iCloud\" oder \"Google Sync\" verwenden, dann werden Ihre Daten automatisch mit dem Desktop PC synchronisiert. Dort können Sie wie oben beschrieben fortfahren. \n# Den Browser-Tab schließen.\n\n//Wenn Sie das Lesezeichen mit \"Mobile Safari\" öffnen, dann wird diese Meldung erneut angezeigt. Klicken Sie ''Schließen'' um fort zu fahren.//\n"
},
"$:/config/NewJournal/Title": {
"title": "$:/config/NewJournal/Title",
"text": "YYYY MMM 0DD"
},
"$:/config/NewJournal/Text": {
"title": "$:/config/NewJournal/Text",
"text": ""
},
"$:/config/NewJournal/Tags": {
"title": "$:/config/NewJournal/Tags",
"text": "Journal"
},
"$:/language/Notifications/Save/Done": {
"title": "$:/language/Notifications/Save/Done",
"text": "Wiki gespeichert!"
},
"$:/language/Notifications/Save/Starting": {
"title": "$:/language/Notifications/Save/Starting",
"text": "Wiki zum Speichern vorbereiten!"
},
"$:/language/Notifications/CopiedToClipboard/Succeeded": {
"title": "$:/language/Notifications/CopiedToClipboard/Succeeded",
"text": "Kopiert!"
},
"$:/language/Notifications/CopiedToClipboard/Failed": {
"title": "$:/language/Notifications/CopiedToClipboard/Failed",
"text": "Fehler, beim kopieren in die Zwischenablage!"
},
"$:/language/Search/DefaultResults/Caption": {
"title": "$:/language/Search/DefaultResults/Caption",
"text": "Liste"
},
"$:/language/Search/Filter/Caption": {
"title": "$:/language/Search/Filter/Caption",
"text": "Filter"
},
"$:/language/Search/Filter/Hint": {
"title": "$:/language/Search/Filter/Hint",
"text": "Suche mit [[\"filter expression\"|https://tiddlywiki.com/static/Filters.html]]."
},
"$:/language/Search/Filter/Matches": {
"title": "$:/language/Search/Filter/Matches",
"text": "//<small><<resultCount>> Treffer</small>//"
},
"$:/language/Search/Matches": {
"title": "$:/language/Search/Matches",
"text": "//<small><<resultCount>> Treffer</small>//"
},
"$:/language/Search/Matches/All": {
"title": "$:/language/Search/Matches/All",
"text": "Alle Treffer:"
},
"$:/language/Search/Matches/Title": {
"title": "$:/language/Search/Matches/Title",
"text": "Titel Treffer:"
},
"$:/language/Search/Search": {
"title": "$:/language/Search/Search",
"text": "Suchen"
},
"$:/language/Search/Search/TooShort": {
"title": "$:/language/Search/Search/TooShort",
"text": "Suchtext ist zu kurz"
},
"$:/language/Search/Shadows/Caption": {
"title": "$:/language/Search/Shadows/Caption",
"text": "Schatten"
},
"$:/language/Search/Shadows/Hint": {
"title": "$:/language/Search/Shadows/Hint",
"text": "Suche in Schatten-Tiddlern."
},
"$:/language/Search/Shadows/Matches": {
"title": "$:/language/Search/Shadows/Matches",
"text": "//<small><<resultCount>> Treffer</small>//"
},
"$:/language/Search/Standard/Caption": {
"title": "$:/language/Search/Standard/Caption",
"text": "Standard"
},
"$:/language/Search/Standard/Hint": {
"title": "$:/language/Search/Standard/Hint",
"text": "Suche in Standard-Tiddlern."
},
"$:/language/Search/Standard/Matches": {
"title": "$:/language/Search/Standard/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/System/Caption": {
"title": "$:/language/Search/System/Caption",
"text": "System"
},
"$:/language/Search/System/Hint": {
"title": "$:/language/Search/System/Hint",
"text": "Suche in System-Tiddlern."
},
"$:/language/Search/System/Matches": {
"title": "$:/language/Search/System/Matches",
"text": "//<small><<resultCount>> Treffer</small>//"
},
"$:/language/SideBar/All/Caption": {
"title": "$:/language/SideBar/All/Caption",
"text": "Alle"
},
"$:/language/SideBar/Contents/Caption": {
"title": "$:/language/SideBar/Contents/Caption",
"text": "Inhalt"
},
"$:/language/SideBar/Drafts/Caption": {
"title": "$:/language/SideBar/Drafts/Caption",
"text": "Entwurf"
},
"$:/language/SideBar/Missing/Caption": {
"title": "$:/language/SideBar/Missing/Caption",
"text": "Fehlend"
},
"$:/language/SideBar/More/Caption": {
"title": "$:/language/SideBar/More/Caption",
"text": "Mehr"
},
"$:/language/SideBar/Open/Caption": {
"title": "$:/language/SideBar/Open/Caption",
"text": "Offen"
},
"$:/language/SideBar/Orphans/Caption": {
"title": "$:/language/SideBar/Orphans/Caption",
"text": "Waisen"
},
"$:/language/SideBar/Recent/Caption": {
"title": "$:/language/SideBar/Recent/Caption",
"text": "Zuletzt"
},
"$:/language/SideBar/Shadows/Caption": {
"title": "$:/language/SideBar/Shadows/Caption",
"text": "Schatten"
},
"$:/language/SideBar/System/Caption": {
"title": "$:/language/SideBar/System/Caption",
"text": "System"
},
"$:/language/SideBar/Tags/Caption": {
"title": "$:/language/SideBar/Tags/Caption",
"text": "Tags"
},
"$:/language/SideBar/Tags/Untagged/Caption": {
"title": "$:/language/SideBar/Tags/Untagged/Caption",
"text": "untagged"
},
"$:/language/SideBar/Tools/Caption": {
"title": "$:/language/SideBar/Tools/Caption",
"text": "Tools"
},
"$:/language/SideBar/Types/Caption": {
"title": "$:/language/SideBar/Types/Caption",
"text": "Typen"
},
"$:/SiteSubtitle": {
"title": "$:/SiteSubtitle",
"text": "ein persönliches nicht-lineares Web-Notizbuch\n"
},
"$:/SiteTitle": {
"title": "$:/SiteTitle",
"text": "Mein ~TiddlyWiki"
},
"$:/language/Snippets/ListByTag": {
"title": "$:/language/Snippets/ListByTag",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Tiddler-Liste mit tag: \"task\", sortiert nach \"titel\"",
"text": "<<list-links \"[tag[task]sort[title]]\">>\n"
},
"$:/language/Snippets/MacroDefinition": {
"title": "$:/language/Snippets/MacroDefinition",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Makro Definition",
"text": "\\define makroName(param1:\"standard parameter\", param2)\nText des Makros. Zugriff auf den $param1$.\n$param2$\n\\end\n"
},
"$:/language/Snippets/Table4x3": {
"title": "$:/language/Snippets/Table4x3",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Tabelle mit 5 Spalten, 4 Zeilen, Kopf- und Fußzeile",
"text": "| |Alpha |Beta |Gamma |Delta |h\n|!Beta | | | | |\n|!Gamma | | | | |\n|!Delta | | | | |\n| |a|b|c|d|f\n| Beschriftung |c\n"
},
"$:/language/Snippets/TableOfContents": {
"title": "$:/language/Snippets/TableOfContents",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Inhaltsverzeichnis",
"text": "<div class=\"tc-table-of-contents\">\n\n<<toc-selective-expandable 'InhaltsVerzeichnis'>>\n\n</div>"
},
"$:/language/ThemeTweaks/ThemeTweaks": {
"title": "$:/language/ThemeTweaks/ThemeTweaks",
"text": "Theme Tweaks"
},
"$:/language/ThemeTweaks/ThemeTweaks/Hint": {
"title": "$:/language/ThemeTweaks/ThemeTweaks/Hint",
"text": "Hier können sie verschiedene Elemente des ''Vanilla'' (Standard) Themas einstellen."
},
"$:/language/ThemeTweaks/Options": {
"title": "$:/language/ThemeTweaks/Options",
"text": "Optionen"
},
"$:/language/ThemeTweaks/Options/SidebarLayout": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout",
"text": "Seitenleiste Darstellung"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid",
"text": "Fixe Story, variable Seitenleiste"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed",
"text": "Variable Story, fixe Seitenleiste"
},
"$:/language/ThemeTweaks/Options/StickyTitles": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles",
"text": "\"Klebender Titel\""
},
"$:/language/ThemeTweaks/Options/StickyTitles/Hint": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles/Hint",
"text": "Tiddler-Titel bleiben beim \"Scrollen\" am oberen Bildschirmrand \"kleben\". Funktioniert möglicherweise nicht mit jedem Browser."
},
"$:/language/ThemeTweaks/Options/CodeWrapping": {
"title": "$:/language/ThemeTweaks/Options/CodeWrapping",
"text": "Lange Zeilen in \"Code-Blöcken\" umbrechen"
},
"$:/language/ThemeTweaks/Settings": {
"title": "$:/language/ThemeTweaks/Settings",
"text": "Einstellungen"
},
"$:/language/ThemeTweaks/Settings/FontFamily": {
"title": "$:/language/ThemeTweaks/Settings/FontFamily",
"text": "Schriftfamilie"
},
"$:/language/ThemeTweaks/Settings/CodeFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/CodeFontFamily",
"text": "\"Code\" Schriftfamilie"
},
"$:/language/ThemeTweaks/Settings/EditorFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/EditorFontFamily",
"text": "Editor Schriftfamilie"
},
"$:/language/ThemeTweaks/Settings/BackgroundImage": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImage",
"text": "Hintergrundbild für die Seite"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment",
"text": "Hintergrundbild Anhang"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll",
"text": "Mit Inhalt \"scrollen\""
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed",
"text": "Fixe position im Fenster"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize",
"text": "Hintergrundbild Größe"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto",
"text": "Auto"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover",
"text": "Abdecken"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain",
"text": "Anpassen"
},
"$:/language/ThemeTweaks/Metrics": {
"title": "$:/language/ThemeTweaks/Metrics",
"text": "Größen"
},
"$:/language/ThemeTweaks/Metrics/FontSize": {
"title": "$:/language/ThemeTweaks/Metrics/FontSize",
"text": "Schriftgröße"
},
"$:/language/ThemeTweaks/Metrics/LineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/LineHeight",
"text": "Zeilenhöhe"
},
"$:/language/ThemeTweaks/Metrics/BodyFontSize": {
"title": "$:/language/ThemeTweaks/Metrics/BodyFontSize",
"text": "Schriftgröße für Tiddler Inhalt"
},
"$:/language/ThemeTweaks/Metrics/BodyLineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/BodyLineHeight",
"text": "Zeilenhöhe für Tiddler Inhalt"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft",
"text": "\"Story\" - linke Position"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft/Hint",
"text": "Abstand des \"story rivers\" vom linken Fensterrand"
},
"$:/language/ThemeTweaks/Metrics/StoryTop": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop",
"text": "\"Story\" - obere Position"
},
"$:/language/ThemeTweaks/Metrics/StoryTop/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop/Hint",
"text": "Abstand des \"story rivers\" vom oberen Fensterrand"
},
"$:/language/ThemeTweaks/Metrics/StoryRight": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight",
"text": "\"Story\" - rechte Position"
},
"$:/language/ThemeTweaks/Metrics/StoryRight/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight/Hint",
"text": "Abstand der Seitenleiste from linken Fensterrand"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth",
"text": "\"Story\" - Breite"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth/Hint",
"text": "Breite des \"story rivers\""
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth",
"text": "Tiddlerbreite"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint",
"text": "im \"story river\""
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint",
"text": "Seitenleiste \"breakpoint\""
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint",
"text": "Minimum Fensterbreite, bei der die Seitenleiste an den Anfang der Seite verschoben wird."
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth",
"text": "Seitenleiste Breite"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint",
"text": "Die Breite der Leiste bei variabler/fixer Darstellung"
},
"$:/language/TiddlerInfo/Advanced/Caption": {
"title": "$:/language/TiddlerInfo/Advanced/Caption",
"text": "Erweitert"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint",
"text": "Keine"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Heading",
"text": "Plugin Details"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Hint",
"text": "Dieses Plugin enthält folgende Schatten-Tiddler:"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading",
"text": "Schatten Status"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint",
"text": "Der Tiddler: <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> ist kein Schatten-Tiddler."
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint",
"text": "Der Tiddler: <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> ist ein Schatten-Tiddler."
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source",
"text": "Er ist definiert im Plugin: <$link to=<<pluginTiddler>>><$text text=<<pluginTiddler>>/></$link>."
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint",
"text": "Der originale Schatten-Tiddler wurde durch diesen Tiddler überschrieben. Wenn Sie diesen Tiddler löschen, wird der originale Schatten-Tiddler wieder aktiv. Erstellen Sie vorher eventuell eine Sicherungskopie!"
},
"$:/language/TiddlerInfo/Fields/Caption": {
"title": "$:/language/TiddlerInfo/Fields/Caption",
"text": "Felder"
},
"$:/language/TiddlerInfo/List/Caption": {
"title": "$:/language/TiddlerInfo/List/Caption",
"text": "Liste"
},
"$:/language/TiddlerInfo/List/Empty": {
"title": "$:/language/TiddlerInfo/List/Empty",
"text": "Dieser Tiddler hat kein \"list\" Feld."
},
"$:/language/TiddlerInfo/Listed/Caption": {
"title": "$:/language/TiddlerInfo/Listed/Caption",
"text": "Gelistet"
},
"$:/language/TiddlerInfo/Listed/Empty": {
"title": "$:/language/TiddlerInfo/Listed/Empty",
"text": "Dieser Tiddler wird nicht von anderen Tiddlern gelistet."
},
"$:/language/TiddlerInfo/References/Caption": {
"title": "$:/language/TiddlerInfo/References/Caption",
"text": "Referenzen"
},
"$:/language/TiddlerInfo/References/Empty": {
"title": "$:/language/TiddlerInfo/References/Empty",
"text": "Kein Tiddler linkt zu diesem Tiddler."
},
"$:/language/TiddlerInfo/Tagging/Caption": {
"title": "$:/language/TiddlerInfo/Tagging/Caption",
"text": "Tagging"
},
"$:/language/TiddlerInfo/Tagging/Empty": {
"title": "$:/language/TiddlerInfo/Tagging/Empty",
"text": "Kein Tiddler ist mit diesem Tiddler \"getaggt\"."
},
"$:/language/TiddlerInfo/Tools/Caption": {
"title": "$:/language/TiddlerInfo/Tools/Caption",
"text": "Tools"
},
"$:/language/Docs/Types/application/javascript": {
"title": "$:/language/Docs/Types/application/javascript",
"description": "JS - JavaScript Code",
"name": "application/javascript",
"group": "Entwickler"
},
"$:/language/Docs/Types/application/json": {
"title": "$:/language/Docs/Types/application/json",
"description": "JSON - Daten",
"name": "application/json",
"group": "Entwickler"
},
"$:/language/Docs/Types/application/x-tiddler-dictionary": {
"title": "$:/language/Docs/Types/application/x-tiddler-dictionary",
"description": "TiddlyWiki Datenkatalog",
"name": "application/x-tiddler-dictionary",
"group": "Entwickler"
},
"$:/language/Docs/Types/image/gif": {
"title": "$:/language/Docs/Types/image/gif",
"description": "GIF - Bild",
"name": "image/gif",
"group": "Bilder"
},
"$:/language/Docs/Types/image/jpeg": {
"title": "$:/language/Docs/Types/image/jpeg",
"description": "JPEG - Bild",
"name": "image/jpeg",
"group": "Bilder"
},
"$:/language/Docs/Types/image/png": {
"title": "$:/language/Docs/Types/image/png",
"description": "PNG - Portable Netzwerkgrafik",
"name": "image/png",
"group": "Bilder"
},
"$:/language/Docs/Types/image/svg+xml": {
"title": "$:/language/Docs/Types/image/svg+xml",
"description": "SVG - Strukturierte Vektor Graphik",
"name": "image/svg+xml",
"group": "Bilder"
},
"$:/language/Docs/Types/image/x-icon": {
"title": "$:/language/Docs/Types/image/x-icon",
"description": "ICO - Piktogramm Format",
"name": "image/x-icon",
"group": "Bilder"
},
"$:/language/Docs/Types/text/css": {
"title": "$:/language/Docs/Types/text/css",
"description": "CSS - Cascading Style Sheets",
"name": "text/css",
"group": "Entwickler"
},
"$:/language/Docs/Types/text/html": {
"title": "$:/language/Docs/Types/text/html",
"description": "HTML - Auszeichnungssprache",
"name": "text/html",
"group": "Text"
},
"$:/language/Docs/Types/text/plain": {
"title": "$:/language/Docs/Types/text/plain",
"description": "TXT - Unformatierter Text",
"name": "text/plain",
"group": "Text"
},
"$:/language/Docs/Types/text/vnd.tiddlywiki": {
"title": "$:/language/Docs/Types/text/vnd.tiddlywiki",
"description": "TW5 - TiddlyWiki Version 5 Wikitext",
"name": "text/vnd.tiddlywiki",
"group": "Text"
},
"$:/language/Docs/Types/text/x-tiddlywiki": {
"title": "$:/language/Docs/Types/text/x-tiddlywiki",
"description": "TWc - TiddlyWiki Classic Wikitext",
"name": "text/x-tiddlywiki",
"group": "Text"
},
"$:/languages/de-DE/icon": {
"title": "$:/languages/de-DE/icon",
"type": "image/svg+xml",
"text": "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n\t\"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n<svg xmlns=\"http://www.w3.org/2000/svg\" width=\"1000\" height=\"600\" viewBox=\"0 0 5 3\">\n\t<desc>Flag of Germany</desc>\n\t<rect id=\"black_stripe\" width=\"5\" height=\"3\" y=\"0\" x=\"0\" fill=\"#000\"/>\n\t<rect id=\"red_stripe\" width=\"5\" height=\"2\" y=\"1\" x=\"0\" fill=\"#D00\"/>\n\t<rect id=\"gold_stripe\" width=\"5\" height=\"1\" y=\"2\" x=\"0\" fill=\"#FFCE00\"/>\n</svg>\n"
}
}
}
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN"
"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg xmlns="http://www.w3.org/2000/svg" width="1000" height="600" viewBox="0 0 5 3">
<desc>Flag of Germany</desc>
<rect id="black_stripe" width="5" height="3" y="0" x="0" fill="#000"/>
<rect id="red_stripe" width="5" height="2" y="1" x="0" fill="#8B1212"/>
<rect id="gold_stripe" width="5" height="1" y="2" x="0" fill="#CD7918"/>
</svg>
<svg xmlns="http://www.w3.org/2000/svg" viewBox="0 0 60 30" width="1200" height="600">
<clipPath id="t">
<path d="M30,15 h30 v15 z v15 h-30 z h-30 v-15 z v-15 h30 z"/>
</clipPath>
<path d="M0,0 v30 h60 v-30 z" fill="#021B58"/>
<path d="M0,0 L60,30 M60,0 L0,30" stroke="#fff" stroke-width="6"/>
<path d="M0,0 L60,30 M60,0 L0,30" clip-path="url(#t)" stroke="#8B1212" stroke-width="4"/>
<path d="M30,0 v30 M0,15 h60" stroke="#fff" stroke-width="10"/>
<path d="M30,0 v30 M0,15 h60" stroke="#8B1212" stroke-width="6"/>
</svg>
{
"tiddlers": {
"$:/language/Buttons/AdvancedSearch/Caption": {
"title": "$:/language/Buttons/AdvancedSearch/Caption",
"text": "recherche avancée"
},
"$:/language/Buttons/AdvancedSearch/Hint": {
"title": "$:/language/Buttons/AdvancedSearch/Hint",
"text": "Recherche avancée"
},
"$:/language/Buttons/Cancel/Caption": {
"title": "$:/language/Buttons/Cancel/Caption",
"text": "annuler"
},
"$:/language/Buttons/Cancel/Hint": {
"title": "$:/language/Buttons/Cancel/Hint",
"text": "Annule l'édition de ce tiddler"
},
"$:/language/Buttons/Clone/Caption": {
"title": "$:/language/Buttons/Clone/Caption",
"text": "cloner"
},
"$:/language/Buttons/Clone/Hint": {
"title": "$:/language/Buttons/Clone/Hint",
"text": "Clone ce tiddler"
},
"$:/language/Buttons/Close/Caption": {
"title": "$:/language/Buttons/Close/Caption",
"text": "fermer"
},
"$:/language/Buttons/Close/Hint": {
"title": "$:/language/Buttons/Close/Hint",
"text": "Ferme ce tiddler"
},
"$:/language/Buttons/CloseAll/Caption": {
"title": "$:/language/Buttons/CloseAll/Caption",
"text": "fermer tout"
},
"$:/language/Buttons/CloseAll/Hint": {
"title": "$:/language/Buttons/CloseAll/Hint",
"text": "Ferme tous les tiddlers"
},
"$:/language/Buttons/CloseOthers/Caption": {
"title": "$:/language/Buttons/CloseOthers/Caption",
"text": "fermer les autres tiddlers"
},
"$:/language/Buttons/CloseOthers/Hint": {
"title": "$:/language/Buttons/CloseOthers/Hint",
"text": "Ferme les autres tiddlers"
},
"$:/language/Buttons/ControlPanel/Caption": {
"title": "$:/language/Buttons/ControlPanel/Caption",
"text": "panneau de contrôle"
},
"$:/language/Buttons/ControlPanel/Hint": {
"title": "$:/language/Buttons/ControlPanel/Hint",
"text": "Ouvre le panneau de contrôle"
},
"$:/language/Buttons/Delete/Caption": {
"title": "$:/language/Buttons/Delete/Caption",
"text": "supprimer"
},
"$:/language/Buttons/Delete/Hint": {
"title": "$:/language/Buttons/Delete/Hint",
"text": "Supprime ce tiddler"
},
"$:/language/Buttons/Edit/Caption": {
"title": "$:/language/Buttons/Edit/Caption",
"text": "éditer"
},
"$:/language/Buttons/Edit/Hint": {
"title": "$:/language/Buttons/Edit/Hint",
"text": "Édite ce tiddler"
},
"$:/language/Buttons/Encryption/Caption": {
"title": "$:/language/Buttons/Encryption/Caption",
"text": "chiffrement"
},
"$:/language/Buttons/Encryption/Hint": {
"title": "$:/language/Buttons/Encryption/Hint",
"text": "Affecte ou résilie le mot de passe pour la sauvegarde de ce wiki"
},
"$:/language/Buttons/Encryption/ClearPassword/Caption": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Caption",
"text": "résilier le mot de passe"
},
"$:/language/Buttons/Encryption/ClearPassword/Hint": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Hint",
"text": "Résilie le mot de passe et sauvegarde ce wiki sans chiffrement"
},
"$:/language/Buttons/Encryption/SetPassword/Caption": {
"title": "$:/language/Buttons/Encryption/SetPassword/Caption",
"text": "affecter un mot de passe"
},
"$:/language/Buttons/Encryption/SetPassword/Hint": {
"title": "$:/language/Buttons/Encryption/SetPassword/Hint",
"text": "Affecte un mot de passe pour sauvegarde une version chiffrée de ce wiki"
},
"$:/language/Buttons/ExportPage/Caption": {
"title": "$:/language/Buttons/ExportPage/Caption",
"text": "exporter tout"
},
"$:/language/Buttons/ExportPage/Hint": {
"title": "$:/language/Buttons/ExportPage/Hint",
"text": "Exporte tous les tiddlers"
},
"$:/language/Buttons/ExportTiddler/Caption": {
"title": "$:/language/Buttons/ExportTiddler/Caption",
"text": "exporter ce tiddler"
},
"$:/language/Buttons/ExportTiddler/Hint": {
"title": "$:/language/Buttons/ExportTiddler/Hint",
"text": "Exporte ce tiddler"
},
"$:/language/Buttons/ExportTiddlers/Caption": {
"title": "$:/language/Buttons/ExportTiddlers/Caption",
"text": "exporter ces tiddlers"
},
"$:/language/Buttons/ExportTiddlers/Hint": {
"title": "$:/language/Buttons/ExportTiddlers/Hint",
"text": "Exporte ces tiddlers"
},
"$:/language/Buttons/Fold/Caption": {
"title": "$:/language/Buttons/Fold/Caption",
"text": "replier le tiddler"
},
"$:/language/Buttons/Fold/Hint": {
"title": "$:/language/Buttons/Fold/Hint",
"text": "Replie le corps du tiddler"
},
"$:/language/Buttons/Fold/FoldBar/Caption": {
"title": "$:/language/Buttons/Fold/FoldBar/Caption",
"text": "Barre de repli"
},
"$:/language/Buttons/Fold/FoldBar/Hint": {
"title": "$:/language/Buttons/Fold/FoldBar/Hint",
"text": "Barre optionnelle pour replier et déplier les tiddlers"
},
"$:/language/Buttons/Unfold/Caption": {
"title": "$:/language/Buttons/Unfold/Caption",
"text": "déplier le tiddler"
},
"$:/language/Buttons/Unfold/Hint": {
"title": "$:/language/Buttons/Unfold/Hint",
"text": "Déplie le corps de ce tiddler"
},
"$:/language/Buttons/FoldOthers/Caption": {
"title": "$:/language/Buttons/FoldOthers/Caption",
"text": "replier les autres tiddlers"
},
"$:/language/Buttons/FoldOthers/Hint": {
"title": "$:/language/Buttons/FoldOthers/Hint",
"text": "Replie le corps des autres tiddlers ouverts"
},
"$:/language/Buttons/FoldAll/Caption": {
"title": "$:/language/Buttons/FoldAll/Caption",
"text": "replie tous les tiddlers"
},
"$:/language/Buttons/FoldAll/Hint": {
"title": "$:/language/Buttons/FoldAll/Hint",
"text": "Replier le corps de tous les tiddlers ouverts"
},
"$:/language/Buttons/UnfoldAll/Caption": {
"title": "$:/language/Buttons/UnfoldAll/Caption",
"text": "déplier tous les tiddlers"
},
"$:/language/Buttons/UnfoldAll/Hint": {
"title": "$:/language/Buttons/UnfoldAll/Hint",
"text": "Déplie le corps de tous les tiddlers ouverts"
},
"$:/language/Buttons/FullScreen/Caption": {
"title": "$:/language/Buttons/FullScreen/Caption",
"text": "plein-écran"
},
"$:/language/Buttons/FullScreen/Hint": {
"title": "$:/language/Buttons/FullScreen/Hint",
"text": "Entre ou sort du mode plein-écran"
},
"$:/language/Buttons/Help/Caption": {
"title": "$:/language/Buttons/Help/Caption",
"text": "aide"
},
"$:/language/Buttons/Help/Hint": {
"title": "$:/language/Buttons/Help/Hint",
"text": "Affiche le panneau d'aide"
},
"$:/language/Buttons/Import/Caption": {
"title": "$:/language/Buttons/Import/Caption",
"text": "importer"
},
"$:/language/Buttons/Import/Hint": {
"title": "$:/language/Buttons/Import/Hint",
"text": "Importe des fichiers de nombreux types, notamment texte, image, TiddlyWiki ou JSON"
},
"$:/language/Buttons/Info/Caption": {
"title": "$:/language/Buttons/Info/Caption",
"text": "informations"
},
"$:/language/Buttons/Info/Hint": {
"title": "$:/language/Buttons/Info/Hint",
"text": "Affiche des informations sur ce tiddler"
},
"$:/language/Buttons/Home/Caption": {
"title": "$:/language/Buttons/Home/Caption",
"text": "accueil"
},
"$:/language/Buttons/Home/Hint": {
"title": "$:/language/Buttons/Home/Hint",
"text": "Ouvre les tiddlers par défaut"
},
"$:/language/Buttons/Language/Caption": {
"title": "$:/language/Buttons/Language/Caption",
"text": "langue"
},
"$:/language/Buttons/Language/Hint": {
"title": "$:/language/Buttons/Language/Hint",
"text": "Choix de la langue pour l'interface utilisateur"
},
"$:/language/Buttons/More/Caption": {
"title": "$:/language/Buttons/More/Caption",
"text": "plus"
},
"$:/language/Buttons/More/Hint": {
"title": "$:/language/Buttons/More/Hint",
"text": "Actions supplémentaires"
},
"$:/language/Buttons/NewHere/Caption": {
"title": "$:/language/Buttons/NewHere/Caption",
"text": "nouveau, à partir d'ici"
},
"$:/language/Buttons/NewHere/Hint": {
"title": "$:/language/Buttons/NewHere/Hint",
"text": "Crée un nouveau tiddler avec pour tag le titre du tiddler courant"
},
"$:/language/Buttons/NewJournal/Caption": {
"title": "$:/language/Buttons/NewJournal/Caption",
"text": "nouveau journal"
},
"$:/language/Buttons/NewJournal/Hint": {
"title": "$:/language/Buttons/NewJournal/Hint",
"text": "Crée un nouveau tiddler journal"
},
"$:/language/Buttons/NewJournalHere/Caption": {
"title": "$:/language/Buttons/NewJournalHere/Caption",
"text": "nouveau journal, à partir d'ici"
},
"$:/language/Buttons/NewJournalHere/Hint": {
"title": "$:/language/Buttons/NewJournalHere/Hint",
"text": "Crée un nouveau tiddler journal avec pour tag le titre du tiddler courant"
},
"$:/language/Buttons/NewImage/Caption": {
"title": "$:/language/Buttons/NewImage/Caption",
"text": "nouvelle image"
},
"$:/language/Buttons/NewImage/Hint": {
"title": "$:/language/Buttons/NewImage/Hint",
"text": "Crée une nouveau tiddler image"
},
"$:/language/Buttons/NewMarkdown/Caption": {
"title": "$:/language/Buttons/NewMarkdown/Caption",
"text": "nouveau tiddler Markdown"
},
"$:/language/Buttons/NewMarkdown/Hint": {
"title": "$:/language/Buttons/NewMarkdown/Hint",
"text": "Crée un nouveau tiddler avec la syntaxe Markdown"
},
"$:/language/Buttons/NewTiddler/Caption": {
"title": "$:/language/Buttons/NewTiddler/Caption",
"text": "nouveau tiddler"
},
"$:/language/Buttons/NewTiddler/Hint": {
"title": "$:/language/Buttons/NewTiddler/Hint",
"text": "Crée un nouveau tiddler"
},
"$:/language/Buttons/OpenWindow/Caption": {
"title": "$:/language/Buttons/OpenWindow/Caption",
"text": "ouvrir dans une nouvelle fenêtre"
},
"$:/language/Buttons/OpenWindow/Hint": {
"title": "$:/language/Buttons/OpenWindow/Hint",
"text": "Ouvre ce tiddler dans une nouvelle fenêtre"
},
"$:/language/Buttons/Palette/Caption": {
"title": "$:/language/Buttons/Palette/Caption",
"text": "palette"
},
"$:/language/Buttons/Palette/Hint": {
"title": "$:/language/Buttons/Palette/Hint",
"text": "Choisit une palette de couleur"
},
"$:/language/Buttons/Permalink/Caption": {
"title": "$:/language/Buttons/Permalink/Caption",
"text": "permalink"
},
"$:/language/Buttons/Permalink/Hint": {
"title": "$:/language/Buttons/Permalink/Hint",
"text": "Remplace l'URL dans la barre d'adresse du navigateur par un lien direct vers ce tiddler"
},
"$:/language/Buttons/Permaview/Caption": {
"title": "$:/language/Buttons/Permaview/Caption",
"text": "permaview"
},
"$:/language/Buttons/Permaview/Hint": {
"title": "$:/language/Buttons/Permaview/Hint",
"text": "Remplace l'URL dans la barre d'adresse du navigateur par un lien direct vers l'ensemble des tiddlers présents dans le déroulé"
},
"$:/language/Buttons/Print/Caption": {
"title": "$:/language/Buttons/Print/Caption",
"text": "imprimer la page"
},
"$:/language/Buttons/Print/Hint": {
"title": "$:/language/Buttons/Print/Hint",
"text": "Imprime la page courante"
},
"$:/language/Buttons/Refresh/Caption": {
"title": "$:/language/Buttons/Refresh/Caption",
"text": "rafraîchir"
},
"$:/language/Buttons/Refresh/Hint": {
"title": "$:/language/Buttons/Refresh/Hint",
"text": "Rafraîchit la totalité du wiki"
},
"$:/language/Buttons/Save/Caption": {
"title": "$:/language/Buttons/Save/Caption",
"text": "ok"
},
"$:/language/Buttons/Save/Hint": {
"title": "$:/language/Buttons/Save/Hint",
"text": "Confirme les changements apportés à ce tiddler"
},
"$:/language/Buttons/SaveWiki/Caption": {
"title": "$:/language/Buttons/SaveWiki/Caption",
"text": "enregistrer les modifications"
},
"$:/language/Buttons/SaveWiki/Hint": {
"title": "$:/language/Buttons/SaveWiki/Hint",
"text": "Enregistre les modifications"
},
"$:/language/Buttons/StoryView/Caption": {
"title": "$:/language/Buttons/StoryView/Caption",
"text": "visualisation du déroulé"
},
"$:/language/Buttons/StoryView/Hint": {
"title": "$:/language/Buttons/StoryView/Hint",
"text": "Choisit le mode de visualisation du déroulé"
},
"$:/language/Buttons/HideSideBar/Caption": {
"title": "$:/language/Buttons/HideSideBar/Caption",
"text": "cacher la barre latérale"
},
"$:/language/Buttons/HideSideBar/Hint": {
"title": "$:/language/Buttons/HideSideBar/Hint",
"text": "Cache la barre latérale"
},
"$:/language/Buttons/ShowSideBar/Caption": {
"title": "$:/language/Buttons/ShowSideBar/Caption",
"text": "afficher la barre latérale"
},
"$:/language/Buttons/ShowSideBar/Hint": {
"title": "$:/language/Buttons/ShowSideBar/Hint",
"text": "Affiche la barre latérale"
},
"$:/language/Buttons/TagManager/Caption": {
"title": "$:/language/Buttons/TagManager/Caption",
"text": "gestionnaire de tags"
},
"$:/language/Buttons/TagManager/Hint": {
"title": "$:/language/Buttons/TagManager/Hint",
"text": "Ouvre le gestionnaire de tags"
},
"$:/language/Buttons/Timestamp/Caption": {
"title": "$:/language/Buttons/Timestamp/Caption",
"text": "horodatage"
},
"$:/language/Buttons/Timestamp/Hint": {
"title": "$:/language/Buttons/Timestamp/Hint",
"text": "Décide si les modifications mettent à jour l'horodatage"
},
"$:/language/Buttons/Timestamp/On/Caption": {
"title": "$:/language/Buttons/Timestamp/On/Caption",
"text": "horodatage activé"
},
"$:/language/Buttons/Timestamp/On/Hint": {
"title": "$:/language/Buttons/Timestamp/On/Hint",
"text": "L'horodatage est mis à jour quand les tiddlers sont modifiés"
},
"$:/language/Buttons/Timestamp/Off/Caption": {
"title": "$:/language/Buttons/Timestamp/Off/Caption",
"text": "horodatage désactivé"
},
"$:/language/Buttons/Timestamp/Off/Hint": {
"title": "$:/language/Buttons/Timestamp/Off/Hint",
"text": "L'horodatage n'est pas mis à jour quand les tiddlers sont modifiés"
},
"$:/language/Buttons/Theme/Caption": {
"title": "$:/language/Buttons/Theme/Caption",
"text": "thème"
},
"$:/language/Buttons/Theme/Hint": {
"title": "$:/language/Buttons/Theme/Hint",
"text": "Choix du thème pour l'affichage"
},
"$:/language/Buttons/Bold/Caption": {
"title": "$:/language/Buttons/Bold/Caption",
"text": "gras"
},
"$:/language/Buttons/Bold/Hint": {
"title": "$:/language/Buttons/Bold/Hint",
"text": "Met la sélection en gras"
},
"$:/language/Buttons/Clear/Caption": {
"title": "$:/language/Buttons/Clear/Caption",
"text": "effacer"
},
"$:/language/Buttons/Clear/Hint": {
"title": "$:/language/Buttons/Clear/Hint",
"text": "Remplace l'image par une couleur pleine"
},
"$:/language/Buttons/EditorHeight/Caption": {
"title": "$:/language/Buttons/EditorHeight/Caption",
"text": "hauteur de l'éditeur"
},
"$:/language/Buttons/EditorHeight/Caption/Auto": {
"title": "$:/language/Buttons/EditorHeight/Caption/Auto",
"text": "Ajuste automatiquement la hauteur en fonction du contenu"
},
"$:/language/Buttons/EditorHeight/Caption/Fixed": {
"title": "$:/language/Buttons/EditorHeight/Caption/Fixed",
"text": "Hauteur fixe :"
},
"$:/language/Buttons/EditorHeight/Hint": {
"title": "$:/language/Buttons/EditorHeight/Hint",
"text": "Choisit la hauteur de l'éditeur de texte"
},
"$:/language/Buttons/Excise/Caption": {
"title": "$:/language/Buttons/Excise/Caption",
"text": "exciser"
},
"$:/language/Buttons/Excise/Caption/Excise": {
"title": "$:/language/Buttons/Excise/Caption/Excise",
"text": "Effectue une excision"
},
"$:/language/Buttons/Excise/Caption/MacroName": {
"title": "$:/language/Buttons/Excise/Caption/MacroName",
"text": "Nom de la macro :"
},
"$:/language/Buttons/Excise/Caption/NewTitle": {
"title": "$:/language/Buttons/Excise/Caption/NewTitle",
"text": "Titre du nouveau tiddler :"
},
"$:/language/Buttons/Excise/Caption/Replace": {
"title": "$:/language/Buttons/Excise/Caption/Replace",
"text": "Remplacer le texte excisé par :"
},
"$:/language/Buttons/Excise/Caption/Replace/Macro": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Macro",
"text": "macro"
},
"$:/language/Buttons/Excise/Caption/Replace/Link": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Link",
"text": "lien"
},
"$:/language/Buttons/Excise/Caption/Replace/Transclusion": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Transclusion",
"text": "transclusion"
},
"$:/language/Buttons/Excise/Caption/Tag": {
"title": "$:/language/Buttons/Excise/Caption/Tag",
"text": "Utilise le titre de ce tiddler comme tag du nouveau tiddler"
},
"$:/language/Buttons/Excise/Caption/TiddlerExists": {
"title": "$:/language/Buttons/Excise/Caption/TiddlerExists",
"text": "Attention : le tiddler existe déjà"
},
"$:/language/Buttons/Excise/Hint": {
"title": "$:/language/Buttons/Excise/Hint",
"text": "Excise le texte sélectionné vers un nouveau tiddler"
},
"$:/language/Buttons/Heading1/Caption": {
"title": "$:/language/Buttons/Heading1/Caption",
"text": "en-tête 1"
},
"$:/language/Buttons/Heading1/Hint": {
"title": "$:/language/Buttons/Heading1/Hint",
"text": "Applique le formatage des en-têtes de niveau 1 aux lignes de la sélection"
},
"$:/language/Buttons/Heading2/Caption": {
"title": "$:/language/Buttons/Heading2/Caption",
"text": "en-tête 2"
},
"$:/language/Buttons/Heading2/Hint": {
"title": "$:/language/Buttons/Heading2/Hint",
"text": "Applique le formatage des en-têtes de niveau 2 aux lignes de la sélection"
},
"$:/language/Buttons/Heading3/Caption": {
"title": "$:/language/Buttons/Heading3/Caption",
"text": "en-tête 3"
},
"$:/language/Buttons/Heading3/Hint": {
"title": "$:/language/Buttons/Heading3/Hint",
"text": "Applique le formatage des en-têtes de niveau 3 aux lignes de la sélection"
},
"$:/language/Buttons/Heading4/Caption": {
"title": "$:/language/Buttons/Heading4/Caption",
"text": "en-tête 4"
},
"$:/language/Buttons/Heading4/Hint": {
"title": "$:/language/Buttons/Heading4/Hint",
"text": "Applique le formatage des en-têtes de niveau 4 aux lignes de la sélection"
},
"$:/language/Buttons/Heading5/Caption": {
"title": "$:/language/Buttons/Heading5/Caption",
"text": "en-tête 5"
},
"$:/language/Buttons/Heading5/Hint": {
"title": "$:/language/Buttons/Heading5/Hint",
"text": "Applique le formatage des en-têtes de niveau 5 aux lignes de la sélection"
},
"$:/language/Buttons/Heading6/Caption": {
"title": "$:/language/Buttons/Heading6/Caption",
"text": "en-tête 6"
},
"$:/language/Buttons/Heading6/Hint": {
"title": "$:/language/Buttons/Heading6/Hint",
"text": "Applique le formatage des en-têtes de niveau 6 aux lignes de la sélection"
},
"$:/language/Buttons/Italic/Caption": {
"title": "$:/language/Buttons/Italic/Caption",
"text": "italiques"
},
"$:/language/Buttons/Italic/Hint": {
"title": "$:/language/Buttons/Italic/Hint",
"text": "Met la sélection en italiques"
},
"$:/language/Buttons/LineWidth/Caption": {
"title": "$:/language/Buttons/LineWidth/Caption",
"text": "épaisseur du trait"
},
"$:/language/Buttons/LineWidth/Hint": {
"title": "$:/language/Buttons/LineWidth/Hint",
"text": "Choisit une épaisseur de trait pour le remplissage"
},
"$:/language/Buttons/Link/Caption": {
"title": "$:/language/Buttons/Link/Caption",
"text": "lien"
},
"$:/language/Buttons/Link/Hint": {
"title": "$:/language/Buttons/Link/Hint",
"text": "Crée un lien wikitext"
},
"$:/language/Buttons/Linkify/Caption": {
"title": "$:/language/Buttons/Linkify/Caption",
"text": "wikilien"
},
"$:/language/Buttons/Linkify/Hint": {
"title": "$:/language/Buttons/Linkify/Hint",
"text": "Encadre la sélection avec des crochets droits"
},
"$:/language/Buttons/ListBullet/Caption": {
"title": "$:/language/Buttons/ListBullet/Caption",
"text": "liste à puces"
},
"$:/language/Buttons/ListBullet/Hint": {
"title": "$:/language/Buttons/ListBullet/Hint",
"text": "Formate les lignes de la sélection comme une liste à puces"
},
"$:/language/Buttons/ListNumber/Caption": {
"title": "$:/language/Buttons/ListNumber/Caption",
"text": "liste numérotée"
},
"$:/language/Buttons/ListNumber/Hint": {
"title": "$:/language/Buttons/ListNumber/Hint",
"text": "Formate les lignes de la sélection comme une liste numérotée"
},
"$:/language/Buttons/MonoBlock/Caption": {
"title": "$:/language/Buttons/MonoBlock/Caption",
"text": "bloc de chasse constante"
},
"$:/language/Buttons/MonoBlock/Hint": {
"title": "$:/language/Buttons/MonoBlock/Hint",
"text": "Formate les lignes de la sélection en bloc de chasse constante"
},
"$:/language/Buttons/MonoLine/Caption": {
"title": "$:/language/Buttons/MonoLine/Caption",
"text": "chasse constante"
},
"$:/language/Buttons/MonoLine/Hint": {
"title": "$:/language/Buttons/MonoLine/Hint",
"text": "Formate la sélection avec une police de caractères à chasse constante"
},
"$:/language/Buttons/Opacity/Caption": {
"title": "$:/language/Buttons/Opacity/Caption",
"text": "opacité"
},
"$:/language/Buttons/Opacity/Hint": {
"title": "$:/language/Buttons/Opacity/Hint",
"text": "Choisit l'opacité du remplissage"
},
"$:/language/Buttons/Paint/Caption": {
"title": "$:/language/Buttons/Paint/Caption",
"text": "couleur de remplissage"
},
"$:/language/Buttons/Paint/Hint": {
"title": "$:/language/Buttons/Paint/Hint",
"text": "Choisit la couleur de remplissage"
},
"$:/language/Buttons/Picture/Caption": {
"title": "$:/language/Buttons/Picture/Caption",
"text": "image"
},
"$:/language/Buttons/Picture/Hint": {
"title": "$:/language/Buttons/Picture/Hint",
"text": "Insère une image"
},
"$:/language/Buttons/Preview/Caption": {
"title": "$:/language/Buttons/Preview/Caption",
"text": "prévisualisation"
},
"$:/language/Buttons/Preview/Hint": {
"title": "$:/language/Buttons/Preview/Hint",
"text": "Affiche le panneau de prévisualisation"
},
"$:/language/Buttons/PreviewType/Caption": {
"title": "$:/language/Buttons/PreviewType/Caption",
"text": "type de prévisualisation"
},
"$:/language/Buttons/PreviewType/Hint": {
"title": "$:/language/Buttons/PreviewType/Hint",
"text": "Choisit le type de prévisualisation"
},
"$:/language/Buttons/Quote/Caption": {
"title": "$:/language/Buttons/Quote/Caption",
"text": "citation"
},
"$:/language/Buttons/Quote/Hint": {
"title": "$:/language/Buttons/Quote/Hint",
"text": "Formate les lignes de la sélection comme une citation"
},
"$:/language/Buttons/RotateLeft/Caption": {
"title": "$:/language/Buttons/RotateLeft/Caption",
"text": "pivoter vers la gauche"
},
"$:/language/Buttons/RotateLeft/Hint": {
"title": "$:/language/Buttons/RotateLeft/Hint",
"text": "Fait pivoter l'image vers la gauche de 90 degrés"
},
"$:/language/Buttons/Size/Caption": {
"title": "$:/language/Buttons/Size/Caption",
"text": "taille de l'image"
},
"$:/language/Buttons/Size/Caption/Height": {
"title": "$:/language/Buttons/Size/Caption/Height",
"text": "Hauteur :"
},
"$:/language/Buttons/Size/Caption/Resize": {
"title": "$:/language/Buttons/Size/Caption/Resize",
"text": "Redimensionner l'image"
},
"$:/language/Buttons/Size/Caption/Width": {
"title": "$:/language/Buttons/Size/Caption/Width",
"text": "Largeur :"
},
"$:/language/Buttons/Size/Hint": {
"title": "$:/language/Buttons/Size/Hint",
"text": "Indique une taille pour l'image"
},
"$:/language/Buttons/Stamp/Caption": {
"title": "$:/language/Buttons/Stamp/Caption",
"text": "tampon"
},
"$:/language/Buttons/Stamp/Caption/New": {
"title": "$:/language/Buttons/Stamp/Caption/New",
"text": "Ajoutez le vôtre"
},
"$:/language/Buttons/Stamp/Hint": {
"title": "$:/language/Buttons/Stamp/Hint",
"text": "Insère un fragment de texte préconfiguré"
},
"$:/language/Buttons/Stamp/New/Title": {
"title": "$:/language/Buttons/Stamp/New/Title",
"text": "Nom tel qu'il apparaît dans le menu"
},
"$:/language/Buttons/Stamp/New/Text": {
"title": "$:/language/Buttons/Stamp/New/Text",
"text": "Texte du fragment. (N'oubliez pas d'ajouter une légende dans le champ //caption//.)"
},
"$:/language/Buttons/Strikethrough/Caption": {
"title": "$:/language/Buttons/Strikethrough/Caption",
"text": "barré"
},
"$:/language/Buttons/Strikethrough/Hint": {
"title": "$:/language/Buttons/Strikethrough/Hint",
"text": "Barre le texte sélectionné"
},
"$:/language/Buttons/Subscript/Caption": {
"title": "$:/language/Buttons/Subscript/Caption",
"text": "indice"
},
"$:/language/Buttons/Subscript/Hint": {
"title": "$:/language/Buttons/Subscript/Hint",
"text": "Met en indice le texte sélectionné"
},
"$:/language/Buttons/Superscript/Caption": {
"title": "$:/language/Buttons/Superscript/Caption",
"text": "exposant"
},
"$:/language/Buttons/Superscript/Hint": {
"title": "$:/language/Buttons/Superscript/Hint",
"text": "Met en exposant le texte sélectionné"
},
"$:/language/Buttons/Transcludify/Caption": {
"title": "$:/language/Buttons/Transcludify/Caption",
"text": "transclusion"
},
"$:/language/Buttons/Transcludify/Hint": {
"title": "$:/language/Buttons/Transcludify/Hint",
"text": "Encadre la sélection avec des accolades"
},
"$:/language/Buttons/Underline/Caption": {
"title": "$:/language/Buttons/Underline/Caption",
"text": "souligné"
},
"$:/language/Buttons/Underline/Hint": {
"title": "$:/language/Buttons/Underline/Hint",
"text": "Souligne le texte sélectionné"
},
"$:/language/ControlPanel/Advanced/Caption": {
"title": "$:/language/ControlPanel/Advanced/Caption",
"text": "Avancé"
},
"$:/language/ControlPanel/Advanced/Hint": {
"title": "$:/language/ControlPanel/Advanced/Hint",
"text": "Informations internes sur ce ~TiddlyWiki"
},
"$:/language/ControlPanel/Appearance/Caption": {
"title": "$:/language/ControlPanel/Appearance/Caption",
"text": "Apparence"
},
"$:/language/ControlPanel/Appearance/Hint": {
"title": "$:/language/ControlPanel/Appearance/Hint",
"text": "Personnalisation de l'apparence de votre ~TiddlyWiki."
},
"$:/language/ControlPanel/Basics/AnimDuration/Prompt": {
"title": "$:/language/ControlPanel/Basics/AnimDuration/Prompt",
"text": "Durée de l'animation"
},
"$:/language/ControlPanel/Basics/Caption": {
"title": "$:/language/ControlPanel/Basics/Caption",
"text": "Fondamentaux"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint",
"text": "Utilisez les [[crochets doubles]] pour les titres contenant des espaces. Vous pouvez aussi décider de <$button set=\"$:/DefaultTiddlers\" setTo=\"[list[$:/StoryList]]\">Conserver le déroulé actuel</$button>"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt",
"text": "Tiddlers par défaut"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint",
"text": "Liste les tiddlers qui seront affichés au démarrage :"
},
"$:/language/ControlPanel/Basics/Language/Prompt": {
"title": "$:/language/ControlPanel/Basics/Language/Prompt",
"text": "Bonjour ! Langue active :"
},
"$:/language/ControlPanel/Basics/NewJournal/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Title/Prompt",
"text": "Modèle pour les titres des tiddlers journaux"
},
"$:/language/ControlPanel/Basics/NewJournal/Text/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Text/Prompt",
"text": "Texte pour les nouveaux tiddlers journaux"
},
"$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt",
"text": "Tags pour les nouveaux tiddlers journaux"
},
"$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt",
"text": "Modèle pour les titres des nouveaux tiddlers"
},
"$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt",
"text": "Nombre de tiddlers //shadow// modifiés"
},
"$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt",
"text": "Nombre de tiddlers //shadow//"
},
"$:/language/ControlPanel/Basics/Subtitle/Prompt": {
"title": "$:/language/ControlPanel/Basics/Subtitle/Prompt",
"text": "Sous-titre"
},
"$:/language/ControlPanel/Basics/SystemTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/SystemTiddlers/Prompt",
"text": "Nombre de tiddlers système :"
},
"$:/language/ControlPanel/Basics/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tags/Prompt",
"text": "Nombre de tags :"
},
"$:/language/ControlPanel/Basics/Tiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tiddlers/Prompt",
"text": "Nombre de tiddlers :"
},
"$:/language/ControlPanel/Basics/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/Title/Prompt",
"text": "Titre de ce ~TiddlyWiki :"
},
"$:/language/ControlPanel/Basics/Username/Prompt": {
"title": "$:/language/ControlPanel/Basics/Username/Prompt",
"text": "Signer les modifications avec ce nom d'utilisateur :"
},
"$:/language/ControlPanel/Basics/Version/Prompt": {
"title": "$:/language/ControlPanel/Basics/Version/Prompt",
"text": "Version de ~TiddlyWiki :"
},
"$:/language/ControlPanel/EditorTypes/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Caption",
"text": "Types d'éditeur"
},
"$:/language/ControlPanel/EditorTypes/Editor/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Editor/Caption",
"text": "Éditeur"
},
"$:/language/ControlPanel/EditorTypes/Hint": {
"title": "$:/language/ControlPanel/EditorTypes/Hint",
"text": "Ces tiddlers déterminent l'éditeur à utiliser pour éditer tel ou tel type de tiddler."
},
"$:/language/ControlPanel/EditorTypes/Type/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Type/Caption",
"text": "Type"
},
"$:/language/ControlPanel/Info/Caption": {
"title": "$:/language/ControlPanel/Info/Caption",
"text": "Info"
},
"$:/language/ControlPanel/Info/Hint": {
"title": "$:/language/ControlPanel/Info/Hint",
"text": "Information sur ce TiddlyWiki"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt",
"text": "Entrez le raccourci ici"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Caption",
"text": "ajoute un raccourci"
},
"$:/language/ControlPanel/KeyboardShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Caption",
"text": "Raccourcis clavier"
},
"$:/language/ControlPanel/KeyboardShortcuts/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Hint",
"text": "Gère les définitions des raccourcis clavier"
},
"$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption",
"text": "Aucun raccourci clavier défini"
},
"$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint",
"text": "supprime le raccourci clavier"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/All": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/All",
"text": "Toutes plates-formes"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac",
"text": "Plate-forme Macintosh seulement"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac",
"text": "Plates-formes non-Macintosh seulement"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux",
"text": "Plate-forme Linux seulement"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux",
"text": "Plate-formes non-Linux seulement"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows",
"text": "Plate-forme Windows seulement"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows",
"text": "Plates-formes non-Windows seulement"
},
"$:/language/ControlPanel/LoadedModules/Caption": {
"title": "$:/language/ControlPanel/LoadedModules/Caption",
"text": "Modules chargés"
},
"$:/language/ControlPanel/LoadedModules/Hint": {
"title": "$:/language/ControlPanel/LoadedModules/Hint",
"text": "Liste des modules chargés, liés à leurs tiddlers sources. Les italiques indiquent que les tiddlers sources n'existent pas, le plus souvent parce que le module a été créé lors du processus d'amorçage."
},
"$:/language/ControlPanel/Palette/Caption": {
"title": "$:/language/ControlPanel/Palette/Caption",
"text": "Palette"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Caption",
"text": "Cloner"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Prompt",
"text": "Il est recommandé de cloner cette palette « shadow » avant de l'éditer"
},
"$:/language/ControlPanel/Palette/Editor/Prompt/Modified": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt/Modified",
"text": "Cette palette « shadow » a été modifiée"
},
"$:/language/ControlPanel/Palette/Editor/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt",
"text": "Édition de"
},
"$:/language/ControlPanel/Palette/Editor/Reset/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Reset/Caption",
"text": "Réinitialiser"
},
"$:/language/ControlPanel/Palette/HideEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/HideEditor/Caption",
"text": "Masquer l'éditeur"
},
"$:/language/ControlPanel/Palette/Prompt": {
"title": "$:/language/ControlPanel/Palette/Prompt",
"text": "Palette actuelle :"
},
"$:/language/ControlPanel/Palette/ShowEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/ShowEditor/Caption",
"text": "Afficher l'éditeur"
},
"$:/language/ControlPanel/Parsing/Caption": {
"title": "$:/language/ControlPanel/Parsing/Caption",
"text": "Analyse"
},
"$:/language/ControlPanel/Parsing/Hint": {
"title": "$:/language/ControlPanel/Parsing/Hint",
"text": "Ici, vous pouvez activer/désactiver globalement les règles de l'analyseur du wiki. Les changements seront effectifs après enregistrement et rechargement de votre wiki. Désactiver certaines règles peut empêcher <$text text=\"TiddlyWiki\"/> de fonctionner correctement. Pour restaurer le fonctionnement normal, utilisez le [[safe mode|https://tiddlywiki.com/#SafeMode]]."
},
"$:/language/ControlPanel/Parsing/Block/Caption": {
"title": "$:/language/ControlPanel/Parsing/Block/Caption",
"text": "Règles d'analyse Block"
},
"$:/language/ControlPanel/Parsing/Inline/Caption": {
"title": "$:/language/ControlPanel/Parsing/Inline/Caption",
"text": "Règles d'analyse Inline"
},
"$:/language/ControlPanel/Parsing/Pragma/Caption": {
"title": "$:/language/ControlPanel/Parsing/Pragma/Caption",
"text": "Règles d'analyse PragmaP"
},
"$:/language/ControlPanel/Plugins/Add/Caption": {
"title": "$:/language/ControlPanel/Plugins/Add/Caption",
"text": "Ajouter des plugins"
},
"$:/language/ControlPanel/Plugins/Add/Hint": {
"title": "$:/language/ControlPanel/Plugins/Add/Hint",
"text": "Installer des plugins à partir de la bibliothèque officielle"
},
"$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint",
"text": "Ce plugin est déjà installé dans la version <$text text=<<installedVersion>>/>"
},
"$:/language/ControlPanel/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Disable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Disable/Caption",
"text": "désactiver"
},
"$:/language/ControlPanel/Plugins/Disable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Disable/Hint",
"text": "Désactive ce plugin au prochain rechargement de la page"
},
"$:/language/ControlPanel/Plugins/Disabled/Status": {
"title": "$:/language/ControlPanel/Plugins/Disabled/Status",
"text": "(désactivé)"
},
"$:/language/ControlPanel/Plugins/Empty/Hint": {
"title": "$:/language/ControlPanel/Plugins/Empty/Hint",
"text": "Aucun"
},
"$:/language/ControlPanel/Plugins/Enable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Enable/Caption",
"text": "activer"
},
"$:/language/ControlPanel/Plugins/Enable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Enable/Hint",
"text": "Active ce plugin au prochain rechargement de la page"
},
"$:/language/ControlPanel/Plugins/Install/Caption": {
"title": "$:/language/ControlPanel/Plugins/Install/Caption",
"text": "installer"
},
"$:/language/ControlPanel/Plugins/Installed/Hint": {
"title": "$:/language/ControlPanel/Plugins/Installed/Hint",
"text": "Plugins installés :"
},
"$:/language/ControlPanel/Plugins/Languages/Caption": {
"title": "$:/language/ControlPanel/Plugins/Languages/Caption",
"text": "Langues"
},
"$:/language/ControlPanel/Plugins/Languages/Hint": {
"title": "$:/language/ControlPanel/Plugins/Languages/Hint",
"text": "Plugins de langue"
},
"$:/language/ControlPanel/Plugins/NoInfoFound/Hint": {
"title": "$:/language/ControlPanel/Plugins/NoInfoFound/Hint",
"text": "''\"<$text text=<<currentTab>>/>\"'' non trouvé"
},
"$:/language/ControlPanel/Plugins/NotInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/NotInstalled/Hint",
"text": "Ce plugin n'est pas installé"
},
"$:/language/ControlPanel/Plugins/OpenPluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/OpenPluginLibrary",
"text": "ouvre la bibliothèque de plugins"
},
"$:/language/ControlPanel/Plugins/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Plugins/Hint": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Hint",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Reinstall/Caption": {
"title": "$:/language/ControlPanel/Plugins/Reinstall/Caption",
"text": "réinstalle"
},
"$:/language/ControlPanel/Plugins/Themes/Caption": {
"title": "$:/language/ControlPanel/Plugins/Themes/Caption",
"text": "Thèmes"
},
"$:/language/ControlPanel/Plugins/Themes/Hint": {
"title": "$:/language/ControlPanel/Plugins/Themes/Hint",
"text": "Plugins de thème"
},
"$:/language/ControlPanel/Saving/Caption": {
"title": "$:/language/ControlPanel/Saving/Caption",
"text": "Sauvegarde"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description",
"text": "Permet l'enregistrement automatique pour l'enregistreur de téléchargement"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint",
"text": "Active l'auto-enregistrement pour l'enregistreur de téléchargement"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Caption": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Caption",
"text": "Enregistreur de téléchargement"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Hint",
"text": "Ces paramètres s'appliquent à l'enregistreur de téléchargement compatible HTML5"
},
"$:/language/ControlPanel/Saving/General/Caption": {
"title": "$:/language/ControlPanel/Saving/General/Caption",
"text": "Général"
},
"$:/language/ControlPanel/Saving/General/Hint": {
"title": "$:/language/ControlPanel/Saving/General/Hint",
"text": "Ces paramètres s'appliquent à tous les enregistreurs chargés"
},
"$:/language/ControlPanel/Saving/Hint": {
"title": "$:/language/ControlPanel/Saving/Hint",
"text": "Paramètres pour enregistrer le ~TiddlyWiki complet dans un seul fichier, via un module enregistreur"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading",
"text": "Paramètres avancés"
},
"$:/language/ControlPanel/Saving/TiddlySpot/BackupDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/BackupDir",
"text": "Dossier des //sauvegardes//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Backups": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Backups",
"text": "Sauvegardes"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Caption": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Caption",
"text": "Enregistreur ~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Description": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Description",
"text": "Ces paramètres ne servent que lors de la sauvegarde vers http://tiddlyspot.com ou vers un serveur distant compatible"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Filename": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Filename",
"text": "Nom du fichier enregistré"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Heading",
"text": "~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Hint": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Hint",
"text": "//L'URL par défaut est `http://<nom du wiki>.tiddlyspot.com/store.cgi`. Elle peut être remplacée par une adresse serveur personnalisée, comme `http://example.com/store.php`.//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Password": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Password",
"text": "Mot de passe"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ServerURL": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ServerURL",
"text": "URL du serveur"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UploadDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UploadDir",
"text": "Dossier des dépôts"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UserName": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UserName",
"text": "Nom utilisé pour ce Wiki"
},
"$:/language/ControlPanel/Settings/AutoSave/Caption": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Caption",
"text": "Sauvegarde automatique"
},
"$:/language/ControlPanel/Settings/AutoSave/Disabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Disabled/Description",
"text": "Pas de sauvegarde automatique des modifications"
},
"$:/language/ControlPanel/Settings/AutoSave/Enabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Enabled/Description",
"text": "Sauvegarde automatique des modifications"
},
"$:/language/ControlPanel/Settings/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Hint",
"text": "Tentative de sauvegarde automatique des modifications pendant l'édition quand un enregistreur compatible est utilisé"
},
"$:/language/ControlPanel/Settings/CamelCase/Caption": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Caption",
"text": "Liens Wiki //Camel Case//"
},
"$:/language/ControlPanel/Settings/CamelCase/Hint": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Hint",
"text": "Vous pouvez désactiver globalement les liens créés à partir des expressions de type ~CamelCase. Pour que ce paramètre prenne effet, il est nécessaire de recharger le wiki"
},
"$:/language/ControlPanel/Settings/CamelCase/Description": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Description",
"text": "Active les liens automatiques sur les expressions de la forme ~CamelCase"
},
"$:/language/ControlPanel/Settings/Caption": {
"title": "$:/language/ControlPanel/Settings/Caption",
"text": "Paramétrage"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Caption",
"text": "Barre d'outils de l'éditeur"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Hint",
"text": "Active ou désactive la barre d'outils de l'éditeur :"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Description": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Description",
"text": "Affiche la barre d'outils de l'éditeur"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Caption": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Caption",
"text": "Mode Panneau d'Informations du Tiddler"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Hint": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Hint",
"text": "Contrôle à quel moment le panneau d'informations du tiddler se ferme :"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description",
"text": "Le panneau d'informations se ferme automatiquement"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description",
"text": "Le panneau d'informations du tiddler reste ouvert jusqu'à ce qu'il soit fermé explicitement"
},
"$:/language/ControlPanel/Settings/Hint": {
"title": "$:/language/ControlPanel/Settings/Hint",
"text": "Ce paramétrage vous permet de personnaliser le comportement de TiddlyWiki."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Caption",
"text": "Barre d'adresse pendant la navigation"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Hint",
"text": "Comportement de la barre d'adresse du navigateur lorsqu'on pointe vers un tiddler :"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description",
"text": "Ne pas modifier la barre d'adresse"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description",
"text": "Inclure uniquement le titre du tiddler cible"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description",
"text": "Inclure le titre du tiddler cible ainsi que le déroulé courant"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Caption",
"text": "Historique de navigation"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Hint",
"text": "Mise à jour de l'historique de navigation du navigateur lorsqu'on pointe vers un tiddler :"
},
"$:/language/ControlPanel/Settings/NavigationHistory/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/No/Description",
"text": "Ne pas mettre à jour l'historique"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description",
"text": "Mettre à jour l'historique"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption",
"text": "Instrumentation de la performance"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint",
"text": "Affiche des statistiques de performance dans la console de développement du navigateur. Nécessite de recharger la page pour prendre effet."
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description",
"text": "Active l'instrumentation de la performance"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption",
"text": "Style des boutons de la barre d'outils"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint",
"text": "Choix du style des boutons de la barre d'outils :"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless",
"text": "Sans bordure"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed",
"text": "Avec une bordure"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded",
"text": "Arrondis"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Caption",
"text": "Boutons de la barre d'outils"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Hint",
"text": "Apparence par défaut des boutons sur la barre d'outils :"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description",
"text": "Afficher l'icône"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description",
"text": "Afficher le texte"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption",
"text": "Onglet par défaut sur la barre latérale"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint",
"text": "Indique l'onglet de la barre latérale qui sera affiché par défaut"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption",
"text": "Onglet par défaut sous le Plus de la barre latérale"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint",
"text": "Indique quel onglet sera affiché par défaut sous le Plus de la barre latérale"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/Caption": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/Caption",
"text": "Comportement à l'ouverture du tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint",
"text": "Navigation depuis un emplacement //interne// au déroulé"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint",
"text": "Navigation depuis un emplacement //externe// au déroulé"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove",
"text": "Ouvrir au-dessus du tiddler courant"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow",
"text": "Ouvrir en dessous du tiddler courant"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop",
"text": "Ouvrir tout en haut du déroulé"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom",
"text": "Ouvrir tout en bas du déroulé"
},
"$:/language/ControlPanel/Settings/TitleLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Caption",
"text": "Titres des tiddlers"
},
"$:/language/ControlPanel/Settings/TitleLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Hint",
"text": "Faut-il afficher les titres des tiddlers comme des liens ?"
},
"$:/language/ControlPanel/Settings/TitleLinks/No/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/No/Description",
"text": "Ne pas afficher les titres des tiddlers comme des liens"
},
"$:/language/ControlPanel/Settings/TitleLinks/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Yes/Description",
"text": "Afficher les titres des tiddlers comme des liens"
},
"$:/language/ControlPanel/Settings/MissingLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Caption",
"text": "Liens wiki"
},
"$:/language/ControlPanel/Settings/MissingLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Hint",
"text": "Peut-on pointer vers des tiddlers qui n'existent pas encore ?"
},
"$:/language/ControlPanel/Settings/MissingLinks/Description": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Description",
"text": "Active les liens vers les tiddlers inexistants"
},
"$:/language/ControlPanel/StoryView/Caption": {
"title": "$:/language/ControlPanel/StoryView/Caption",
"text": "Vue sur le déroulé"
},
"$:/language/ControlPanel/StoryView/Prompt": {
"title": "$:/language/ControlPanel/StoryView/Prompt",
"text": "Vue courante :"
},
"$:/language/ControlPanel/Stylesheets/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Caption",
"text": "Stylesheets"
},
"$:/language/ControlPanel/Stylesheets/Expand/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Expand/Caption",
"text": "Tout déployer"
},
"$:/language/ControlPanel/Stylesheets/Hint": {
"title": "$:/language/ControlPanel/Stylesheets/Hint",
"text": "Voici le rendu CSS courant pour les tiddlers feuilles de style tagués avec <<tag \"$:/tags/Stylesheet\">>"
},
"$:/language/ControlPanel/Stylesheets/Restore/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Restore/Caption",
"text": "Restaurer"
},
"$:/language/ControlPanel/Theme/Caption": {
"title": "$:/language/ControlPanel/Theme/Caption",
"text": "Thème"
},
"$:/language/ControlPanel/Theme/Prompt": {
"title": "$:/language/ControlPanel/Theme/Prompt",
"text": "Thème courant :"
},
"$:/language/ControlPanel/TiddlerFields/Caption": {
"title": "$:/language/ControlPanel/TiddlerFields/Caption",
"text": "Champs des tiddlers"
},
"$:/language/ControlPanel/TiddlerFields/Hint": {
"title": "$:/language/ControlPanel/TiddlerFields/Hint",
"text": "Il s'agit de la totalité des [[champs|TiddlerFields]] utilisés dans ce wiki (y compris les tiddlers système, mais non compris les tiddlers //shadow//)."
},
"$:/language/ControlPanel/Toolbars/Caption": {
"title": "$:/language/ControlPanel/Toolbars/Caption",
"text": "Barres d'outils"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Caption",
"text": "Barre d'outils du mode édition"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Hint",
"text": "Choix des boutons à afficher pour les tiddlers en cours d'édition"
},
"$:/language/ControlPanel/Toolbars/Hint": {
"title": "$:/language/ControlPanel/Toolbars/Hint",
"text": "Choix des boutons à afficher dans les barres d'outils"
},
"$:/language/ControlPanel/Toolbars/PageControls/Caption": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Caption",
"text": "Barre d'outils de la page"
},
"$:/language/ControlPanel/Toolbars/PageControls/Hint": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Hint",
"text": "Choix des boutons à afficher sur la barre d'outils principale de la page"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Caption",
"text": "Barre d'outils de l'Éditeur"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Hint",
"text": "Choix des boutons à afficher dans la barre d'outils de l'éditeur. À noter que la présence de certains boutons dépendra du type du tiddler édité"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Caption",
"text": "Barre d'outils du mode visualisation"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Hint",
"text": "Choix des boutons à afficher pour les tiddlers en mode visualisation"
},
"$:/language/ControlPanel/Tools/Download/Full/Caption": {
"title": "$:/language/ControlPanel/Tools/Download/Full/Caption",
"text": "Télécharger le wiki complet"
},
"$:/core/fr-FR/readme": {
"title": "$:/core/fr-FR/readme",
"text": "Ce plugin contient les principaux composants de TiddlyWiki, notamment :\n\n* Les modules du code JavaScript ;\n* Les icônes ;\n* Les //templates// nécessaires à l'élaboration de l'interface utilisateur de TiddlyWiki ;\n* Les traductions en anglais britannique (''en-GB'') des chaînes de caractères utilisées par le cœur de l'application et susceptibles d'être traduites dans d'autres langues.\n"
},
"$:/language/Date/DaySuffix/1": {
"title": "$:/language/Date/DaySuffix/1",
"text": "er"
},
"$:/language/Date/DaySuffix/2": {
"title": "$:/language/Date/DaySuffix/2",
"text": ""
},
"$:/language/Date/DaySuffix/3": {
"title": "$:/language/Date/DaySuffix/3",
"text": ""
},
"$:/language/Date/DaySuffix/4": {
"title": "$:/language/Date/DaySuffix/4",
"text": ""
},
"$:/language/Date/DaySuffix/5": {
"title": "$:/language/Date/DaySuffix/5",
"text": ""
},
"$:/language/Date/DaySuffix/6": {
"title": "$:/language/Date/DaySuffix/6",
"text": ""
},
"$:/language/Date/DaySuffix/7": {
"title": "$:/language/Date/DaySuffix/7",
"text": ""
},
"$:/language/Date/DaySuffix/8": {
"title": "$:/language/Date/DaySuffix/8",
"text": ""
},
"$:/language/Date/DaySuffix/9": {
"title": "$:/language/Date/DaySuffix/9",
"text": ""
},
"$:/language/Date/DaySuffix/10": {
"title": "$:/language/Date/DaySuffix/10",
"text": ""
},
"$:/language/Date/DaySuffix/11": {
"title": "$:/language/Date/DaySuffix/11",
"text": ""
},
"$:/language/Date/DaySuffix/12": {
"title": "$:/language/Date/DaySuffix/12",
"text": ""
},
"$:/language/Date/DaySuffix/13": {
"title": "$:/language/Date/DaySuffix/13",
"text": ""
},
"$:/language/Date/DaySuffix/14": {
"title": "$:/language/Date/DaySuffix/14",
"text": ""
},
"$:/language/Date/DaySuffix/15": {
"title": "$:/language/Date/DaySuffix/15",
"text": ""
},
"$:/language/Date/DaySuffix/16": {
"title": "$:/language/Date/DaySuffix/16",
"text": ""
},
"$:/language/Date/DaySuffix/17": {
"title": "$:/language/Date/DaySuffix/17",
"text": ""
},
"$:/language/Date/DaySuffix/18": {
"title": "$:/language/Date/DaySuffix/18",
"text": ""
},
"$:/language/Date/DaySuffix/19": {
"title": "$:/language/Date/DaySuffix/19",
"text": ""
},
"$:/language/Date/DaySuffix/20": {
"title": "$:/language/Date/DaySuffix/20",
"text": ""
},
"$:/language/Date/DaySuffix/21": {
"title": "$:/language/Date/DaySuffix/21",
"text": ""
},
"$:/language/Date/DaySuffix/22": {
"title": "$:/language/Date/DaySuffix/22",
"text": ""
},
"$:/language/Date/DaySuffix/23": {
"title": "$:/language/Date/DaySuffix/23",
"text": ""
},
"$:/language/Date/DaySuffix/24": {
"title": "$:/language/Date/DaySuffix/24",
"text": ""
},
"$:/language/Date/DaySuffix/25": {
"title": "$:/language/Date/DaySuffix/25",
"text": ""
},
"$:/language/Date/DaySuffix/26": {
"title": "$:/language/Date/DaySuffix/26",
"text": ""
},
"$:/language/Date/DaySuffix/27": {
"title": "$:/language/Date/DaySuffix/27",
"text": ""
},
"$:/language/Date/DaySuffix/28": {
"title": "$:/language/Date/DaySuffix/28",
"text": ""
},
"$:/language/Date/DaySuffix/29": {
"title": "$:/language/Date/DaySuffix/29",
"text": ""
},
"$:/language/Date/DaySuffix/30": {
"title": "$:/language/Date/DaySuffix/30",
"text": ""
},
"$:/language/Date/DaySuffix/31": {
"title": "$:/language/Date/DaySuffix/31",
"text": ""
},
"$:/language/Date/Long/Day/0": {
"title": "$:/language/Date/Long/Day/0",
"text": "Dimanche"
},
"$:/language/Date/Long/Day/1": {
"title": "$:/language/Date/Long/Day/1",
"text": "Lundi"
},
"$:/language/Date/Long/Day/2": {
"title": "$:/language/Date/Long/Day/2",
"text": "Mardi"
},
"$:/language/Date/Long/Day/3": {
"title": "$:/language/Date/Long/Day/3",
"text": "Mercredi"
},
"$:/language/Date/Long/Day/4": {
"title": "$:/language/Date/Long/Day/4",
"text": "Jeudi"
},
"$:/language/Date/Long/Day/5": {
"title": "$:/language/Date/Long/Day/5",
"text": "Vendredi"
},
"$:/language/Date/Long/Day/6": {
"title": "$:/language/Date/Long/Day/6",
"text": "Samedi"
},
"$:/language/Date/Long/Month/1": {
"title": "$:/language/Date/Long/Month/1",
"text": "janvier"
},
"$:/language/Date/Long/Month/2": {
"title": "$:/language/Date/Long/Month/2",
"text": "février"
},
"$:/language/Date/Long/Month/3": {
"title": "$:/language/Date/Long/Month/3",
"text": "mars"
},
"$:/language/Date/Long/Month/4": {
"title": "$:/language/Date/Long/Month/4",
"text": "avril"
},
"$:/language/Date/Long/Month/5": {
"title": "$:/language/Date/Long/Month/5",
"text": "mai"
},
"$:/language/Date/Long/Month/6": {
"title": "$:/language/Date/Long/Month/6",
"text": "juin"
},
"$:/language/Date/Long/Month/7": {
"title": "$:/language/Date/Long/Month/7",
"text": "juillet"
},
"$:/language/Date/Long/Month/8": {
"title": "$:/language/Date/Long/Month/8",
"text": "août"
},
"$:/language/Date/Long/Month/9": {
"title": "$:/language/Date/Long/Month/9",
"text": "septembre"
},
"$:/language/Date/Long/Month/10": {
"title": "$:/language/Date/Long/Month/10",
"text": "octobre"
},
"$:/language/Date/Long/Month/11": {
"title": "$:/language/Date/Long/Month/11",
"text": "novembre"
},
"$:/language/Date/Long/Month/12": {
"title": "$:/language/Date/Long/Month/12",
"text": "décembre"
},
"$:/language/Date/Period/am": {
"title": "$:/language/Date/Period/am",
"text": "am"
},
"$:/language/Date/Period/pm": {
"title": "$:/language/Date/Period/pm",
"text": "pm"
},
"$:/language/Date/Short/Day/0": {
"title": "$:/language/Date/Short/Day/0",
"text": "Di"
},
"$:/language/Date/Short/Day/1": {
"title": "$:/language/Date/Short/Day/1",
"text": "Lu"
},
"$:/language/Date/Short/Day/2": {
"title": "$:/language/Date/Short/Day/2",
"text": "Ma"
},
"$:/language/Date/Short/Day/3": {
"title": "$:/language/Date/Short/Day/3",
"text": "Me"
},
"$:/language/Date/Short/Day/4": {
"title": "$:/language/Date/Short/Day/4",
"text": "Je"
},
"$:/language/Date/Short/Day/5": {
"title": "$:/language/Date/Short/Day/5",
"text": "Ve"
},
"$:/language/Date/Short/Day/6": {
"title": "$:/language/Date/Short/Day/6",
"text": "Sa"
},
"$:/language/Date/Short/Month/1": {
"title": "$:/language/Date/Short/Month/1",
"text": "Jan"
},
"$:/language/Date/Short/Month/2": {
"title": "$:/language/Date/Short/Month/2",
"text": "Fév"
},
"$:/language/Date/Short/Month/3": {
"title": "$:/language/Date/Short/Month/3",
"text": "Mar"
},
"$:/language/Date/Short/Month/4": {
"title": "$:/language/Date/Short/Month/4",
"text": "Avr"
},
"$:/language/Date/Short/Month/5": {
"title": "$:/language/Date/Short/Month/5",
"text": "Mai"
},
"$:/language/Date/Short/Month/6": {
"title": "$:/language/Date/Short/Month/6",
"text": "Jun"
},
"$:/language/Date/Short/Month/7": {
"title": "$:/language/Date/Short/Month/7",
"text": "Jul"
},
"$:/language/Date/Short/Month/8": {
"title": "$:/language/Date/Short/Month/8",
"text": "Aoû"
},
"$:/language/Date/Short/Month/9": {
"title": "$:/language/Date/Short/Month/9",
"text": "Sep"
},
"$:/language/Date/Short/Month/10": {
"title": "$:/language/Date/Short/Month/10",
"text": "Oct"
},
"$:/language/Date/Short/Month/11": {
"title": "$:/language/Date/Short/Month/11",
"text": "Nov"
},
"$:/language/Date/Short/Month/12": {
"title": "$:/language/Date/Short/Month/12",
"text": "Déc"
},
"$:/language/RelativeDate/Future/Days": {
"title": "$:/language/RelativeDate/Future/Days",
"text": "dans <<period>> jours"
},
"$:/language/RelativeDate/Future/Hours": {
"title": "$:/language/RelativeDate/Future/Hours",
"text": "dans <<period>> heures"
},
"$:/language/RelativeDate/Future/Minutes": {
"title": "$:/language/RelativeDate/Future/Minutes",
"text": "dans <<period>> minutes"
},
"$:/language/RelativeDate/Future/Months": {
"title": "$:/language/RelativeDate/Future/Months",
"text": "dans <<period>> mois"
},
"$:/language/RelativeDate/Future/Second": {
"title": "$:/language/RelativeDate/Future/Second",
"text": "dans 1 seconde"
},
"$:/language/RelativeDate/Future/Seconds": {
"title": "$:/language/RelativeDate/Future/Seconds",
"text": "dans <<period>> secondes"
},
"$:/language/RelativeDate/Future/Years": {
"title": "$:/language/RelativeDate/Future/Years",
"text": "dans <<period>> ans"
},
"$:/language/RelativeDate/Past/Days": {
"title": "$:/language/RelativeDate/Past/Days",
"text": "il y a <<period>> jours"
},
"$:/language/RelativeDate/Past/Hours": {
"title": "$:/language/RelativeDate/Past/Hours",
"text": "il y a <<period>> heures"
},
"$:/language/RelativeDate/Past/Minutes": {
"title": "$:/language/RelativeDate/Past/Minutes",
"text": "il y a <<period>> minutes"
},
"$:/language/RelativeDate/Past/Months": {
"title": "$:/language/RelativeDate/Past/Months",
"text": "il y a <<period>> mois"
},
"$:/language/RelativeDate/Past/Second": {
"title": "$:/language/RelativeDate/Past/Second",
"text": "il y a 1 seconde"
},
"$:/language/RelativeDate/Past/Seconds": {
"title": "$:/language/RelativeDate/Past/Seconds",
"text": "il y a <<period>> secondes"
},
"$:/language/RelativeDate/Past/Years": {
"title": "$:/language/RelativeDate/Past/Years",
"text": "il y a <<period>> ans"
},
"$:/language/Docs/ModuleTypes/allfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/allfilteroperator",
"text": "Un sous-opérateur pour l'opérateur de filtre ''all''."
},
"$:/language/Docs/ModuleTypes/animation": {
"title": "$:/language/Docs/ModuleTypes/animation",
"text": "Animations pouvant être utilisées par le RevealWidget."
},
"$:/language/Docs/ModuleTypes/bitmapeditoroperation": {
"title": "$:/language/Docs/ModuleTypes/bitmapeditoroperation",
"text": "Une opération intégrée à la barre d'outils de l'éditeur de bitmap."
},
"$:/language/Docs/ModuleTypes/command": {
"title": "$:/language/Docs/ModuleTypes/command",
"text": "Commandes qui peuvent être exécutées en mode Node.js."
},
"$:/language/Docs/ModuleTypes/config": {
"title": "$:/language/Docs/ModuleTypes/config",
"text": "Données à inclure dans `$tw.config`."
},
"$:/language/Docs/ModuleTypes/filteroperator": {
"title": "$:/language/Docs/ModuleTypes/filteroperator",
"text": "Méthodes d'opérateurs pour les filtres."
},
"$:/language/Docs/ModuleTypes/global": {
"title": "$:/language/Docs/ModuleTypes/global",
"text": "Données globales à inclure dans `$tw`."
},
"$:/language/Docs/ModuleTypes/info": {
"title": "$:/language/Docs/ModuleTypes/info",
"text": "Publie des informations système via le pseudo-plugin [[$:/temp/info-plugin]]."
},
"$:/language/Docs/ModuleTypes/isfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/isfilteroperator",
"text": "Opérandes pour l'opérateur de filtre ''is''."
},
"$:/language/Docs/ModuleTypes/library": {
"title": "$:/language/Docs/ModuleTypes/library",
"text": "Module générique pour les modules ~JavaScript de portée générale."
},
"$:/language/Docs/ModuleTypes/macro": {
"title": "$:/language/Docs/ModuleTypes/macro",
"text": "Définitions de macros ~JavaScript."
},
"$:/language/Docs/ModuleTypes/parser": {
"title": "$:/language/Docs/ModuleTypes/parser",
"text": "Parseurs pour divers types de contenu."
},
"$:/language/Docs/ModuleTypes/saver": {
"title": "$:/language/Docs/ModuleTypes/saver",
"text": "Les tiddlers d'enregistrement contiennent les différentes méthodes pour enregistrer des fichiers à partir du navigateur."
},
"$:/language/Docs/ModuleTypes/startup": {
"title": "$:/language/Docs/ModuleTypes/startup",
"text": "Fonctions de démarrage."
},
"$:/language/Docs/ModuleTypes/storyview": {
"title": "$:/language/Docs/ModuleTypes/storyview",
"text": "Différents points de vue sur le déroulé, qui personnalisent l'animation et le comportement des widgets de liste."
},
"$:/language/Docs/ModuleTypes/texteditoroperation": {
"title": "$:/language/Docs/ModuleTypes/texteditoroperation",
"text": "Une opération intégrée à la barre d'outils de l'éditeur de texte."
},
"$:/language/Docs/ModuleTypes/tiddlerdeserializer": {
"title": "$:/language/Docs/ModuleTypes/tiddlerdeserializer",
"text": "Convertit différents types de contenu en tiddlers."
},
"$:/language/Docs/ModuleTypes/tiddlerfield": {
"title": "$:/language/Docs/ModuleTypes/tiddlerfield",
"text": "Définit le comportement d'un champ de tiddler."
},
"$:/language/Docs/ModuleTypes/tiddlermethod": {
"title": "$:/language/Docs/ModuleTypes/tiddlermethod",
"text": "Ajoute des méthodes au prototype `$tw.Tiddler`."
},
"$:/language/Docs/ModuleTypes/upgrader": {
"title": "$:/language/Docs/ModuleTypes/upgrader",
"text": "Applique une procédure de mise à jour aux tiddlers lors de l'opération de mise à jour/importation."
},
"$:/language/Docs/ModuleTypes/utils": {
"title": "$:/language/Docs/ModuleTypes/utils",
"text": "Ajoute des méthodes dans `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/utils-node": {
"title": "$:/language/Docs/ModuleTypes/utils-node",
"text": "Ajoute des méthodes spécifiques à Node.js dans `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/widget": {
"title": "$:/language/Docs/ModuleTypes/widget",
"text": "Les widgets encapsulent les méthodes de rendu et de rafraichissement du DOM."
},
"$:/language/Docs/ModuleTypes/wikimethod": {
"title": "$:/language/Docs/ModuleTypes/wikimethod",
"text": "Ajoute des méthodes à `$tw.Wiki`."
},
"$:/language/Docs/ModuleTypes/wikirule": {
"title": "$:/language/Docs/ModuleTypes/wikirule",
"text": "Règles d'analyse pour le parseur WikiText principal."
},
"$:/language/Docs/PaletteColours/alert-background": {
"title": "$:/language/Docs/PaletteColours/alert-background",
"text": "Fond pour les alertes"
},
"$:/language/Docs/PaletteColours/alert-border": {
"title": "$:/language/Docs/PaletteColours/alert-border",
"text": "Bordure pour les alertes"
},
"$:/language/Docs/PaletteColours/alert-highlight": {
"title": "$:/language/Docs/PaletteColours/alert-highlight",
"text": "Surlignage pour les alertes"
},
"$:/language/Docs/PaletteColours/alert-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/alert-muted-foreground",
"text": "Fond atténué pour les alertes"
},
"$:/language/Docs/PaletteColours/background": {
"title": "$:/language/Docs/PaletteColours/background",
"text": "Couleur de fond générale"
},
"$:/language/Docs/PaletteColours/blockquote-bar": {
"title": "$:/language/Docs/PaletteColours/blockquote-bar",
"text": "Barre de citation"
},
"$:/language/Docs/PaletteColours/button-background": {
"title": "$:/language/Docs/PaletteColours/button-background",
"text": "Fond du bouton par défaut"
},
"$:/language/Docs/PaletteColours/button-border": {
"title": "$:/language/Docs/PaletteColours/button-border",
"text": "Bordure du bouton par défaut"
},
"$:/language/Docs/PaletteColours/button-foreground": {
"title": "$:/language/Docs/PaletteColours/button-foreground",
"text": "Premier plan du bouton par défaut"
},
"$:/language/Docs/PaletteColours/code-background": {
"title": "$:/language/Docs/PaletteColours/code-background",
"text": "Fond pour le code"
},
"$:/language/Docs/PaletteColours/code-border": {
"title": "$:/language/Docs/PaletteColours/code-border",
"text": "Bordure pour le code"
},
"$:/language/Docs/PaletteColours/code-foreground": {
"title": "$:/language/Docs/PaletteColours/code-foreground",
"text": "Premier plan pour le code"
},
"$:/language/Docs/PaletteColours/dirty-indicator": {
"title": "$:/language/Docs/PaletteColours/dirty-indicator",
"text": "Indicateur de modifications non sauvegardées"
},
"$:/language/Docs/PaletteColours/download-background": {
"title": "$:/language/Docs/PaletteColours/download-background",
"text": "Fond pour le bouton de téléchargement"
},
"$:/language/Docs/PaletteColours/download-foreground": {
"title": "$:/language/Docs/PaletteColours/download-foreground",
"text": "Premier plan pour le bouton de téléchargement"
},
"$:/language/Docs/PaletteColours/dragger-background": {
"title": "$:/language/Docs/PaletteColours/dragger-background",
"text": "Fond pour le glissé-déposé"
},
"$:/language/Docs/PaletteColours/dragger-foreground": {
"title": "$:/language/Docs/PaletteColours/dragger-foreground",
"text": "Premier plan pour le glissé-déposé"
},
"$:/language/Docs/PaletteColours/dropdown-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-background",
"text": "Fond des menus déroulants"
},
"$:/language/Docs/PaletteColours/dropdown-border": {
"title": "$:/language/Docs/PaletteColours/dropdown-border",
"text": "Bordure des menus déroulants"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background-selected",
"text": "Fond pour les onglets à menu déroulant sélectionnés"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background",
"text": "Fond pour les onglets à menu déroulant"
},
"$:/language/Docs/PaletteColours/dropzone-background": {
"title": "$:/language/Docs/PaletteColours/dropzone-background",
"text": "Fond de la zone « déposé »"
},
"$:/language/Docs/PaletteColours/external-link-background-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-background-hover",
"text": "Fond lors du passage de la souris sur un lien externe"
},
"$:/language/Docs/PaletteColours/external-link-background-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-background-visited",
"text": "Fond pour un lien externe déjà visité"
},
"$:/language/Docs/PaletteColours/external-link-background": {
"title": "$:/language/Docs/PaletteColours/external-link-background",
"text": "Fond pour un lien externe"
},
"$:/language/Docs/PaletteColours/external-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-hover",
"text": "Premier plan lors du passage de la souris sur un lien externe"
},
"$:/language/Docs/PaletteColours/external-link-foreground-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-visited",
"text": "Premier plan pour un lien externe déjà visité"
},
"$:/language/Docs/PaletteColours/external-link-foreground": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground",
"text": "Premier plan pour un lien externe"
},
"$:/language/Docs/PaletteColours/foreground": {
"title": "$:/language/Docs/PaletteColours/foreground",
"text": "Premier plan"
},
"$:/language/Docs/PaletteColours/message-background": {
"title": "$:/language/Docs/PaletteColours/message-background",
"text": "Fond pour les messages"
},
"$:/language/Docs/PaletteColours/message-border": {
"title": "$:/language/Docs/PaletteColours/message-border",
"text": "Bordure pour les messages"
},
"$:/language/Docs/PaletteColours/message-foreground": {
"title": "$:/language/Docs/PaletteColours/message-foreground",
"text": "Premier plan pour les messages"
},
"$:/language/Docs/PaletteColours/modal-backdrop": {
"title": "$:/language/Docs/PaletteColours/modal-backdrop",
"text": "Arrière-plan pour les fenêtres modales"
},
"$:/language/Docs/PaletteColours/modal-background": {
"title": "$:/language/Docs/PaletteColours/modal-background",
"text": "Fond pour les fenêtres modales"
},
"$:/language/Docs/PaletteColours/modal-border": {
"title": "$:/language/Docs/PaletteColours/modal-border",
"text": "Bordure pour les fenêtres modales"
},
"$:/language/Docs/PaletteColours/modal-footer-background": {
"title": "$:/language/Docs/PaletteColours/modal-footer-background",
"text": "Fond pour les pieds de page des fenêtres modales"
},
"$:/language/Docs/PaletteColours/modal-footer-border": {
"title": "$:/language/Docs/PaletteColours/modal-footer-border",
"text": "Bordure pour les pieds de page des fenêtres modales"
},
"$:/language/Docs/PaletteColours/modal-header-border": {
"title": "$:/language/Docs/PaletteColours/modal-header-border",
"text": "Bordure pour les en-tête des fenêtres modales"
},
"$:/language/Docs/PaletteColours/muted-foreground": {
"title": "$:/language/Docs/PaletteColours/muted-foreground",
"text": "Premier plan atténué"
},
"$:/language/Docs/PaletteColours/notification-background": {
"title": "$:/language/Docs/PaletteColours/notification-background",
"text": "Fond pour les notifications"
},
"$:/language/Docs/PaletteColours/notification-border": {
"title": "$:/language/Docs/PaletteColours/notification-border",
"text": "Bordure pour les notifications"
},
"$:/language/Docs/PaletteColours/page-background": {
"title": "$:/language/Docs/PaletteColours/page-background",
"text": "Fond de page"
},
"$:/language/Docs/PaletteColours/pre-background": {
"title": "$:/language/Docs/PaletteColours/pre-background",
"text": "Fond pour le code préformaté"
},
"$:/language/Docs/PaletteColours/pre-border": {
"title": "$:/language/Docs/PaletteColours/pre-border",
"text": "Bordure pour le code préformaté"
},
"$:/language/Docs/PaletteColours/primary": {
"title": "$:/language/Docs/PaletteColours/primary",
"text": "Couleur principale"
},
"$:/language/Docs/PaletteColours/sidebar-button-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-button-foreground",
"text": "Premier plan des boutons de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover",
"text": "Premier plan au passage de la souris sur les boutons de commande de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground",
"text": "Premier plan sur les boutons de commande de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-foreground-shadow": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground-shadow",
"text": "Ombre du premier plan de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground",
"text": "Premier plan de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover",
"text": "Premier plan atténué au passage de la souris sur la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground",
"text": "Premier plan atténué de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background-selected",
"text": "Fond pour les onglets sélectionnés de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background",
"text": "Fond pour les onglets de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border-selected",
"text": "Bordure pour les onglets sélectionnés de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border",
"text": "Bordure pour les onglets de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-divider": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-divider",
"text": "Séparateur d'onglets pour la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected",
"text": "Premier plan pour les onglets sélectionnés de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground",
"text": "Premier plan pour les onglets de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover",
"text": "Premier plan au passage de la souris sur le lien d'un tiddler de la barre latérale"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground",
"text": "Premier plan du lien d'un tiddler de la barre latérale"
},
"$:/language/Docs/PaletteColours/site-title-foreground": {
"title": "$:/language/Docs/PaletteColours/site-title-foreground",
"text": "Premier plan pour le titre du wiki (SiteTitle)"
},
"$:/language/Docs/PaletteColours/static-alert-foreground": {
"title": "$:/language/Docs/PaletteColours/static-alert-foreground",
"text": "Premier plan pour les alertes statiques"
},
"$:/language/Docs/PaletteColours/tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/tab-background-selected",
"text": "Fond pour les onglets sélectionnés"
},
"$:/language/Docs/PaletteColours/tab-background": {
"title": "$:/language/Docs/PaletteColours/tab-background",
"text": "Fond pour les onglets"
},
"$:/language/Docs/PaletteColours/tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/tab-border-selected",
"text": "Bordure pour les onglets sélectionnés"
},
"$:/language/Docs/PaletteColours/tab-border": {
"title": "$:/language/Docs/PaletteColours/tab-border",
"text": "Bordure pour les onglets"
},
"$:/language/Docs/PaletteColours/tab-divider": {
"title": "$:/language/Docs/PaletteColours/tab-divider",
"text": "Séparateur d'onglets"
},
"$:/language/Docs/PaletteColours/tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tab-foreground-selected",
"text": "Premier plan pour les onglets sélectionnés"
},
"$:/language/Docs/PaletteColours/tab-foreground": {
"title": "$:/language/Docs/PaletteColours/tab-foreground",
"text": "Premier plan pour les onglets"
},
"$:/language/Docs/PaletteColours/table-border": {
"title": "$:/language/Docs/PaletteColours/table-border",
"text": "Bordure des tableaux"
},
"$:/language/Docs/PaletteColours/table-footer-background": {
"title": "$:/language/Docs/PaletteColours/table-footer-background",
"text": "Fond pour les bas de tableau"
},
"$:/language/Docs/PaletteColours/table-header-background": {
"title": "$:/language/Docs/PaletteColours/table-header-background",
"text": "Fond pour les en-têtes de tableau"
},
"$:/language/Docs/PaletteColours/tag-background": {
"title": "$:/language/Docs/PaletteColours/tag-background",
"text": "Fond pour les tags"
},
"$:/language/Docs/PaletteColours/tag-foreground": {
"title": "$:/language/Docs/PaletteColours/tag-foreground",
"text": "Premier plan pour les tags"
},
"$:/language/Docs/PaletteColours/tiddler-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-background",
"text": "Fond pour les tiddlers"
},
"$:/language/Docs/PaletteColours/tiddler-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-border",
"text": "Bordure pour les tiddlers"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover",
"text": "Premier plan au passage de la souris sur les boutons de commande d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected",
"text": "Premier plan pour le bouton de commande sélectionné d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground",
"text": "Premier plan pour les boutons de commande d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-editor-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-background",
"text": "Fond pour l'éditeur de tiddlers"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border-image": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border-image",
"text": "Image de bordure pour l'éditeur de tiddlers"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border",
"text": "Bordure de l'éditeur de tiddlers"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-even": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-even",
"text": "Fond de l'éditeur de tiddlers pour les champs pairs"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-odd": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-odd",
"text": "Fond de l'éditeur de tiddlers pour les champs impairs"
},
"$:/language/Docs/PaletteColours/tiddler-info-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-background",
"text": "Fond du panneau d'information d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-info-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-border",
"text": "Bordure du panneau d'information d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-info-tab-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-tab-background",
"text": "Fond pour les onglets du panneau d'information d'un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-link-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-background",
"text": "Fond pour les liens vers un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-foreground",
"text": "Premier plan pour les liens vers un tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-subtitle-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-subtitle-foreground",
"text": "Premier plan du sous-titre du wiki"
},
"$:/language/Docs/PaletteColours/tiddler-title-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-title-foreground",
"text": "Premier plan du titre du wiki"
},
"$:/language/Docs/PaletteColours/toolbar-new-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-new-button",
"text": "Premier plan pour le bouton 'Nouveau tiddler' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-options-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-options-button",
"text": "Premier plan pour le bouton 'Options' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-save-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-save-button",
"text": "Premier plan pour le bouton 'Enregistrer' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-info-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-info-button",
"text": "Premier plan pour le bouton 'Info' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-edit-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-edit-button",
"text": "Premier plan pour le bouton 'Éditer' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-close-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-close-button",
"text": "Premier plan pour le bouton 'Fermer' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-delete-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-delete-button",
"text": "Premier plan pour le bouton 'Supprimer' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-cancel-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-cancel-button",
"text": "Premier plan pour le bouton 'Annuler' de la barre latérale"
},
"$:/language/Docs/PaletteColours/toolbar-done-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-done-button",
"text": "Premier plan pour le bouton 'Terminé' de la barre latérale"
},
"$:/language/Docs/PaletteColours/untagged-background": {
"title": "$:/language/Docs/PaletteColours/untagged-background",
"text": "Fond pour la pastille « sans-étiquette »"
},
"$:/language/Docs/PaletteColours/very-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/very-muted-foreground",
"text": "Premier plan très atténué"
},
"$:/language/EditTemplate/Body/External/Hint": {
"title": "$:/language/EditTemplate/Body/External/Hint",
"text": "Ce tiddler affiche du contenu situé en dehors du fichier TiddlyWiki principal. Vous pouvez éditer les tags et les champs mais pas directement le contenu lui-même"
},
"$:/language/EditTemplate/Body/Placeholder": {
"title": "$:/language/EditTemplate/Body/Placeholder",
"text": "Entrez le texte ici"
},
"$:/language/EditTemplate/Body/Preview/Type/Output": {
"title": "$:/language/EditTemplate/Body/Preview/Type/Output",
"text": "sortie"
},
"$:/language/EditTemplate/Field/Remove/Caption": {
"title": "$:/language/EditTemplate/Field/Remove/Caption",
"text": "supprimer le champ"
},
"$:/language/EditTemplate/Field/Remove/Hint": {
"title": "$:/language/EditTemplate/Field/Remove/Hint",
"text": "Supprime le champ"
},
"$:/language/EditTemplate/Fields/Add/Button": {
"title": "$:/language/EditTemplate/Fields/Add/Button",
"text": "ajouter"
},
"$:/language/EditTemplate/Fields/Add/Name/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Name/Placeholder",
"text": "nom du champ"
},
"$:/language/EditTemplate/Fields/Add/Prompt": {
"title": "$:/language/EditTemplate/Fields/Add/Prompt",
"text": "Ajouter un nouveau champ :"
},
"$:/language/EditTemplate/Fields/Add/Value/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Value/Placeholder",
"text": "valeur du champ"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/System": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/System",
"text": "Champs système"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/User": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/User",
"text": "Champs utilisateur"
},
"$:/language/EditTemplate/Shadow/OverriddenWarning": {
"title": "$:/language/EditTemplate/Shadow/OverriddenWarning",
"text": "Ce tiddler est une version modifiée d'un tiddler « shadow ». Pour revenir à la version par défaut du plugin <<pluginLink>>, il vous suffit de supprimer ce tiddler."
},
"$:/language/EditTemplate/Shadow/Warning": {
"title": "$:/language/EditTemplate/Shadow/Warning",
"text": "Ceci est un tiddler « shadow ». Toute modification supplantera la version issue du plugin <<pluginLink>>"
},
"$:/language/EditTemplate/Tags/Add/Button": {
"title": "$:/language/EditTemplate/Tags/Add/Button",
"text": "ajouter"
},
"$:/language/EditTemplate/Tags/Add/Placeholder": {
"title": "$:/language/EditTemplate/Tags/Add/Placeholder",
"text": "nom du tag"
},
"$:/language/EditTemplate/Tags/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Caption",
"text": "liste des tags"
},
"$:/language/EditTemplate/Tags/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Hint",
"text": "Montre la liste des tags"
},
"$:/language/EditTemplate/Title/BadCharacterWarning": {
"title": "$:/language/EditTemplate/Title/BadCharacterWarning",
"text": "Attention : il est préférable d'éviter l'usage des caractères <<bad-chars>> dans les titres des tiddlers"
},
"$:/language/EditTemplate/Title/Exists/Prompt": {
"title": "$:/language/EditTemplate/Title/Exists/Prompt",
"text": "Le tiddler cible existe déjà"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Changer ''<$text text=<<fromTitle>>/>'' en ''<$text text=<<toTitle>>/>'' dans les //tags// et les champs //list// des autres tiddlers"
},
"$:/language/EditTemplate/Type/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Type/Dropdown/Caption",
"text": "liste des types de contenu"
},
"$:/language/EditTemplate/Type/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Type/Dropdown/Hint",
"text": "Montre la liste des types de contenu"
},
"$:/language/EditTemplate/Type/Delete/Caption": {
"title": "$:/language/EditTemplate/Type/Delete/Caption",
"text": "supprimer le type de contenu"
},
"$:/language/EditTemplate/Type/Delete/Hint": {
"title": "$:/language/EditTemplate/Type/Delete/Hint",
"text": "Supprime le type de contenu"
},
"$:/language/EditTemplate/Type/Placeholder": {
"title": "$:/language/EditTemplate/Type/Placeholder",
"text": "type du contenu"
},
"$:/language/EditTemplate/Type/Prompt": {
"title": "$:/language/EditTemplate/Type/Prompt",
"text": "Type :"
},
"$:/language/Exporters/StaticRiver": {
"title": "$:/language/Exporters/StaticRiver",
"text": "HTML Statique"
},
"$:/language/Exporters/JsonFile": {
"title": "$:/language/Exporters/JsonFile",
"text": "Fichier JSON"
},
"$:/language/Exporters/CsvFile": {
"title": "$:/language/Exporters/CsvFile",
"text": "Fichier CSV"
},
"$:/language/Exporters/TidFile": {
"title": "$:/language/Exporters/TidFile",
"text": "Fichier \".tid\""
},
"$:/language/Docs/Fields/_canonical_uri": {
"title": "$:/language/Docs/Fields/_canonical_uri",
"text": "L'URI complet vers le contenu externe d'un tiddler image"
},
"$:/language/Docs/Fields/bag": {
"title": "$:/language/Docs/Fields/bag",
"text": "Nom du <q>bag</q> d'où provient le tiddler"
},
"$:/language/Docs/Fields/caption": {
"title": "$:/language/Docs/Fields/caption",
"text": "Texte à afficher sur un onglet ou un bouton"
},
"$:/language/Docs/Fields/color": {
"title": "$:/language/Docs/Fields/color",
"text": "Couleur CSS associée au tiddler"
},
"$:/language/Docs/Fields/component": {
"title": "$:/language/Docs/Fields/component",
"text": "Nom du composant responsable pour un [[tiddler d'alerte|AlertMechanism]]"
},
"$:/language/Docs/Fields/current-tiddler": {
"title": "$:/language/Docs/Fields/current-tiddler",
"text": "Sert à cacher le tiddler situé au début de l'[[historique|HistoryMechanism]]"
},
"$:/language/Docs/Fields/created": {
"title": "$:/language/Docs/Fields/created",
"text": "Date de création du tiddler"
},
"$:/language/Docs/Fields/creator": {
"title": "$:/language/Docs/Fields/creator",
"text": "Nom de l'utilisateur qui a créé le tiddler"
},
"$:/language/Docs/Fields/dependents": {
"title": "$:/language/Docs/Fields/dependents",
"text": "Quand le tiddler est un plugin, énumère les titres des plugins dépendants"
},
"$:/language/Docs/Fields/description": {
"title": "$:/language/Docs/Fields/description",
"text": "Texte de description d'un plugin, ou d'une boîte de dialogue"
},
"$:/language/Docs/Fields/draft.of": {
"title": "$:/language/Docs/Fields/draft.of",
"text": "Pour les tiddlers en cours d'édition, contient le titre du tiddler initial"
},
"$:/language/Docs/Fields/draft.title": {
"title": "$:/language/Docs/Fields/draft.title",
"text": "Pour les tiddlers en cours d'édition, contient le nouveau titre prévu pour le tiddler"
},
"$:/language/Docs/Fields/footer": {
"title": "$:/language/Docs/Fields/footer",
"text": "Texte de bas de page dans le cas d'un wizard"
},
"$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against": {
"title": "$:/language/Docs/Fields/hack-to-give-us-something-to-compare-against",
"text": "Champ de stockage temporaire utilisé dans [[$:/core/templates/static.content]]"
},
"$:/language/Docs/Fields/icon": {
"title": "$:/language/Docs/Fields/icon",
"text": "Titre du tiddler contenant l'icone associée à un tiddler"
},
"$:/language/Docs/Fields/library": {
"title": "$:/language/Docs/Fields/library",
"text": "Avec une valeur à <q>yes</q>, indique qu'un tiddler doit être sauvegardé comme bibliothèque JavaScript"
},
"$:/language/Docs/Fields/list": {
"title": "$:/language/Docs/Fields/list",
"text": "Liste ordonnée de titres de tiddlers associée à un tiddler"
},
"$:/language/Docs/Fields/list-before": {
"title": "$:/language/Docs/Fields/list-before",
"text": "Si présent, contient le titre du tiddler avant lequel ce tiddler doit être ajouté dans la liste ordonnée des titres de tiddlers. Si ce champ est présent mais vide, le titre doit être ajouté au début de la liste."
},
"$:/language/Docs/Fields/list-after": {
"title": "$:/language/Docs/Fields/list-after",
"text": "Si présent, contient le titre du tiddler après lequel ce tiddler doit être ajouté dans la liste ordonnée des titres de tiddlers."
},
"$:/language/Docs/Fields/modified": {
"title": "$:/language/Docs/Fields/modified",
"text": "Date et heure à laquelle le tiddler a été modifié pour la dernière fois"
},
"$:/language/Docs/Fields/modifier": {
"title": "$:/language/Docs/Fields/modifier",
"text": "Titre du tiddler associé à l'utilisateur qui a modifié ce tiddler pour la dernière fois"
},
"$:/language/Docs/Fields/name": {
"title": "$:/language/Docs/Fields/name",
"text": "Dans le cas d'un tiddler provenant d'un plugin, le nom de la personne associée à ce tiddler"
},
"$:/language/Docs/Fields/plugin-priority": {
"title": "$:/language/Docs/Fields/plugin-priority",
"text": "Dans le cas d'un tiddler provenant d'un plugin, un nombre indiquant la priorité de ce tiddler"
},
"$:/language/Docs/Fields/plugin-type": {
"title": "$:/language/Docs/Fields/plugin-type",
"text": "Dans le cas d'un tiddler provenant d'un plugin, le type du plugin"
},
"$:/language/Docs/Fields/revision": {
"title": "$:/language/Docs/Fields/revision",
"text": "Numéro de révision du tiddler présent sur le serveur"
},
"$:/language/Docs/Fields/released": {
"title": "$:/language/Docs/Fields/released",
"text": "Date de version d'un TiddlyWiki"
},
"$:/language/Docs/Fields/source": {
"title": "$:/language/Docs/Fields/source",
"text": "URL source associée à ce tiddler"
},
"$:/language/Docs/Fields/subtitle": {
"title": "$:/language/Docs/Fields/subtitle",
"text": "Texte du sous-titre pour un wizard"
},
"$:/language/Docs/Fields/tags": {
"title": "$:/language/Docs/Fields/tags",
"text": "Liste des tags associés à un tiddler"
},
"$:/language/Docs/Fields/text": {
"title": "$:/language/Docs/Fields/text",
"text": "Texte du corps de ce tiddler"
},
"$:/language/Docs/Fields/title": {
"title": "$:/language/Docs/Fields/title",
"text": "Nom unique du tiddler"
},
"$:/language/Docs/Fields/type": {
"title": "$:/language/Docs/Fields/type",
"text": "Type de contenu du tiddler"
},
"$:/language/Docs/Fields/version": {
"title": "$:/language/Docs/Fields/version",
"text": "S'il s'agit d'un plugin, l'information de version"
},
"$:/language/Filters/AllTiddlers": {
"title": "$:/language/Filters/AllTiddlers",
"text": "Tous les tiddlers sauf les tiddlers système"
},
"$:/language/Filters/RecentSystemTiddlers": {
"title": "$:/language/Filters/RecentSystemTiddlers",
"text": "Les tiddlers modifiés récemment, y compris les tiddlers système"
},
"$:/language/Filters/RecentTiddlers": {
"title": "$:/language/Filters/RecentTiddlers",
"text": "Les tiddlers modifiés récemment"
},
"$:/language/Filters/AllTags": {
"title": "$:/language/Filters/AllTags",
"text": "Tous les tags sauf les tags système"
},
"$:/language/Filters/Missing": {
"title": "$:/language/Filters/Missing",
"text": "Les tiddlers manquants"
},
"$:/language/Filters/Drafts": {
"title": "$:/language/Filters/Drafts",
"text": "Les tiddlers en cours d'édition"
},
"$:/language/Filters/Orphans": {
"title": "$:/language/Filters/Orphans",
"text": "Les tiddlers orphelins"
},
"$:/language/Filters/SystemTiddlers": {
"title": "$:/language/Filters/SystemTiddlers",
"text": "Les tiddlers système"
},
"$:/language/Filters/ShadowTiddlers": {
"title": "$:/language/Filters/ShadowTiddlers",
"text": "Les tiddlers shadow"
},
"$:/language/Filters/StoryList": {
"title": "$:/language/Filters/StoryList",
"text": "Les tiddlers du déroulé, hormis $:/AdvancedSearch"
},
"$:/language/Filters/OverriddenShadowTiddlers": {
"title": "$:/language/Filters/OverriddenShadowTiddlers",
"text": "Les tiddlers shadow modifiés"
},
"$:/language/Filters/SystemTags": {
"title": "$:/language/Filters/SystemTags",
"text": "Les tags système"
},
"$:/language/Filters/TypedTiddlers": {
"title": "$:/language/Filters/TypedTiddlers",
"text": "Tiddlers ayant un contenu non wiki-text"
},
"GettingStarted": {
"title": "GettingStarted",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\nBienvenue sur ~TiddlyWiki et parmi la communauté ~TiddlyWiki.\n\nAvant de confier à TiddlyWiki des informations importantes, commencez par vérifier que vos modifications peuvent être sauvegardées\ncorrectement — reportez-vous aux [[instructions détaillées|https://tiddlywiki.com/languages/fr-FR/index.html#Saving]] sur https://tiddlywiki.com/.\n\n!! Personnalisez ce ~TiddlyWiki\n\n<div class=\"tc-control-panel\">\n\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit-text tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n</div>\n\nRendez-vous dans le [[panneau de contrôle|$:/ControlPanel]] pour plus d'options.\n"
},
"$:/language/Help/build": {
"title": "$:/language/Help/build",
"description": "Lance automatiquement les commandes configurées",
"text": "Compile le wiki courant à partir des cibles spécifiées. Si aucune cible n'est spécifiée, toutes les cibles seront compilées.\n\n```\n--build <cible> [<cible> ...]\n```\n\nLes cibles de compilation sont définies dans le fichier `tiddlywiki.info` du [[dossier associé au wiki|TiddlyWikiFolders]].\n\n"
},
"$:/language/Help/clearpassword": {
"title": "$:/language/Help/clearpassword",
"description": "Efface un mot de passe pour les prochaines opérations de chiffrement",
"text": "Efface le mot de passe pour les prochaines opérations de chiffrement\n\n```\n--clearpassword\n```\n"
},
"$:/language/Help/default": {
"title": "$:/language/Help/default",
"text": "\\define commandTitle()\n$:/language/Help/$(command)$\n\\end\n```\nusage: tiddlywiki [<dossierwiki>] [--<commande> [<arguments>...]...]\n```\n\nListe des commandes disponibles :\n\n<ul>\n<$list filter=\"[commands[]sort[title]]\" variable=\"command\">\n<li><$link to=<<commandTitle>>><$macrocall $name=\"command\" $type=\"text/plain\" $output=\"text/plain\"/></$link> : <$transclude tiddler=<<commandTitle>> field=\"description\"/></li>\n</$list>\n</ul>\n\nPour obtenir de l'aide sur une commande précise :\n\n```\ntiddlywiki --help <commande>\n```\n"
},
"$:/language/Help/editions": {
"title": "$:/language/Help/editions",
"description": "Liste les éditions TiddlyWiki disponibles",
"text": "Liste les noms et descriptions des éditions disponibles. La commande `--init` permet de créer un nouveau wiki à partir d'une édition particulière.\n\n```\n--editions\n```\n"
},
"$:/language/Help/fetch": {
"title": "$:/language/Help/fetch",
"description": "Télécharge des tiddlers depuis un wiki à partir de leurs URL",
"text": "Télécharge un ou plusieurs fichiers via HTTP/HTTPS, puis importe les tiddlers correspondant à un filtre, en transformant optionnellement les titres des tiddlers importés.\n\n```\n--fetch file <url> <filtre-import> <filtre-de-transformation>\n--fetch files <filtre-urls> <filtre-import> <filtre-de-transformation>\n```\n\nAvec la variante \"file\", un seul fichier est téléchargé et le premier paramètre est l'URL du fichier à lire.\n\nAvec la variante \"files\", plusieurs fichiers sont téléchargés et le premier paramètre est un filtre produisant une liste d'URLs pour les fichiers à lire. Par exemple, étant donné un ensemble de tiddlers tagués \"remote-server\" et disposant d'un champ \"url\", le filtre `[tag[remote-server]get[url]]` permet de récupérer toutes les URLs disponibles.\n\nLe paramètre `<filtre-import>` spécifie un filtre qui détermine quels tiddlers seront importés. Lorsqu'il n'est pas indiqué, il prend comme valeur par défaut `[all[tiddlers]]`.\n\nLe paramètre `<filtre de transformation>` spécifie un filtre optionnel qui transforme les titres des tiddlers importés. Par exemple, `[addprefix[$:/myimports/]]` permettrait d'ajouter le préfixe `$:/myimports/` à chaque titre.\n\nOn obtient des informations concernant la progression de l'importation en faisant précéder la commande `--fetch` de la commande `--verbose`.\n\nIl faut noter que TiddlyWiki ne téléchargera pas une version plus ancienne d'un plugin déjà chargé.\n\nL'exemple ci-dessous récupère tous les tiddlers non-système de https://tiddlywiki.com et les enregistre dans un fichier JSON :\n\n```\ntiddlywiki --verbose --fetch file \"https://tiddlywiki.com/\" \"[!is[system]]\" \"\" --rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[!is[system]]\"\n```\n\n"
},
"$:/language/Help/help": {
"title": "$:/language/Help/help",
"description": "Affiche de l'aide sur les commandes TiddlyWiki",
"text": "Affiche un texte d'aide sur une commande particulière :\n\n```\n--help [<commande>]\n```\n\nSi aucun nom de commande n'est fourni, affiche la liste de toutes les commandes disponibles.\n"
},
"$:/language/Help/import": {
"title": "$:/language/Help/import",
"description": "Importe des tiddlers depuis un fichier",
"text": "Importe des tiddlers depuis des fichiers locaux TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` ou autres. Le désérialiseur doit être spécifié explicitement, à la différence de la commande `load` qui déduit le désérialiseur à utiliser à partir de l'extension du fichier.\n\n```\n--import <chemin-du-fichier> <désérialiseur> [<titre>] [<encodage>]\n```\n\nLes principaux désérialiseurs présents par défaut sont :\n\n* application/javascript\n* application/json\n* application/x-tiddler\n* application/x-tiddler-html-div\n* application/x-tiddlers\n* text/html\n* text/plain\n\nS'il n'est pas spécifié, le titre du tiddler importé a pour valeur le nom du fichier.\n\nL'encodage par défaut est \"utf8\", mais peut valoir \"base64\" en cas d'importation des fichiers binaires.\n\nÀ noter que TiddlyWiki refusera d'importer pas une version plus ancienne d'un plugin déjà chargé.\n"
},
"$:/language/Help/init": {
"title": "$:/language/Help/init",
"description": "Initialise un nouveau dossier wiki",
"text": "Initialise un [[dossier wiki (WikiFolder)|WikiFolders]] vide en copiant l'une des éditions présentes dans le répertoire //editions//.\n\n```\n--init <édition> [<édition> ...]\n```\n\nPar exemple :\n\n```\ntiddlywiki ./MonDossierWiki --init empty\n```\n\nNote :\n\n* Le répertoire correspondant au dossier wiki est créé si nécessaire\n* L'édition par défaut est ''empty''\n* La commande //init// échoue si le dossier wiki n'est pas vide\n* La commande //init// supprime les éventuelles définitions `includeWikis` du fichier `tiddlywiki.info` de l'édition spécifiée\n* Lorsque plusieurs éditions sont spécifiées, chaque édition écrase les fichiers qu'elle a en commun avec les précédentes (par conséquent, le fichier `tiddlywiki.info` proviendra de la dernière édition spécifiée)\n* `--editions` renvoie une liste des éditions disponibles\n"
},
"$:/language/Help/load": {
"title": "$:/language/Help/load",
"description": "Charge des tiddlers à partir d'un fichier",
"text": "Charge des tiddlers à partir de fichiers ~TiddlyWikiClassic 2.x.x (`.html`), `.tiddler`, `.tid`, `.json` ou d'autres types de fichiers.\n\n```\n--load <chemindufichier>\n```\n\nPour charger des tiddlers à partir d'un fichier ~TiddlyWiki chiffré, vous devrez d'abord spécifier le mot de passe à l'aide de la [[commande password|PasswordCommand]]. Par exemple :\n\n```\ntiddlywiki ./MonWiki --password pa55w0rd --load mon_wiki_secret.html\n```\n\nÀ noter que Tiddlywiki refusera de charger une version plus ancienne d'un plugin déjà chargé."
},
"$:/language/Help/makelibrary": {
"title": "$:/language/Help/makelibrary",
"description": "Construit le plugin bibliothèque requis par la procédure de mise à jour",
"text": "Construit le tiddler `$:/UpgradeLibrary` pour la procédure de mise à jour.\n\nLa bibliothèque de mise à jour est formatée comme un tiddler de plugin ordinaire avec le type `library`. Elle contient un exemplaire de chacun des packs de plugins, thèmes et languages disponibles dans le répertoire de TiddlyWiki5.\n\nCette commande est prévue pour un usage interne ; elle n'a d'intérêt que pour les utilisateurs qui construisent une variante de la procédure de mise à jour.\n\n```\n--makelibrary <titre>\n```\n\nL'argument //titre// a pour valeur par défaut `$:/UpgradeLibrary`.\n"
},
"$:/language/Help/notfound": {
"title": "$:/language/Help/notfound",
"text": "Il n'existe pas d'aide sur le sujet."
},
"$:/language/Help/output": {
"title": "$:/language/Help/output",
"description": "Change le répertoire de départ pour la sortie des prochaines commandes",
"text": "Change le répertoire de départ pour la sortie des prochaines commandes. Par défaut, le répertoire de sortie est le sous-répertoire `output` du répertoire de l'édition courante.\n\n```\n--output <nom de chemin>\n```\n\nSi le chemin spécifié est relatif, il est calculé relativement au répertoire de sortie courant. Par exemple, `--output .` indique le répertoire courant comme répertoire de sortie.\n"
},
"$:/language/Help/password": {
"title": "$:/language/Help/password",
"description": "Fournit un mot de passe pour les prochaines opérations de chiffrement",
"text": "Fournit un mot de passe pour les prochaines opérations de chiffrement.\n\n```\n--password <motdepasse>\n```\n\n''Note'' : Cette commande n'est pas appropriée pour servir un TiddlyWiki protégé par mot de passe. Pour cela, utilisez plutôt l'option //password// de la [[commande Server|ServerCommand]].\n"
},
"$:/language/Help/render": {
"title": "$:/language/Help/render",
"description": "Exécute le contenu de tiddlers individuels vers des fichiers",
"text": "Exécute le contenu des tiddlers individuels identifiés par un filtre et enregistre le résultat vers les fichiers spécifiés.\n\nOptionellement, on peut spécifier le titre d'un tiddler modèle (template). Dans ce cas, au lieu d'exécuter directement le contenu de chaque tiddler, le modèle est exécuté après avoir donné pour valeur à la variable \"currentTiddler\" le titre du tiddler dont le contenu est en cours d'exécution.\n\nOn peut spécifier le nom et la valeur d'une variable supplémentaire.\n\n```\n--render <filtre-sur-les-tiddlers> [<filtre-des-noms-de-fichier>] [<type-de-rendu>] [<modèle>] [<nom>] [<valeur>]\n```\n\n* ''filtre-sur-les-tiddlers'': Un filtre qui indentifie les tiddlers dont le contenu doit être exécuté\n* ''filtre-des-noms-de-fichier'': filtre optionnel pour transformer les titres de tiddlers en chemin de fichiers. À défaut, le filtre utilisé est `[is[tiddler]addsuffix[.html]]`, qui utilise le titre inchangé du tiddler comme nom de fichier\n* ''modèle'': Modèle optionnel via lequel chaque tiddler doit être rendu\n* ''type-de-rendu'': Type de rendu optionnel : la valeur `text/html` (par défaut) retourne le text HTML complet et `text/plain` se contente de retourner le contenu sous forme texte (autrement dit ignore les balises HTML et autres caractères non imprimables)\n* ''nom'': Nom de la variable optionnelle\n* ''valeur'': Valeur de la variable optionnelle\n\nPar défaut, le nom de fichier est résolu relativement au sous-répertoire `output` du réepertoire de l'édition. La commande `--output` peut servir à diriger les sorties vers un répertoire différent.\n\nNotes :\n\n* Les éventuels fichiers présents dans le répertoire de sortie ne sont pas supprimmés\n* Les répertoires absents dans le chemin de fichier sont créés automatiquement.\n* Lorsqu'un tiddler contient des espaces dans le titre, prendre garde à utiliser aussi bien les guillemets nécessaires au shell et les doubles crochets droits propres à TiddlyWiki : `--render \"[[Motovun Jack.jpg]]\"`\n* Le filtre des noms de fichier est évalué après avoir initialisé l'élément d'entrée avec le titre du tiddler en cours d'exécution, permettant ainsi d'utiliser le titre comme base de calcul pour le nom de fichier. Par exemple `[encodeuricomponent[]addprefix[static/]]` applique un encodage d'URI à chaque titre, puis ajoute le préfixe `static/`\n* La commande `--render` remplace de manière plus souple les deux commandes `--rendertiddler` et `--rendertiddlers`, qui sont du même coup obsolètes. \n\nExemples :\n\n* `--render \"[!is[system]]\" \"[encodeuricomponent[]addprefix[tiddlers/]addsuffix[.html]]\"` -- enregistre le contenu exécuté de tous les tiddlers non-système vers des fichiers du sous-répertoire \"tiddlers\" nommés par encodage URL des titres et ajout d'une extension html.\n\n"
},
"$:/language/Help/rendertiddler": {
"title": "$:/language/Help/rendertiddler",
"description": "Exécute le contenu d'un tiddler pour le type spécifié",
"text": "Enregistre vers le chemin indiqué le résultat de l'exécution d'un tiddler pour le type de contenu (ContentType) spécifié — par défaut `text/html`.\n\nUn tiddler modèle (template) peut être indiqué optionnellement. Dans ce cas, le tiddler modèle est exécuté après initialisation de la variable \"currentTiddler\" avec le tiddler dont le titre est donné en premier paramètre de la commande.\n\nLe nom et la valeur d'une variable supplémentaire peuvent être spécifiés optionnellement.\n\n```\n--rendertiddler <titre> <chemindufichier> [<type>] [<modèle>] [<nom>] [<valeur>]\n```\n\nPar défaut, le nom de fichier est résolu relativement au sous-répertoire `output` du répertoire de l'édition. La commande `--output` peut servir à rediriger la sortie vers un répertoire différent.\n\nLes répertoires présents dans le chemin du fichier et qui n'existent pas encore sont créés automatiquement.\n\nPar exemple, la commande ci-dessous enregistre tous les tiddlers correspondant au filtre `[tag[done]]` vers un fichier JSON de nom `output.json`, en faisant appel au template prédéfini `$:/core/templates/exporters/JsonFile`.\n\n```\n--rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[tag[done]]\"\n```\n"
},
"$:/language/Help/rendertiddlers": {
"title": "$:/language/Help/rendertiddlers",
"description": "Exécute le contenu d'un ensemble de tiddlers sélectionnés par un filtre, pour le type de contenu (ContentType) spécifié",
"text": "Enregistre vers le chemin indiqué et avec l'extension indiquée — par défaut `.html`, le résultat de l'exécution d'un ensemble de tiddlers (sélectionnés par un filtre). Le résultat de l'exécution est rendu selon le type de contenu (ContentType) spécifié — par défaut `text/html` :\n\n```\n--rendertiddlers <filtre> <modèle> <chemindurépertoire> [<type>] [<extension>] [\"noclean\"]\n```\n\nPar exemple :\n\n```\n--rendertiddlers [!is[system]] $:/core/templates/static.tiddler.html ./static text/plain\n```\n\nPar défaut, le nom de fichier est résolu relativement au sous-répertoire `output` du répertoire de l'édition. La commande `--output` peut servir à rediriger la sortie vers un répertoire différent.\n\nTous les fichiers du répertoire cible sont supprimés, sauf si le drapeau ''noclean'' est spécifié. Le répertoire cible est créé récursivement s'il n'existe pas."
},
"$:/language/Help/save": {
"title": "$:/language/Help/save",
"description": "Enregistre des tiddlers individuels tels quels vers des fichiers",
"text": "Enregistre des tiddlers individuels identifiés par un filtre, soit tels quels, soit dans un format binaire dans les fichiers spécifiés.\n\n```\n--save <filtre-des-tiddlers> <filtre-des-noms-de-fichiers>\n```\n\n* ''filtre-des-tiddlers'': Un filtre identifiant les tiddlers à enregistrer\n* ''filtre-des-noms-de-fichiers'': Filtre optionnel pour transformer les titres de tiddlers en chemins de fichiers. À défaut, le filtre utilisé est `[is[tiddler]]`, qui utilise le titre inchangé du tiddler comme nom de fichier\n\nPar défaut, le nom de fichier est résolu relativement au sous-répertoire `output` du réepertoire de l'édition. La commande `--output` peut servir à diriger les sorties vers un répertoire différent.\n\nNotes :\n\n* Les éventuels fichiers présents dans le répertoire de sortie ne sont pas supprimmés\n* Les répertoires absents dans le chemin de fichier sont créés automatiquement.\n* Lorsqu'un tiddler contient des espaces dans le titre, prendre garde à utiliser aussi bien les guillemets nécessaires au shell et les doubles crochets droits propres à TiddlyWiki : `--render \"[[Motovun Jack.jpg]]\"`\n* Le filtre des noms de fichier est évalué après avoir initialisé l'élément d'entrée avec le titre du tiddler en cours d'exécution, permettant ainsi d'utiliser le titre comme base de calcul pour le nom de fichier. Par exemple `[encodeuricomponent[]addprefix[static/]]` applique un encodage d'URI à chaque titre, puis ajoute le préfixe `static/`\n* La commande `--save` remplace de manière plus souple les deux commandes `--savetiddler` et `--savetiddlers`, qui sont du même coup obsolètes. \n\nExemples :\n\n* `--save \"[!is[system]is[image]]\" \"[encodeuricomponent[]addprefix[tiddlers/]]\"` -- enregistre tous les tiddlers images non-système comme des fichiers du sous-répertoire \"tiddlers\" nommés après encodage URL des titres.\n"
},
"$:/language/Help/savetiddler": {
"title": "$:/language/Help/savetiddler",
"description": "Enregistre un tiddler dans un fichier sous sa forme brute",
"text": "Enregistre un tiddler texte ou binaire dans le fichier spécifié, sous sa forme brute. \n\n```\n--savetiddler <titre> <chemindufichier>\n```\n\nPar défaut, le nom de fichier est résolu relativement au sous-répertoire `output` du répertoire de l'édition. La commande `--output` peut servir à rediriger la sortie vers un répertoire différent.\n\nLes répertoires présents dans le chemin du fichier et qui n'existent pas encore sont créés automatiquement. "
},
"$:/language/Help/savetiddlers": {
"title": "$:/language/Help/savetiddlers",
"description": "Enregistre un groupe de tiddlers vers un répertoire",
"text": "Enregistre un groupe de tiddlers vers le chemin spécifié, sous leur forme brute, texte ou binaire. \n\n```\n--savetiddlers <filtre> <chemin-de-répertoire> [\"noclean\"]\n```\n\nPar défaut, le répertoire de sortie est calculé relativement au sous-répertoire `output` du répertoire de l'édition. La commande `--output` peut servir à diriger la sortie vers un répertoire différent.\n\nLes fichiers présents dans le répertoire de sortie sont supprimés avant que soient enregistrés les fichiers des tiddlers spécifiés par le filtre. Pour empêcher cette suppression, ajouter le drapeau ''noclean''.\n\nLes éventuels répertoires manquants dans le chemin spécifié sont créés automatiquement.\n"
},
"$:/language/Help/server": {
"title": "$:/language/Help/server",
"description": "Fournit une interface serveur HTTP à TiddlyWiki",
"text": "Le serveur embarqué dans TiddlyWiki5 est très simple. Bien qu'il soit compatible avec TiddlyWeb, il n'a pas la robustesse requise pour une utilisation sur l'Internet.\n\nÀ la racine de l'url, il sert le tiddler spécifié avec le rendu indiqué. Au-delà, il sert des tiddlers encodés en JSON, et reconnaît les principales opérations HTTP pour `GET`, `PUT` et `DELETE`.\n\n```\n--server <port> <tiddler-racine> <type-du-rendu> <type-du-service> <nom-d-utilisateur> <mot-de-passe> <machine> <prefixe-des-chemins>\n```\n\nLes arguments sont :\n\n* ''port'' - numéro de port sur lequel servir le contenu ; une valeur non-numérique sera interprétée comme un nom de variable d'environnement du système dont la valeur est le numéro de port (défaut : \"8080\")\n* ''tiddler-racine'' - le titre du tiddler à afficher à la racine de l'URL (défaut : \"$:/core/save/all\") \n* ''type-du-rendu'' - le type avec lequel le contenu du tiddler racine doit être rendu (`text/plain` par défaut)\n* ''type-du-service'' - le type avec lequel le contenu du tiddler racine doit être servi (`text/html` par défaut)\n* ''nom-d-utilisateur'' - le nom d'utilisateur qui servira par défaut à signer les modifications\n* ''mot-de-passe'' - mot de passe optionnel permettant une authentification basique\n* ''machine'' - nom de machine optionnel d'où le contenu doit être servi (\"127.0.0.1\" par défaut, autrement dit \"localhost\")\n* ''prefixe-des-chemins'' - préfixe optionnel pour les chemins\n\nSi le mot de passe est spécifié en argument, le navigateur demandera à l'utilisateur d'entrer le nom d'utilisateur et le mot de passe. On notera que le mot de passe est transmis en clair, et que cette implémentation ne convient donc pas pour un usage général.\n\nPar exemple :\n\n```\n--server 8080 $:/core/save/all text/plain text/html MonNomUtilisateur m0tdepa55e\n```\n\nLe nom d'utilisateur et le mot de passe peuvent être spécifiés sous forme de chaînes vides si vous avez besoin d'indiquer le nom de machine ou le préfixe des chemins sans pour autant demander un mot de passe :\n\n```\n--server 8080 $:/core/save/all text/plain text/html \"\" \"\" 192.168.0.245\n```\n\nSi vous voulez faire tourner plusieurs serveurs TiddlyWiki en même temps sur la même machine, vous devrez leur assigner chacun un port différent. Il peut être utile d'utiliser une variable d'environnement pour passer le numéro de port au process Node.js. L'exemple suivant utilise une variable d'environnement appelée \"MY_PORT_NUMBER\" :\n\n```\n--server MY_PORT_NUMBER $:/core/save/all text/plain text/html MonNomUtilisateur m0tdepa55e\n```\n"
},
"$:/language/Help/setfield": {
"title": "$:/language/Help/setfield",
"description": "Prépare des tiddlers externes for use",
"text": "//Notez que cette commande est expérimentale et peut changer ou être remplacée avant d'être finalisée//\n\nInitialise le champ spécifié d'un groupe de tiddlers avec le résultat de la wikification d'un tiddler template, la variable `currentTiddler` prenant tour à tour la valeur de chaque tiddler.\n\n```\n--setfield <filtre> <nom-du-champ> <titre-du-template> <type-de-rendu>\n```\n\nLes paramètres sont les suivants :\n\n* ''filtre'' - filtre identifiant les tiddlers cibles\n* ''nom-du-champ'' - le champ à modifier (\"text\" par défaut)\n* ''titre-du-template'' - le tiddler à wikifier dans le champ spécifié. Si manquant ou blanc, le champ spécifié est supprimé\n* ''type-de-rendu'' - le type de texte à utiliser pour le rendu (\"text/plain\" par défaut ; \"text/html\" peut servir à inclure des éléments HTML)\n"
},
"$:/language/Help/unpackplugin": {
"title": "$:/language/Help/unpackplugin",
"description": "Déballe les tiddlers contenus dans un plugin",
"text": "Extrait les tiddlers utiles d'un plugin, en les créant sous forme de tiddlers ordinaires :\n\n```\n--unpackplugin <titre>\n```\n"
},
"$:/language/Help/verbose": {
"title": "$:/language/Help/verbose",
"description": "Bascule en mode verbeux",
"text": "Bascule les informations en mode verbeux, pratique pour le débogage.\n\n```\n--verbose\n```\n"
},
"$:/language/Help/version": {
"title": "$:/language/Help/version",
"description": "Affiche le numéro de version de TiddlyWiki",
"text": "Affiche le numéro de version de TiddlyWiki.\n\n```\n--version\n```\n"
},
"$:/language/Import/Imported/Hint": {
"title": "$:/language/Import/Imported/Hint",
"text": "Les tiddlers suivants ont été importés :"
},
"$:/language/Import/Listing/Cancel/Caption": {
"title": "$:/language/Import/Listing/Cancel/Caption",
"text": "Annuler"
},
"$:/language/Import/Listing/Hint": {
"title": "$:/language/Import/Listing/Hint",
"text": "Les tiddlers suivants sont prêts pour l'importation :"
},
"$:/language/Import/Listing/Import/Caption": {
"title": "$:/language/Import/Listing/Import/Caption",
"text": "Importer"
},
"$:/language/Import/Listing/Select/Caption": {
"title": "$:/language/Import/Listing/Select/Caption",
"text": "Sélectionner"
},
"$:/language/Import/Listing/Status/Caption": {
"title": "$:/language/Import/Listing/Status/Caption",
"text": "Statut"
},
"$:/language/Import/Listing/Title/Caption": {
"title": "$:/language/Import/Listing/Title/Caption",
"text": "Titre"
},
"$:/language/Import/Listing/Preview": {
"title": "$:/language/Import/Listing/Preview",
"text": "Prévisualisation :"
},
"$:/language/Import/Listing/Preview/Text": {
"title": "$:/language/Import/Listing/Preview/Text",
"text": "Texte"
},
"$:/language/Import/Listing/Preview/TextRaw": {
"title": "$:/language/Import/Listing/Preview/TextRaw",
"text": "Texte (brut)"
},
"$:/language/Import/Listing/Preview/Fields": {
"title": "$:/language/Import/Listing/Preview/Fields",
"text": "Champs"
},
"$:/language/Import/Listing/Preview/Diff": {
"title": "$:/language/Import/Listing/Preview/Diff",
"text": "Diff"
},
"$:/language/Import/Listing/Preview/DiffFields": {
"title": "$:/language/Import/Listing/Preview/DiffFields",
"text": "Diff (champs)"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible",
"text": "Plugin bloqué : incompatible ou obsolète"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Version": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Version",
"text": "Plugin bloqué (la version <<incoming>> en cours d'importation est plus ancienne que la version <<existing>> actuelle)"
},
"$:/language/Import/Upgrader/Plugins/Upgraded": {
"title": "$:/language/Import/Upgrader/Plugins/Upgraded",
"text": "Plugin mis à jour depuis <<incoming>> vers <<upgraded>>"
},
"$:/language/Import/Upgrader/State/Suppressed": {
"title": "$:/language/Import/Upgrader/State/Suppressed",
"text": "Tiddler d'état temporaire bloqué"
},
"$:/language/Import/Upgrader/System/Suppressed": {
"title": "$:/language/Import/Upgrader/System/Suppressed",
"text": "Tiddler système bloqué"
},
"$:/language/Import/Upgrader/ThemeTweaks/Created": {
"title": "$:/language/Import/Upgrader/ThemeTweaks/Created",
"text": "Thème modifié à partir de <$text text=<<from>>/>"
},
"$:/language/AboveStory/ClassicPlugin/Warning": {
"title": "$:/language/AboveStory/ClassicPlugin/Warning",
"text": "On dirait que vous essayez de charger un plugin conçu pour ~TiddlyWiki Classic. Merci de noter que [[ces plugins ne fonctionnent pas avec TiddlyWiki version 5.x.x|https://tiddlywiki.com/#TiddlyWikiClassic]]. Plugins ~TiddlyWiki Classic détectés :"
},
"$:/language/BinaryWarning/Prompt": {
"title": "$:/language/BinaryWarning/Prompt",
"text": "Ce tiddler contient des données binaires"
},
"$:/language/ClassicWarning/Hint": {
"title": "$:/language/ClassicWarning/Hint",
"text": "Ce tiddler est écrit au format TiddlyWiki Classic, qui n'est pas entièrement compatible avec TiddlyWiki version 5. Pour en savoir plus, rendez-vous à l'adresse https://tiddlywiki.com/static/Upgrading.html."
},
"$:/language/ClassicWarning/Upgrade/Caption": {
"title": "$:/language/ClassicWarning/Upgrade/Caption",
"text": "mettre à jour"
},
"$:/language/CloseAll/Button": {
"title": "$:/language/CloseAll/Button",
"text": "tout fermer"
},
"$:/language/ColourPicker/Recent": {
"title": "$:/language/ColourPicker/Recent",
"text": "Récent :"
},
"$:/language/ConfirmCancelTiddler": {
"title": "$:/language/ConfirmCancelTiddler",
"text": "Souhaitez-vous annuler les modifications apportées au tiddler « <$text text=<<title>>/> » ?"
},
"$:/language/ConfirmDeleteTiddler": {
"title": "$:/language/ConfirmDeleteTiddler",
"text": "Souhaitez-vous supprimer le tiddler « <$text text=<<title>>/> » ?"
},
"$:/language/ConfirmOverwriteTiddler": {
"title": "$:/language/ConfirmOverwriteTiddler",
"text": "Souhaitez-vous supplanter le tiddler « <$text text=<<title>>/> » ?"
},
"$:/language/ConfirmEditShadowTiddler": {
"title": "$:/language/ConfirmEditShadowTiddler",
"text": "Vous êtes sur le point d'éditer un ShadowTiddler. Toute modification supplantera la version par défaut du système, rendant les prochaines mises à jour non-triviales. Êtes-vous sûr(e) de vouloir éditer \"<$text text=<<title>>/>\"?"
},
"$:/language/Count": {
"title": "$:/language/Count",
"text": "total"
},
"$:/language/DefaultNewTiddlerTitle": {
"title": "$:/language/DefaultNewTiddlerTitle",
"text": "Nouveau tiddler"
},
"$:/language/Diffs/CountMessage": {
"title": "$:/language/Diffs/CountMessage",
"text": "<<diff-count>> différences"
},
"$:/language/DropMessage": {
"title": "$:/language/DropMessage",
"text": "Déposer ici (ou appuyer sur « escape » pour annuler)"
},
"$:/language/Encryption/Cancel": {
"title": "$:/language/Encryption/Cancel",
"text": "Annuler"
},
"$:/language/Encryption/ConfirmClearPassword": {
"title": "$:/language/Encryption/ConfirmClearPassword",
"text": "Souhaitez-vous supprimer ce mot de passe ? Si oui, ce wiki ne sera plus chiffré lors de la sauvegarde"
},
"$:/language/Encryption/PromptSetPassword": {
"title": "$:/language/Encryption/PromptSetPassword",
"text": "Choisir un nouveau mot de passe pour ce TiddlyWiki"
},
"$:/language/Encryption/Username": {
"title": "$:/language/Encryption/Username",
"text": "Nom d'utilisateur"
},
"$:/language/Encryption/Password": {
"title": "$:/language/Encryption/Password",
"text": "Mot de passe"
},
"$:/language/Encryption/RepeatPassword": {
"title": "$:/language/Encryption/RepeatPassword",
"text": "Répéter le mot de passe"
},
"$:/language/Encryption/PasswordNoMatch": {
"title": "$:/language/Encryption/PasswordNoMatch",
"text": "Les mots de passe ne correspondent pas"
},
"$:/language/Encryption/SetPassword": {
"title": "$:/language/Encryption/SetPassword",
"text": "Définir ce mot de passe"
},
"$:/language/Error/Caption": {
"title": "$:/language/Error/Caption",
"text": "Erreur"
},
"$:/language/Error/EditConflict": {
"title": "$:/language/Error/EditConflict",
"text": "Le fichier a changé sur le serveur"
},
"$:/language/Error/Filter": {
"title": "$:/language/Error/Filter",
"text": "Erreur de filtre"
},
"$:/language/Error/FilterSyntax": {
"title": "$:/language/Error/FilterSyntax",
"text": "Erreur de syntaxe dans l'expression du filtre"
},
"$:/language/Error/IsFilterOperator": {
"title": "$:/language/Error/IsFilterOperator",
"text": "Erreur de filtre : Opérande inconnu pour l'opérateur de filtre 'is'"
},
"$:/language/Error/LoadingPluginLibrary": {
"title": "$:/language/Error/LoadingPluginLibrary",
"text": "Erreur lors du chargement de la bibliothèque de plugins"
},
"$:/language/Error/RecursiveTransclusion": {
"title": "$:/language/Error/RecursiveTransclusion",
"text": "Erreur dans le widget //transclude// : transclusion récursive"
},
"$:/language/Error/RetrievingSkinny": {
"title": "$:/language/Error/RetrievingSkinny",
"text": "Erreur pendant la récupération de la liste des tiddlers partiels"
},
"$:/language/Error/SavingToTWEdit": {
"title": "$:/language/Error/SavingToTWEdit",
"text": "Erreur lors de l'enregistrement vers TWEdit"
},
"$:/language/Error/WhileSaving": {
"title": "$:/language/Error/WhileSaving",
"text": "Erreur lors de l'enregistrement"
},
"$:/language/Error/XMLHttpRequest": {
"title": "$:/language/Error/XMLHttpRequest",
"text": "Code d'erreur XMLHttpRequest"
},
"$:/language/InternalJavaScriptError/Title": {
"title": "$:/language/InternalJavaScriptError/Title",
"text": "Erreur interne JavaScript"
},
"$:/language/InternalJavaScriptError/Hint": {
"title": "$:/language/InternalJavaScriptError/Hint",
"text": "C'est assez embarrassant. Il est recommandé de rafraîchir l'affichage de votre navigateur"
},
"$:/language/InvalidFieldName": {
"title": "$:/language/InvalidFieldName",
"text": "Caractères illicites dans le nom du champ « <$text text=<<fieldName>>/> ». Les champs ne peuvent contenir que des lettres minuscules non accentuées et les caractères souligné (`_`), tiret (`-`) et point (`.`)"
},
"$:/language/LazyLoadingWarning": {
"title": "$:/language/LazyLoadingWarning",
"text": "<p>Tentative de chargement d'un contenu externe ''<$text text={{!!_canonical_uri}}/>''</p><p>Si ce message ne disparaît pas, il est possible que vous deviez ajuster le type de contenu du tiddler en fonction du type de votre contenu externe, ou vous utilisez peut-être un navigateur qui n'accepte pas les contenus externes dans cette configuration. Voir https://tiddlywiki.com/#ExternalText</p>"
},
"$:/language/LoginToTiddlySpace": {
"title": "$:/language/LoginToTiddlySpace",
"text": "Identification sur TiddlySpace"
},
"$:/language/Manager/Controls/FilterByTag/None": {
"title": "$:/language/Manager/Controls/FilterByTag/None",
"text": "(aucun)"
},
"$:/language/Manager/Controls/FilterByTag/Prompt": {
"title": "$:/language/Manager/Controls/FilterByTag/Prompt",
"text": "Filtrer par tag :"
},
"$:/language/Manager/Controls/Order/Prompt": {
"title": "$:/language/Manager/Controls/Order/Prompt",
"text": "Ordre inverse"
},
"$:/language/Manager/Controls/Search/Placeholder": {
"title": "$:/language/Manager/Controls/Search/Placeholder",
"text": "Recherche"
},
"$:/language/Manager/Controls/Search/Prompt": {
"title": "$:/language/Manager/Controls/Search/Prompt",
"text": "Rechercher :"
},
"$:/language/Manager/Controls/Show/Option/Tags": {
"title": "$:/language/Manager/Controls/Show/Option/Tags",
"text": "tags"
},
"$:/language/Manager/Controls/Show/Option/Tiddlers": {
"title": "$:/language/Manager/Controls/Show/Option/Tiddlers",
"text": "tiddlers"
},
"$:/language/Manager/Controls/Show/Prompt": {
"title": "$:/language/Manager/Controls/Show/Prompt",
"text": "Afficher :"
},
"$:/language/Manager/Controls/Sort/Prompt": {
"title": "$:/language/Manager/Controls/Sort/Prompt",
"text": "Trier par :"
},
"$:/language/Manager/Item/Colour": {
"title": "$:/language/Manager/Item/Colour",
"text": "Couleur"
},
"$:/language/Manager/Item/Fields": {
"title": "$:/language/Manager/Item/Fields",
"text": "Champs"
},
"$:/language/Manager/Item/Icon/None": {
"title": "$:/language/Manager/Item/Icon/None",
"text": "(aucune)"
},
"$:/language/Manager/Item/Icon": {
"title": "$:/language/Manager/Item/Icon",
"text": "Icône"
},
"$:/language/Manager/Item/RawText": {
"title": "$:/language/Manager/Item/RawText",
"text": "Texte brut"
},
"$:/language/Manager/Item/Tags": {
"title": "$:/language/Manager/Item/Tags",
"text": "Tags"
},
"$:/language/Manager/Item/Tools": {
"title": "$:/language/Manager/Item/Tools",
"text": "Outils"
},
"$:/language/Manager/Item/WikifiedText": {
"title": "$:/language/Manager/Item/WikifiedText",
"text": "Texte wikifié"
},
"$:/language/MissingTiddler/Hint": {
"title": "$:/language/MissingTiddler/Hint",
"text": "Le tiddler « <$text text=<<currentTiddler>>/> » est manquant"
},
"$:/language/- cliquez sur {{||$": {
"title": "$:/language/- cliquez sur {{||$",
"text": "core/ui/Buttons/edit}} pour le créer"
},
"$:/language/No": {
"title": "$:/language/No",
"text": "Non"
},
"$:/language/OfficialPluginLibrary": {
"title": "$:/language/OfficialPluginLibrary",
"text": "Bibliothèque officielle des plugins ~TiddlyWiki"
},
"$:/language/OfficialPluginLibrary/Hint": {
"title": "$:/language/OfficialPluginLibrary/Hint",
"text": "La bibliothèque officielle des plugins ~TiddlyWiki sur tiddlywiki.com. Plugins, thèmes et packs pour les différentes langues sont maintenus par l'équipe responsable du noyau."
},
"$:/language/PluginReloadWarning": {
"title": "$:/language/PluginReloadWarning",
"text": "Merci d'enregistrer {{$:/core/ui/Buttons/save-wiki}} et de recharger {{$:/core/ui/Buttons/refresh}} pour que les changements apportés aux plugins prennent effet"
},
"$:/language/RecentChanges/DateFormat": {
"title": "$:/language/RecentChanges/DateFormat",
"text": "DD MMM YYYY"
},
"$:/language/SystemTiddler/Tooltip": {
"title": "$:/language/SystemTiddler/Tooltip",
"text": "Ceci est un tiddler système"
},
"$:/language/SystemTiddlers/Include/Prompt": {
"title": "$:/language/SystemTiddlers/Include/Prompt",
"text": "Inclure les tiddlers système"
},
"$:/language/TagManager/Colour/Heading": {
"title": "$:/language/TagManager/Colour/Heading",
"text": "Couleur"
},
"$:/language/TagManager/Count/Heading": {
"title": "$:/language/TagManager/Count/Heading",
"text": "Total"
},
"$:/language/TagManager/Icon/Heading": {
"title": "$:/language/TagManager/Icon/Heading",
"text": "Icône"
},
"$:/language/TagManager/Info/Heading": {
"title": "$:/language/TagManager/Info/Heading",
"text": "Info"
},
"$:/language/TagManager/Tag/Heading": {
"title": "$:/language/TagManager/Tag/Heading",
"text": "Tag"
},
"$:/language/Tiddler/DateFormat": {
"title": "$:/language/Tiddler/DateFormat",
"text": "DD MMM YYYY à hhhmm"
},
"$:/language/UnsavedChangesWarning": {
"title": "$:/language/UnsavedChangesWarning",
"text": "Vos dernières modifications n'ont pas été sauvegardées dans votre TiddlyWiki"
},
"$:/language/Yes": {
"title": "$:/language/Yes",
"text": "Oui"
},
"$:/language/Modals/Download": {
"title": "$:/language/Modals/Download",
"type": "text/vnd.tiddlywiki",
"subtitle": "Télécharger vos modifications",
"footer": "<$button message=\"tm-close-tiddler\">Fermer</$button>",
"help": "https://tiddlywiki.com/static/DownloadingChanges.html",
"text": "Votre navigateur ne supporte que l'enregistrement manuel.\n\nPour enregistrer les modifications de votre wiki, faites un clic droit sur le lien de téléchargement ci-dessous et choisissez \"Enregistrer...\" ou \"Télécharger...\", puis choisissez le répertoire et le nom de fichier.\n\n//Il est possible d'accélérer un peu les choses en cliquant sur le lien tout en appuyant sur la touche contrôle (sous Windows) ou la touche option/alt (sous MacOS X). On ne vous demandera pas un nom de fichier ou de répertoire, mais votre navigateur proposera probablement un nom difficile à identifier — il vous faudra sans doute le renommer pour lui ajouter une extension .html avant qu'il soit effectivement utilisable.//\n\nSur les smartphones qui n'autorisent pas le téléchargement de fichiers, vous pouvez ajouter le lien à vos favoris/signets, puis synchroniser vos signets vers un ordinateur de bureau, d'où le wiki pourra être enregistré normalement.\n"
},
"$:/language/Modals/SaveInstructions": {
"title": "$:/language/Modals/SaveInstructions",
"type": "text/vnd.tiddlywiki",
"subtitle": "Enregistrez votre travail",
"footer": "<$button message=\"tm-close-tiddler\">Fermer</$button>",
"help": "https://tiddlywiki.com/static/SavingChanges.html",
"text": "Les modifications effectuées dans ce wiki doivent être sauvegardées sous forme de fichier ~TiddlyWiki HTML.\n\n!!! Navigateurs de bureau\n\n# Sélectionnez ''Enregistrer sous'' depuis le menu ''Fichier''\n# Choisissez un nom de fichier et un dossier\n#* Certains navigateurs demandent aussi de spécifier explicitement le format d'enregistrement, à savoir ''Page Web, HTML uniquement'' ou quelque chose d'approchant\n# Fermez cet onglet\n\n!!! Navigateurs sur smartphone\n\n# Créez un favori/signet pour cette page\n#* Si vous utilisez iCloud ou Google Sync, le signet sera automatiquement synchronisé avec le navigateur de votre ordinateur de bureau, d'où vous pourrez l'ouvrir et enregistrer le fichier comme indiqué ci-dessus\n# Fermez cet onglet\n\n//Si vous ouvrez à nouveau le signet dans Safari pour mobile, vous verrez ce message une nouvelle fois. Si vous voulez continuer et utiliser le fichier, cliquez simplement sur le bouton ''Fermer'' ci-dessous//\n"
},
"$:/config/NewJournal/Title": {
"title": "$:/config/NewJournal/Title",
"text": "DD MMM YYYY"
},
"$:/config/NewJournal/Tags": {
"title": "$:/config/NewJournal/Tags",
"text": "Journal"
},
"$:/language/Notifications/Save/Done": {
"title": "$:/language/Notifications/Save/Done",
"text": "Wiki enregistré"
},
"$:/language/Notifications/Save/Starting": {
"title": "$:/language/Notifications/Save/Starting",
"text": "Enregistrement du wiki en cours"
},
"$:/language/Search/DefaultResults/Caption": {
"title": "$:/language/Search/DefaultResults/Caption",
"text": "Liste"
},
"$:/language/Search/Filter/Caption": {
"title": "$:/language/Search/Filter/Caption",
"text": "Filtrer"
},
"$:/language/Search/Filter/Hint": {
"title": "$:/language/Search/Filter/Hint",
"text": "Recherche via une combinaison de [[filtres|https://tiddlywiki.com/static/Filters.html]]"
},
"$:/language/Search/Filter/Matches": {
"title": "$:/language/Search/Filter/Matches",
"text": "//<small><<resultCount>> correspondances</small>//"
},
"$:/language/Search/Matches": {
"title": "$:/language/Search/Matches",
"text": "//<small><<resultCount>> correspondances</small>//"
},
"$:/language/Search/Matches/All": {
"title": "$:/language/Search/Matches/All",
"text": "Toutes les correspondances :"
},
"$:/language/Search/Matches/Title": {
"title": "$:/language/Search/Matches/Title",
"text": "Correspondances sur les titres :"
},
"$:/language/Search/Search": {
"title": "$:/language/Search/Search",
"text": "Recherch"
},
"$:/language/Search/Search/TooShort": {
"title": "$:/language/Search/Search/TooShort",
"text": "Texte de recherche trop court"
},
"$:/language/Search/Shadows/Caption": {
"title": "$:/language/Search/Shadows/Caption",
"text": "Shadows"
},
"$:/language/Search/Shadows/Hint": {
"title": "$:/language/Search/Shadows/Hint",
"text": "Recherche parmi les tiddlers //shadow//"
},
"$:/language/Search/Shadows/Matches": {
"title": "$:/language/Search/Shadows/Matches",
"text": "//<small><<resultCount>> correspondances</small>//"
},
"$:/language/Search/Standard/Caption": {
"title": "$:/language/Search/Standard/Caption",
"text": "Standard"
},
"$:/language/Search/Standard/Hint": {
"title": "$:/language/Search/Standard/Hint",
"text": "Recherche parmi les tiddlers standard"
},
"$:/language/Search/Standard/Matches": {
"title": "$:/language/Search/Standard/Matches",
"text": "//<small><<resultCount>> correspondances</small>//"
},
"$:/language/Search/System/Caption": {
"title": "$:/language/Search/System/Caption",
"text": "Système"
},
"$:/language/Search/System/Hint": {
"title": "$:/language/Search/System/Hint",
"text": "Recherche parmi les tiddlers //système//"
},
"$:/language/Search/System/Matches": {
"title": "$:/language/Search/System/Matches",
"text": "//<small><<resultCount>> correspondances</small>//"
},
"$:/language/SideBar/All/Caption": {
"title": "$:/language/SideBar/All/Caption",
"text": "Tout"
},
"$:/language/SideBar/Contents/Caption": {
"title": "$:/language/SideBar/Contents/Caption",
"text": "Sommaire"
},
"$:/language/SideBar/Drafts/Caption": {
"title": "$:/language/SideBar/Drafts/Caption",
"text": "Brouillons"
},
"$:/language/SideBar/Missing/Caption": {
"title": "$:/language/SideBar/Missing/Caption",
"text": "Manquants"
},
"$:/language/SideBar/More/Caption": {
"title": "$:/language/SideBar/More/Caption",
"text": "Plus"
},
"$:/language/SideBar/Open/Caption": {
"title": "$:/language/SideBar/Open/Caption",
"text": "Ouverts"
},
"$:/language/SideBar/Orphans/Caption": {
"title": "$:/language/SideBar/Orphans/Caption",
"text": "Orphelins"
},
"$:/language/SideBar/Recent/Caption": {
"title": "$:/language/SideBar/Recent/Caption",
"text": "Récents"
},
"$:/language/SideBar/Shadows/Caption": {
"title": "$:/language/SideBar/Shadows/Caption",
"text": "Shadows"
},
"$:/language/SideBar/System/Caption": {
"title": "$:/language/SideBar/System/Caption",
"text": "Système"
},
"$:/language/SideBar/Tags/Caption": {
"title": "$:/language/SideBar/Tags/Caption",
"text": "Tags"
},
"$:/language/SideBar/Tags/Untagged/Caption": {
"title": "$:/language/SideBar/Tags/Untagged/Caption",
"text": "sans tag"
},
"$:/language/SideBar/Tools/Caption": {
"title": "$:/language/SideBar/Tools/Caption",
"text": "Outils"
},
"$:/language/SideBar/Types/Caption": {
"title": "$:/language/SideBar/Types/Caption",
"text": "Types"
},
"$:/SiteSubtitle": {
"title": "$:/SiteSubtitle",
"text": "un carnet de notes web personnel et non linéaire"
},
"$:/SiteTitle": {
"title": "$:/SiteTitle",
"text": "Mon ~TiddlyWiki"
},
"$:/language/Snippets/ListByTag": {
"title": "$:/language/Snippets/ListByTag",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Liste de tiddlers par tag",
"text": "<<list-links \"[tag[task]sort[title]]\">>\n"
},
"$:/language/Snippets/MacroDefinition": {
"title": "$:/language/Snippets/MacroDefinition",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Définition de macro",
"text": "\\define Nomdemacro(param1:\"valeur par défaut\",param2)\nTexte de la macro\n\\end\n"
},
"$:/language/Snippets/Table4x3": {
"title": "$:/language/Snippets/Table4x3",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Tableau avec 4 colonnes par trois lignes",
"text": "|! |!Alpha |!Beta |!Gamma |!Delta |\n|!Un | | | | |\n|!Deux | | | | |\n|!Trois | | | | |\n"
},
"$:/language/Snippets/TableOfContents": {
"title": "$:/language/Snippets/TableOfContents",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Table des matières",
"text": "<div class=\"tc-table-of-contents\">\n\n<<toc-selective-expandable 'TableOfContents'>>\n\n</div>"
},
"$:/language/ThemeTweaks/ThemeTweaks": {
"title": "$:/language/ThemeTweaks/ThemeTweaks",
"text": "Réglages du thème"
},
"$:/language/ThemeTweaks/ThemeTweaks/Hint": {
"title": "$:/language/ThemeTweaks/ThemeTweaks/Hint",
"text": "Vous pouvez ajuster certains aspects du thème ''Vanilla''."
},
"$:/language/ThemeTweaks/Options": {
"title": "$:/language/ThemeTweaks/Options",
"text": "Options"
},
"$:/language/ThemeTweaks/Options/SidebarLayout": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout",
"text": "Agencement de la barre latérale"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid",
"text": "Déroulé fixe, barre latérale extensible"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed",
"text": "Déroulé extensible, barre latérale fixe"
},
"$:/language/ThemeTweaks/Options/StickyTitles": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles",
"text": "Titres accrochés"
},
"$:/language/ThemeTweaks/Options/StickyTitles/Hint": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles/Hint",
"text": "Lorsqu'on fait défiler le déroulé, les titres des tiddlers s'accrochent en haut de la fenêtre du navigateur tant que le contenu de leur tiddler est visible. Attention : ne fonctionne pas du tout avec Chrome, et peut causer quelques problèmes de mise en page dans Firefox"
},
"$:/language/ThemeTweaks/Options/CodeWrapping": {
"title": "$:/language/ThemeTweaks/Options/CodeWrapping",
"text": "Enroule les lignes trop longues à l'intérieur des blocs de code"
},
"$:/language/ThemeTweaks/Settings": {
"title": "$:/language/ThemeTweaks/Settings",
"text": "Réglages"
},
"$:/language/ThemeTweaks/Settings/FontFamily": {
"title": "$:/language/ThemeTweaks/Settings/FontFamily",
"text": "Famille de polices"
},
"$:/language/ThemeTweaks/Settings/CodeFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/CodeFontFamily",
"text": "Famille de polices pour le code"
},
"$:/language/ThemeTweaks/Settings/EditorFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/EditorFontFamily",
"text": "Famille de polices pour l'éditeur"
},
"$:/language/ThemeTweaks/Settings/BackgroundImage": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImage",
"text": "Image de fond de page"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment",
"text": "Arrimage de l'image de fond de page"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll",
"text": "Défile avec les tiddlers"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed",
"text": "Arrimée à la fenêtre"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize",
"text": "Taille de l'image de fond de page"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto",
"text": "Auto"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover",
"text": "Élargie"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain",
"text": "Contenue"
},
"$:/language/ThemeTweaks/Metrics": {
"title": "$:/language/ThemeTweaks/Metrics",
"text": "Tailles"
},
"$:/language/ThemeTweaks/Metrics/FontSize": {
"title": "$:/language/ThemeTweaks/Metrics/FontSize",
"text": "Taille police"
},
"$:/language/ThemeTweaks/Metrics/LineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/LineHeight",
"text": "Hauteur ligne"
},
"$:/language/ThemeTweaks/Metrics/BodyFontSize": {
"title": "$:/language/ThemeTweaks/Metrics/BodyFontSize",
"text": "Taille de la police dans le corps des tiddlers"
},
"$:/language/ThemeTweaks/Metrics/BodyLineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/BodyLineHeight",
"text": "Hauteur de ligne dans le corps des tiddlers"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft",
"text": "Gauche du déroulé"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft/Hint",
"text": "marge gauche entre le déroulé principal<br>(zone des tiddlers) et le bord gauche de la page"
},
"$:/language/ThemeTweaks/Metrics/StoryTop": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop",
"text": "Haut du déroulé"
},
"$:/language/ThemeTweaks/Metrics/StoryTop/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop/Hint",
"text": "marge supérieure entre le déroulé pincipal<br>et le bord supérieur de la page"
},
"$:/language/ThemeTweaks/Metrics/StoryRight": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight",
"text": "Droite du déroulé"
},
"$:/language/ThemeTweaks/Metrics/StoryRight/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight/Hint",
"text": "marge de gauche entre la barre latérale<br>et le bord gauche de la page"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth",
"text": "Largeur du déroulé"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth/Hint",
"text": "largeur hors tout du déroulé"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth",
"text": "Largeur des tiddlers"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint",
"text": "largeur des tiddlers dans le déroulé"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint",
"text": "Décroché de la barre latérale"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint",
"text": "largeur minimum de la page à partir de laquelle déroulé principal et barre latérale apparaissent côte à côte"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth",
"text": "Largeur de la barre latérale"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint",
"text": "largeur de la barre latérale dans l'agencement //déroulé extensible—barre latérale fixe//"
},
"$:/language/TiddlerInfo/Advanced/Caption": {
"title": "$:/language/TiddlerInfo/Advanced/Caption",
"text": "Avancé"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint",
"text": "aucune information"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Heading",
"text": "Détails sur le plugin"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Hint",
"text": "Ce plugin contient les tiddlers <q>shadow</q> suivants :"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading",
"text": "Statut <q>shadow</q>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint",
"text": "Le tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> n'est pas un tiddler <q>shadow</q>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint",
"text": "Le tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> est un tiddler <q>shadow</q>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source",
"text": "Il est défini dans le plugin <$link to=<<pluginTiddler>>><$text text=<<pluginTiddler>>/></$link>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint",
"text": "Il est modifié par un tiddler normal"
},
"$:/language/TiddlerInfo/Fields/Caption": {
"title": "$:/language/TiddlerInfo/Fields/Caption",
"text": "Champs"
},
"$:/language/TiddlerInfo/List/Caption": {
"title": "$:/language/TiddlerInfo/List/Caption",
"text": "Liste"
},
"$:/language/TiddlerInfo/List/Empty": {
"title": "$:/language/TiddlerInfo/List/Empty",
"text": "Ce tiddler ne contient pas de champ //list//"
},
"$:/language/TiddlerInfo/Listed/Caption": {
"title": "$:/language/TiddlerInfo/Listed/Caption",
"text": "Listé"
},
"$:/language/TiddlerInfo/Listed/Empty": {
"title": "$:/language/TiddlerInfo/Listed/Empty",
"text": "Ce tiddler ne figure dans le champ //list// d'aucun autre tiddler"
},
"$:/language/TiddlerInfo/References/Caption": {
"title": "$:/language/TiddlerInfo/References/Caption",
"text": "Références"
},
"$:/language/TiddlerInfo/References/Empty": {
"title": "$:/language/TiddlerInfo/References/Empty",
"text": "Aucun tiddler ne comporte de lien vers celui-ci"
},
"$:/language/TiddlerInfo/Tagging/Caption": {
"title": "$:/language/TiddlerInfo/Tagging/Caption",
"text": "Étiquetage"
},
"$:/language/TiddlerInfo/Tagging/Empty": {
"title": "$:/language/TiddlerInfo/Tagging/Empty",
"text": "Le titre de ce tiddler ne sert de tag à aucun tiddler"
},
"$:/language/TiddlerInfo/Tools/Caption": {
"title": "$:/language/TiddlerInfo/Tools/Caption",
"text": "Outils"
},
"$:/language/Docs/Types/application/javascript": {
"title": "$:/language/Docs/Types/application/javascript",
"description": "Code JavaScript",
"name": "application/javascript",
"group": "Développeur",
"group-sort": "2"
},
"$:/language/Docs/Types/application/json": {
"title": "$:/language/Docs/Types/application/json",
"description": "Données au format JSON",
"name": "application/json",
"group": "Développeur",
"group-sort": "2"
},
"$:/language/Docs/Types/application/x-tiddler-dictionary": {
"title": "$:/language/Docs/Types/application/x-tiddler-dictionary",
"description": "Dictionnaire de données",
"name": "application/x-tiddler-dictionary",
"group": "Développeur",
"group-sort": "2"
},
"$:/language/Docs/Types/image/gif": {
"title": "$:/language/Docs/Types/image/gif",
"description": "Image au format GIF",
"name": "image/gif",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/jpeg": {
"title": "$:/language/Docs/Types/image/jpeg",
"description": "Image au format JPEG",
"name": "image/jpeg",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/png": {
"title": "$:/language/Docs/Types/image/png",
"description": "Image au format PNG",
"name": "image/png",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/svg+xml": {
"title": "$:/language/Docs/Types/image/svg+xml",
"description": "Image au format SVG",
"name": "image/svg+xml",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/x-icon": {
"title": "$:/language/Docs/Types/image/x-icon",
"description": "Fichier icone au format ICO",
"name": "image/x-icon",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/text/css": {
"title": "$:/language/Docs/Types/text/css",
"description": "Feuille de style CSS statique",
"name": "text/css",
"group": "Développeur",
"group-sort": "2"
},
"$:/language/Docs/Types/text/html": {
"title": "$:/language/Docs/Types/text/html",
"description": "Marquage HTML",
"name": "text/html",
"group": "Texte",
"group-sort": "0"
},
"$:/language/Docs/Types/text/plain": {
"title": "$:/language/Docs/Types/text/plain",
"description": "Format texte",
"name": "text/plain",
"group": "Texte",
"group-sort": "0"
},
"$:/language/Docs/Types/text/vnd.tiddlywiki": {
"title": "$:/language/Docs/Types/text/vnd.tiddlywiki",
"description": "TiddlyWiki version 5",
"name": "text/vnd.tiddlywiki",
"group": "Texte",
"group-sort": "0"
},
"$:/language/Docs/Types/text/x-tiddlywiki": {
"title": "$:/language/Docs/Types/text/x-tiddlywiki",
"description": "TiddlyWiki Classic",
"name": "text/x-tiddlywiki",
"group": "Texte",
"group-sort": "0"
},
"$:/languages/fr-FR/icon": {
"title": "$:/languages/fr-FR/icon",
"type": "image/svg+xml",
"text": "<?xml version=\"1.0\" encoding=\"UTF-8\"?>\n<svg xmlns=\"http://www.w3.org/2000/svg\" width=\"900\" height=\"600\"><rect width=\"900\" height=\"600\" fill=\"#ED2939\"/><rect width=\"600\" height=\"600\" fill=\"#fff\"/><rect width=\"300\" height=\"600\" fill=\"#002395\"/></svg>\n"
}
}
}
<?xml version="1.0" encoding="UTF-8"?>
<svg xmlns="http://www.w3.org/2000/svg" width="900" height="600"><rect width="900" height="600" fill="#8B1212"/><rect width="600" height="600" fill="#fff"/><rect width="300" height="600" fill="#021B58"/></svg>
<!-- Informations for search engines -->
<meta name="description" content="The Interactive Historical Atlas of the Disciplines is a collaborative project aimed at mapping the evolution of the disciplinary borders of science over time.">
<meta name="author" content="Raphaël Sandoz">
<meta name="keywords" content="History of disciplines, historical atlas, disciplines, atlas, disciplines of knowing, classification of knowledge, classification of the sciences, classification of the arts, disciplinary borders, liberal arts, branches of science, distribution of human knowledge, organization of knowledge, knowledge organization, disciplinary maps, mapping science, interactive atlas of the disciplines, atlas of knowledge, tree of knowledge, knowledge transfer, interdisciplinarity, unity of science, departments of learning, encyclopedia, encyclopedism, encyclopædism, encyclopédisme, classification des sciences, cartes disciplinaires, histoire des disciplines, atlas historique, atlas des disciplines, interdisciplinarité, transfert de connaissances, disciplina, disziplin, einzelwissenschaft, Aristotle, Bacon, Chambers, Diderot, Comte, Bentham, Ampère, Cournot, Spencer, Peirce, Piaget, Université de Genève, unige, Raphaël Sandoz">
<!-- Splash screen -->
<style>
body { background-size:200px; background-repeat: no-repeat; background-position: center 40vh; background-image:url("../Images/Loading.gif"); }
</style>
<!-- Favicon -->
<link rel="icon" href="../Images/Favicon.ico" type="image/x-icon">
<!-- Global Site Tag (gtag.js) - Google Analytics -->
<script async src="https://www.googletagmanager.com/gtag/js?id=UA-130973991-1"></script>
<script>
window.dataLayer = window.dataLayer || [];
function gtag(){dataLayer.push(arguments);}
gtag('js', new Date());
gtag('config', 'UA-130973991-1');
</script>
<!-- Server-side counter code -->
<iframe height="0px" width="0px" style="display:none" src="../Technical/Counter/Counter_sessions.php"></iframe>
alert-background: #cfbc9c
alert-border: #948d6e
alert-highlight: #881122
alert-muted-foreground: #b98a2f
background: #f4f4f4
blockquote-bar: <<colour muted-foreground>>
button-background:
button-foreground:
button-border:
code-background: #f7f7f9
code-border: #e1e1e8
code-foreground: #dd1144
diff-delete-background: #e7bfbf
diff-delete-foreground: <<colour foreground>>
diff-equal-background:
diff-equal-foreground: <<colour foreground>>
diff-insert-background: #aaefad
diff-insert-foreground: <<colour foreground>>
diff-invisible-background:
diff-invisible-foreground: <<colour muted-foreground>>
dirty-indicator: #ff0000
download-background: #34c734
download-foreground: <<colour background>>
dragger-background: <<colour foreground>>
dragger-foreground: <<colour background>>
dropdown-background: <<colour background>>
dropdown-border: <<colour muted-foreground>>
dropdown-tab-background-selected: #fff
dropdown-tab-background: #ececec
dropzone-background: rgba(0,200,0,0.7)
external-link-background-hover: inherit
external-link-background-visited: inherit
external-link-background: inherit
external-link-foreground-hover: inherit
external-link-foreground-visited: #5f6090
external-link-foreground: #586898
foreground: #333333
message-background: #ecf2ff
message-border: #cfd6e6
message-foreground: #547599
modal-backdrop: <<colour foreground>>
modal-background: <<colour background>>
modal-border: #999999
modal-footer-background: #f5f5f5
modal-footer-border: #dddddd
modal-header-border: #eeeeee
muted-foreground: #bbb
notification-background: #e4d7c6
notification-border: #999999
page-background: #8b8a8e
pre-background: #f5f5f5
pre-border: #cccccc
primary: #5D64A1
sidebar-button-foreground: <<colour foreground>>
sidebar-controls-foreground-hover: #000000
sidebar-controls-foreground: #595959
sidebar-foreground-shadow: rgba(255,255,255, 0.8)
sidebar-foreground: #474747
sidebar-muted-foreground-hover: #444444
sidebar-muted-foreground: #c0c0c0
sidebar-tab-background-selected: #f4f4f4
sidebar-tab-background: #e0e0e0
sidebar-tab-border-selected: <<colour tab-border-selected>>
sidebar-tab-border: <<colour tab-border>>
sidebar-tab-divider: #8f8f8f
sidebar-tab-foreground-selected:
sidebar-tab-foreground: <<colour tab-foreground>>
sidebar-tiddler-link-foreground-hover: #706458
sidebar-tiddler-link-foreground: #555351
site-title-foreground: <<colour tiddler-title-foreground>>
static-alert-foreground: #aaaaaa
tab-background-selected: #ffffff
tab-background: #d8d8d8
tab-border-selected: #d8d8d8
tab-border: #cccccc
tab-divider: #d8d8d8
tab-foreground-selected: <<colour tab-foreground>>
tab-foreground: #666666
table-border: #dddddd
table-footer-background: #a8a8a8
table-header-background: #f0f0f0
tag-background: #f2f2f2
tag-foreground: #ffffff
tiddler-background: #f2f2f2
tiddler-border: <<colour background>>
tiddler-controls-foreground-hover: #515151
tiddler-controls-foreground-selected: #3f3f3f
tiddler-controls-foreground: #818181
tiddler-editor-background: #f4f4f4
tiddler-editor-border-image: #f7f7f7
tiddler-editor-border: #cccccc
tiddler-editor-fields-even: #e0e8e0
tiddler-editor-fields-odd: #f0f4f0
tiddler-info-background: #f8f8f8
tiddler-info-border: #dddddd
tiddler-info-tab-background: #f8f8f8
tiddler-link-background: <<colour background>>
tiddler-link-foreground: <<colour primary>>
tiddler-subtitle-foreground: #c0c0c0
tiddler-title-foreground: #3e3a5b
toolbar-new-button:
toolbar-options-button:
toolbar-save-button:
toolbar-info-button:
toolbar-edit-button:
toolbar-close-button:
toolbar-delete-button:
toolbar-cancel-button:
toolbar-done-button:
untagged-background: #999999
very-muted-foreground: #888888
{
"tiddlers": {
"$:/plugins/ahahn/hoverWidget/hoverWidget.js": {
"text": "/*\\\ntitle: $:/plugins/ahahn/hoverWidget/hoverWidget.js\ntype: application/javascript\nmodule-type: widget\n\nHover widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Button = require(\"$:/core/modules/widgets/button.js\").button;\n\nvar HoverWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.mouseInside = false;\n};\n\n/*\nInherit from the base widget class\n*/\nHoverWidget.prototype = new Button();\n\n/*\nRender this widget into the DOM\n*/\nHoverWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar domNode = this.document.createElement(\"span\");\n\t// Assign classes\n\tvar classes = this[\"class\"].split(\" \") || [];\n\t// Assign hover class\n\tclasses.unshift(\"tc-hoverzone\");\n\tif(this.selectedClass) {\n\t\tif(this.set && this.setTo && this.isSelected()) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t\tif(this.popup && this.isPoppedUp()) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t}\n\tdomNode.className = classes.join(\" \");\n\t// Assign other attributes\n\tif(this.style) {\n\t\tdomNode.setAttribute(\"style\",this.style);\n\t}\n\t// In HTML5 this is valid, but might not be useful\n\tif(this.title) {\n\t\tdomNode.setAttribute(\"title\",this.title);\n\t}\n\tif(this[\"aria-label\"]) {\n\t\tdomNode.setAttribute(\"aria-label\",this[\"aria-label\"]);\n\t}\n\t// Add a click or mouse event handlers\n\t$tw.utils.addEventListeners(domNode, [{name: \"mouseenter\", handlerObject: this}]);\n\t$tw.utils.addEventListeners(domNode, [{name: \"mouseleave\", handlerObject: this}]);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal staté of the widget\n*/\nHoverWidget.prototype.execute = function() {\n\t// Get new attributes\n\tthis.on = this.getAttribute(\"on\", \"hover\");\n\t// Call the parent method\n\tButton.prototype.execute.call(this);\n}\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nHoverWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.on) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn Button.prototype.refresh.call(this,changedTiddlers);\n};\n\n/*\nHandler for mouse events\n*/\nHoverWidget.prototype.handleEvent = function (event) {\n\tvar self = this;\n\tvar handled = false;\n\tswitch (event.type) {\n\t\tcase \"mouseenter\":\n\t\t\tif (self.mouseInside == false) {\n\t\t\t\tself.mouseInside = !self.mouseInside;\n\t\t\t\tif(self.on !== \"mouseout\") {\n\t\t\t\t\thandled = self.triggerActions(event);\n\t\t\t\t}\n\t\t\t}\n\t\t\tbreak;\n\t\tcase \"mouseleave\":\n\t\t\t//Trigger popup again (to close)\n\t\t\t//Other actions are not triggered again\n\t\t\tif (self.mouseInside == true) {\n\t\t\t\tself.mouseInside = !self.mouseInside;\n\t\t\t\tif(self.on === \"mouseout\") {\n\t\t\t\t\thandled = self.triggerActions(event);\n\t\t\t\t} else if(self.on !== \"mousein\") {\n\t\t\t\t\tif (self.popup) {\n\t\t\t\t\t\tself.triggerPopup(event);\n\t\t\t\t\t\thandled = true;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t\tbreak;\n\t\tdefault:\n\t\t\t// Don't handle other events.\n\t}\n\tif(handled) {\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t}\n\t// This is expected to be a void function, thus nothing to return\n}\n\n/*\nTrigger the configured actions\n*/\nHoverWidget.prototype.triggerActions = function(event) {\n\tvar self = this;\n\tvar handled = false;\n\tif(self.to) {\n\t\tself.navigateTo(event);\n\t\thandled = true;\n\t}\n\tif(self.message) {\n\t\tself.dispatchMessage(event);\n\t\thandled = true;\n\t}\n\tif(self.popup) {\n\t\tself.triggerPopup(event);\n\t\thandled = true;\n\t}\n\tif(self.set) {\n\t\tself.setTiddler();\n\t\thandled = true;\n\t}\n\treturn handled;\n}\n\nexports.hover = HoverWidget;\n\n})();\n",
"title": "$:/plugins/ahahn/hoverWidget/hoverWidget.js",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/ahahn/hoverWidget/readme": {
"title": "$:/plugins/ahahn/hoverWidget/readme",
"text": "!Introduction\r\nThis plugin adds a `<$hover>` widget which behaves excactly like the `<$button>` widget, except that it\r\ntriggers the action when hovered over.\n\nTherefore it can perform the following actions:\n\n* Navigate to a specified tiddler\r\n* Dispatch a user defined [[widget message|WidgetMessages]]\r\n* Trigger a user defined [[popup|PopupMechanism]]\r\n* Assign new text to a specified tiddler\n\nAdditionally one can specify the mouse event for which the actions are triggered.\n\n! Content and Attributes\n\nThe content of the `<$hover>` widget is displayed within the button.\n\n|!Attribute |!Description |\r\n|to |The title of the tiddler to navigate to |\r\n|message |The name of the [[widget message|WidgetMessages]] to send when the element is hovered |\r\n|param |The optional parameter to the message |\r\n|set |A TextReference to which a new value will be assigned |\r\n|setTo |The new value to assign to the TextReference identified in the `set` attribute |\r\n|popup |Title of a state tiddler for a popup that is toggled when the element is hovered |\r\n|aria-label |Optional [[Accessibility]] label |\r\n|title |Optional tooltip |\r\n|class |An optional CSS class name to be assigned to the HTML element |\r\n|style |An optional CSS style attribute to be assigned to the HTML element |\r\n|selectedClass |An optional additional CSS class to be assigned if the popup is triggered or the tiddler specified in `set` already has the value specified in `setTo` |\r\n|default |Default value if `set` tiddler is missing for testing against `setTo` to determine `selectedClass` |\r\n|on |One of `hover`, `mousein`, `mouseout`. Defaults to `hover`. Specifies when the actions are triggered, `hover` ensures a symmetric popup action. |\r\n"
}
}
}
{
"tiddlers": {
"$:/plugins/felixhayashi/hotzone/config.js": {
"text": "/*\\\n\ntitle: $:/plugins/felixhayashi/hotzone/config.js\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n(function(){\"use strict\";exports.config={classNames:{storyRiver:\"tc-story-river\",tiddlerFrame:\"tc-tiddler-frame\",tiddlerTitle:\"tc-title\"},references:{userConfig:\"$:/config/hotzone/focusOffset\",focussedTiddlerStore:\"$:/temp/focussedTiddler\"},checkbackTime:$tw.utils.getAnimationDuration()}})();",
"title": "$:/plugins/felixhayashi/hotzone/config.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/hotzone/hotzone.js": {
"text": "/*\\\n\ntitle: $:/plugins/felixhayashi/hotzone/hotzone.js\ntype: application/javascript\nmodule-type: startup\n\n@preserve\n\n\\*/\n(function(){\"use strict\";exports.name=\"hotzone\";exports.platforms=[\"browser\"];exports.after=[\"story\"];exports.synchronous=true;exports.startup=function(){var t=require(\"$:/plugins/felixhayashi/hotzone/config.js\").config;var e=null;var i=false;var r=document.getElementsByClassName(t.classNames.storyRiver)[0];var s=$tw.wiki.getTiddlerData(t.references.userConfig,{});var a=isNaN(parseInt(s.focusOffset))?150:parseInt(s.focusOffset);var n=function(e,i,r){if(!(e instanceof Element))return;if(!$tw.utils.hasClass(e,t.classNames.tiddlerFrame))return;var s=e.getElementsByClassName(t.classNames.tiddlerTitle)[0];if(s){var a=s.innerText||s.textContent;return a.trim()}};var o=function(t){if(!i){i=true;window.setTimeout(f,t||0)}};var l=function(e,i){$tw.wiki.addTiddler(new $tw.Tiddler({title:t.references.focussedTiddlerStore,text:e},$tw.wiki.getModificationFields()));if(i){var r=document.getElementsByClassName(\"hzone-focus\")[0];if(r){$tw.utils.removeClass(r,\"hzone-focus\")}$tw.utils.addClass(i,\"hzone-focus\")}};var f=function(){i=false;var s=$tw.wiki.getTiddler(\"$:/StoryList\");if(s&&s.fields.list.length){var o=null;var f=Number.MAX_VALUE;var d=r.children;var u=t.classNames.tiddlerFrame;for(var c=d.length;c--;){if($tw.utils.hasClass(d[c],u)){var v=d[c].getBoundingClientRect();var w=Math.min(Math.abs(a-v.top),Math.abs(a-v.bottom));if(w<f){o=d[c];f=w}}}var m=n(o);if(m!==e&&$tw.wiki.getTiddler(m)){e=m;l(e,o);return}}else if(e){e=\"\";l(e)}};var d=function(t){if(t[\"$:/HistoryList\"]){if(!$tw.wiki.tiddlerExists(\"$:/HistoryList\"))return;var e=$tw.wiki.getTiddler(\"$:/HistoryList\").fields[\"current-tiddler\"];var i=$tw.wiki.getTiddlerList(\"$:/StoryList\");var r=i.indexOf(e)>=0;if(!r)return;o($tw.utils.getAnimationDuration()+100)}else if(t[\"$:/StoryList\"]){o($tw.utils.getAnimationDuration()+100)}};var u=function(t){o(250)};$tw.wiki.addEventListener(\"change\",d);window.addEventListener(\"scroll\",u,false);u()}})();",
"title": "$:/plugins/felixhayashi/hotzone/hotzone.js",
"type": "application/javascript",
"module-type": "startup"
},
"$:/plugins/felixhayashi/hotzone/Configuration": {
"title": "$:/plugins/felixhayashi/hotzone/Configuration",
"text": "Please see the [[GitHub page|https://github.com/felixhayashi/TW5-HotZone]] for more information on the options.\n\nSave and reload the wiki to activate changes.\n\n<table>\n <tr>\n <th align=\"left\">Focus offset:</th>\n <td><$edit-text tiddler=\"$:/config/hotzone/focusOffset\" tag=\"input\" default=\"71px\" /></td>\n </tr>\n</table>"
},
"$:/temp/focussedTiddler": {
"title": "$:/temp/focussedTiddler"
},
"$:/plugins/felixhayashi/hotzone/License": {
"title": "$:/plugins/felixhayashi/hotzone/License",
"text": "This code is released under the BSD license. For the exact terms visit:\n\nhttps://github.com/felixhayashi/TW5-HotZone/blob/master/LICENSE"
},
"$:/plugins/felixhayashi/hotzone/Readme": {
"title": "$:/plugins/felixhayashi/hotzone/Readme",
"text": "Please visit the [[GitHub page|https://github.com/felixhayashi/TW5-HotZone]] for more information."
}
}
}
{
"tiddlers": {
"$:/plugins/felixhayashi/respawn/respawn.js": {
"text": "/*\\\n\ntitle: $:/plugins/felixhayashi/respawn/respawn.js\ntype: application/javascript\nmodule-type: startup\n\n@preserve\n\n\\*/\n\n(function(){\n \n /*jslint node: true, browser: true */\n /*global $tw: false */\n \"use strict\";\n \n var configTRef = \"$:/plugins/felixhayashi/respawn/config\";\n \n var changeListener = function(changedTiddlers) {\n \n if(!changedTiddlers[\"$:/StoryList\"]) return;\n \n var tObj = $tw.wiki.getTiddler(\"$:/StoryList\");\n if(tObj && !tObj.fields[\"list\"].length) {\n \n var confTObj = $tw.wiki.getTiddler(configTRef);\n var config = confTObj ? confTObj.fields : {};\n \n window.setTimeout(function() {\n $tw.rootWidget.dispatchEvent({\n type: \"tm-home\"\n });\n }, parseInt(config.delay) || 500);\n }\n \n };\n \n exports.name = \"respawn\";\n exports.platforms = [\"browser\"];\n exports.after = [\"story\"];\n exports.synchronous = true;\n \n exports.startup = function() {\n \n $tw.wiki.addEventListener(\"change\", changeListener);\n \n };\n\n})();\n",
"title": "$:/plugins/felixhayashi/respawn/respawn.js",
"type": "application/javascript",
"module-type": "startup"
},
"$:/plugins/felixhayashi/respawn/Configuration": {
"title": "$:/plugins/felixhayashi/respawn/Configuration",
"text": "<!-- The config output is not written to this tiddler! -->\n\nPlease see the [[GitHub page|https://github.com/felixhayashi/TW5-Respawn]] for more information on the options.\n\nYou may have to save and reload the wiki to activate changes.\n\n<table>\n <tr>\n <th align=\"left\">Delay (seconds):</th>\n <td>\n <$edit-text\n tiddler=\"$:/plugins/felixhayashi/respawn/config\"\n field=\"delay\"\n tag=\"input\"\n default=\"500\" />\n </td>\n </tr>\n</table>"
},
"$:/plugins/felixhayashi/respawn/License": {
"title": "$:/plugins/felixhayashi/respawn/License",
"text": "This code is released under the BSD license. For the exact terms visit:\n\nhttps://github.com/felixhayashi/TW5-Respawn/blob/master/LICENSE"
},
"$:/plugins/felixhayashi/respawn/Readme": {
"title": "$:/plugins/felixhayashi/respawn/Readme",
"text": "Please visit the [[GitHub page|https://github.com/felixhayashi/TW5-Respawn]] for more information."
}
}
}
{
"tiddlers": {
"$:/plugins/felixhayashi/tiddlymap/layout": {
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline \n\n@charset \"UTF-8\";\n/********************************************************************\n * README \n ********************************************************************\n * \n * If you add some non-valid css string that won't compile and \n * unquote also doesn't help, do the following: in this stylesheet,\n * add a placeholder of the form __yourVariable__ at the point where\n * you need the string to be inserted. Then visit the gulpfile.js\n * file and add a replace instruction for your variable so it will\n * be replaced after the sass compiler has finished.\n * \n * Careful of the variable scope. Variables defined in partials\n * are visible everywhere https://gist.github.com/matyus/4339721.\n * \n *******************************************************************/\n/**\n * Returns a makedatauri macro\n */\n/**\n * Do not allow blurred background for dialogs\n * see: https://github.com/Jermolene/TiddlyWiki5/issues/1893\n */\nbody .tc-page-container-wrapper.tc-modal-displayed {\n -webkit-filter: inherit;\n -moz-filter: inherit;\n filter: inherit; }\n\nbody .tc-modal-wrapper {\n z-index: 1010; }\n body .tc-modal-wrapper .tc-modal-header svg {\n vertical-align: sub; }\n body .tc-modal-wrapper .tc-modal-header h3 {\n line-height: 10px; }\n body .tc-modal-wrapper .tc-modal-body {\n min-height: 250px;\n max-height: 70vh;\n padding-top: 0px;\n padding-bottom: 0px;\n overflow: auto; }\n body .tc-modal-wrapper .tc-modal-footer {\n padding: 8px; }\n body .tc-modal-wrapper .tc-modal-footer .tmap-dialog-button {\n font-weight: bold; }\n body .tc-modal-wrapper .tc-modal-footer .tmap-hidden-close-button {\n display: none; }\n\ntable.tmap-table tr, .tc-modal-body table.tmap-config-table tr {\n /*\n &:hover {\n background-color: #FFFFF3;\n }\n*/ }\n table.tmap-table tr:nth-child(odd), .tc-modal-body table.tmap-config-table tr:nth-child(odd) {\n background-color: #F0F0F0; }\n table.tmap-table tr:nth-child(even), .tc-modal-body table.tmap-config-table tr:nth-child(even) {\n background-color: #FFFFFF; }\n\n.tmap-save-canvas-preview {\n text-align: center; }\n .tmap-save-canvas-preview img {\n background-color: white;\n border: 1px solid lightgray;\n max-width: 100%;\n max-height: 100px; }\n\n.tmap-list-separator {\n display: block;\n background-color: #efefef;\n margin: 10px 0 5px 0;\n cursor: default;\n border-bottom: 1px dotted gray;\n font-weight: bold;\n font-size: 0.8em; }\n\n.tmap-unicode-icon {\n width: 1em;\n display: inline-block;\n text-align: center;\n color: black; }\n\nhtml .tmap-link {\n color: #5778D8; }\n html .tmap-link:hover {\n color: white;\n background: #5778D8; }\n\nhtml .tmap-small-list, html .tmap-smaller-list, html .tmap-very-small-list {\n overflow: auto;\n min-height: 2em;\n max-height: 9em;\n display: block; }\n\nhtml .tmap-smaller-list {\n max-height: 7em; }\n\nhtml .tmap-very-small-list {\n max-height: 5empx; }\n\nhtml .tc-tiddler-controls button.tmap-active-button svg {\n fill: #888888; }\n\nhtml #tmap-node-filter-dialog #tmap-filter-tips {\n font-size: 0.8em; }\n\nhtml #tmap-node-filter-dialog textarea {\n height: 100px;\n max-height: 300px;\n overflow: auto;\n width: 100%;\n font-size: 11px;\n font-family: \"Courier New\", Courier, monospace; }\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .tc-sidebar-scrollable .tmap-desktop-editor .tmap-widget:not(.tmap-fullscreen) {\n display: none; } }\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .tc-sidebar-scrollable .tmap-mobile-editor {\n display: none; }\n .tc-modal-wrapper {\n z-index: 1010; }\n .tc-modal-wrapper .tc-modal {\n boder: 1px solid #999999;\n left: calc(50% - 400px);\n width: 802px; }\n .tc-modal-wrapper .tc-modal-body {\n left: calc(50% - 400px);\n width: 800px; } }\n\n/**\n * see https://developer.mozilla.org/en/docs/Web/CSS/animation\n */\n/*** Keyframes for animations *************************************/\n@-o-keyframes fadein-keyframes {\n 0% {\n opacity: 0; }\n 100% {\n opacity: 1; } }\n\n@-moz-keyframes fadein-keyframes {\n 0% {\n opacity: 0; }\n 100% {\n opacity: 1; } }\n\n@-webkit-keyframes fadein-keyframes {\n 0% {\n opacity: 0; }\n 100% {\n opacity: 1; } }\n\n@keyframes flash fadein-keyframes {\n 0% {\n opacity: 0; }\n 100% {\n opacity: 1; } }\n\n@-o-keyframes fadeout-keyframes {\n 0% {\n opacity: 1; }\n 100% {\n opacity: 0; } }\n\n@-moz-keyframes fadeout-keyframes {\n 0% {\n opacity: 1; }\n 100% {\n opacity: 0; } }\n\n@-webkit-keyframes fadeout-keyframes {\n 0% {\n opacity: 1; }\n 100% {\n opacity: 0; } }\n\n@keyframes flash fadeout-keyframes {\n 0% {\n opacity: 1; }\n 100% {\n opacity: 0; } }\n\n.tmap-config-widget {\n background: #F0F0F0;\n padding: 5px;\n margin: 5px 0;\n box-sizing: border-box;\n display: block; }\n .tmap-config-widget .vis-network {\n display: none; }\n .tmap-config-widget .vis-configuration-wrapper {\n width: 100%; }\n .tmap-config-widget .vis-configuration-wrapper .vis-config-rangeinput {\n height: inherit;\n margin-left: 4px; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item {\n width: 100%;\n height: inherit;\n background: none;\n padding-left: 0px;\n left: 0; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item.tmap-vis-config-item-active .vis-config-label::after {\n content: \"(inherited)\";\n position: absolute;\n display: inline-block;\n margin-left: 10px;\n -o-animation: fadein-keyframes 1s;\n -moz-animation: fadein-keyframes 1s;\n -webkit-animation: fadein-keyframes 1s;\n animation: fadein-keyframes 1s;\n content: \"✔\";\n color: green;\n font-weight: bold;\n font-size: 15px; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item.tmap-vis-config-item-inactive .vis-config-label::after {\n /*\n @include marker;\n content: \"✔\";\n color: lightgray;\n position: absolute;\n*/ }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item button.tmap-config-item-reset {\n vertical-align: top;\n margin-left: 5px; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-range {\n width: 150px; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-range::-moz-range-track {\n width: 150px !important; }\n .tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-label {\n width: 150px; }\n .tmap-config-widget::after {\n clear: both;\n content: \"\";\n display: block; }\n\n/*\n$color-picker-height: 200;\ndiv div.vis-color-picker {\n div.vis-color {\n width: $color-picker-height + px;\n height: $color-picker-height + px;\n }\n \n div.vis-brightness {\n top: ($color-picker-height + 30) + px;\n }\n \n div.vis-label.vis-brightness {\n top: ($color-picker-height + 43) + px;\n }\n \n div.vis-label.vis-opacity {\n top: 338px\n }\n \n div.vis-opacity {\n top: 351px;\n }\n div.vis-initial-color, vis-new-color {\n top: 381px;\n }\n \n div.vis-button {\n top: 411px;\n }\n\n}\n*/\n.tmap-flash-message {\n border: 1px solid lightgray;\n padding: 5px;\n margin: 6px 0;\n display: block;\n color: #333333; }\n .tmap-flash-message.tmap-info, .tmap-flash-message.tmap-plain, .tmap-flash-message.tmap-neutral {\n background: #F0F0F0; }\n .tmap-flash-message.tmap-info::before, .tmap-flash-message.tmap-plain::before, .tmap-flash-message.tmap-neutral::before {\n content: \"i\";\n display: inline-block;\n border-radius: 50%;\n width: 0.8em;\n border: 2px solid;\n font-family: serif;\n text-align: center;\n height: 0.8em;\n font-weight: bold;\n line-height: 0.8em;\n vertical-align: text-top;\n margin-right: 2px; }\n .tmap-flash-message.tmap-valid, .tmap-flash-message.tmap-success {\n background: #D1EED4; }\n .tmap-flash-message.tmap-valid::before, .tmap-flash-message.tmap-success::before {\n content: \"i\";\n display: inline-block;\n border-radius: 50%;\n width: 0.8em;\n border: 2px solid;\n font-family: serif;\n text-align: center;\n height: 0.8em;\n font-weight: bold;\n line-height: 0.8em;\n vertical-align: text-top;\n margin-right: 2px; }\n .tmap-flash-message.tmap-warning {\n background: #FFF6D1; }\n .tmap-flash-message.tmap-warning::before {\n content: \"\";\n display: inline-block;\n background: url(<<tmap \"datauri\" \"$:/core/images/warning\" \"\">>);\n display: inline-block;\n width: 1em;\n height: 1em;\n background-size: 100% 100%;\n vertical-align: text-top;\n margin-right: 2px; }\n .tmap-flash-message.tmap-malformed, .tmap-flash-message.tmap-invalid, .tmap-flash-message.tmap-danger {\n background: #EED5D1; }\n .tmap-flash-message.tmap-malformed::before, .tmap-flash-message.tmap-invalid::before, .tmap-flash-message.tmap-danger::before {\n content: \"\";\n display: inline-block;\n background: url(<<tmap \"datauri\" \"$:/core/images/warning\" \"\">>);\n display: inline-block;\n width: 1em;\n height: 1em;\n background-size: 100% 100%;\n vertical-align: text-top;\n margin-right: 2px; }\n\n.tmap-widget {\n background: #FFFFFF;\n width: auto;\n position: relative;\n border: 1px dotted lightgray;\n padding: 2px;\n color: #666666;\n display: block; }\n .tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active) {\n cursor: pointer; }\n .tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active) .vis-navigation {\n display: none; }\n .tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active):hover:before {\n color: gray;\n background: white;\n content: \"Click to use\";\n font-size: 14px;\n font-weight: bold;\n right: calc(50% - 65px - 5px);\n position: absolute;\n text-align: center;\n top: calc(50% - 14px - 5px);\n width: 130px;\n z-index: 2000;\n padding: 5px;\n pointer-events: none;\n background-color: rgba(255, 255, 255, 0.3); }\n .tmap-widget .tmap-loading-bar {\n display: none;\n position: absolute;\n text-align: center;\n font-size: 2em;\n padding: 10px;\n top: 50%;\n background: rgba(245, 245, 245, 0.5);\n z-index: 1;\n width: 250px;\n margin: auto;\n left: 0px;\n right: 0px; }\n .tmap-widget .tmap-vis-graph {\n height: calc(100% - 35px); }\n .tmap-widget.tmap-no-buttons .vis-navigation {\n display: none; }\n .tmap-widget.tmap-advanced-editor .tmap-topbar {\n background: #d6d9d8; }\n .tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-active-button {\n color: #488DCD; }\n .tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-active-button svg {\n fill: #488DCD; }\n .tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-unicode-button {\n font-size: 1.5em;\n vertical-align: bottom; }\n .tmap-widget .tmap-menu-bar {\n width: 100%; }\n .tmap-widget .tmap-topbar {\n background: #F5F5F5;\n border-bottom: 1px solid lightgray;\n padding: 3px 5px;\n height: 35px;\n position: relative; }\n .tmap-widget .tmap-topbar .tmap-view-label {\n width: 100%;\n text-align: center;\n font-weight: bold;\n padding-top: 3px; }\n .tmap-widget .tmap-topbar .tmap-focus-button {\n position: absolute;\n right: 10px;\n top: 6px; }\n .tmap-widget .tmap-topbar .tmap-focus-button input {\n width: 140px; }\n .tmap-widget .tmap-topbar .tmap-search-dropdown {\n position: relative; }\n .tmap-widget .tmap-topbar .tmap-search-dropdown .tc-drop-down {\n position: absolute;\n z-index: 999;\n right: 0px; }\n .tmap-widget .tmap-topbar [hidden] {\n display: none; }\n .tmap-widget .tmap-topbar select {\n width: 30%;\n max-width: 200px;\n word-wrap: initial;\n padding: 0px; }\n .tmap-widget .tmap-topbar button {\n line-height: inherit;\n color: #666666; }\n .tmap-widget .tmap-topbar > * {\n display: inline-block; }\n .tmap-widget .tmap-topbar .tmap-separator:after {\n color: #bdbdbd;\n margin: 3px;\n content: \" | \"; }\n .tmap-widget .tmap-topbar .tc-edit-add-tag .tc-add-tag-name input {\n width: 70%; }\n .tmap-widget .tmap-topbar .tc-sidebar-header {\n text-shadow: none; }\n .tmap-widget .tmap-topbar .tc-edit-tags {\n border: none;\n padding: inherit;\n box-shadow: none; }\n\n.tmap-widget.tmap-static-mode .tmap-topbar {\n height: initial; }\n\n.tmap-widget.tmap-static-mode .tmap-vis-graph {\n text-align: center;\n cursor: not-allowed; }\n .tmap-widget.tmap-static-mode .tmap-vis-graph:not(.tmap-graph-placeholder):hover::before {\n box-shadow: 10px 10px 5px lightgray;\n color: gray;\n background: white;\n content: \"Static\";\n font-size: 18px;\n font-weight: bold;\n left: 50%;\n margin-left: -100px;\n position: absolute;\n text-align: center;\n top: 50px;\n width: 200px;\n z-index: 2000;\n padding: 5px;\n pointer-events: none; }\n .tmap-widget.tmap-static-mode .tmap-vis-graph.tmap-graph-placeholder::before {\n content: \"No Preview\";\n display: block;\n text-align: center;\n padding: 20px; }\n\n.tmap-widget .tc-drop-down {\n min-width: 170px;\n max-width: 210px;\n padding: 5px;\n margin: 5px 0; }\n .tmap-widget .tc-drop-down button, .tmap-widget .tc-drop-down a {\n padding: 0 2px;\n text-decoration: none; }\n .tmap-widget .tc-drop-down button:hover, .tmap-widget .tc-drop-down a:hover {\n color: #ffffff; }\n .tmap-widget .tc-drop-down button svg, .tmap-widget .tc-drop-down a svg {\n vertical-align: middle; }\n\n.tmap-widget .tc-block-dropdown {\n width: 370px;\n min-width: 370px;\n padding: 5px;\n margin: 15px 0 0 -370px; }\n .tmap-widget .tc-block-dropdown a {\n display: inline;\n padding: 0px; }\n\n.tmap-widget.tmap-plain-design {\n border: none;\n padding: 0px; }\n .tmap-widget.tmap-plain-design .tmap-topbar {\n display: none; }\n\n.tc-sidebar-scrollable .tmap-widget {\n position: absolute;\n min-height: 350px; }\n\n/*** vis ***********************************************************/\n.tmap-widget div.vis-network .vis-edit-mode {\n height: 26px; }\n\n.tmap-widget div.vis-network .vis-manipulation {\n height: 34px;\n border-top: 1px solid #d6d9d8;\n background: rgba(245, 245, 245, 0.7); }\n\n.tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled {\n right: 15px; }\n .tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled.tmap-fullscreen-button {\n bottom: 90px;\n background-image: url(<<tmap \"datauri\" \"$:/plugins/felixhayashi/tiddlymap/media/fullscreen.png\" \"\">>); }\n .tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled.tmap-halfscreen-button {\n bottom: 130px;\n background-image: url(<<tmap \"datauri\" \"$:/plugins/felixhayashi/tiddlymap/media/halfscreen.png\" \"\">>); }\n\n.tmap-widget div.vis-network .vis-navigation .vis-button:hover {\n box-shadow: 0 0 3px 3px rgba(75, 75, 75, 0.3); }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover:before {\n background: beige;\n display: block;\n color: black;\n padding: 3px;\n position: relative;\n border: 1px solid lightgray;\n width: 80px;\n left: -100px;\n top: -50px;\n z-index: 1000;\n text-align: center; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-up:before {\n left: 30px;\n content: \"Scroll up\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-down:before {\n left: 30px;\n content: \"Scroll down\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-right:before {\n left: 30px;\n content: \"Scroll right\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-left:before {\n left: 30px;\n content: \"Scroll left\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomOut:before {\n content: \"Zoom out\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomIn:before {\n content: \"Zoom in\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomExtends:before {\n content: \"Fit whole graph\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.tmap-fullscreen-button:before {\n content: \"Toggle Fullscreen\"; }\n .tmap-widget div.vis-network .vis-navigation .vis-button:hover.tmap-halfscreen-button:before {\n content: \"Toggle Halfscreen\"; }\n\n.tc-dropzone > .tc-story-river .tmap-widget .vis-navigation .tmap-halfscreen-button {\n display: none; }\n\n.tc-dropzone > .tc-story-river .tmap-widget:not(.tmap-fullscreen) .vis-navigation .vis-button {\n display: none; }\n\n.tc-dropzone > .tc-story-river .tmap-widget:hover .vis-navigation .vis-button.tmap-fullscreen-button {\n display: block; }\n\n.tc-dropzone > .tc-story-river .tmap-widget:hover .vis-navigation .vis-button.vis-zoomExtends {\n display: block; }\n\n/*** Fullscreen ****************************************************/\nbody.tmap-has-fullscreen-widget {\n overflow: hidden; }\n body.tmap-has-fullscreen-widget .tmap-widget.tmap-fullscreen {\n background: white !important;\n height: 100% !important;\n width: 100% !important;\n position: fixed !important;\n top: 0 !important;\n right: 0 !important;\n bottom: 0 !important;\n left: 0 !important;\n z-index: 999 !important;\n border: none !important;\n margin: 0 !important; }\n body.tmap-has-fullscreen-widget .tc-dropzone > *.tmap-has-fullscreen-widget {\n background: white !important;\n height: 100% !important;\n width: 100% !important;\n position: fixed !important;\n top: 0 !important;\n right: 0 !important;\n bottom: 0 !important;\n left: 0 !important;\n z-index: 999 !important;\n border: none !important;\n margin: 0 !important; }\n body.tmap-has-fullscreen-widget .tc-dropzone > *:not(.tmap-has-fullscreen-widget) {\n display: none; }\n\nbody.tmap-has-halfscreen-widget .tmap-widget.tmap-halfscreen {\n background: white !important;\n height: 100% !important;\n width: 100% !important;\n position: fixed !important;\n top: 0 !important;\n right: 0 !important;\n bottom: 0 !important;\n left: 0 !important;\n z-index: 999 !important;\n border: none !important;\n margin: 0 !important;\n position: absolute !important;\n border-left: 1px solid lightgray !important; }\n\n.tc-modal-footer .tmap-hidden-close-button {\n display: none; }\n\n.tmap-modal-content {\n position: relative;\n padding: 1em 0;\n padding-top: 0; }\n .tmap-modal-content table tr td {\n vertical-align: top; }\n .tmap-modal-content :not(pre) > code {\n padding: 1px;\n font-size: 0.9em;\n position: relative;\n top: -1px; }\n .tmap-modal-content :not(pre) > code {\n color: #666666; }\n .tmap-modal-content .tc-tab-set .tc-tab-content {\n margin-top: 0.5em; }\n .tmap-modal-content .tc-image-button {\n font-size: 14px; }\n .tmap-modal-content fieldset {\n margin: 0px; }\n .tmap-modal-content fieldset legend {\n font-weight: bold; }\n\n.tc-modal-body .tmap-modal-editor > p {\n margin: 0px; }\n\n.tc-modal-body .tmap-modal-editor .tmap-template-select select {\n width: 50%; }\n\n.tc-modal-body .tmap-modal-editor .tc-tiddler-frame {\n margin: auto;\n width: auto; }\n .tc-modal-body .tmap-modal-editor .tc-tiddler-frame .tc-tiddler-controls {\n display: none; }\n .tc-modal-body .tmap-modal-editor .tc-tiddler-frame .tc-tiddler-title {\n display: none; }\n\n.tc-modal-body table {\n margin: 6px 0;\n border: none;\n width: 100%; }\n .tc-modal-body table td, .tc-modal-body table th {\n border: 1px solid lightgray; }\n .tc-modal-body table.tmap-key-value-table th {\n width: 30%;\n background-color: #F0F0F0; }\n .tc-modal-body table.tmap-config-table.tmap-large-input tr td:last-child {\n width: 20%; }\n .tc-modal-body table.tmap-config-table.tmap-small-input tr td:last-child {\n width: 60%; }\n .tc-modal-body table.tmap-config-table tr td {\n border: none;\n vertical-align: top;\n padding: 5px; }\n .tc-modal-body table.tmap-config-table tr td:first-child {\n width: 15%; }\n .tc-modal-body table.tmap-config-table tr td:last-child {\n width: 40%; }\n .tc-modal-body table.tmap-config-table tr td input:not([type=radio]), .tc-modal-body table.tmap-config-table tr td textarea, .tc-modal-body table.tmap-config-table tr td select {\n width: 100%;\n /* prevents bad looking select boxes and wrapping in textareas */\n word-wrap: normal; }\n .tc-modal-body table.tmap-config-table tr td textarea {\n height: 100%; }\n .tc-modal-body table.tmap-config-table tr td .tmap-no-stretch input, .tc-modal-body table.tmap-config-table tr td .tmap-no-stretch textarea, .tc-modal-body table.tmap-config-table tr td .tmap-no-stretch select {\n width: auto; }\n .tc-modal-body table.tmap-config-table tr td div.tmap-button-wrapper {\n text-align: center; }\n .tc-modal-body table.tmap-config-table tr td .tmap-note {\n margin-top: 0.5em; }\n .tc-modal-body table.tmap-config-table tr td .tmap-description {\n font-style: italic; }\n\n#tmap-element-type-manager > div:first-child {\n height: 50vh;\n float: left;\n width: 21%;\n background: linear-gradient(90deg, whitesmoke 0%, white 50%); }\n #tmap-element-type-manager > div:first-child .tmap-searchbar {\n padding: 1em 0 0 0; }\n #tmap-element-type-manager > div:first-child .tmap-searchbar input {\n width: calc(100% - 36px); }\n #tmap-element-type-manager > div:first-child .tmap-searchbar button {\n width: 30px;\n float: right; }\n #tmap-element-type-manager > div:first-child ul {\n height: calc(100% - 65px);\n overflow: auto;\n margin-top: 1em;\n padding: 0; }\n #tmap-element-type-manager > div:first-child ul.no-bullets li {\n list-style: none; }\n #tmap-element-type-manager > div:first-child ul li {\n white-space: nowrap; }\n #tmap-element-type-manager > div:first-child ul li .tmap-ranking {\n width: 30px;\n display: inline-block; }\n\n#tmap-element-type-manager > div:last-child {\n height: 100%;\n width: calc(79% - 15px);\n float: right; }\n #tmap-element-type-manager > div:last-child .tc-tab-set .tc-tab-content {\n overflow: auto;\n height: 50vh; }\n\n.tmap-manage-node-types .tmap-edge-type-specific {\n display: none !important; }\n\n.tmap-manage-edge-types .tmap-node-type-specific {\n display: none !important; }\n\n.tmap-modal-fullscreen-editor .tc-tab-content p {\n margin: 1em 0; }\n\n.tmap-has-pending-template {\n background-color: #C1EDC4; }\n\n#tmap-search-table td {\n border: none;\n padding-left: 0px; }\n #tmap-search-table td:first-child {\n width: 30px; }\n\n#tmap-search-table b {\n display: inline-block;\n width: 40px;\n text-align: right; }\n\n#tmap-search-table ul {\n padding-left: 20px;\n margin: 1em 0 0 0; }\n #tmap-search-table ul li {\n list-style: inherit; }\n #tmap-search-table ul button {\n text-align: left; }\n\nbutton.tmap-go-back {\n margin-bottom: 1em;\n font-weight: bold; }\n\n.tmap-badges > span, .tmap-badge {\n background: gray;\n font-size: 11px;\n color: white;\n padding: 3px 4px;\n display: inline-block;\n font-weight: bold;\n border-radius: 2px;\n line-height: 1em;\n cursor: help; }\n .tmap-badges > span.tmap-red-flag, .tmap-badge.tmap-red-flag {\n background: red; }\n\n/**\n * See http://stackoverflow.com/questions/3331353/transitions-on-the-display-property\n */\n.tmap-popup {\n display: none;\n position: absolute;\n opacity: 0;\n transition: opacity 0.3s ease; }\n .tmap-popup.tmap-popup-active {\n opacity: 1; }\n\n.tmap-tooltip {\n box-shadow: 3px 3px 10px rgba(0, 0, 0, 0.2);\n min-width: 100px;\n min-height: 50px;\n max-width: <<tmap option config.sys.popups.width>>;\n max-height: <<tmap option config.sys.popups.height>>;\n padding: 5px;\n resize: both;\n overflow: auto;\n cursor: auto;\n font-family: verdana;\n font-size: 14px;\n background-color: #f5f4ed;\n border: 1px solid #808074;\n -moz-border-radius: 3px;\n -webkit-border-radius: 3px;\n border-radius: 3px; }\n .tmap-tooltip[style*=\"height\"] {\n max-height: none !important; }\n .tmap-tooltip[style*=\"width\"] {\n max-width: none !important; }\n\n.tmap-quick-connect {\n font-size: 0.7em; }\n .tmap-quick-connect p {\n padding-left: 0;\n padding-right: 0; }\n .tmap-quick-connect .tc-drop-down {\n padding: 5px;\n width: 250px;\n white-space: normal;\n line-height: 1em;\n position: absolute;\n z-index: 1000;\n right: 50px;\n background: linear-gradient(45deg, whitesmoke 0%, white 50%, whitesmoke 100%); }\n .tmap-quick-connect .tc-drop-down:first-child {\n padding-top: 0;\n margin-top: 0; }\n .tmap-quick-connect .tc-drop-down .title {\n margin: 1.5em 0 0.5em 0;\n font-weight: bold;\n color: gray; }\n .tmap-quick-connect .tc-drop-down select {\n width: 80px;\n word-wrap: initial; }\n .tmap-quick-connect .tc-drop-down table {\n width: 100%;\n border: none;\n margin: 0.5em 0; }\n .tmap-quick-connect .tc-drop-down table td, .tmap-quick-connect .tc-drop-down table th {\n padding: 3px 3px 3px 0;\n vertical-align: middle;\n font-weight: normal;\n border: none; }\n .tmap-quick-connect .tc-drop-down table td table, .tmap-quick-connect .tc-drop-down table th table {\n margin: 0; }\n .tmap-quick-connect .tc-drop-down .tmap-quick-connect-search-bar, .tmap-quick-connect .tc-drop-down .tmap-quick-connect-existing-bar {\n background: #F1F1F1;\n margin-top: 0;\n border-bottom: 1px solid #D5D5D5;\n border-top: 1px solid #D5D5D5; }\n .tmap-quick-connect .tc-drop-down table.tmap-quick-connect-search-bar td, .tmap-quick-connect .tc-drop-down th {\n padding: 5px; }\n .tmap-quick-connect .tc-drop-down .tmap-quick-connect-existing-bar {\n padding: 5px; }\n .tmap-quick-connect .tc-drop-down .tmap-connection-table th, .tmap-quick-connect .tc-drop-down .tmap-connection-table td {\n text-align: left;\n padding: 1px 1px 1px 0; }\n .tmap-quick-connect .tc-drop-down .tmap-connection-table button {\n width: 20px;\n padding: 0px; }\n .tmap-quick-connect .tc-drop-down .tmap-scroll-table {\n height: 120px;\n overflow: auto;\n display: block; }\n .tmap-quick-connect .tc-drop-down hr {\n border: 0;\n height: 0;\n border-top: 1px solid rgba(0, 0, 0, 0.1);\n border-bottom: 1px solid rgba(255, 255, 255, 0.3); }\n .tmap-quick-connect .tc-drop-down a {\n display: inline;\n padding: 0;\n color: #5778d8;\n background: transparent; }\n .tmap-quick-connect .tc-drop-down a:hover {\n background: transparent;\n color: #5778d8;\n text-decoration: underline; }\n .tmap-quick-connect .tc-drop-down button {\n display: inline-block;\n padding: 0px 3px;\n text-align: center;\n color: #333333;\n line-height: 1.0; }\n .tmap-quick-connect .tc-drop-down button:hover {\n color: #ffffff; }\n .tmap-quick-connect .tc-drop-down button svg {\n fill: inherit; }\n .tmap-quick-connect .tc-drop-down button svg:hover {\n fill: #ffffff; }\n",
"title": "$:/plugins/felixhayashi/tiddlymap/layout",
"type": "text/vnd.tiddlywiki",
"tags": [
"$:/tags/Stylesheet"
]
},
"$:/plugins/felixhayashi/tiddlymap/js/AbstractEdgeTypeSubscriber": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/AbstractEdgeTypeSubscriber",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/AbstractEdgeTypeSubscriber\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/**\n * Super class for all edge type subscribers.\n */\nvar AbstractEdgeTypeSubscriber = function () {\n\n /**\n * @param {Object.<id, EdgeType>} allEdgeTypes - A list of all EdgeType instances that\n * are currently in the system. Each subscriber may use this list to build up an\n * index or perform mappings etc. Note that this list does not include types that are\n * just about to be inserted. Therefore, this list should only be used, if needed,\n * in the context of edge retrieval via loadEdges.\n * @param {number} [priority} - Subscribers with a higher priority get executed earlier\n * @param {boolean} [skipOthers] - In case of insert and delete operations: Whether or\n * not to skip any subsequent subscribers that also can handle the edge type\n * but have a lower priority assigned.\n * @param {boolean} [ignore] - Whether or not to completely ignore this subscriber.\n * This flag is useful if you want to dynamically at runtime whether or not to\n * include the subscriber.\n */\n function AbstractEdgeTypeSubscriber(allEdgeTypes) {\n var _ref = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {},\n _ref$priority = _ref.priority,\n priority = _ref$priority === undefined ? 0 : _ref$priority,\n _ref$skipOthers = _ref.skipOthers,\n skipOthers = _ref$skipOthers === undefined ? true : _ref$skipOthers,\n _ref$ignore = _ref.ignore,\n ignore = _ref$ignore === undefined ? false : _ref$ignore;\n\n _classCallCheck(this, AbstractEdgeTypeSubscriber);\n\n this.allEdgeTypes = allEdgeTypes;\n this.priority = priority;\n this.skipOthers = skipOthers;\n this.ignore = ignore;\n }\n\n /**\n * DI\n * @param {Tracker} tracker\n */\n\n\n _createClass(AbstractEdgeTypeSubscriber, [{\n key: 'setTracker',\n value: function setTracker(tracker) {\n this.tracker = tracker;\n }\n\n /**\n * Returns all edges stored in the specified tiddler.\n *\n * @interface\n * @param {Tiddler} tObj - the tiddler that holds the references.\n * @param {Object<TiddlerReference, boolean>} toWL - a whitelist of tiddlers that are allowed to\n * be included in the result.\n * @param {Object<id, EdgeType>} [typeWL] - a whitelist that defines that only Tiddlers that are linked\n * via a type specified in the list may be included in the result. If typeWL is not passed it means\n * all types are included.\n * @return {Object<Id, Edge>|null}\n */\n\n }, {\n key: 'loadEdges',\n value: function loadEdges(tObj, toWL, typeWL) {\n\n throw new _exception.MissingOverrideError(this, 'loadEdges');\n }\n\n /**\n * Whether or not this subscriber instance can handle an edge of the given type.\n *\n * @interface\n * @param {EdgeType} edgeType\n * @return boolean\n */\n\n }, {\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n throw new _exception.MissingOverrideError(this, 'canHandle');\n }\n\n /**\n * Called by the Adapter whenever a type is inserted\n *\n * @param {Tiddler} tObj - the tiddler that holds the references.\n * @param {Edge} edge - the edge to be deleted\n * @param {EdgeType} type\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(tObj, edge, type) {}\n\n // optional\n\n /**\n * Called by the Adapter whenever a type is deleted\n *\n * @param {Tiddler} tObj - the tiddler that holds the references.\n * @param {Edge} edge - the edge to be deleted\n * @param {EdgeType} type\n */\n\n }, {\n key: 'deleteEdge',\n value: function deleteEdge(tObj, edge, type) {\n\n // optional\n\n }\n }]);\n\n return AbstractEdgeTypeSubscriber;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = AbstractEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/AbstractEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _Edge = require('$:/plugins/felixhayashi/tiddlymap/js/Edge');\n\nvar _Edge2 = _interopRequireDefault(_Edge);\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nvar _AbstractRefEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber');\n\nvar _AbstractRefEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractRefEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/**\n * @constructor\n */\nvar AbstractMagicEdgeTypeSubscriber = function (_AbstractRefEdgeTypeS) {\n _inherits(AbstractMagicEdgeTypeSubscriber, _AbstractRefEdgeTypeS);\n\n /**\n * @inheritDoc\n */\n function AbstractMagicEdgeTypeSubscriber(allEdgeTypes, options) {\n _classCallCheck(this, AbstractMagicEdgeTypeSubscriber);\n\n // later used for edge retrieval to identify those fields that hold connections\n var _this = _possibleConstructorReturn(this, (AbstractMagicEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(AbstractMagicEdgeTypeSubscriber)).call(this, allEdgeTypes, options));\n\n _this.edgeTypesByFieldName = _utils2.default.makeHashMap();\n\n for (var id in allEdgeTypes) {\n\n var edgeType = allEdgeTypes[id];\n if (_this.canHandle(edgeType)) {\n _this.edgeTypesByFieldName[edgeType.name] = edgeType;\n }\n }\n\n return _this;\n }\n\n /**\n * Returns all references to other tiddlers stored in the specified tiddler.\n *\n * @interface\n * @param {Tiddler} tObj - the tiddler that holds the references.\n * @param {String} fieldName - the name of the field to get the reference from.\n * @param {Object<TiddlerReference, boolean>} toWL - a whitelist of tiddlers that are allowed to\n * be included in the result.\n * @return {Object<Id, Edge>|null}\n */\n\n\n _createClass(AbstractMagicEdgeTypeSubscriber, [{\n key: 'getReferencesFromField',\n value: function getReferencesFromField(tObj, fieldName, toWL) {\n\n throw new _exception.MissingOverrideError(this, 'getReferencesFromField');\n }\n }, {\n key: 'getReferences',\n\n\n /**\n * @inheritDoc\n */\n value: function getReferences(tObj, toWL, typeWL) {\n\n var refsGroupedByType = _utils2.default.makeHashMap();\n var fieldNames = tObj.fields;\n\n for (var fieldName in fieldNames) {\n\n var type = this.edgeTypesByFieldName[fieldName];\n\n if (!type || typeWL && !typeWL[type.id]) continue;\n\n var toRefs = this.getReferencesFromField(tObj, fieldName, toWL);\n\n if (toRefs && toRefs.length) {\n refsGroupedByType[type.id] = toRefs;\n }\n }\n\n return refsGroupedByType;\n }\n }]);\n\n return AbstractMagicEdgeTypeSubscriber;\n}(_AbstractRefEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.default = AbstractMagicEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/AbstractMagicEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _Edge = require('$:/plugins/felixhayashi/tiddlymap/js/Edge');\n\nvar _Edge2 = _interopRequireDefault(_Edge);\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nvar _AbstractEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractEdgeTypeSubscriber');\n\nvar _AbstractEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * Parent class for all subscribers that retrieve or store\n * non-TiddlyMap edges ({@see TmapEdgeTypeSubscriber) from a tiddler,\n * for example tag or list references.\n */\nvar AbstractRefEdgeTypeSubscriber = function (_AbstractEdgeTypeSubs) {\n _inherits(AbstractRefEdgeTypeSubscriber, _AbstractEdgeTypeSubs);\n\n function AbstractRefEdgeTypeSubscriber() {\n _classCallCheck(this, AbstractRefEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (AbstractRefEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(AbstractRefEdgeTypeSubscriber)).apply(this, arguments));\n }\n\n _createClass(AbstractRefEdgeTypeSubscriber, [{\n key: 'loadEdges',\n\n\n /**\n * @inheritDoc\n */\n value: function loadEdges(tObj, toWL, typeWL) {\n\n // references to other tiddlers grouped by their edge type\n var refsByType = this.getReferences(tObj, toWL, typeWL);\n\n if (!refsByType || !_utils2.default.hasElements(refsByType)) return;\n\n var fromId = tObj.fields['tmap.id'];\n var idByT = $tm.tracker.getIdsByTiddlers();\n var allETy = this.allEdgeTypes;\n var fromTRef = _utils2.default.getTiddlerRef(tObj);\n\n var edges = _utils2.default.makeHashMap();\n\n for (var typeId in refsByType) {\n\n var toRefs = refsByType[typeId];\n\n if (!toRefs) {\n continue;\n }\n\n var type = allETy[typeId];\n for (var i = toRefs.length; i--;) {\n var toTRef = toRefs[i];\n\n if (!toTRef || !$tw.wiki.tiddlerExists(toTRef) || _utils2.default.isSystemOrDraft(toTRef) || toWL && !toWL[toTRef]) {\n continue;\n }\n\n var id = type.id + $tw.utils.hashString(fromTRef + toTRef);\n edges[id] = new _Edge2.default(fromId, idByT[toTRef], type.id, id);\n }\n }\n\n return edges;\n }\n\n /**\n * Returns a list of tiddlers (= tiddler names) that are targeted by the specified tiddler.\n * Note: All referenced tiddlers have to be grouped by their edge type.\n *\n * @interface\n * @param {Tiddler} tObj - the tiddler that holds the references.\n * @param {Object<TiddlerReference, boolean>} toWL - a whitelist of tiddlers that are allowed to\n * be included in the result.\n * @param {Object<id, EdgeType>} typeWL - a whitelist that defines that only Tiddlers that are linked\n * via a type specified in the list may be included in the result.\n * @return {Object<string, TiddlerReference[]>|null} a list of referenced tiddlers grouped by their edge type.\n */\n\n }, {\n key: 'getReferences',\n value: function getReferences(tObj, toWL, typeWL) {\n\n throw new _exception.MissingOverrideError(this, 'getReferences');\n }\n }]);\n\n return AbstractRefEdgeTypeSubscriber;\n}(_AbstractEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.default = AbstractRefEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/AbstractRefEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/EdgeTypeSubscriberRegistry": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/EdgeTypeSubscriberRegistry",
"text": "\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/EdgeTypeSubscriberRegistry\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/**\n * Registry to store and retrieve EdgeTypeSubcriber modules that are responsible\n * for handling the retrieval, insertion and deletion of EdgeType objects.\n */\nvar EdgeTypeSubscriberRegistry = function () {\n\n /**\n * @param {AbstractEdgeTypeSubscriber[]} subscribers\n * @param {EdgeType[]} allEdgeTypes\n * @param {Tracker} tracker\n */\n function EdgeTypeSubscriberRegistry(subscribers, allEdgeTypes, tracker) {\n _classCallCheck(this, EdgeTypeSubscriberRegistry);\n\n this.subscriberClasses = subscribers;\n this.tracker = tracker;\n\n this.updateIndex(allEdgeTypes);\n }\n\n /**\n * Gets all matching subscribers for a type.\n *\n * @param {EdgeType} edgeType\n * @returns AbstractEdgeTypeSubscriber[]\n */\n\n\n _createClass(EdgeTypeSubscriberRegistry, [{\n key: \"getAllForType\",\n value: function getAllForType(edgeType) {\n\n var allSubscribers = this.allSubscribers;\n var subscribersForType = [];\n\n for (var i = 0, l = allSubscribers.length; i < l; i++) {\n\n if (allSubscribers[i].canHandle(edgeType)) {\n\n subscribersForType.push(allSubscribers[i]);\n\n if (allSubscribers[i].skipOthers) {\n break;\n }\n }\n }\n\n return subscribersForType;\n }\n\n /**\n * Gets all subscribers.\n *\n * @returns AbstractEdgeTypeSubscriber[]\n */\n\n }, {\n key: \"getAll\",\n value: function getAll() {\n\n return this.allSubscribers;\n }\n\n /**\n * Indexes all subscribers. Moreover, subscribers get linked to the edge\n * types that currently exist in the wiki.\n *\n * This method should be called everytime after an edge type is added or\n * removed in the system.\n *\n * @param {EdgeType[]} allEdgeTypes\n */\n\n }, {\n key: \"updateIndex\",\n value: function updateIndex(allEdgeTypes) {\n\n var allSubscribers = [];\n\n // instantiate and register all active subscriber modules\n var subscriberClass = this.subscriberClasses;\n for (var moduleName in subscriberClass) {\n\n var subscriber = new subscriberClass[moduleName](allEdgeTypes);\n subscriber.setTracker(this.tracker);\n\n // ignore all subscribers that have their ignore flag set to false\n if (subscriber.ignore === true) {\n continue;\n }\n\n allSubscribers.push(subscriber);\n }\n\n // sort subscribers by priority\n allSubscribers.sort(function (s1, s2) {\n return s2.priority - s1.priority;\n });\n\n this.allSubscribers = allSubscribers;\n }\n }]);\n\n return EdgeTypeSubscriberRegistry;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = EdgeTypeSubscriberRegistry;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/EdgeTypeSubscriberRegistry.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/tmap": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/tmap",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.TmapEdgeTypeSubscriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _Edge = require('$:/plugins/felixhayashi/tiddlymap/js/Edge');\n\nvar _Edge2 = _interopRequireDefault(_Edge);\n\nvar _AbstractEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractEdgeTypeSubscriber');\n\nvar _AbstractEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/tmap\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * TiddlyMap's original EdgeTypeSubscriber. It will store and retrieve edges by relying on\n * json stored in a tiddler field.\n *\n * @constructor\n */\nvar TmapEdgeTypeSubscriber = function (_AbstractEdgeTypeSubs) {\n _inherits(TmapEdgeTypeSubscriber, _AbstractEdgeTypeSubs);\n\n function TmapEdgeTypeSubscriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, TmapEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (TmapEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(TmapEdgeTypeSubscriber)).call(this, allEdgeTypes, _extends({ priority: 0 }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(TmapEdgeTypeSubscriber, [{\n key: 'loadEdges',\n value: function loadEdges(tObj, toWL, typeWL) {\n\n var connections = _utils2.default.parseFieldData(tObj, 'tmap.edges');\n if (!connections) {\n return;\n }\n\n var tById = this.tracker.getTiddlersByIds();\n var fromId = tObj.fields['tmap.id'];\n\n var edges = _utils2.default.makeHashMap();\n\n for (var conId in connections) {\n\n var con = connections[conId];\n var toTRef = tById[con.to];\n if (toTRef && (!toWL || toWL[toTRef]) && (!typeWL || typeWL[con.type])) {\n\n edges[conId] = new _Edge2.default(fromId, con.to, con.type, conId);\n }\n }\n\n return edges;\n }\n\n /**\n * @inheritDoc\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(tObj, edge, type) {\n\n // load existing connections\n var connections = _utils2.default.parseFieldData(tObj, 'tmap.edges', {});\n\n // assign new id if not present yet\n edge.id = edge.id || _utils2.default.genUUID();\n // add to connections object\n connections[edge.id] = { to: edge.to, type: type.id };\n\n // save\n _utils2.default.writeFieldData(tObj, 'tmap.edges', connections, $tm.config.sys.jsonIndentation);\n\n return edge;\n }\n\n /**\n * @inheritDoc\n */\n\n }, {\n key: 'deleteEdge',\n value: function deleteEdge(tObj, edge, type) {\n\n if (!edge.id) return;\n\n // load\n var connections = _utils2.default.parseFieldData(tObj, 'tmap.edges', {});\n\n // delete\n delete connections[edge.id];\n\n // save\n _utils2.default.writeFieldData(tObj, 'tmap.edges', connections, $tm.config.sys.jsonIndentation);\n\n return edge;\n }\n\n /**\n * @inheritDoc\n */\n\n }, {\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return true;\n }\n }]);\n\n return TmapEdgeTypeSubscriber;\n}(_AbstractEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.TmapEdgeTypeSubscriber = TmapEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/TmapEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/field": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/field",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.FieldEdgeTypeSubscriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _AbstractMagicEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber');\n\nvar _AbstractMagicEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractMagicEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/field\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/**\n * The FieldEdgeTypeSubscriber deals with connections that are stored in form of tiddler fields.\n * In this case one field can only hold one connection.\n *\n * If an EdgeType with a \"tw-field\" namespace is inserted or deleted, the type's name\n * is interpreted as field name and the connection is stored or removed in a tiddler\n * field with of that name.\n *\n * E.g. creating an edge between the tiddlers \"Betsy\" and \"Dave\" with the type\n * tw-field:husband will create a field \"husband\" inside the \"Betsy\" tiddler and set\n * \"Dave\" as value.\n\n * Note: A single field can only hold one connection.\n *\n * @see http://tiddlymap.org/#tw-field\n *\n * @inheritDoc\n * @constructor\n */\nvar FieldEdgeTypeSubscriber = function (_AbstractMagicEdgeTyp) {\n _inherits(FieldEdgeTypeSubscriber, _AbstractMagicEdgeTyp);\n\n /**\n * @inheritDoc\n */\n function FieldEdgeTypeSubscriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, FieldEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (FieldEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(FieldEdgeTypeSubscriber)).call(this, allEdgeTypes, _extends({ priority: 10 }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(FieldEdgeTypeSubscriber, [{\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return edgeType.namespace === 'tw-field';\n }\n\n /**\n * @override\n */\n\n }, {\n key: 'getReferencesFromField',\n value: function getReferencesFromField(tObj, fieldName, toWL) {\n\n // wrap in array\n return [tObj.fields[fieldName]];\n }\n\n /**\n * Stores and maybe overrides an edge in this tiddler\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(tObj, edge, type) {\n\n var toTRef = this.tracker.getTiddlerById(edge.to);\n if (toTRef == null) {\n // null or undefined\n return;\n }\n\n // only use the name without the private marker or the namespace\n _utils2.default.setField(tObj, type.name, toTRef);\n\n return edge;\n }\n }, {\n key: 'deleteEdge',\n\n\n /**\n * Deletes an edge in this tiddler\n */\n value: function deleteEdge(tObj, edge, type) {\n\n var toTRef = this.tracker.getTiddlerById(edge.to);\n\n if (toTRef == null) {\n // null or undefined\n return;\n }\n\n // only use the name without the private marker or the namespace\n _utils2.default.setField(tObj, type.name, undefined);\n\n return edge;\n }\n }]);\n\n return FieldEdgeTypeSubscriber;\n}(_AbstractMagicEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.FieldEdgeTypeSubscriber = FieldEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/magicEdgeTypeSubscriber/FieldEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/filter": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/filter",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.FilterEdgeTypeSubstriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _AbstractMagicEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber');\n\nvar _AbstractMagicEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractMagicEdgeTypeSubscriber);\n\nvar _widget = require('$:/core/modules/widgets/widget.js');\n\nvar _widget2 = _interopRequireDefault(_widget);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/filter\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * The FilterEdgeTypeSubstriber deals with connections that are stored inside\n * tiddler fields via a dynamic filter.\n *\n * @see http://tiddlymap.org/#tw-filter\n * @see https://github.com/felixhayashi/TW5-TiddlyMap/issues/206\n */\nvar FilterEdgeTypeSubstriber = function (_AbstractMagicEdgeTyp) {\n _inherits(FilterEdgeTypeSubstriber, _AbstractMagicEdgeTyp);\n\n /**\n * @inheritDoc\n */\n function FilterEdgeTypeSubstriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, FilterEdgeTypeSubstriber);\n\n return _possibleConstructorReturn(this, (FilterEdgeTypeSubstriber.__proto__ || Object.getPrototypeOf(FilterEdgeTypeSubstriber)).call(this, allEdgeTypes, _extends({ priority: 10 }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(FilterEdgeTypeSubstriber, [{\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return edgeType.namespace === 'tw-filter';\n }\n\n /**\n * @override\n */\n\n }, {\n key: 'getReferencesFromField',\n value: function getReferencesFromField(tObj, fieldName, toWL) {\n\n var filter = tObj.fields[fieldName];\n\n // Solves https://github.com/felixhayashi/TW5-TiddlyMap/issues/278\n var parentWidget = new _widget2.default.widget({});\n parentWidget.setVariable(\"currentTiddler\", tObj.fields.title);\n var widget = new _widget2.default.widget({}, { \"parentWidget\": parentWidget });\n //noinspection UnnecessaryLocalVariableJS\n var toRefs = _utils2.default.getMatches(filter, toWL, widget);\n\n return toRefs;\n }\n\n /**\n * Stores and maybe overrides an edge in this tiddler\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(tObj, edge, type) {\n\n if (!edge.to) {\n return;\n }\n\n // get the name without the private marker or the namespace\n var name = type.name;\n var currentFilter = tObj.fields[name] || \"\";\n var toTRef = this.tracker.getTiddlerById(edge.to);\n // by treating the toTRef as a list of one, we can make\n // it safe to append to any filter.\n // \"tiddler\" -> \"tiddler\"\n // \"tiddler with spaces\" -> \"[[tiddler with spaces]]\"\n var safe_toTRef = $tw.utils.stringifyList([toTRef]);\n\n if (currentFilter.length > 0) {\n safe_toTRef = \" \" + safe_toTRef;\n }\n\n // save\n _utils2.default.setField(tObj, name, currentFilter + safe_toTRef);\n\n return edge;\n }\n }]);\n\n return FilterEdgeTypeSubstriber;\n}(_AbstractMagicEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.FilterEdgeTypeSubstriber = FilterEdgeTypeSubstriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/magicEdgeTypeSubscriber/FilterEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/list": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/list",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ListEdgeTypeSubscriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _AbstractMagicEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractMagicEdgeTypeSubscriber');\n\nvar _AbstractMagicEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractMagicEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/list\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * The ListEdgeTypeSubstriber deals with connections that are stored inside\n * tiddler fields in a tiddler-list format.\n *\n * If an EdgeType with a 'tw-list\" namespace is inserted or deleted, the type's name\n * is interpreted as field name and the list of connections is stored or removed in a tiddler\n * field with of that name. Each outgoing connection to a tiddler is stored by\n * inserting the title the edge is pointing to into a list.\n *\n * Say you the user creates a connection between tiddler \"Dawna Dozal\" and\n * tiddler \"Toney Thacker\" and names the connection \"tw-list:friends\". Then a field\n * named \"friends\" will be created in tiddler \"Dawna Dozal\" and \"Toney Thacker\" will be\n * added to this field.\n *\n * @see http://tiddlymap.org/#tw-list\n */\nvar ListEdgeTypeSubscriber = function (_AbstractMagicEdgeTyp) {\n _inherits(ListEdgeTypeSubscriber, _AbstractMagicEdgeTyp);\n\n /**\n * @inheritDoc\n */\n function ListEdgeTypeSubscriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, ListEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (ListEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(ListEdgeTypeSubscriber)).call(this, allEdgeTypes, _extends({ priority: 10 }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(ListEdgeTypeSubscriber, [{\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return edgeType.namespace === 'tw-list';\n }\n\n /**\n * @override\n */\n\n }, {\n key: 'getReferencesFromField',\n value: function getReferencesFromField(tObj, fieldName, toWL) {\n\n return $tw.utils.parseStringArray(tObj.fields[fieldName]);\n }\n\n /**\n * Stores and maybe overrides an edge in this tiddler\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(tObj, edge, type) {\n\n if (!edge.to) {\n return;\n }\n\n // get the name without the private marker or the namespace\n var name = type.name;\n\n var list = $tw.utils.parseStringArray(tObj.fields[name]);\n // we need to clone the array since tiddlywiki might directly\n // returned the auto-parsed field value (as in case of tags, or list)\n // and this array would be read only!\n list = (list || []).slice();\n\n // transform\n var toTRef = this.tracker.getTiddlerById(edge.to);\n\n list.push(toTRef);\n\n // save\n _utils2.default.setField(tObj, name, $tw.utils.stringifyList(list));\n\n return edge;\n }\n }, {\n key: 'deleteEdge',\n\n\n /**\n * Deletes an edge in this tiddler\n */\n value: function deleteEdge(tObj, edge, type) {\n\n var list = $tw.utils.parseStringArray(tObj.fields[type.name]);\n // we need to clone the array since tiddlywiki might directly\n // returned the auto-parsed field value (as in case of tags, or list)\n // and this array would be read only!\n list = (list || []).slice();\n\n // transform\n var toTRef = this.tracker.getTiddlerById(edge.to);\n\n var index = list.indexOf(toTRef);\n if (index > -1) {\n list.splice(index, 1);\n }\n\n // @see https://github.com/felixhayashi/TW5-TiddlyMap/issues/288\n var stringList = void 0;\n if (list.length > 0) {\n stringList = $tw.utils.stringifyList(list);\n }\n // save\n _utils2.default.setField(tObj, type.name, stringList);\n\n return edge;\n }\n }]);\n\n return ListEdgeTypeSubscriber;\n}(_AbstractMagicEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.ListEdgeTypeSubscriber = ListEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/magicEdgeTypeSubscriber/ListEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/link": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/link",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.LinkEdgeTypeSubscriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _AbstractRefEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber');\n\nvar _AbstractRefEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractRefEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/link\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/**\n * The LinkEdgeTypeSubscriber deals with connections that are stored inside\n * a tiddler' text field.\n *\n * Note: This subscriber only retrieves edges, however doesn't store or delete them.\n *\n * @see http://tiddlymap.org/#tw-body\n */\nvar LinkEdgeTypeSubscriber = function (_AbstractRefEdgeTypeS) {\n _inherits(LinkEdgeTypeSubscriber, _AbstractRefEdgeTypeS);\n\n /**\n * @inheritDoc\n */\n function LinkEdgeTypeSubscriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, LinkEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (LinkEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(LinkEdgeTypeSubscriber)).call(this, allEdgeTypes, _extends({ priority: 20 }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(LinkEdgeTypeSubscriber, [{\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return edgeType.id === 'tw-body:link';\n }\n\n /**\n * @inheritDoc\n */\n\n }, {\n key: 'getReferences',\n value: function getReferences(tObj, toWL, typeWL) {\n\n if (typeWL && !typeWL['tw-body:link']) {\n return;\n }\n\n var toRefs = $tw.wiki.getTiddlerLinks(tObj.fields.title);\n\n if (!toRefs || !toRefs.length) {\n return;\n }\n\n return { 'tw-body:link': toRefs };\n }\n }]);\n\n return LinkEdgeTypeSubscriber;\n}(_AbstractRefEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.LinkEdgeTypeSubscriber = LinkEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/refEdgeTypeSubscriber/LinkEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/transclude": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/transclude",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.TranscludeEdgeTypeSubscriber = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _AbstractRefEdgeTypeSubscriber = require('$:/plugins/felixhayashi/tiddlymap/js/AbstractRefEdgeTypeSubscriber');\n\nvar _AbstractRefEdgeTypeSubscriber2 = _interopRequireDefault(_AbstractRefEdgeTypeSubscriber);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/modules/edge-type-handler/body/transclude\ntype: application/javascript\nmodule-type: tmap.edgetypehandler\n\n@preserve\n\n\\*/\n\n/**\n * The TranscludeEdgeTypeSubscriber retrieves connections that result tiddler transclusions.\n *\n * Note: This subscriber only retrieves edges, however doesn't store or delete them. It only\n * works if the `$tw.wiki.getTiddlerTranscludes` method is present in the wiki.\n */\nvar TranscludeEdgeTypeSubscriber = function (_AbstractRefEdgeTypeS) {\n _inherits(TranscludeEdgeTypeSubscriber, _AbstractRefEdgeTypeS);\n\n /**\n * @inheritDoc\n */\n function TranscludeEdgeTypeSubscriber(allEdgeTypes) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, TranscludeEdgeTypeSubscriber);\n\n return _possibleConstructorReturn(this, (TranscludeEdgeTypeSubscriber.__proto__ || Object.getPrototypeOf(TranscludeEdgeTypeSubscriber)).call(this, allEdgeTypes, _extends({\n priority: 20,\n ignore: typeof $tw.wiki.getTiddlerTranscludes !== 'function'\n }, options)));\n }\n\n /**\n * @inheritDoc\n */\n\n\n _createClass(TranscludeEdgeTypeSubscriber, [{\n key: 'canHandle',\n value: function canHandle(edgeType) {\n\n return edgeType.id === 'tw-body:transclude';\n }\n\n /**\n * @inheritDoc\n */\n\n }, {\n key: 'getReferences',\n value: function getReferences(tObj, toWL, typeWL) {\n\n if (typeWL && !typeWL['tw-body:transclude']) {\n return;\n }\n\n var toRefs = $tw.wiki.getTiddlerTranscludes(tObj.fields.title);\n\n if (!toRefs || !toRefs.length) {\n return;\n }\n\n return { 'tw-body:transclude': toRefs };\n }\n }]);\n\n return TranscludeEdgeTypeSubscriber;\n}(_AbstractRefEdgeTypeSubscriber2.default);\n\n/*** Exports *******************************************************/\n\nexports.TranscludeEdgeTypeSubscriber = TranscludeEdgeTypeSubscriber;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/edgeTypeSubscriber/refEdgeTypeSubscriber/TranscludeEdgeTypeSubscriber.js.map\n",
"type": "application/javascript",
"module-type": "tmap.edgetypehandler"
},
"$:/plugins/felixhayashi/tiddlymap/js/Edge": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/Edge",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/Edge\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * @constructor\n */\nvar Edge = function Edge(from, to, type, id) {\n _classCallCheck(this, Edge);\n\n this.from = from;\n this.to = to;\n this.type = type;\n this.id = id || _utils2.default.genUUID();\n};\n\n/*** Exports *******************************************************/\n\nexports.default = Edge;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/graph/Edge.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/EdgeType": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/EdgeType",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _MapElementType2 = require('$:/plugins/felixhayashi/tiddlymap/js/MapElementType');\n\nvar _MapElementType3 = _interopRequireDefault(_MapElementType2);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/EdgeType\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * This class is used to abstract edge types. It facilitates the parsing\n * of style information, the translation of type names into actual type data\n * or the persistance of edge type data.\n *\n * Note: EdgeType instances are immutable (frozen).\n */\nvar EdgeType = function (_MapElementType) {\n _inherits(EdgeType, _MapElementType);\n\n /**\n * @param {EdgeTypeId} id\n * @param {Object} [data] @see http://visjs.org/docs/network/edges.html\n */\n function EdgeType(id, data) {\n _classCallCheck(this, EdgeType);\n\n // we do not simply use the provided id but disassemble and\n // reassemble it again to ensure the id is well formatted.\n var _EdgeType$getIdParts = EdgeType.getIdParts(id),\n marker = _EdgeType$getIdParts.marker,\n namespace = _EdgeType$getIdParts.namespace,\n name = _EdgeType$getIdParts.name;\n\n id = EdgeType.getId(marker, namespace, name);\n\n // call the parent constructor\n\n var _this = _possibleConstructorReturn(this, (EdgeType.__proto__ || Object.getPrototypeOf(EdgeType)).call(this, id, env.path.edgeTypes, EdgeType.fieldMeta, data));\n\n _this.id = id;\n _this.marker = marker;\n _this.name = name;\n _this.namespace = namespace;\n\n var arrows = (_this.style || {}).arrows;\n\n if (arrows) {\n\n _this.invertedArrow = isArrowEnabled(arrows, 'from');\n _this.toArrow = isArrowEnabled(arrows, 'to') || isArrowEnabled(arrows, 'middle');\n // determine if bi arrows (either from+to or no arrows)\n _this.biArrow = _this.invertedArrow === _this.toArrow;\n\n if (_this.biArrow) {\n _this.toArrow = true;\n _this.invertedArrow = true;\n }\n } else {\n\n _this.toArrow = true;\n }\n\n Object.freeze(_this);\n\n return _this;\n }\n\n /**\n * Returns an object holding the parts that make up the edge type id.\n *\n * @param {EdgeTypeId} id\n * @return {{marker: (*|string), namespace: (*|string), name: (*|string)}}\n */\n\n\n _createClass(EdgeType, [{\n key: 'getLabel',\n value: function getLabel() {\n\n return this.label || this.name;\n }\n }], [{\n key: 'getIdParts',\n value: function getIdParts() {\n var id = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : '';\n\n\n id = _utils2.default.getWithoutPrefix(id, env.path.edgeTypes + '/');\n var match = id.match(edgeTypeRegex) || [];\n\n return {\n marker: match[1] || '',\n namespace: match[3] && match[2] || '',\n name: match[3] || match[2] || ''\n };\n }\n }, {\n key: 'getId',\n\n\n /**\n * Creates an {@link EdgeTypeId} from a set of parts that make up the id.\n * If it is not possible to create the id from the parts, the default\n * edge type 'tmap:unknown' is returned.\n *\n * @param {string} marker\n * @param {string} namespace\n * @param {string} name\n * @return {EdgeTypeId}\n */\n value: function getId() {\n var marker = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : '';\n var namespace = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : '';\n var name = arguments[2];\n\n\n return name ? marker + (namespace && namespace + ':') + name : 'tmap:unknown';\n }\n }]);\n\n return EdgeType;\n}(_MapElementType3.default);\n\n/**\n * @see https://github.com/babel/babel/issues/4854\n * @param {string} id - Either the edge type id (name)\n * or a tiddler reference denoting the type or an\n * `EdgeType` object (that is directly bounced back). If the\n * id can be translated into a tiddler object that resides in\n * the edge type path, then its data is retrieved automatically.\n */\n\n\nEdgeType.getInstance = function (id) {\n return id instanceof EdgeType ? id : new EdgeType(id);\n};\n\nEdgeType.fieldMeta = _extends({}, _MapElementType3.default.fieldMeta, {\n 'label': {},\n 'show-label': {}\n});\n\n/**\n *\n * @param {Object} arrows\n * @param {('from'|'to'|'middle')} direction\n * @return {boolean}\n */\nvar isArrowEnabled = function isArrowEnabled(arrows, direction) {\n\n var arrow = arrows[direction];\n\n if (arrow == null && direction === 'to') {\n // if the arrow is not further specified and its direction is to\n // we regard it as enabled.\n return true;\n }\n\n return (typeof arrow === 'undefined' ? 'undefined' : _typeof(arrow)) === 'object' ? arrow.enabled !== false : arrow === true;\n};\n\n/**\n * An edge-type id consists of the following parts of which the\n * first two are optional: `[marker][namespace:]name`\n *\n * The colon is not considered to be part of the namespace.\n */\nvar edgeTypeRegex = new RegExp('^(_?)([^:_][^:]*):?([^:]*)');\n\n/*** Exports *******************************************************/\n\nexports.default = EdgeType;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/graph/EdgeType.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/MapElementType": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/MapElementType",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/MapElementType\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/*** Code **********************************************************/\n\n/**\n * @abstract\n */\nvar MapElementType = function () {\n function MapElementType(id, root, fieldMeta, data) {\n _classCallCheck(this, MapElementType);\n\n this.id = id;\n this.root = root;\n this._fieldMeta = fieldMeta;\n this.fullPath = this.root + '/' + this.id;\n this.isShipped = $tw.wiki.getSubTiddler($tm.path.pluginRoot, this.fullPath);\n\n // finally get the data\n this._load(data || this.fullPath);\n }\n\n /**\n * Load the type's data. Depending on the constructor arguments,\n * the data source can be a tiddler, a type store\n *\n * @private\n */\n\n\n _createClass(MapElementType, [{\n key: '_load',\n value: function _load(data) {\n\n if (!data) {\n\n return;\n }\n\n if (typeof data === 'string') {\n // assume id or full path\n\n var isFullPath = _utils2.default.startsWith(data, this.root);\n var tRef = isFullPath ? data : this.root + '/' + data;\n this._loadFromTiddler(tRef);\n } else if (data instanceof $tw.Tiddler) {\n\n this._loadFromTiddler(data);\n } else if ((typeof data === 'undefined' ? 'undefined' : _typeof(data)) === 'object') {\n // = type or a data object\n\n for (var field in this._fieldMeta) {\n this[field] = data[field];\n }\n }\n }\n\n /**\n * Retrieve all data from the tiddler provided. If a shadow tiddler\n * with the same id exists, its data is merged during the load\n * process.\n *\n * @private\n */\n\n }, {\n key: '_loadFromTiddler',\n value: function _loadFromTiddler(tiddler) {\n\n var tObj = _utils2.default.getTiddler(tiddler);\n\n if (!tObj) {\n\n return;\n }\n\n var shadowTObj = $tw.wiki.getSubTiddler($tm.path.pluginRoot, this.fullPath) || {};\n\n // copy object to allow manipulation of the data\n var rawData = $tw.utils.extend({}, shadowTObj.fields, tObj.fields);\n // allow parsers to transform the raw field data\n for (var field in this._fieldMeta) {\n\n var parser = this._fieldMeta[field].parse;\n var rawVal = rawData[field];\n\n this[field] = parser ? parser.call(this, rawVal) : rawVal;\n }\n }\n\n /**\n * Method to determine whether or not this type exists. A type\n * exists if a tiddler with the type's id can be found below\n * the type's root path.\n *\n * @return {boolean} True if the type exists, false otherwise.\n */\n\n }, {\n key: 'exists',\n value: function exists() {\n\n return _utils2.default.tiddlerExists(this.fullPath);\n }\n }, {\n key: 'setStyle',\n value: function setStyle(style, isMerge) {\n\n // preprocessing: try to turn string into json\n if (typeof style === 'string') {\n\n style = _utils2.default.parseJSON(style);\n }\n\n // merge or override\n if ((typeof style === 'undefined' ? 'undefined' : _typeof(style)) === 'object') {\n\n if (isMerge) {\n\n _utils2.default.merge(this.style, style);\n } else {\n\n this.style = style;\n }\n }\n }\n\n /**\n * Store the type object as tiddler in the wiki. If the `tRef`\n * property is not provided, the default type path prefix\n * will be used with the type id appended. Stringifiers provided in\n * the field meta object (that was passed to the constructor) are\n * called.\n *\n * @param {string} [tRef] - If `tRef` is provided, the type\n * data will be written into this tiddler and the id property\n * is added as extra field value. Only do this is only for\n * dumping purposes!\n * @param {boolean} [silently=false] do not update the modification date\n */\n\n }, {\n key: 'save',\n value: function save(tRef, silently) {\n\n if (!tRef) {\n\n tRef = this.fullPath;\n } else if (typeof tRef !== 'string') {\n\n return;\n }\n\n // also add an empty text field to guard against\n // https://github.com/Jermolene/TiddlyWiki5/issues/2025\n var fields = {\n title: tRef,\n text: ''\n };\n\n if (!_utils2.default.startsWith(tRef, this.root)) {\n\n // = not the standard path for storing this type!\n // in this case we add the id to the output.\n fields.id = this.id;\n }\n\n // allow parsers to transform the raw field data\n for (var field in this._fieldMeta) {\n\n var stringify = this._fieldMeta[field].stringify;\n\n fields[field] = stringify ? stringify.call(this, this[field]) : this[field];\n }\n\n if (!this.exists()) {\n // newly created\n Object.assign(fields, $tw.wiki.getCreationFields());\n }\n\n if (silently !== true) {\n // add modification date to the output;\n Object.assign(fields, $tw.wiki.getModificationFields());\n }\n\n $tw.wiki.addTiddler(new $tw.Tiddler(fields));\n }\n }]);\n\n return MapElementType;\n}();\n\n/**\n * A list of fields that are used as data identifiers. Only these\n * listed keys are acknowledged by the load and save functions in\n * this class.\n *\n * This object resembles tw's field modules that are used by\n * `boot.js` to decide how fields are parsed and stringified again.\n */\n\n\nMapElementType.fieldMeta = {\n 'description': {},\n 'style': {\n parse: _utils2.default.parseJSON,\n stringify: JSON.stringify\n },\n 'modified': {}, // translation handled by TW's core\n 'created': {} // translation handled by TW's core\n};\n\n/*** Exports *******************************************************/\n\nexports.default = MapElementType;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/graph/MapElementType.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/NodeType": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/NodeType",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _MapElementType2 = require('$:/plugins/felixhayashi/tiddlymap/js/MapElementType');\n\nvar _MapElementType3 = _interopRequireDefault(_MapElementType2);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/NodeType\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * Used to define the type of a node.\n *\n * Note: NodeType instances are immutable (frozen).\n */\nvar NodeType = function (_MapElementType) {\n _inherits(NodeType, _MapElementType);\n\n function NodeType(id, data) {\n _classCallCheck(this, NodeType);\n\n id = typeof id === 'string' ? _utils2.default.getWithoutPrefix(id, $tm.path.nodeTypes + '/') : 'tmap:unknown';\n\n // call the parent constructor\n\n var _this = _possibleConstructorReturn(this, (NodeType.__proto__ || Object.getPrototypeOf(NodeType)).call(this, id, $tm.path.nodeTypes, NodeType.fieldMeta, data));\n\n Object.freeze(_this);\n\n return _this;\n }\n\n /**\n * Get all tiddlers that inherit this type.\n *\n * @param {Array<TiddlerReference>} [src=$tw.wiki.allTitles()] - A list\n * of tiddlers that is searched for inheritors.\n * @return {Array<TiddlerReference>} The inheritors.\n */\n\n\n _createClass(NodeType, [{\n key: 'getInheritors',\n value: function getInheritors(src) {\n\n return this.scope ? _utils2.default.getMatches(this.scope, src || $tw.wiki.allTitles()) : [];\n }\n }]);\n\n return NodeType;\n}(_MapElementType3.default);\n\n/**\n * @see https://github.com/babel/babel/issues/4854\n * @param {string} id - Either the edge type id (name)\n * or a tiddler reference denoting the type or an\n * `EdgeType` object (that is directly bounced back). If the\n * id can be translated into a tiddler object that resides in\n * the edge type path, then its data is retrieved automatically.\n */\n\n\nNodeType.getInstance = function (id) {\n return id instanceof NodeType ? id : new NodeType(id);\n};\n\nNodeType.fieldMeta = _extends({}, _MapElementType3.default.fieldMeta, {\n 'view': {},\n 'priority': {\n parse: function parse(raw) {\n return isNaN(raw) ? 1 : parseInt(raw);\n },\n stringify: function stringify(num) {\n return _utils2.default.isInteger(num) ? num.toString() : '1';\n }\n },\n 'scope': {\n stringify: _utils2.default.getWithoutNewLines\n },\n 'fa-icon': {},\n 'tw-icon': {}\n});\n\n/*** Exports *******************************************************/\n\nexports.default = NodeType;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/graph/NodeType.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/*** Code **********************************************************/\n\n/**\n * This class abstracts the various pieces that together make up the\n * view such as map, edge filter, node filter, config etc.\n * If {@code isCreate} is not specified, the viewAbstraction will only\n * represent the view and not create it or any missing part of it.\n */\nvar ViewAbstraction = function () {\n\n /**\n *\n * @param {string|ViewAbstraction|Tiddler} view - The view\n * @param {Object} options\n * @param {boolean} [options.isCreate] - True if the view should be created and override\n * any existing view, false otherwise.\n */\n function ViewAbstraction(view) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n _classCallCheck(this, ViewAbstraction);\n\n if (view instanceof ViewAbstraction) {\n\n // bounce back the object we received\n return view;\n }\n\n this._registerPaths(view);\n\n if (options.isCreate) {\n\n if (!this.configTRef) {\n\n var name = _utils2.default.getRandomLabel({ plural: true });\n this.configTRef = $tw.wiki.generateNewTitle($tm.path.views + '/' + name);\n }\n\n this._createView(options);\n } else if (!ViewAbstraction.exists(this.getRoot())) {\n // no valid config path\n\n throw new ResourceNotFoundException('ViewAbstraction', view);\n }\n }\n\n /**\n * Returns true if this view cannot be edited.\n * As a general rule, all views that come as plugins are locked.\n *\n * @return {boolean}\n */\n\n\n _createClass(ViewAbstraction, [{\n key: 'isLocked',\n value: function isLocked() {\n\n return $tw.wiki.isShadowTiddler(this.configTRef);\n }\n\n /**\n * Gives the view a chance to rebuild its properties cache.\n *\n * @param {Updates} updates\n * @return {boolean} True if changes affect parts of the view.\n */\n\n }, {\n key: 'update',\n value: function update(updates) {\n var changedTiddlers = updates.changedTiddlers;\n\n\n if (updates[env.path.edgeTypes] || _utils2.default.hasKeyWithPrefix(changedTiddlers, this.getRoot())) {\n this._clearCaches();\n\n return true;\n }\n\n return false;\n }\n\n /**\n * clones the tiddler denoted via tRef and uses it as placeholder\n * for this view when a widget using this view is displayed in\n * static mode\n *\n * @param {Tiddler} tiddler\n */\n\n }, {\n key: 'addPlaceholder',\n value: function addPlaceholder(tiddler) {\n\n _utils2.default.cp(_utils2.default.getTiddler(tiddler), this.snapshotTRef, true);\n }\n\n /**\n * A view exists if the the view's root exists as tiddler in the store.\n *\n * @deprecated\n *\n * @return {boolean}\n */\n\n }, {\n key: 'exists',\n value: function exists() {\n\n return ViewAbstraction.exists(this);\n }\n\n /**\n * The path to the config tiddler that represents the view.\n *\n * @return {TiddlerReference}\n */\n\n }, {\n key: 'getRoot',\n value: function getRoot() {\n\n return this.configTRef;\n }\n\n /**\n * Returns this view's creation date.\n *\n * @param {boolean} [asString] True if the returned value should be a string in any case.\n * @return {string|object|undefined} The creation date in the specified output format.\n */\n\n }, {\n key: 'getCreationDate',\n value: function getCreationDate(asString) {\n\n var date = $tw.wiki.getTiddler(this.configTRef).fields['created'];\n\n if (asString) {\n // note: th will be translated as well!\n return date instanceof Date ? $tw.utils.formatDateString(date, 'DDth MMM YYYY') : '';\n }\n\n return date;\n }\n\n /**\n * The label of the view (which is basically the root-path's basename).\n *\n * @return {string} The label (name) of the view.\n */\n\n }, {\n key: 'getLabel',\n value: function getLabel() {\n\n return _utils2.default.getBasename(this.configTRef);\n }\n\n /**\n * Method to remove the view and its configuration.\n * It will make the view non-existent.\n *\n * Note: Do not use the object anymore after you called this function!\n */\n\n }, {\n key: 'destroy',\n value: function destroy() {\n\n // delete the view and all tiddlers stored in its path (map, edge-filter etc.)\n _utils2.default.deleteTiddlers(_utils2.default.getMatches('[prefix[' + this.configTRef + ']]'));\n }\n\n /**\n * Returns all tiddlers include tiddlymap widgets that reference this view.\n */\n\n }, {\n key: 'getOccurrences',\n value: function getOccurrences() {\n\n var filter = '[regexp:text[<\\\\$(tiddlymap|tmap).*?view=.' + this.getLabel() + '..*?>]]';\n return _utils2.default.getMatches(filter);\n }\n\n /**\n * Renames the view.\n *\n * @param {string} newLabel\n * @return {boolean}\n */\n\n }, {\n key: 'rename',\n value: function rename(newLabel) {\n\n if (typeof newLabel !== 'string') {\n\n return false;\n }\n\n if (_utils2.default.inArray('/', newLabel)) {\n\n $tm.notify('A view name must not contain any \"/\"');\n\n return false;\n }\n\n // keep a reference to the old label before we change it\n var oldLabel = this.getLabel();\n\n // start the renaming\n var newRoot = env.path.views + '/' + newLabel;\n var oldRoot = this.getRoot();\n\n _utils2.default.mv(oldRoot, newRoot, true);\n\n // update references\n\n if ($tm.config.sys.defaultView === oldLabel) {\n _utils2.default.setEntry($tm.ref.sysUserConf, 'defaultView', newLabel);\n }\n\n if ($tm.config.sys.liveTab.fallbackView === oldLabel) {\n _utils2.default.setEntry($tm.ref.sysUserConf, 'liveTab.fallbackView', newLabel);\n }\n\n $tw.wiki.each(function (tObj, tRef) {\n\n if (tObj.fields['tmap.open-view'] === oldLabel) {\n\n // update global node data fields referencing this view\n _utils2.default.setField(tRef, 'tmap.open-view', newLabel);\n\n return;\n }\n\n if (ViewAbstraction.exists(tRef)) {\n\n // update all local node data referencing this view\n var view = new ViewAbstraction(tRef);\n var nodes = view.getNodeData();\n\n for (var id in nodes) {\n if (nodes[id]['open-view'] === oldLabel) {\n nodes[id]['open-view'] = newLabel;\n }\n }\n\n view.saveNodeData(nodes);\n }\n });\n\n // clear caches registered to previous root before registering new paths\n this._clearCaches();\n this._registerPaths(newLabel);\n }\n\n /**\n * All configurations that are toggled via checkboxes to have a value\n * either `true` or `false` can be accessed via this method.\n *\n * @param {string} name - The configs name without the `_config` prefix.\n * @return {boolean} True if the configuration is enabled, false otherwise.\n */\n\n }, {\n key: 'isEnabled',\n value: function isEnabled(name) {\n\n return _utils2.default.isTrue(this.getConfig(name), false);\n }\n\n /**\n * Returns a configuration value relating to the given name. If no name\n * is given, an object with all configurations is returned.\n *\n * @param {string} [name] - Instead of all configurations being returned,\n * only the configuration named name is returned. The initial \"config.\"\n * may be omitted.\n * @result {string|Object} If `type` is not specified an object containing\n * all configurations is returned, otherwise a single value will be returned.\n */\n\n }, {\n key: 'getConfig',\n value: function getConfig(name) {\n var _this = this;\n\n var config = $tw.wiki.getCacheForTiddler(this.configTRef, \"tmap-config\", function () {\n\n var fields = _utils2.default.getTiddler(_this.configTRef).fields;\n return _utils2.default.getPropertiesByPrefix(fields, 'config.');\n });\n\n var prefixlessName = name && _utils2.default.startsWith(name, 'config.') ? name : 'config.' + name;\n\n return name ? config[prefixlessName] : config;\n }\n\n /**\n * Enables the api user to modify the view's configuration.\n *\n * In case two arguments are provided, the first is assumed to be the property\n * name and the second the value to be set.\n *\n * In case a single object is provided as argument, it is treated as a key-value\n * collection and each property in this object is saved as config.\n *\n * @param {*} args\n */\n\n }, {\n key: 'setConfig',\n value: function setConfig() {\n for (var _len = arguments.length, args = Array(_len), _key = 0; _key < _len; _key++) {\n args[_key] = arguments[_key];\n }\n\n if (args[0] == null) {\n // null or undefined\n\n return;\n }\n\n if (args.length === 1 && _typeof(args[0]) === 'object') {\n\n for (var prop in args[0]) {\n this.setConfig(prop, args[0][prop]);\n }\n } else if (args.length === 2 && typeof args[0] === 'string') {\n\n var _prop = _utils2.default.getWithoutPrefix(args[0], 'config.');\n var val = args[1];\n\n if (val === undefined) {\n\n return;\n }\n\n var config = this.getConfig();\n\n if (val === null) {\n\n $tm.logger('debug', 'Removing config', _prop);\n delete config['config.' + _prop];\n } else {\n\n if (_prop === 'edge_type_namespace') {\n var match = val.match(/[^:]+/);\n val = match ? match[0] : '';\n }\n }\n\n $tm.logger('log', 'Setting config', _prop, val);\n config['config.' + _prop] = val;\n\n // save\n $tw.wiki.addTiddler(new $tw.Tiddler(_utils2.default.getTiddler(this.configTRef), config));\n } else {\n // not allowed\n\n throw new (Function.prototype.bind.apply(_exception.InvalidArgumentException, [null].concat(args)))();\n }\n }\n\n /**\n * Whether this view represents the 'live view'\n *\n * @return {boolean}\n */\n\n }, {\n key: 'isLiveView',\n value: function isLiveView() {\n\n return this.getLabel() === $tm.misc.liveViewLabel;\n }\n\n /**\n * Whether the node is already explicitly contained in the view's node filter,\n * i.e. whether it is explicitly referenced by its title.\n *\n * @private\n *\n * @param {Node} node\n * @return {string}\n */\n\n }, {\n key: '_isNodeIncludedById',\n value: function _isNodeIncludedById(node) {\n\n var regex = $tw.utils.escapeRegExp(ViewAbstraction._getNodeIdFilterPart(node));\n\n return this.getNodeFilter('raw').match(regex);\n }\n\n /**\n * Sets and rebuilds the node filter according to the expression provided.\n *\n * @param {string} expr - A tiddlywiki filter expression.\n * @param {boolean} force\n */\n\n }, {\n key: 'setNodeFilter',\n value: function setNodeFilter(expr, force) {\n\n expr = expr.replace(/[\\n\\r]/g, ' ');\n\n if (this.getNodeFilter('raw') === expr) {\n // already up to date;\n // This check is critical to prevent recursion!\n return;\n }\n\n _utils2.default.setField(this.nodeFilterTRef, 'filter', expr);\n\n $tm.logger('debug', 'Node filter set to', expr);\n }\n\n /**\n * Sets and rebuilds the edge type filter according to the expression provided.\n *\n * @param {string} expr - A tiddlywiki filter expression.\n */\n\n }, {\n key: 'setEdgeTypeFilter',\n value: function setEdgeTypeFilter(expr) {\n\n expr = expr.replace(/[\\n\\r]/g, ' ');\n\n if (this.getEdgeTypeFilter('raw') === expr) {\n // already up to date\n // This check is critical to prevent recursion!\n return;\n }\n\n _utils2.default.setField(this.edgeTypeFilterTRef, 'filter', expr);\n\n $tm.logger('debug', 'Edge filter set to', expr);\n }\n\n /**\n * Method to append a filter part to the current filter (*or*-style).\n * The node's tmap.id will be used in the filter to reference the corresponding tiddler.\n *\n * @param {Node} node\n */\n\n }, {\n key: 'addNode',\n value: function addNode(node) {\n\n if (!this._isNodeIncludedById(node)) {\n\n // @see https://github.com/felixhayashi/TW5-TiddlyMap/issues/285\n if (_utils2.default.isTrue($tm.config.sys.alwaysAddNodeIdToViewFilter) || this.getNodeFilter('compiled')().indexOf(node.label) == -1) {\n\n var part = ViewAbstraction._getNodeIdFilterPart(node);\n var separator = ' ';\n this.setNodeFilter(this.getNodeFilter('raw') + separator + part);\n }\n\n this.saveNodePosition(node);\n }\n }\n\n /**\n * Removes a node from the the view filter that has been\n * explicitly added before.\n *\n * ATTENTION: Never remove the node data (i.e. style and positions)\n * from the node-data store. This will be done by a garbage\n * collector. See Adapter.prototype._removeObsoleteViewData\n *\n * @return {boolean} True if node was removed, false otherwise.\n * Note: false is also returned if the node did not exist before.\n */\n\n }, {\n key: 'removeNode',\n value: function removeNode(nodeId) {\n\n if (!this._isNodeIncludedById(nodeId)) {\n\n return false;\n }\n\n var part = ViewAbstraction._getNodeIdFilterPart(nodeId);\n var f = this.getNodeFilter('raw').replace(part, '');\n\n this.setNodeFilter(f);\n\n if (this.getNodeData(nodeId)) {\n this.saveNodeData(nodeId, null);\n }\n\n return true;\n }\n\n /**\n * Method will return a tiddlywiki edge-type filter that is used to\n * decide which edge types are displayed by the graph.\n *\n * Note: needs to be recalculated if the collection of edge types changed\n * in the wiki.\n *\n * @param {(\"raw\"|\"pretty\"|\"matches\"|\"whitelist\")} [type]\n * Use this param to control the output type.\n * @result {*}\n * Depends on the type param:\n * - raw: the original filter string\n * - pretty: the prettyfied filter string for usage in textareas\n * - matches: {Array<string>} all matches\n * - whitelist: A lookup table where all matches are true\n */\n\n }, {\n key: 'getEdgeTypeFilter',\n value: function getEdgeTypeFilter(type) {\n var _this2 = this;\n\n var filter = $tw.wiki.getCacheForTiddler(this.edgeTypeFilterTRef, \"tmap-edgeTypeFilter\", function () {\n\n var allETy = $tm.indeces.allETy;\n var src = Object.keys(allETy);\n var tObj = $tw.wiki.getTiddler(_this2.edgeTypeFilterTRef);\n\n var filter = {};\n filter.raw = tObj && tObj.fields.filter || '';\n filter.pretty = _utils2.default.getPrettyFilter(filter.raw);\n filter.matches = _utils2.default.getEdgeTypeMatches(filter.raw, allETy);\n filter.whitelist = _utils2.default.getLookupTable(filter.matches);\n\n return filter;\n });\n\n return type ? filter[type] : filter;\n }\n\n /**\n * Whether or not this EdgeType is visible in this view.\n *\n * @param {EdgeType|string} id\n * @return {*}\n */\n\n }, {\n key: 'isEdgeTypeVisible',\n value: function isEdgeTypeVisible(id) {\n\n return _utils2.default.isEdgeTypeMatch(_EdgeType2.default.getInstance(id).id, this.getEdgeTypeFilter(\"raw\"));\n }\n\n /**\n * Method will return a tiddlywiki node filter that is used to\n * decide which nodes are displayed by the graph.\n *\n * @param {(\"raw\"|\"pretty\"|\"compiled\")} [type] - Use this param to control the output type.\n * @result {*}\n * Depends on the type param:\n * - raw: the original filter string\n * - pretty: the prettyfied filter string for usage in textareas\n * - compiled: {Array<string>} all matches\n */\n\n }, {\n key: 'getNodeFilter',\n value: function getNodeFilter(type) {\n var _this3 = this;\n\n var filter = $tw.wiki.getCacheForTiddler(this.nodeFilterTRef, \"tmap-nodeFilter\", function () {\n\n var filter = _utils2.default.makeHashMap();\n var tObj = $tw.wiki.getTiddler(_this3.nodeFilterTRef);\n\n filter.raw = tObj && tObj.fields.filter || '';\n filter.pretty = _utils2.default.getPrettyFilter(filter.raw);\n filter.compiled = $tw.wiki.compileFilter(filter.raw);\n\n return filter;\n });\n\n return type ? filter[type] : filter;\n }\n\n /**\n * This method will return the node data stored in the view.\n *\n * @todo When to delete obsolete data?\n *\n * @param {string} nodeId\n * @result {Hashmap<Id, Object>} A Hashmap with node data.\n */\n\n }, {\n key: 'getNodeData',\n value: function getNodeData(nodeId) {\n var _this4 = this;\n\n var data = $tw.wiki.getCacheForTiddler(this.mapTRef, \"tmap-map\", function () {\n return _utils2.default.parseFieldData(_this4.mapTRef, 'text', {});\n });\n\n return nodeId ? data[nodeId] : data;\n }\n\n /**\n * A view equals another view either\n *\n * 1) if the js objects reference the same objects in the js runtime\n * 2) or if the views have the same root and both views exist\n *\n * @param view\n * @return {boolean}\n */\n\n }, {\n key: 'equals',\n value: function equals(view) {\n\n return view === this || ViewAbstraction.exists(view) && new ViewAbstraction(view).getRoot() === this.getRoot();\n }\n\n /**\n * This function will merge the given data in the view's node store.\n *\n * If a property is set to null, it will be removed.\n *\n * If two arguments are provided, the first parameter is assumed\n * to be a node id and the second to be the data object. The data\n * will extend the existing data. If data is not an object, it is\n * assumed to be a delete directive and consequently the node data\n * in the store will be deleted.\n *\n * Otherwise, if a single object parameter is provided, it is regarded\n * as a node collection and the whole object is used to extend the store.\n *\n * Note: The Adapter will routinely delete node content of nodes that are\n * not contained in the view anymore.\n */\n\n }, {\n key: 'saveNodeData',\n value: function saveNodeData() {\n\n var data = this.getNodeData();\n\n for (var _len2 = arguments.length, args = Array(_len2), _key2 = 0; _key2 < _len2; _key2++) {\n args[_key2] = arguments[_key2];\n }\n\n if (args.length === 2) {\n\n if (_typeof(args[1]) === 'object') {\n\n if (args[1] === null) {\n\n delete data[args[0]];\n } else {\n\n data[args[0]] = Object.assign(data[args[0]] || {}, args[1]);\n }\n }\n } else if (args.length === 1 && _typeof(args[0]) === 'object') {\n\n $tm.logger('log', 'Storing data in', this.mapTRef);\n\n Object.assign(data, args[0]);\n } else {\n // not allowed\n\n throw new (Function.prototype.bind.apply(_exception.InvalidArgumentException, [null].concat(args)))();\n }\n\n _utils2.default.writeFieldData(this.mapTRef, 'text', data, $tm.config.sys.jsonIndentation);\n }\n\n /**\n * Saves a node's position to the store\n *\n * @param {Node} node\n */\n\n }, {\n key: 'saveNodePosition',\n value: function saveNodePosition(node) {\n\n if (node.id && node.x != null && node.y != null) {\n // only pass coordinates to prevent other data from being stored!\n this.saveNodeData(node.id, { x: node.x, y: node.y });\n }\n }\n\n /**\n * Saves a node's position to the store\n *\n * @param {Object} positions\n */\n\n }, {\n key: 'saveNodePositions',\n value: function saveNodePositions(positions) {\n\n var nodeData = this.getNodeData();\n\n for (var id in positions) {\n\n nodeData[id] = nodeData[id] || {};\n nodeData[id].x = positions[id].x;\n nodeData[id].y = positions[id].y;\n }\n\n this.saveNodeData(nodeData);\n }\n\n /**\n * Marks the node with the given id as central topic.\n *\n * @param nodeId\n */\n\n }, {\n key: 'setCentralTopic',\n value: function setCentralTopic(nodeId) {\n\n this.setConfig('central-topic', nodeId);\n }\n\n /**\n * Saves the provided style for the node with the specified id in the view's store.\n *\n * Note: The coordinates of the node on the map are not stored via this function.\n * For this task, use saveNodePosition() instead.\n *\n * @param {string} nodeId\n * @param {Object} style\n */\n\n }, {\n key: 'saveNodeStyle',\n value: function saveNodeStyle(nodeId, style) {\n\n // remove any previos style from store;\n // @TODO: optimize this only null in style var needs to be removed\n var data = this.getNodeData(nodeId) || {};\n\n var pos = { x: data.x, y: data.y };\n\n // tabula rasa! delete all previous properties\n for (var p in data) {\n delete data[p];\n }\n\n // save new style\n this.saveNodeData(nodeId, _extends({}, style, pos));\n }\n\n /**\n * The view's configTiddlerRef is stored in different tiddlers (paths).\n * This function registers these paths to this the view instance.\n *\n * @private\n * @params {ViewAbstraction|string} view\n */\n\n }, {\n key: '_registerPaths',\n value: function _registerPaths(view, isCreate) {\n\n // main config is stored here\n this.configTRef = ViewAbstraction._getRootPath(view);\n\n // store for node properties (positions and local node styles)\n this.mapTRef = this.configTRef + '/map';\n\n // filter stores\n this.nodeFilterTRef = this.configTRef + '/filter/nodes';\n this.edgeTypeFilterTRef = this.configTRef + '/filter/edges';\n\n this.snapshotTRef = this.getRoot() + '/snapshot';\n }\n\n /**\n * This will clear all cached tiddlers related to this view.\n *\n * @private\n * @return {boolean} true if the cache was dirty, false if cache was up-to-date and did\n */\n\n }, {\n key: '_clearCaches',\n value: function _clearCaches() {\n // clear all tiddler-caches below this path\n _utils2.default.getMatches('[prefix[' + this.getRoot() + ']]').forEach(function (tRef) {\n $tw.wiki.clearCache(tRef);\n });\n }\n\n /**\n * Will create the config tiddler which means that the view will\n * start to exist.\n *\n * @private\n */\n\n }, {\n key: '_createView',\n value: function _createView() {\n var _ref = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n isForce = _ref.isForce,\n protoView = _ref.protoView,\n isHidden = _ref.isHidden;\n\n // destroy any former view that existed in this path\n if (ViewAbstraction.exists(this)) {\n\n if (!isForce) {\n\n return;\n }\n\n this.destroy();\n }\n\n if (ViewAbstraction.exists(protoView)) {\n _utils2.default.cp(new ViewAbstraction(protoView).getRoot(), this.configTRef, true);\n }\n\n // create new view\n var fields = {\n title: this.configTRef,\n id: _utils2.default.genUUID() // maybe useful for future purposes…\n };\n\n if (!isHidden) {\n fields[$tm.field.viewMarker] = true;\n }\n\n $tw.wiki.addTiddler(new $tw.Tiddler(_utils2.default.getTiddler(this.configTRef), // in case we cloned the view\n fields));\n\n this.setEdgeTypeFilter(env.filter.defaultEdgeTypeFilter);\n }\n\n /**\n * Will return a filter part that matches the node's id.\n *\n * E.g. [field:tmap.id[1748576e-74bb-4165-85bb-0d312e3e4f1f]]\n *\n * @private\n *\n * @param node\n * @return {string}\n */\n\n }], [{\n key: '_getNodeIdFilterPart',\n value: function _getNodeIdFilterPart(node) {\n\n var id = (typeof node === 'undefined' ? 'undefined' : _typeof(node)) === 'object' ? node.id : node;\n\n return '[field:tmap.id[' + id + ']]';\n }\n\n /**\n * Will return the path to the config tiddler of this view, aka the view's root.\n *\n * @private\n *\n * @param {*} view - The constructor param to abstract or create the view.\n * @result {string|undefined} The view config path.\n */\n\n }, {\n key: '_getRootPath',\n value: function _getRootPath(view) {\n\n if (view instanceof ViewAbstraction) {\n\n return view.configTRef;\n }\n\n if (view instanceof $tw.Tiddler) {\n // is a tiddler object\n\n view = view.fields.title;\n }\n\n if (typeof view === 'string') {\n\n // remove prefix and slash\n var label = _utils2.default.getWithoutPrefix(view, $tm.path.views + '/');\n\n // a valid label must not contain any slashes\n if (label && !_utils2.default.hasSubString(label, '/')) {\n\n return $tm.path.views + '/' + label;\n }\n }\n }\n\n /**\n * A view exists if the the view's root exists as tiddler in the store.\n *\n * @return {ViewAbstraction|string}\n */\n\n }, {\n key: 'exists',\n value: function exists(view) {\n\n if (!view) {\n\n return false;\n }\n\n if (view instanceof ViewAbstraction) {\n\n view = view.configTRef;\n } else {\n\n view = ViewAbstraction._getRootPath(view);\n }\n\n return _utils2.default.tiddlerExists(view);\n }\n }]);\n\n return ViewAbstraction;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = ViewAbstraction;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/graph/ViewAbstraction.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/Popup": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/Popup",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\n/**** Code *********************************************************/\n\n/**\n * Installs a hidden popup below `parentDomNode` that may be shown\n * and or hidden.\n *\n * @constructor\n *\n * @param {Element} [parentDomNode] - The popup container. The\n * popup will create itself in this container.\n * @param {Hashmap} [options] - An options object.\n * @param {string} [options.className] - A classname to be added to\n * the popup div.\n * @param {int} [options.delay] - The default delay for the popup\n * show and hide.\n */\nfunction Popup(parentDomNode, options) {\n\n options = options || {};\n\n this._parentDomNode = parentDomNode;\n this._domNode = document.createElement('div');\n this._domNode.style.display = 'none';\n this._domNode.className = 'tmap-popup';\n\n this._parentDomNode.appendChild(this._domNode);\n $tw.utils.addClass(this._domNode, options.className);\n\n this._isEnabled = true;\n this._isPreventShowOrHide = false;\n this._isHideOnClick = !!options.hideOnClick;\n this._timeoutShow = null;\n this._timeoutHide = null;\n this._isDisplayNoneAfterAnimation = true;\n\n // delays\n var val = parseInt(options.leavingDelay);\n this._hideDelayLeavingPopup = _utils2.default.isInteger(val) ? val : 200;\n\n val = parseInt(options.hideDelay);\n this._hideDelay = _utils2.default.isInteger(val) ? val : 200;\n\n val = parseInt(options.showDelay);\n this._showDelay = _utils2.default.isInteger(val) ? val : 200;\n\n // force early binding of functions to this context\n _utils2.default.bindTo(this, ['_show', '_hide', '_handleEnter', '_handleLeave', '_handleAnimationEnd', '_handleClick']);\n\n // specify handlers\n this._listeners = {\n 'mouseenter': this._handleEnter,\n 'mouseleave': this._handleLeave,\n 'click': [this._handleClick, true]\n };\n\n var fn = this._handleAnimationEnd;\n this._listeners[$tw.utils.convertEventName('animationEnd')] = fn;\n this._listeners[$tw.utils.convertEventName('transitionEnd')] = fn;\n\n // add handlers\n _utils2.default.setDomListeners('add', this._domNode, this._listeners, false);\n}\n\n/**\n * When the mouse is inside the popup, the popup will manage closing\n * itself and ignore all closing attempts from outside.\n */\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/Popup\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nPopup.prototype._handleEnter = function (ev) {\n\n //~ console.log(\"_handleEnter\");\n\n this._isPreventShowOrHide = true;\n};\n\n/**\n * Handler triggered when leaving the popup div.\n */\nPopup.prototype._handleLeave = function (ev) {\n\n //~ console.log(\"_handleLeave\");\n\n this._isPreventShowOrHide = false;\n\n // we need some delay because resizing may cause the mouse to\n // exit the popup for some miliseconds\n\n this.hide(this._hideDelayLeavingPopup);\n};\n\nPopup.prototype._handleClick = function (ev) {\n\n //~ console.log(\"_handleLeave\");\n\n if (this._isHideOnClick) {\n this._hide(true);\n }\n};\n\n/**\n * Handler triggered when leaving the popup div.\n */\nPopup.prototype._handleAnimationEnd = function () {\n\n if (this._isDisplayNoneAfterAnimation) {\n //~ console.log(\"display: none\");\n this._domNode.style.display = 'none';\n }\n};\n\n/**\n * Immediately hides the popup.\n */\nPopup.prototype._hide = function (isForce) {\n\n //~ console.log(\"_hide\");\n\n if (!isForce && this._isPreventShowOrHide) return;\n\n //~ console.log(\"_hide SUCCESS\");\n\n this._isDisplayNoneAfterAnimation = true;\n this._isPreventShowOrHide = false;\n\n $tw.utils.removeClass(this._domNode, 'tmap-popup-active');\n};\n\n/**\n * Makes the text visible as popup and registers it with the\n * given signature.\n *\n * The popup is spawned on the side that has the most space.\n *\n * @param {*} signature - The signature that has been\n * passed to {@link show}.\n */\nPopup.prototype._show = function (signature, text) {\n\n //~ console.log(\"_show\");\n\n if (this._isPreventShowOrHide || $tm.mouse.ctrlKey || !this._isEnabled) {\n return;\n }\n\n this._domNode.style.display = 'none';\n $tw.utils.removeClass(this._domNode, 'tmap-popup-active');\n\n // remove any positioning or modification done before\n this._domNode.removeAttribute('style');\n\n // remove any previous content\n _utils2.default.removeDOMChildNodes(this._domNode);\n var div = this._domNode.appendChild(document.createElement('div'));\n\n if (typeof text === 'function') {\n text(signature, div);\n } else {\n div.innerHTML = text;\n }\n\n if (!div.childNodes.length) return;\n\n var parRect = this._parentDomNode.getBoundingClientRect();\n var x = $tm.mouse.clientX;\n var y = $tm.mouse.clientY;\n\n //~ console.log(\"_show SUCCESS\");\n\n // ATTENTION: display needs to be true before we can get the bounds!\n\n // make sure that display is block so the animation is executed\n // and we can retrieve the size of the div.\n this._domNode.style.display = 'block';\n\n var popRect = this._domNode.getBoundingClientRect();\n\n var availSpaceRight = parRect.right - (x + popRect.width);\n var availSpaceLeft = x - popRect.width - parRect.left;\n var spawnRight = availSpaceRight > availSpaceLeft;\n\n var availSpaceBottom = parRect.bottom - (y + popRect.height);\n var availSpaceTop = y - popRect.height - parRect.top;\n var spawnBottom = availSpaceBottom > availSpaceTop;\n\n var shiftLeft = spawnRight ? -15 : popRect.width + 15;\n var shiftTop = spawnBottom ? -15 : popRect.height + 15;\n\n this._domNode.style.left = x - parRect.left - shiftLeft + 'px';\n this._domNode.style.top = y - parRect.top - shiftTop + 'px';\n\n // …and make sure that it stays block after the animation is done…\n this._isDisplayNoneAfterAnimation = false;\n // …and add the class that triggers the animation…\n $tw.utils.addClass(this._domNode, 'tmap-popup-active');\n};\n\n/**\n * Makes the text visible as popup after a given delay and\n * registers the popup under the specified signature.\n *\n * @param {*} signature - If {@param text} is provided as param and\n * is a function, then this will be passed later as argument to\n * text. It therefore acts as means to identify the popup later\n * on or pass data that survives the delay.\n * @param {string|Function} text - If text\n * is a string, it will be shown in the popup, otherwise,\n * if text is a function, it will be executed and it is\n * expected to populate the popup div passed as second parameter;\n * the first parameter will be the signature object.\n * @param{delay} delay - Delays the hide operation.\n */\nPopup.prototype.show = function (signature, text, delay) {\n\n //~ console.log(\"show\", delay);\n\n this._clearTimeouts();\n\n delay = _utils2.default.isInteger(delay) ? delay : this._showDelay;\n\n // start a new timeout\n this._timeoutShow = setTimeout(this._show, delay, signature, text);\n};\n\n/**\n * Hide the popup.\n *\n * @param {int} delay - Delays the hide operation.\n */\nPopup.prototype.hide = function (delay, isForce) {\n\n //~ console.log(\"hide\", delay);\n\n this._clearTimeouts();\n\n delay = _utils2.default.isInteger(delay) ? delay : this._hideDelay;\n\n if (isForce || delay === 0) {\n // @TODO is this really correct?\n this._hide(isForce);\n } else {\n this._timeoutHide = setTimeout(this._hide, delay, isForce);\n }\n};\n\n/**\n * Completely enable or disable the popup\n */\nPopup.prototype.setEnabled = function (isEnabled) {\n this._isEnabled = isEnabled;\n};\n\nPopup.prototype.isShown = function () {\n return this._domNode.style.display === 'block';\n};\n\nPopup.prototype._clearTimeouts = function () {\n\n clearTimeout(this._timeoutShow);\n clearTimeout(this._timeoutHide);\n\n this._timeoutShow = undefined;\n this._timeoutHide = undefined;\n};\n\n/*** Exports *******************************************************/\n\nexports.default = Popup;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/Popup.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/SelectionRectangle": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/SelectionRectangle",
"text": "\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/SelectionRectangle\ntype: application/SelectionRectangle\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/**** Code *********************************************************/\n\n/**\n * Represents a rectangle spanned by mouse selection\n *\n * @constructor\n *\n * @param {Element} [parentDomNode] - The popup container. The\n * popup will create itself in this container.\n * @param {Hashmap} [options] - An options object.\n * @param {string} [options.className] - A classname to be added to\n * the popup div.\n * @param {int} [options.delay] - The default delay for the popup\n * show and hide.\n */\nvar SelectionRectangle = function () {\n\n /**\n * Sets up the selection with the specified initial offset.\n *\n * @param {number} x - offset x\n * @param {number} y - offset y\n */\n function SelectionRectangle(x, y) {\n _classCallCheck(this, SelectionRectangle);\n\n this.x1 = x;\n this.x2 = x;\n\n this.y1 = y;\n this.y2 = y;\n }\n\n /**\n * Spans the selection.\n *\n * @param {number} x - x coordinate\n * @param {number} y - y coordinate\n */\n\n\n _createClass(SelectionRectangle, [{\n key: \"span\",\n value: function span(x, y) {\n\n this.x2 = x;\n this.y2 = y;\n }\n\n /**\n * @return {number} width\n */\n\n }, {\n key: \"getWidth\",\n value: function getWidth() {\n\n return this.x2 - this.x1;\n }\n\n /**\n * @return {number} height\n */\n\n }, {\n key: \"getHeight\",\n value: function getHeight() {\n\n return this.y2 - this.y1;\n }\n\n /**\n * @return {array} an array holding the following data in sequence: x, y, width, height\n */\n\n }, {\n key: \"getRect\",\n value: function getRect() {\n\n return [this.x1, this.y1, this.getWidth(), this.getHeight()];\n }\n\n /**\n * @param {number} x - x coordinate\n * @param {number} y - y coordinate\n * @return {boolean}\n */\n\n }, {\n key: \"isPointWithin\",\n value: function isPointWithin(_ref) {\n var x = _ref.x,\n y = _ref.y;\n var x1 = this.x1,\n x2 = this.x2,\n y1 = this.y1,\n y2 = this.y2;\n\n\n var mostLeft = Math.min(x1, x2);\n var mostRight = Math.max(x1, x2);\n var mostBottom = Math.min(y1, y2);\n var mostTop = Math.max(y1, y2);\n\n return mostLeft < x && x < mostRight && mostBottom < y && y < mostTop;\n }\n }]);\n\n return SelectionRectangle;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = SelectionRectangle;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/SelectionRectangle.js.map\n",
"type": "application/SelectionRectangle",
"module-type": "library"
},
"/home/felo/projects/Tiddlywiki/TiddlyWiki5/plugins/felixhayashi/tiddlymap/js/lib/TypeDefs.js": {
"title": "/home/felo/projects/Tiddlywiki/TiddlyWiki5/plugins/felixhayashi/tiddlymap/js/lib/TypeDefs.js",
"text": "/**\n * @typedef {string} TiddlerReference\n *\n * A reference (title) that identifies a tiddler.\n */\n\n/**\n * @typedef {$tw.Tiddler|TiddlerReference} Tiddler\n *\n * Either a reference (title) that identifies the tiddler or an object\n * representation.\n */\n\n/**\n * @typedef {string|number} Id\n *\n * An id used to identify a node or tiddler. Ids may only contain characters\n * that are valid when used as tiddlywiki field values.\n */\n\n/**\n * @typedef {Object} Edge\n *\n * @property {Id} id - The id of the edge.\n * @property {Id} from - An id that refers to a {@link Node} and denotes the\n * from part of an edge.\n * @property {Id} to - An id that refers to a {@link Node} and denotes the\n * to part of an edge.\n * @property {string} label - The label of the edge that will be displayed\n * in the rendered graph.\n * @property {string} [view] - An optional viewname to which the edge is\n * bound to.\n *\n * An edge connects nodes in a vis.Network.\n */\n\n/**\n * @typedef {Object} EdgeTypeSubscriberInfo\n *\n * @property {(\"_\"|undefined)} [marker] - The marker of the edge type.\n * @property {string} [namespace] - The namespace of the edge type.\n * @property {string} [name] - The name of the edge type.\n * @property {boolean} [include=true] - Whether or not this subscriber should be registered.\n */\n\n/**\n * @typedef {Object} Node\n *\n * This object is used by the vis.Network.\n *\n * @property {Id} id - The id of the node.\n * @property {string} label - The label of the node that will be displayed\n * in the rendered graph.\n */\n\n/**\n * @typedef {Object} Hashmap\n *\n * Sometimes it makes semantically more sense to call an object a hashmap.\n * Then it becomes clear that we are talking about a simple key-value store.\n */\n\n/**\n * @typedef {Array.<*>|Hashmap.<Id, *>|vis.DataSet} Collection\n *\n * A collection contains a group of elements, usually nodes\n * (see {@link NodeCollection}) or edges (see {@link EdgeCollection}).\n *\n * A collection object corresponds to a {@link CollectionTypeString}.\n */\n\n/**\n * @typedef {Array.<Edge>|Hashmap.<Id, Edge>|vis.DataSet} EdgeCollection\n *\n * A collection of edges.\n */\n\n/**\n * @typedef {Array.<Node>|Hashmap.<Id, Node>|vis.DataSet} NodeCollection\n *\n * A collection of nodes.\n */\n\n/**\n * @typedef {Array.<Tiddler>|Hashmap.<Id, Tiddler>} TiddlerCollection\n *\n * A collection of tiddlers.\n */\n\n/**\n * @typedef {string|function} TiddlyWikiFilter\n *\n * A tiddlywiki filter expression or a compiled filter.\n */\n\n/**\n * @typedef {Tiddler|string|ViewAbstraction} View\n *\n * A view is identified either by a tiddler reference (title),\n * a Tiddler instance or a label. Moreover, a ViewAbstraction\n * may be used as view representation.\n */\n\n/**\n * @typedef {array|hashmap|dataset} CollectionTypeString\n *\n * Most of tiddlymap's select statements allow the user to choose one\n * of the specified output types, depending on what is most suitable.\n *\n * The output types relate to the different types of\n * {@link EdgeCollection} and {@link NodeCollection}.\n */\n\n/**\n * @typedef {Object} Position\n *\n * An object containing x, y properties.\n *\n * @property {number} x - The x coordinate.\n * @property {number} y - The y coordinate.\n */\n\n/**\n * @typedef {Object} Updates\n *\n * An object that carries information about wiki changes after a refresh cycle occurred.\n * This is a more advanced form of the changedTiddlers object.\n *\n * @property {Object<TiddlerReference, string>} changedTiddlers\n * a TiddlyWiki changedTiddlers list that has all draft tiddler changes removed\n * @property {Object<string, boolean>} paths\n * a list of root paths in which tiddler changes occured.\n */\n\n/**\n * @typedef {string} EdgeTypeId\n *\n * An edge-type id string consists of the following parts of which the\n * first two are optional: `[marker][namespace:]name`\n *\n * Note: The colon is not considered to be part of the namespace but is required\n * if a namespace exists.\n */\n\"use strict\";\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/TypeDefs.js.map\n"
},
"$:/plugins/felixhayashi/tiddlymap/js/config/vis": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/config/vis",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/config/vis\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\nvar visConfig = {\n\n locale: 'en_EN',\n clickToUse: false,\n autoResize: false,\n height: '100%',\n width: '100%',\n configure: {\n enabled: false\n },\n interaction: {\n dragNodes: true,\n dragView: true,\n hideEdgesOnDrag: false,\n hideNodesOnDrag: false,\n hover: true,\n navigationButtons: true,\n multiselect: true,\n selectable: true,\n selectConnectedEdges: true,\n tooltipDelay: 600,\n zoomView: false,\n keyboard: {\n enabled: false,\n speed: {\n x: 10,\n y: 10,\n zoom: 0.02\n },\n bindToWindow: false\n }\n },\n manipulation: {\n initiallyActive: true\n },\n nodes: {\n shape: 'box',\n shadow: {\n enabled: false\n },\n color: {\n border: '#2B7CE9',\n background: '#97C2FC'\n }\n },\n edges: {\n smooth: {\n enabled: true\n },\n color: {\n color: '#848484',\n inherit: false\n },\n arrows: {\n to: {\n enabled: true\n }\n }\n },\n physics: {\n forceAtlas2Based: {\n // <- more repulsion between nodes - 0 - more attraction between nodes ->\n gravitationalConstant: -300, // default: -50\n // edge length\n springLength: 0, // default: 100\n // <- less stiff edges - 0 - stiffer edges ->\n springConstant: 0.2, // default: 0.08\n // pulls the entire network back to the center.\n centralGravity: 0.015, // default: 0.01\n // kinetic energy reduction\n damping: 0.4\n },\n solver: 'forceAtlas2Based',\n stabilization: {\n enabled: true,\n iterations: 1000,\n updateInterval: 10,\n onlyDynamicEdges: false,\n fit: false\n }\n }\n};\n\nexports.default = visConfig;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/VisConfig.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/environment": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/environment",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/environment\ntype: application/javascript\n module-type: library\n\n@preserve\n\n\\*/\n\n/*** Code **********************************************************/\n\n/**\n * This module is responsible for registering a global namespace\n * under $tw and registering fundamental path variables.\n *\n * Everything that doesn't change when the global config object is\n * updated. This includes prefixes (paths) and tiddler titles.\n *\n * ATTENTION: The paths are deliberately written in full so they\n * are discovered when a search is performed over the TiddlyMap code.\n */\n\n// **ATTENTION: NO TRAILING SLASHES IN PATHS EVER**\nvar path = exports.path = {\n pluginRoot: '$:/plugins/felixhayashi/tiddlymap',\n edgeTypes: '$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes',\n nodeTypes: '$:/plugins/felixhayashi/tiddlymap/graph/nodeTypes',\n views: '$:/plugins/felixhayashi/tiddlymap/graph/views',\n options: '$:/plugins/felixhayashi/tiddlymap/config',\n dialogs: '$:/plugins/felixhayashi/tiddlymap/dialog',\n footers: '$:/plugins/felixhayashi/tiddlymap/dialogFooter',\n tempRoot: '$:/temp/tmap',\n tempStates: '$:/temp/tmap/state',\n tempPopups: '$:/temp/tmap/state/popup',\n localHolders: '$:/temp/tmap/holders'\n};\n\n// static references to important tiddlers\nvar ref = exports.ref = {\n defaultViewHolder: '$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder',\n graphBar: '$:/plugins/felixhayashi/tiddlymap/misc/advancedEditorBar',\n sysUserConf: '$:/plugins/felixhayashi/tiddlymap/config/sys/user',\n visUserConf: '$:/plugins/felixhayashi/tiddlymap/config/vis/user',\n welcomeFlag: '$:/plugins/felixhayashi/tiddlymap/flag/welcome',\n focusButton: '$:/plugins/felixhayashi/tiddlymap/misc/focusButton',\n sysMeta: '$:/plugins/felixhayashi/tiddlymap/misc/meta',\n liveTab: '$:/plugins/felixhayashi/tiddlymap/hook/liveTab',\n mainEditor: '$:/plugins/felixhayashi/tiddlymap/hook/editor',\n sidebarBreakpoint: '$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint'\n};\n\n// some other options\nvar misc = exports.misc = {\n // if no edge label is specified, this is used as label\n unknownEdgeLabel: 'tmap:undefined',\n liveViewLabel: 'Live View',\n defaultViewLabel: 'Default',\n mainEditorId: 'main_editor',\n arrows: { 'in': '⇦', 'out': '➡', 'bi': '⇄' }\n};\n\nvar config = exports.config = {\n sys: {\n field: {\n nodeLabel: 'caption',\n nodeIcon: 'icon',\n nodeInfo: 'description',\n viewMarker: 'isview'\n },\n liveTab: {\n fallbackView: misc.liveViewLabel\n },\n suppressedDialogs: {},\n edgeClickBehaviour: 'manager',\n debug: 'false',\n notifications: 'true',\n popups: {\n enabled: 'true',\n delay: '600',\n width: '240px',\n height: '140px'\n },\n jsonIndentation: '1',\n alwaysAddNodeIdToViewFilter: 'true',\n editNodeOnCreate: 'false',\n singleClickMode: 'false',\n nodeFilterNeighbours: 'false',\n editorMenuBar: {\n showNeighScopeButton: 'true',\n showRasterMenuButton: 'true',\n showScreenshotButton: 'true'\n }\n }\n};\n\n// some popular filters\nvar filter = exports.filter = {\n nodeTypes: '[prefix[' + path.nodeTypes + ']]',\n edgeTypes: '[prefix[' + path.edgeTypes + ']]',\n views: '[' + config.sys.field.viewMarker + '[true]]',\n defaultEdgeTypeFilter: '-[prefix[_]] -[[tw-body:link]] -[[tw-list:tags]] -[[tw-list:list]]'\n};\n\nvar allSelector = '[all[tiddlers+shadows]!has[draft.of]]';\n\n// some popular selectors\n// usually used from within tiddlers via the tmap macro\n\nvar s = {\n allEdgeTypes: allSelector + ' +' + filter.edgeTypes,\n allNodeTypes: allSelector + ' +' + filter.nodeTypes,\n allViews: allSelector + ' +' + filter.views,\n allPotentialNodes: '[all[tiddlers]!is[system]!has[draft.of]]'\n};\n\nvar selector = exports.selector = _extends({}, s, {\n allEdgeTypesById: s.allEdgeTypes + ' +[removeprefix[' + path.edgeTypes + '/]]',\n allNodeTypesById: s.allNodeTypes + ' +[removeprefix[' + path.nodeTypes + '/]]',\n allViewsByLabel: s.allViews + ' +[removeprefix[' + path.views + '/]]'\n});\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/environment.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/exception": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/exception",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; }\n\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/exception\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/**\n * Base class for all Exceptions in TiddlyMap\n */\nvar TiddlyMapError = function (_Error) {\n _inherits(TiddlyMapError, _Error);\n\n /**\n *\n * @param {string} message\n * @param {array} payload\n */\n function TiddlyMapError(message, payload) {\n _classCallCheck(this, TiddlyMapError);\n\n var _this = _possibleConstructorReturn(this, (TiddlyMapError.__proto__ || Object.getPrototypeOf(TiddlyMapError)).call(this, message));\n\n _this.payload = payload;\n return _this;\n }\n\n _createClass(TiddlyMapError, [{\n key: 'getPayload',\n value: function getPayload() {\n return this.payload;\n }\n }]);\n\n return TiddlyMapError;\n}(Error);\n\n/**\n * Thrown if a css or dom structure is not present but was expected by TiddlyMap.\n */\n\n\nvar EnvironmentError = exports.EnvironmentError = function (_TiddlyMapError) {\n _inherits(EnvironmentError, _TiddlyMapError);\n\n function EnvironmentError(aspect) {\n _classCallCheck(this, EnvironmentError);\n\n return _possibleConstructorReturn(this, (EnvironmentError.__proto__ || Object.getPrototypeOf(EnvironmentError)).call(this, 'Critical parts of the underlying system changed: ' + aspect));\n }\n\n return EnvironmentError;\n}(TiddlyMapError);\n\n/**\n * Thrown if a plugin or any other kind of required dependency is missing.\n */\n\n\nvar DependencyError = exports.DependencyError = function (_TiddlyMapError2) {\n _inherits(DependencyError, _TiddlyMapError2);\n\n function DependencyError(dep) {\n _classCallCheck(this, DependencyError);\n\n return _possibleConstructorReturn(this, (DependencyError.__proto__ || Object.getPrototypeOf(DependencyError)).call(this, 'TiddlyMap cannot run without: : ' + dep));\n }\n\n return DependencyError;\n}(TiddlyMapError);\n\n/**\n * Thrown if an interface method is not fully implemented.\n */\n\n\nvar MissingOverrideError = exports.MissingOverrideError = function (_TiddlyMapError3) {\n _inherits(MissingOverrideError, _TiddlyMapError3);\n\n function MissingOverrideError(context, methodName) {\n _classCallCheck(this, MissingOverrideError);\n\n return _possibleConstructorReturn(this, (MissingOverrideError.__proto__ || Object.getPrototypeOf(MissingOverrideError)).call(this, context.constructor.name + ' does not override method \"' + methodName + '\"'));\n }\n\n return MissingOverrideError;\n}(TiddlyMapError);\n\n/**\n * Thrown if a resource such as a node, edge, view, tiddler etc. cannot be located\n * in the system.\n */\n\n\nvar ResourceNotFoundException = exports.ResourceNotFoundException = function (_TiddlyMapError4) {\n _inherits(ResourceNotFoundException, _TiddlyMapError4);\n\n /**\n * @param {string} resourceType\n * @param {*} payload\n */\n function ResourceNotFoundException(resourceType) {\n _classCallCheck(this, ResourceNotFoundException);\n\n for (var _len = arguments.length, payload = Array(_len > 1 ? _len - 1 : 0), _key = 1; _key < _len; _key++) {\n payload[_key - 1] = arguments[_key];\n }\n\n return _possibleConstructorReturn(this, (ResourceNotFoundException.__proto__ || Object.getPrototypeOf(ResourceNotFoundException)).call(this, 'Cannot resolve ' + resourceType, payload));\n }\n\n return ResourceNotFoundException;\n}(TiddlyMapError);\n\n/**\n * Thrown if a resource such as a node, edge, view, tiddler etc. cannot be located\n * in the system.\n */\n\n\nvar InvalidArgumentException = exports.InvalidArgumentException = function (_TiddlyMapError5) {\n _inherits(InvalidArgumentException, _TiddlyMapError5);\n\n function InvalidArgumentException() {\n _classCallCheck(this, InvalidArgumentException);\n\n for (var _len2 = arguments.length, payload = Array(_len2), _key2 = 0; _key2 < _len2; _key2++) {\n payload[_key2] = arguments[_key2];\n }\n\n return _possibleConstructorReturn(this, (InvalidArgumentException.__proto__ || Object.getPrototypeOf(InvalidArgumentException)).call(this, 'Invalid or missing argument provided', payload));\n }\n\n return InvalidArgumentException;\n}(TiddlyMapError);\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/exceptions.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/URL": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/URL",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/URL\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Code **********************************************************/\n\n/**\n * <<<\n * Lightweight URL manipulation with JavaScript. This library is\n * independent of any other libraries and has pretty simple interface\n * and lightweight code-base. Some ideas of query string parsing\n * had been taken from Jan Wolter.\"\n *\n * @see http://unixpapa.com/js/querystring.html\n * @license MIT\n * @author Mykhailo Stadnyk <mikhus@gmail.com>\n * <<< https://github.com/Mikhus/jsurl\n *\n * @class\n * @param {string} url\n */\nfunction Url(url) {\n this.paths = function (paths) {\n var prefix = '',\n i = 0,\n s;\n\n if (paths && paths.length && paths + '' !== paths) {\n if (this.isAbsolute()) {\n prefix = '/';\n }\n\n for (s = paths.length; i < s; i++) {\n paths[i] = encode(paths[i]);\n }\n\n this.path = prefix + paths.join('/');\n }\n\n paths = (this.path.charAt(0) === '/' ? this.path.slice(1) : this.path).split('/');\n\n for (i = 0, s = paths.length; i < s; i++) {\n paths[i] = decode(paths[i]);\n }\n\n return paths;\n };\n\n this.encode = encode;\n this.decode = decode;\n\n this.isAbsolute = function () {\n return this.protocol || this.path.charAt(0) === '/';\n };\n\n this.toString = function () {\n return (this.protocol && this.protocol + '://') + (this.user && encode(this.user) + (this.pass && ':' + encode(this.pass)) + '@') + (this.host && this.host) + (this.port && ':' + this.port) + (this.path && this.path) + (this.query.toString() && '?' + this.query) + (this.hash && '#' + encode(this.hash));\n };\n\n parse(this, url);\n}\n\nvar\n// mapping between what we want and <a> element properties\nmap = {\n protocol: 'protocol',\n host: 'hostname',\n port: 'port',\n path: 'pathname',\n query: 'search',\n hash: 'hash'\n},\n defaultPorts = {\n 'ftp': 21,\n 'gopher': 70,\n 'http': 80,\n 'https': 443,\n 'ws': 80,\n 'wss': 443\n},\n parse = function parse(self, url) {\n var d = document,\n link = d.createElement('a'),\n url = url || d.location.href,\n auth = url.match(/\\/\\/(.*?)(?::(.*?))?@/) || [],\n i;\n\n link.href = url;\n\n for (i in map) {\n self[i] = link[map[i]] || '';\n }\n\n // fix-up some parts\n self.protocol = self.protocol.replace(/:$/, '');\n self.query = self.query.replace(/^\\?/, '');\n self.hash = decode(self.hash.replace(/^#/, ''));\n self.user = decode(auth[1] || '');\n self.pass = decode(auth[2] || '');\n self.port = defaultPorts[self.protocol] == self.port || self.port == 0 ? '' : self.port; // IE fix, Android browser fix\n\n if (!self.protocol && !/^([a-z]+:)?\\/\\//.test(url)) {\n // is IE and path is relative\n var base = new Url(d.location.href.match(/(.*\\/)/)[0]),\n basePath = base.path.split('/'),\n selfPath = self.path.split('/'),\n props = ['protocol', 'user', 'pass', 'host', 'port'],\n s = props.length;\n\n basePath.pop();\n\n for (i = 0; i < s; i++) {\n self[props[i]] = base[props[i]];\n }\n\n while (selfPath[0] == '..') {\n // skip all \"../\n basePath.pop();\n selfPath.shift();\n }\n\n self.path = (url.charAt(0) != '/' ? basePath.join('/') : '') + '/' + selfPath.join('/');\n } else {\n // fix absolute URL's path in IE\n self.path = self.path.replace(/^\\/?/, '/');\n }\n\n self.paths((self.path.charAt(0) == '/' ? self.path.slice(1) : self.path).split('/'));\n\n parseQs(self);\n},\n encode = function encode(s) {\n return encodeURIComponent(s).replace(/'/g, '%27');\n},\n decode = function decode(s) {\n s = s.replace(/\\+/g, ' ');\n\n s = s.replace(/%([ef][0-9a-f])%([89ab][0-9a-f])%([89ab][0-9a-f])/gi, function (code, hex1, hex2, hex3) {\n var n1 = parseInt(hex1, 16) - 0xE0,\n n2 = parseInt(hex2, 16) - 0x80;\n\n if (n1 == 0 && n2 < 32) {\n return code;\n }\n\n var n3 = parseInt(hex3, 16) - 0x80,\n n = (n1 << 12) + (n2 << 6) + n3;\n\n if (n > 0xFFFF) {\n return code;\n }\n\n return String.fromCharCode(n);\n });\n\n s = s.replace(/%([cd][0-9a-f])%([89ab][0-9a-f])/gi, function (code, hex1, hex2) {\n var n1 = parseInt(hex1, 16) - 0xC0;\n\n if (n1 < 2) {\n return code;\n }\n\n var n2 = parseInt(hex2, 16) - 0x80;\n\n return String.fromCharCode((n1 << 6) + n2);\n });\n\n s = s.replace(/%([0-7][0-9a-f])/gi, function (code, hex) {\n return String.fromCharCode(parseInt(hex, 16));\n });\n\n return s;\n},\n parseQs = function parseQs(self) {\n var qs = self.query;\n\n self.query = new function (qs) {\n var re = /([^=&]+)(=([^&]*))?/g,\n match;\n\n while (match = re.exec(qs)) {\n var key = decodeURIComponent(match[1].replace(/\\+/g, ' ')),\n value = match[3] ? decode(match[3]) : '';\n\n if (this[key] != null) {\n if (!(this[key] instanceof Array)) {\n this[key] = [this[key]];\n }\n\n this[key].push(value);\n } else {\n this[key] = value;\n }\n }\n\n this.clear = function () {\n for (var key in this) {\n\n if (!(this[key] instanceof Function)) {\n\n delete this[key];\n }\n }\n };\n\n this.count = function () {\n var count = 0,\n key;\n for (key in this) {\n\n if (!(this[key] instanceof Function)) {\n count++;\n }\n }\n return count;\n };\n\n this.isEmpty = function () {\n return this.count() === 0;\n };\n\n this.toString = function () {\n var s = '',\n e = encode,\n i,\n ii;\n\n for (i in this) {\n\n if (this[i] instanceof Function) {\n continue;\n }\n\n if (this[i] instanceof Array) {\n\n var len = this[i].length;\n\n if (len) {\n for (ii = 0; ii < len; ii++) {\n s += s ? '&' : '';\n\n s += e(i) + '=' + e(this[i][ii]);\n }\n } else {\n // parameter is an empty array, so treat as\n // an empty argument\n\n s += (s ? '&' : '') + e(i) + '=';\n }\n } else {\n s += s ? '&' : '';\n\n s += e(i) + '=' + e(this[i]);\n }\n }\n\n return s;\n };\n }(qs);\n};\n\n/*** Exports *******************************************************/\n\nexports.default = Url;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/url.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.bindTo = exports.getNearestRasterPosition = exports.mod = exports.removeDOMChildNodes = exports.setDomListeners = exports.merge = exports.getRandomLabel = exports.getFirstElementByClassName = exports.getImgFromWeb = exports.pickRandom = exports.hasKeyWithPrefix = exports.getWithoutPrefix = exports.getPropertiesByPrefix = exports.removeArrayElement = exports.isTrue = exports.replaceAll = exports.isInteger = exports.findAndRemoveClassNames = exports.getAncestorWithClass = exports.makeHashMap = exports.getWithoutNewLines = exports.joinAndWrap = exports.parseJSON = exports.hasSubString = exports.inArray = exports.getRandomInt = exports.isEqual = exports.identity = exports.base64 = exports.startsWith = exports.getBasename = exports.escapeRegex = exports.hasElements = exports.ucFirst = undefined;\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; }; // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\n/**\n * Uppercase the first letter of a string.\n */\nvar ucFirst = exports.ucFirst = function ucFirst(string) {\n return string && string[0].toUpperCase() + string.slice(1);\n};\n\n/**\n * Function to find out whether an object has any enumerable properties\n * or, in case of an array, elements.\n *\n * @param {Object} obj\n * @return {boolean} True if at least one enumerable property exists,\n * false otherwise.\n */\nvar hasElements = exports.hasElements = function hasElements(obj) {\n return Object.keys(obj).length > 0;\n};\n\n/**\n * When we do not know the string, we need to escape it.\n * @deprecated use tw's escapeRegExp instead\n */\nvar escapeRegex = exports.escapeRegex = function escapeRegex(str) {\n return str.replace(/[-$^?.+*[\\]\\\\(){}|]/g, '\\\\$&');\n};\n\n/**\n * Returns the basename of a path.\n * A path is a string with slashes (or another separator).\n *\n * @param {string} path - The path\n * @param {string} [separator='/']\n * @return {string} The basename\n */\nvar getBasename = exports.getBasename = function getBasename(path) {\n var separator = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : '/';\n return path.substring(path.lastIndexOf(separator) + 1);\n};\n\n/**\n * Helper to increase the code semantics.\n *\n * @param {string} str - The string to work with.\n * @param {string} prefix - The sequence to test.\n * @result {boolean} True if `str` starts with `prefix`, false otherwise.\n */\nvar startsWith = exports.startsWith = function startsWith(str, prefix) {\n return str.substring(0, prefix.length) === prefix;\n};\n\n/**\n * Converts a string to base64 encoding.\n *\n * To do so, we either choose the native btoa browser function or the Buffer class\n * received via scope.\n *\n * @param {string} str\n */\nvar base64 = exports.base64 = typeof window === 'undefined' ? function (str) {\n return new Buffer(str).toString('base64');\n} : window.btoa.bind(window);\n\n/**\n * If two objects have the same properties, with the same values\n * then identity identity(obj) === identity(obj2) will return true.\n *\n * @param obj\n * @return string\n */\nvar identity = exports.identity = function identity(obj) {\n return (typeof obj === 'undefined' ? 'undefined' : _typeof(obj)) === 'object' && obj !== null ? JSON.stringify(Object.keys(obj).sort().map(function (key) {\n return [key, obj[key]];\n })) : null;\n};\n\n/**\n * Returns true if both objects have the same properties\n * @param obj1\n * @param obj2\n */\nvar isEqual = exports.isEqual = function isEqual(obj1, obj2) {\n return identity(obj1) === identity(obj2);\n};\n\n/**\n *\n * @param min\n * @param max\n */\nvar getRandomInt = exports.getRandomInt = function getRandomInt(min, max) {\n return Math.floor(Math.random() * (max - min) + min);\n};\n\n/**\n * Checks if a value exists in an array. A strict search is used\n * which means that also the type of the needle in the haystack\n * is checked.\n *\n * @param {*} needle - The searched value.\n * @param {Array} haystack - The array.\n * @return Returns true if needle is found in the array, false otherwise.\n */\nvar inArray = exports.inArray = function inArray(needle, haystack) {\n return haystack.indexOf(needle) !== -1;\n};\n\n/**\n * Checks if a string exists in a string.\n */\nvar hasSubString = exports.hasSubString = function hasSubString(str, sub) {\n return str.indexOf(sub) !== -1;\n};\n\n/**\n * Try to turn the string into a javascript object. If the\n * transformation fails, return the optionally provided `data` object.\n *\n * @param {string} str - The string to parse.\n * @param {*} data - The default value if the operation fails.\n * @return {*} Either the object resulting from the parsing operation\n * or `undefined` or `data` if the operation failed.\n */\nvar parseJSON = exports.parseJSON = function parseJSON(str, data) {\n\n try {\n\n return JSON.parse(str);\n } catch (Error) {\n\n return data;\n }\n};\n\n/**\n * Joins all elements of an array into a string where all elements\n * are wrapped between `left` and `right`.\n *\n * @param {Array} arr - The array to perform the join on.\n * @param {string} left - The wrapping string for the left side.\n * @param {string} right - The wrapping string for the right side.\n * @param {string} [separator] - The separator between a wrapped element\n * and the next one. Defaults to space.\n * @return {string} The wrapped string, e.g. `[[hello]] [[world]]`.\n */\nvar joinAndWrap = exports.joinAndWrap = function joinAndWrap(arr, left, right) {\n var separator = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : ' ';\n return left + arr.join(right + separator + left) + right;\n};\n\n/**\n * Remove any newline from a string\n */\nvar getWithoutNewLines = exports.getWithoutNewLines = function getWithoutNewLines(str) {\n return typeof str === 'string' ? str.replace(/[\\n\\r]/g, ' ') : str;\n};\n\n/**\n * Factory function to return a prototypeless object that is used as\n * map. It only has the property hasOwnProperty in order to to be\n * exchangeble with other framworks that depend on this method like\n * e.g. visjs.\n *\n * @param {Object} [initialValues] - an object whose own properties will be\n * used to initialize the map.\n */\nvar makeHashMap = exports.makeHashMap = function makeHashMap(initialValues) {\n\n var map = Object.create(null);\n Object.defineProperty(map, 'hasOwnProperty', {\n enumerable: false,\n configurable: false,\n writable: false,\n value: Object.prototype.hasOwnProperty.bind(map)\n });\n\n if (initialValues) {\n for (var key in initialValues) {\n if (initialValues.hasOwnProperty(key)) {\n map[key] = initialValues[key];\n }\n }\n }\n\n return map;\n};\n\n/**\n * If an ancestor that possesses a specified class exists the the\n * element will be returned, otherwise undefined is returned.\n *\n * @param {Element} el\n * @param {string} className\n */\nvar getAncestorWithClass = exports.getAncestorWithClass = function getAncestorWithClass(el, className) {\n\n if ((typeof el === 'undefined' ? 'undefined' : _typeof(el)) !== 'object' || typeof className !== 'string') {\n return;\n }\n\n while (el.parentNode && el.parentNode !== document) {\n el = el.parentNode;\n if (el.classList.contains(className)) {\n return el;\n }\n }\n};\n\n/**\n * Searches the dom for elements that possess a certain class\n * and removes this class from each element.\n *\n * @param {Array<string>} classNames - The class names to remove.\n */\nvar findAndRemoveClassNames = exports.findAndRemoveClassNames = function findAndRemoveClassNames(classNames) {\n\n for (var i = classNames.length; i--;) {\n var elements = document.getElementsByClassName(classNames[i]);\n for (var j = elements.length; j--;) {\n elements[j].classList.remove(classNames[i]);\n }\n }\n};\n\n/**\n * Polyfill until `isInteger` has become official. If the target\n * value is an integer, return true, otherwise return false.\n * If the value is NaN or infinite, return false.\n *\n * @param {*} value - The value to be tested for being an integer.\n * @return {boolean} True if the value is an integer, false otherwise.\n */\nvar isInteger = exports.isInteger = Number.isInteger || function (value) {\n return typeof value === 'number' && isFinite(value) && Math.floor(value) === value;\n};\n\n/**\n *\n * @param {string} str\n * @param defaultReplacement\n * @param subStrings\n * @return {*}\n */\nvar replaceAll = exports.replaceAll = function replaceAll(str) {\n var defaultReplacement = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : '';\n var subStrings = arguments[2];\n\n\n for (var i = subStrings.length; i--;) {\n\n var subString = subStrings[i];\n var replacement = defaultReplacement;\n\n if (Array.isArray(subString)) {\n replacement = subString[1];\n subString = subString[0];\n }\n\n str = str.replace(subString, replacement);\n }\n\n return str;\n};\n\n/**\n * Sadly, setting fields with tw means that we lose the type information\n * since field values are persisted as strings and the type is not\n * included.\n *\n * To ensure that flags are always interpreted correctly, the following\n * function exists.\n *\n * We regard the following values as `true` (order matters):\n *\n * # Any string that can be translated into a number unequal `0`\n * # `\"true\"`\n * # Any number unequal `0`\n * # Boolean `true`\n *\n * The following as false (order matters):\n *\n * # Any string that can be translated into number `0`\n * # Every string unequal `\"true\"`\n * # The number `0`\n * # Boolean `false`\n *\n */\nvar isTrue = exports.isTrue = function isTrue(confVal, defVal) {\n\n if (confVal == null) {\n return !!defVal;\n } else if (typeof confVal === 'string') {\n var n = parseInt(confVal);\n return isNaN(n) ? confVal === 'true' : n !== 0;\n } else if (typeof confVal === 'boolean') {\n return confVal;\n } else if (typeof confVal === 'number') {\n return n !== 0;\n }\n\n return false;\n};\n\n/**\n * If the array contains the element, the element is removed from\n * the array in-place and the removed element.\n */\nvar removeArrayElement = exports.removeArrayElement = function removeArrayElement(arr, el) {\n\n var index = arr.indexOf(el);\n if (index > -1) {\n return arr.splice(index, 1)[0];\n }\n};\n\n/**\n * Returns a new object that contains only properties that start with\n * a certain prefix. The prefix is optionally removed from the result.\n *\n * @param {Object} obj\n * @param {string} prefix - The start sequence\n * @param {boolean} [removePrefix=false] - True if the prefix shall be removed\n * from the resulting property name, false otherwise.\n * @result {object}\n */\nvar getPropertiesByPrefix = exports.getPropertiesByPrefix = function getPropertiesByPrefix(obj, prefix, removePrefix) {\n\n var r = makeHashMap();\n for (var p in obj) {\n if (startsWith(p, prefix)) {\n r[removePrefix ? p.substr(prefix.length) : p] = obj[p];\n }\n }\n\n return r;\n};\n\n/**\n * Function to remove the prefix of a string\n */\nvar getWithoutPrefix = exports.getWithoutPrefix = function getWithoutPrefix(str, prefix) {\n return startsWith(str, prefix) ? str.substr(prefix.length) : str;\n};\n\n/**\n *\n */\nvar hasKeyWithPrefix = exports.hasKeyWithPrefix = function hasKeyWithPrefix(obj, prefix) {\n\n for (var p in obj) {\n if (startsWith(p, prefix)) {\n return true;\n }\n }\n\n return false;\n};\n\n/**\n *\n * @param arr\n */\nvar pickRandom = exports.pickRandom = function pickRandom(arr) {\n return arr[getRandomInt(0, arr.length - 1)];\n};\n\n/**\n * Loads the image from web and passes it to the callback as\n * object url.\n */\nvar getImgFromWeb = exports.getImgFromWeb = function getImgFromWeb(imgUri, callback) {\n\n if (!imgUri || typeof callback !== 'function') return;\n\n var xhr = new XMLHttpRequest();\n xhr.open('GET', imgUri, true);\n xhr.responseType = 'blob';\n xhr.onerror = function (e) {\n console.log(e);\n };\n xhr.onload = function (e) {\n if (this.readyState === 4 && (this.status === 200 || this.status === 0 && this.response.size > 0)) {\n var blob = this.response;\n callback(window.URL.createObjectURL(blob));\n }\n };\n\n try {\n xhr.send();\n } catch (e) {\n console.log(e);\n }\n};\n\n//~ utils.getNestedProperty = function(obj, propPath) {\n//~\n//~ propPath = propPath.split(\".\");\n//~ for (var i = propPath.length; i--;) {\n//~ if (obj !== null && typeof obj === \"object\") {\n//~ obj = obj[propPath[i]];\n//~ }\n//~\n//~ };\n\n/**\n * Works like get `getElementById()` but is based on a class name.\n * It will return the first element inside an optional parent (root)\n * that has a class of this name.\n *\n * @param {string} cls - The class name to search for.\n * @param {Element} [root=document] - The context to search in.\n * @param {boolean} [isRequired=true] - If true, an exception will be\n * thrown if no element can be retrieved. This is important\n * when depending on third party modules and class names change!\n * @throws {EnvironmentError} - May be thrown if\n * `isRequired` is set to true.\n * @return {Element} Either a dom element or null is returned.\n */\nvar getFirstElementByClassName = exports.getFirstElementByClassName = function getFirstElementByClassName(cls, root, isRequired) {\n\n var el = (root || document).getElementsByClassName(cls)[0];\n if (!el && (typeof isRequired === 'boolean' ? isRequired : true)) {\n var text = 'Missing element with class \"' + cls + '\" inside ' + root;\n throw new _exception.EnvironmentError(text);\n }\n\n return el;\n};\n\nvar getRandomLabel = exports.getRandomLabel = function getRandomLabel() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n\n var adjective = pickRandom(['exciting', 'notable', 'epic', 'new', 'fancy', 'great', 'cool', 'fresh', 'funky', 'clever']);\n\n var noun = (options.object || pickRandom(['concept', 'idea', 'thought', 'topic', 'subject'])) + (options.plural ? 's' : '');\n\n return 'My ' + adjective + ' ' + noun;\n};\n\nvar _merge = function _merge(dest, src) {\n\n if ((typeof dest === 'undefined' ? 'undefined' : _typeof(dest)) !== 'object') {\n dest = {};\n }\n\n for (var p in src) {\n if (src.hasOwnProperty(p)) {\n if (src[p] != null) {\n // skip null or undefined\n dest[p] = _typeof(src[p]) === 'object' ? _merge(dest[p], src[p]) : src[p]; // primitive type, stop recursion\n }\n }\n }\n\n return dest;\n};\n\n/**\n * Merges `src` into `dest` which means that the merge transforms\n * the `dest` object itself. If src and dest both have the same\n * property path, src does only replace the primitive data type\n * at the end of the path.\n *\n * @todo Should null really be skipped or treated as value?\n *\n * @param {Object} dest - The destination object.\n * @param {...Object} sources - At least one object to merge into `dest`.\n * @return {Object} The original `dest` object.\n */\nvar merge = exports.merge = function merge(dest) {\n for (var _len = arguments.length, sources = Array(_len > 1 ? _len - 1 : 0), _key = 1; _key < _len; _key++) {\n sources[_key - 1] = arguments[_key];\n }\n\n // start the merging; i = 1 since first argument is the destination\n for (var i = 0, l = sources.length; i < l; i++) {\n var src = sources[i];\n if (src != null && (typeof src === 'undefined' ? 'undefined' : _typeof(src)) === 'object') {\n dest = _merge(dest, src);\n }\n }\n\n return dest;\n};\n\n/**\n * Adds or removes listeners from the target in capture or\n * non-capture (bubbling) mode.\n *\n * @param {string} task - Either \"add\" or \"remove\". Make sure to\n * always call add and remove with *excatly* the same listeners\n * Note: if you use bind, you change the function object.\n * @param {Element} target - The element to attach or remove the\n * listener to or from.\n * @param {Object<string, (Function|Array)>} listeners - The key is\n * the event name and the value is either a handler function\n * or an array where the first index is the handler function and\n * the second is a boolean that specifies whether to use capture\n * or not.\n * @param {boolean} [isCapt=false] - Whether to run the handler in\n * bubbling or capturing phase.\n */\nvar setDomListeners = exports.setDomListeners = function setDomListeners(task, target, listeners, isCapt) {\n\n isCapt = typeof isCapt === 'boolean' ? isCapt : false;\n task = task + 'EventListener';\n\n for (var event in listeners) {\n\n var l = listeners[event];\n\n if (typeof l === 'function') {\n\n target[task](event, l, isCapt);\n } else {\n // expect Array\n\n target[task](event, l[0], typeof l[1] === 'boolean' ? l[1] : isCapt);\n }\n }\n};\n\n/**\n * Removes all child nodes of a DOM element. This includes element\n * and non-element objects.\n */\nvar removeDOMChildNodes = exports.removeDOMChildNodes = function removeDOMChildNodes(el) {\n\n for (var i = el.childNodes.length; i--;) {\n el.removeChild(el.childNodes[i]);\n }\n};\n\n/**\n * Implementation of the algebraic modulus operation.\n *\n * In javascript '%' is really a remainder operator, not a modulus.\n * Algebraically speaking, a modulus operation always yields\n * positive results, while '%' in js can yield negative results.\n *\n * Note: divident mod divisor\n *\n * @param {number} divident\n * @param {number} divisor\n * @return {number}\n */\nvar mod = exports.mod = function mod(divident, divisor) {\n\n var remainder = divident % divisor;\n\n return Math.floor(remainder >= 0 ? remainder : remainder + divisor);\n};\n\n/**\n * Maps a coordinate to the nearest raster coordinate.\n *\n * @param {number} x\n * @param {number} y\n * @param {number} raster\n * @return {{x: number, y: number}}\n */\nvar getNearestRasterPosition = exports.getNearestRasterPosition = function getNearestRasterPosition(_ref, raster) {\n var x = _ref.x,\n y = _ref.y;\n\n\n var rasterHalf = raster / 2;\n\n // calculate distances to previous raster lines\n var distPrevX = mod(x, raster);\n var distPrevY = mod(y, raster);\n\n return {\n x: distPrevX < rasterHalf ? x - distPrevX : x - distPrevX + raster,\n y: distPrevY < rasterHalf ? y - distPrevY : y - distPrevY + raster\n };\n};\n\n/**\n * Force early binding of functions to this context.\n *\n * @param context the context to bind this function to (typically `this`)\n * @param {Array<string>} fnNames - The prototype function names\n * to bind to this context.\n */\nvar bindTo = exports.bindTo = function bindTo(context, fnNames) {\n\n for (var i = fnNames.length; i--;) {\n var fn = context[fnNames[i]];\n context[fnNames[i]] = fn.bind(context);\n }\n};\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/utils/basic.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/utils/thirdParty": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/utils/thirdParty",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/utils/thirdParty\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/**\n * Modified TW-Code from Navigator widget\n * https://github.com/Jermolene/TiddlyWiki5/blob/master/core/modules/widgets/navigator.js\n */\nvar generateDraftTitle = exports.generateDraftTitle = function generateDraftTitle(title) {\n\n var c = 0,\n draftTitle = void 0;\n do {\n draftTitle = 'Draft ' + (c ? c + 1 + ' ' : '') + 'of \\'' + title + '\\'';\n c++;\n } while ($tw.wiki.tiddlerExists(draftTitle));\n return draftTitle;\n};\n\n/**\n * Modified TW-Code from Navigator widget\n * https://github.com/Jermolene/TiddlyWiki5/blob/master/core/modules/widgets/navigator.js\n */\nvar makeDraftTiddler = exports.makeDraftTiddler = function makeDraftTiddler(targetTitle) {\n\n // See if there is already a draft tiddler for this tiddler\n var draftTitle = $tw.wiki.findDraft(targetTitle);\n if (draftTitle) {\n return $tw.wiki.getTiddler(draftTitle);\n }\n // Get the current value of the tiddler we're editing\n var tiddler = $tw.wiki.getTiddler(targetTitle);\n // Save the initial value of the draft tiddler\n draftTitle = generateDraftTitle(targetTitle);\n var draftTiddler = new $tw.Tiddler(tiddler, {\n title: draftTitle,\n 'draft.title': targetTitle,\n 'draft.of': targetTitle\n }, $tw.wiki.getModificationFields());\n $tw.wiki.addTiddler(draftTiddler);\n return draftTiddler;\n};\n\n/**\n * TW-Code\n * @deprecated delete this in 2016 and use $tw.utils.getFullScreenApis instead\n */\nvar getFullScreenApis = exports.getFullScreenApis = function getFullScreenApis() {\n\n var d = document,\n db = d.body,\n result = {\n '_requestFullscreen': db.webkitRequestFullscreen !== undefined ? 'webkitRequestFullscreen' : db.mozRequestFullScreen !== undefined ? 'mozRequestFullScreen' : db.msRequestFullscreen !== undefined ? 'msRequestFullscreen' : db.requestFullscreen !== undefined ? 'requestFullscreen' : '',\n '_exitFullscreen': d.webkitExitFullscreen !== undefined ? 'webkitExitFullscreen' : d.mozCancelFullScreen !== undefined ? 'mozCancelFullScreen' : d.msExitFullscreen !== undefined ? 'msExitFullscreen' : d.exitFullscreen !== undefined ? 'exitFullscreen' : '',\n '_fullscreenElement': d.webkitFullscreenElement !== undefined ? 'webkitFullscreenElement' : d.mozFullScreenElement !== undefined ? 'mozFullScreenElement' : d.msFullscreenElement !== undefined ? 'msFullscreenElement' : d.fullscreenElement !== undefined ? 'fullscreenElement' : '',\n '_fullscreenChange': d.webkitFullscreenElement !== undefined ? 'webkitfullscreenchange' : d.mozFullScreenElement !== undefined ? 'mozfullscreenchange' : d.msFullscreenElement !== undefined ? 'MSFullscreenChange' : d.fullscreenElement !== undefined ? 'fullscreenchange' : ''\n };\n if (!result._requestFullscreen || !result._exitFullscreen || !result._fullscreenElement) {\n return null;\n } else {\n return result;\n }\n};\n\n/**\n *\n * Slightly modified by me to allow an optional prefix.\n *\n * For the original code:\n *\n * Copyright (c) 2014, Hugh Kennedy, All rights reserved.\n * Code published under the BSD 3-Clause License\n *\n * @see oringal repo https://github.com/hughsk/flat\n * @see snapshot https://github.com/felixhayashi/flat\n * @see http://opensource.org/licenses/BSD-3-Clause\n */\nvar flatten = exports.flatten = function flatten(target) {\n var opts = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n\n var delimiter = opts.delimiter || '.';\n var prefix = opts.prefix || '';\n var output = {};\n\n function step(object, prev) {\n Object.keys(object).forEach(function (key) {\n var value = object[key];\n var isarray = opts.safe && Array.isArray(value);\n var type = Object.prototype.toString.call(value);\n var isobject = type === '[object Object]' || type === '[object Array]';\n\n var newKey = prev ? prev + delimiter + key : prefix + key;\n\n if (!isarray && isobject) {\n return step(value, newKey);\n }\n\n output[newKey] = value;\n });\n }\n\n step(target);\n\n return output;\n};\n\n/**\n * Copyright (c) 2014, Hugh Kennedy, All rights reserved.\n * Code published under the BSD 3-Clause License\n *\n * @see oringal repo https://github.com/hughsk/flat\n * @see snapshot https://github.com/felixhayashi/flat\n * @see http://opensource.org/licenses/BSD-3-Clause\n */\nvar unflatten = exports.unflatten = function unflatten(target) {\n var opts = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n\n var delimiter = opts.delimiter || '.';\n var result = {};\n\n if (Object.prototype.toString.call(target) !== '[object Object]') {\n return target;\n }\n\n // safely ensure that the key is\n // an integer.\n function getkey(key) {\n var parsedKey = Number(key);\n\n return isNaN(parsedKey) || key.indexOf('.') !== -1 ? key : parsedKey;\n }\n\n Object.keys(target).forEach(function (key) {\n var split = key.split(delimiter);\n var key1 = getkey(split.shift());\n var key2 = getkey(split[0]);\n var recipient = result;\n\n while (key2 !== undefined) {\n if (recipient[key1] === undefined) {\n recipient[key1] = typeof key2 === 'number' && !opts.object ? [] : {};\n }\n\n recipient = recipient[key1];\n if (split.length > 0) {\n key1 = getkey(split.shift());\n key2 = getkey(split[0]);\n }\n }\n\n // unflatten again for 'messy objects'\n recipient[key1] = unflatten(target[key], opts);\n });\n\n return result;\n};\n\n/**\n * An adopted version of pmario's version to create\n * uuids of type RFC4122, version 4 ID.\n *\n * Shortened version:\n * pmario (1.0 - 2011.05.22):\n * http://chat-plugins.tiddlyspace.com/#UUIDPlugin\n *\n * Original version:\n * Math.uuid.js (v1.4)\n * http://www.broofa.com\n * mailto:robert@broofa.com\n *\n * Copyright (c) 2010 Robert Kieffer\n * Dual licensed under the MIT and GPL licenses.\n *\n * ---\n * @see https://github.com/almende/vis/issues/432\n*/\nvar genUUID = exports.genUUID = function () {\n\n // Private array of chars to use\n var CHARS = '0123456789abcdefghijklmnopqrstuvwxyz'.split('');\n\n return function () {\n var chars = CHARS,\n uuid = new Array(36);\n\n var rnd = 0,\n r;\n for (var i = 0; i < 36; i++) {\n if (i == 8 || i == 13 || i == 18 || i == 23) {\n uuid[i] = '-';\n } else if (i == 14) {\n uuid[i] = '4';\n } else {\n if (rnd <= 0x02) rnd = 0x2000000 + Math.random() * 0x1000000 | 0;\n r = rnd & 0xf;\n rnd = rnd >> 4;\n uuid[i] = chars[i == 19 ? r & 0x3 | 0x8 : r];\n }\n }\n\n return uuid.join('');\n };\n}();\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/utils/thirdParty.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/utils/tmap": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/utils/tmap",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getSnapshotTitle = exports.getArrayValuesAsHashmapKeys = exports.getLookupTable = exports.keyOfItemWithProperty = exports.keysOfItemsWithProperty = exports.getDublicates = exports.getId = exports.refreshDataSet = exports.drawRaster = exports.getPrettyFilter = exports.groupByProperty = exports.isEdgeTypeMatch = exports.getEdgeTypeMatches = exports.getDataUri = exports.convert = exports.getValues = exports.getIterableCollection = exports.getLabel = undefined;\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; }; // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/utils/tmap\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _vis = require('$:/plugins/felixhayashi/vis/vis.js');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nvar _basic = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic');\n\nvar basicUtils = _interopRequireWildcard(_basic);\n\nvar _wiki = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/wiki');\n\nvar wikiUtils = _interopRequireWildcard(_wiki);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\n/**\n * @param {Tiddler} tiddler\n * @param {string} aliasField - A tiddler field that contains an\n * alternative title (e.g. \"caption\").\n * @return {string|undefined} If the `aliasField` exists and is not\n * empty, the value of the `aliasField` otherwise the tiddler's\n * title or undefined if the tiddler doesn't exist.\n */\nvar getLabel = exports.getLabel = function getLabel(tiddler, aliasField) {\n var tObj = wikiUtils.getTiddler(tiddler);\n return tObj && tObj.fields[aliasField] ? tObj.fields[aliasField] : tObj.fields.title;\n};\n\n/**\n * This function will return a collection object whose data can be\n * via `Object.keys(col)` in a loop.\n *\n * @param {Collection} col - A collection\n * @return {Hashmap} The iterable object.\n */\nvar getIterableCollection = exports.getIterableCollection = function getIterableCollection(col) {\n return col instanceof _vis2.default.DataSet ? col.get() : col;\n};\n\n/**\n * Extract all the values from a collection. If `col` is an object,\n * only properties are considered that are its own and iterable.\n *\n * @param {Collection} col\n * @return {Array} An array\n */\nvar getValues = exports.getValues = function getValues(col) {\n\n if (Array.isArray(col)) {\n\n return col; // bounce back.\n } else if (col instanceof _vis2.default.DataSet) {\n // a dataset\n\n return col.get({ returnType: 'Array' });\n }\n\n var result = [];\n var keys = Object.keys(col);\n for (var i = keys.length; i--;) {\n result.push(col[keys[i]]);\n }\n\n return result;\n};\n\n/**\n * Transforms a collection of a certain type into a collection of\n * another type.\n *\n * **Attention**: When trying to convert an array into a object, the\n * array will be simply bounced back. Let's hope no one added enumerable\n * properties to Array.prototype :)\n *\n * @param {Collection} col - The collection to convert.\n * @param {CollectionTypeString} [outputType=\"dataset\"] - The output type.\n * @return {Collection} A **new** collection of type `outputType`.\n */\nvar convert = exports.convert = function convert(col, outputType) {\n\n if ((typeof col === 'undefined' ? 'undefined' : _typeof(col)) !== 'object') {\n throw new _exception.InvalidArgumentException(col, outputType);\n }\n\n if (outputType === 'object') {\n outputType = 'hashmap';\n }\n\n var mapper = {\n array: function array(col) {\n return getValues(col);\n },\n hashmap: function hashmap(col) {\n return col instanceof _vis2.default.DataSet ? col.get({ returnType: 'Object' }) : col;\n },\n dataset: function dataset(col) {\n return col instanceof _vis2.default.DataSet ? col : !Array.isArray(col) ? getValues(col) : new _vis2.default.DataSet(col);\n }\n };\n\n return mapper[outputType](col);\n};\n\n/**\n * @param {Tiddler} tiddler\n * @param {string} [type]\n * @param {boolean} [isForceBase64]\n * @return {string}\n */\nvar getDataUri = exports.getDataUri = function getDataUri(tiddler, type, isForceBase64) {\n\n var imgTObj = wikiUtils.getTiddler(tiddler);\n type = type || imgTObj.fields.type || 'image/svg+xml';\n var body = imgTObj.fields.text;\n var encoding = $tw.config.contentTypeInfo[type].encoding;\n\n if (type === 'image/svg+xml') {\n\n // see http://stackoverflow.com/questions/10768451/inline-svg-in-css\n body = body.replace(/\\r?\\n|\\r/g, ' ');\n\n if (!basicUtils.hasSubString('xmlns', body)) {\n // @tiddlywiki it is bad to remove the xmlns attribute!\n\n body = body.replace(/<svg/, '<svg xmlns=\"http://www.w3.org/2000/svg\"');\n }\n }\n\n if (isForceBase64 && encoding !== 'base64') {\n encoding = 'base64';\n body = basicUtils.base64(body);\n }\n\n return 'data:' + type + ';' + encoding + ',' + body;\n};\n\n// @todo move this to environment\nvar eTyFiltAutoPrefix = '[all[]] ';\n\n/**\n *\n * @param filter\n * @param titles\n * @return {*}\n */\nvar getEdgeTypeMatches = exports.getEdgeTypeMatches = function getEdgeTypeMatches() {\n var filter = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : '';\n var titles = arguments[1];\n\n\n if (!titles) {\n titles = wikiUtils.getTiddlersByPrefix($tm.path.edgeTypes + '/', {\n iterator: 'eachTiddlerPlusShadows',\n removePrefix: true\n });\n }\n\n if (titles != null && !Array.isArray(titles)) {\n titles = Object.keys(titles);\n }\n\n return wikiUtils.getMatches(eTyFiltAutoPrefix + filter, titles);\n};\n\nvar isEdgeTypeMatch = exports.isEdgeTypeMatch = function isEdgeTypeMatch(title) {\n var filter = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : '';\n return wikiUtils.isMatch(title, eTyFiltAutoPrefix + filter);\n};\n\n/**\n *\n */\nvar groupByProperty = exports.groupByProperty = function groupByProperty(col, prop) {\n\n col = getIterableCollection(col);\n\n var result = basicUtils.makeHashMap();\n var keys = Object.keys(col);\n\n for (var i in keys) {\n\n var item = col[keys[i]];\n var val = item[prop];\n\n if (val == null) {\n // null or undefined\n\n // @todo use exception class\n throw 'Cannot group by property ' + prop;\n } else {\n\n if (!Array.isArray(result[val])) {\n result[val] = [];\n }\n result[val].push(item);\n }\n }\n\n return result;\n};\n\n/**\n * Turns the filter expression in a nicely formatted (but unusable)\n * text, making it easier to edit long filter expressions.\n *\n * @param {string} expr - A valid filter expression.\n * @result {string} A formatted (unusable) filter expression.\n */\nvar getPrettyFilter = exports.getPrettyFilter = function getPrettyFilter(expr) {\n\n // remove outer spaces and separate operands\n expr = expr.trim().replace('][', '] [');\n\n // regex to identify operands\n var re = /[+-]?\\[.+?[\\]\\}\\>]\\]/g;\n\n // get operands\n var operands = expr.match(re);\n\n // replace operands with dummies and trim again to avoid trailing spaces\n expr = expr.replace(re, ' [] ').trim();\n\n // turn it into an array\n var stringsPlusDummies = expr.split(/\\s+/);\n\n var operandIndex = 0;\n var parts = [];\n for (var i = 0, l = stringsPlusDummies.length; i < l; i++) {\n parts[i] = stringsPlusDummies[i] === '[]' ? operands[operandIndex++] : stringsPlusDummies[i];\n }\n\n return parts.join('\\n');\n};\n\n/**\n * This function will draw a raster on the network canvas that will\n * adjust to the network's current scaling factor and viewport offset.\n *\n * @param {CanvasRenderingContext2D} ctx - The canvas's context passed by vis.\n * @param {number} scaleFactor - The current scale factor of the network.\n * @param {Object} viewCenter - Virtual center point of the view.\n * @param {number} rasterSize - The size of the squares that are drawn.\n * @param {string} color - A string parsed as CSS color value.\n */\nvar drawRaster = exports.drawRaster = function drawRaster(ctx, scaleFactor, viewCenter, rasterSize) {\n var color = arguments.length > 4 && arguments[4] !== undefined ? arguments[4] : '#D9D9D9';\n\n // from now on the most central raster point\n var _basicUtils$getNeares = basicUtils.getNearestRasterPosition(viewCenter, rasterSize),\n centerX = _basicUtils$getNeares.x,\n centerY = _basicUtils$getNeares.y;\n\n var scaledWidth = ctx.canvas.width / scaleFactor;\n var scaledHeight = ctx.canvas.height / scaleFactor;\n\n // some extra lines to ensure the canvas is completely filled with lines\n var extraLines = rasterSize * 2;\n\n // calculate the space that is required to draw the rasters\n var hSpace = Math.ceil(scaledWidth / rasterSize / 2) * rasterSize + extraLines;\n var vSpace = Math.ceil(scaledHeight / rasterSize / 2) * rasterSize + extraLines;\n\n // align the space to the center points and calculate the offsets\n var left = centerX - hSpace;\n var right = centerX + hSpace;\n var top = centerY - vSpace;\n var bottom = centerY + vSpace;\n\n ctx.beginPath();\n\n // draw vertical lines\n for (var x = left; x < right; x += rasterSize) {\n ctx.moveTo(x, top);\n ctx.lineTo(x, bottom);\n }\n\n // draw horizontal lines\n for (var y = top; y <= bottom; y += rasterSize) {\n ctx.moveTo(left, y);\n ctx.lineTo(right, y);\n }\n\n ctx.strokeStyle = color;\n ctx.fillStyle = color;\n ctx.stroke();\n};\n\n/**\n * Updates a dataset.\n *\n * Note: never use the dataset's update() method, it does not properly remove\n * obsolete properties! – use remove and add instead.\n *\n * @param {vis.DataSet} [ds] - The dataset to be updated\n * @param {Hashmap<id, Node>} ltNew - Lookup table that contains the *new* set of nodes.\n */\nvar refreshDataSet = exports.refreshDataSet = function refreshDataSet(ds, ltNew) {\n\n var ltOld = ds.get({ returnType: 'Object' });\n\n var inserted = [];\n var updated = [];\n var withoutPosition = [];\n var removed = [];\n\n for (var id in ltNew) {\n\n if (ltOld[id]) {\n // element already exists in graph\n\n if (basicUtils.isEqual(ltOld[id], ltNew[id])) {\n // simply keep element\n continue;\n }\n\n updated.push(id);\n ds.remove(id);\n } else {\n\n inserted.push(id);\n }\n\n if (ltNew[id].x === undefined) {\n withoutPosition.push(id);\n }\n\n ds.add(ltNew[id]);\n }\n\n for (var _id in ltOld) {\n if (!ltNew[_id]) {\n removed.push(_id);\n ds.remove(_id);\n }\n }\n\n return {\n withoutPosition: withoutPosition,\n inserted: inserted,\n updated: updated,\n removed: removed\n };\n};\n\n/**\n * Returns the tmap id that is stored in a designated field in the tiddler.\n *\n * @param tiddler\n * @return {string} the tmap id of this tiddler\n */\nvar getId = exports.getId = function getId(tiddler) {\n return wikiUtils.getTiddler(tiddler).fields['tmap.id'];\n};\n\n/**\n * Returns all other tiddlers that have the same tmap.id field entry.\n *\n * Note: typically tiddlers don't have the same id assigned, however,\n * this can happen when tiddlers are imported or cloned.\n *\n * @param {Tiddler} tiddler\n * @return {array<TiddlerReference>} a list of tiddlers with the same id as the\n * provided tiddler (excluding the provided tiddler itself).\n */\nvar getDublicates = exports.getDublicates = function getDublicates(tiddler) {\n\n var id = getId(tiddler);\n\n if (!id) {\n\n return [];\n }\n\n var tiddlers = wikiUtils.getTiddlersWithField('tmap.id', id, { limit: 2 });\n delete tiddlers[wikiUtils.getTiddlerRef(tiddler)];\n\n return Object.keys(tiddlers);\n};\n\n/**\n * Function that searches an array for an object with a property\n * having a certain value.\n *\n * Attention: Not the item itself but the item's key is returned.\n *\n * @param {Collection} col - The collection to search in.\n * @param {string} key - The property name to look for.\n * @param {*} [val] - An optional value that the object's property must have\n * in order to match.\n * @param {number} [limit] - An optional result limit (>0) to stop the search.\n * @return {Array<Id>} An array containing the indeces of matching items.\n */\nvar keysOfItemsWithProperty = exports.keysOfItemsWithProperty = function keysOfItemsWithProperty(col, key, val, limit) {\n\n col = getIterableCollection(col);\n\n var keys = Object.keys(col);\n var result = [];\n\n limit = typeof limit === 'number' ? limit : keys.length;\n\n for (var i = 0, l = keys.length; i < l; i++) {\n var index = keys[i];\n if (_typeof(col[index]) === 'object' && col[index][key]) {\n if (!val || col[index][key] === val) {\n result.push(index);\n if (result.length === limit) {\n break;\n }\n }\n }\n }\n\n return result;\n};\n\n/**\n *\n * @param col\n * @param key\n * @param val\n * @return {*}\n */\nvar keyOfItemWithProperty = exports.keyOfItemWithProperty = function keyOfItemWithProperty(col, key, val) {\n return keysOfItemsWithProperty(col, key, val, 1)[0];\n};\n\n/**\n * In a collection where all elements have a **distinct** property\n * `lookupKey`, use the value of each element's `lookupKey` as key\n * to identify the object. If no property `lookupKey` is specified,\n * the collection's values are used as keys and `true` is used as value,\n * however, if the used keys are not strings, an error is thrown.\n *\n * @param {Collection} col - The collection for which to create a lookup table.\n * @param {string} [lookupKey] - The property name to use as index in\n * the lookup table. If not specified, the collection values are tried\n * to be used as indeces.\n * @return {Hashmap} The lookup table.\n */\nvar getLookupTable = exports.getLookupTable = function getLookupTable(col, lookupKey) {\n\n col = getIterableCollection(col);\n\n var lookupTable = basicUtils.makeHashMap();\n var keys = Object.keys(col);\n\n for (var i = 0, l = keys.length; i < l; i++) {\n\n var key = keys[i];\n var idx = lookupKey ? col[key][lookupKey] : col[key];\n var type = typeof idx === 'undefined' ? 'undefined' : _typeof(idx);\n\n if (type === 'string' && idx !== '' || type === 'number') {\n if (!lookupTable[idx]) {\n // doesn't exist yet!\n lookupTable[idx] = lookupKey ? col[key] : true;\n continue;\n }\n }\n\n // @todo use exception class\n throw new Error('Cannot use \"' + idx + '\" as lookup table index');\n }\n\n return lookupTable;\n};\n\n/**\n * Alias for {@link getLookupTable}\n */\nvar getArrayValuesAsHashmapKeys = exports.getArrayValuesAsHashmapKeys = getLookupTable;\n\n/**\n *\n * @param viewLabel\n * @param type\n */\nvar getSnapshotTitle = exports.getSnapshotTitle = function getSnapshotTitle(viewLabel, type) {\n return 'Snapshot \\u2013 ' + viewLabel + ' (' + new Date().toDateString() + '). ' + (type || 'png');\n};\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/utils/tmap.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/utils": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/utils",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.utils = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; }; // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/utils\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _basic = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic');\n\nvar basicUtils = _interopRequireWildcard(_basic);\n\nvar _thirdParty = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/thirdParty');\n\nvar thirdPartyUtils = _interopRequireWildcard(_thirdParty);\n\nvar _wiki = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/wiki');\n\nvar wikiUtils = _interopRequireWildcard(_wiki);\n\nvar _tmap = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/tmap');\n\nvar tMapUtils = _interopRequireWildcard(_tmap);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\n/*** Code **********************************************************/\n\n/**\n * A utilities class that contains universally used helper functions\n * to abbreviate code and make my life easier.\n *\n * ATTENTION: This module must not require any other tiddlymap file\n * in order to avoid cyclic dependencies. For the same reason,\n * it must also not access the `$tm.*` object.\n *\n * Exceptions to this restriction:\n * - The utils module may access all `$tm.*` properties\n * defined in startup.environment.\n * - The utils module may require vendor libs or tiddlymap libs\n * that only require vendor libs themselves.\n *\n * @see Dom utilities {@link https://github.com/Jermolene/TiddlyWiki5/blob/master/core/modules/utils/*}\n * @namespace utils\n */\nvar utils = exports.utils = _extends({}, basicUtils, thirdPartyUtils, wikiUtils, tMapUtils);\n\nexports.default = utils;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/utils/utils.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/lib/utils/wiki": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/lib/utils/wiki",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.touch = exports.addTiddler = exports.getTiddlersByPrefix = exports.getTiddlerWithField = exports.getTiddlersWithField = exports.deleteByPrefix = exports.cp = exports.mv = exports.addTWlisteners = exports.getChildWidgetByProperty = exports.getMergedTiddlers = exports.isSystemOrDraft = exports.isDraft = exports.setText = exports.getText = exports.isLeftVersionGreater = exports.getEntry = exports.setEntry = exports.clone = exports.writeFieldData = exports.parseFieldData = exports.isPreviewed = exports.notify = exports.registerTransclude = exports.getElementNode = exports.getTiddlerNode = exports.getTranscludeNode = exports.isMatch = exports.getMatches = exports.moveFieldValues = exports.deleteTiddlers = exports.setField = exports.setSidebarTab = exports.tiddlerExists = exports.getField = exports.getTiddler = exports.getTiddlerRef = undefined;\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _exception = require('$:/plugins/felixhayashi/tiddlymap/js/exception');\n\nvar _basic = require('$:/plugins/felixhayashi/tiddlymap/js/lib/utils/basic');\n\nvar basicUtils = _interopRequireWildcard(_basic);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/lib/utils/wiki\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/**\n * Gets a tiddler reference from a tRef or tObj\n *\n * @param {Tiddler|string} tiddler - A tiddler reference or object.\n * @return {TiddlerReference|null} A tiddler reference (title)\n */\nvar getTiddlerRef = exports.getTiddlerRef = function getTiddlerRef(tiddler) {\n\n if (tiddler instanceof $tw.Tiddler) {\n\n return tiddler.fields.title;\n } else if (typeof tiddler === 'string') {\n\n return tiddler;\n } else {\n\n throw new _exception.InvalidArgumentException(tiddler);\n }\n};\n\n/**\n * Similar to {@code wiki.getTiddler()} but also accepts a tObj as\n * argument, thus, making it unnecessary to always differentiate or remember\n * if we are dealing with an object or a reference.\n *\n * @see https://github.com/Jermolene/TiddlyWiki5/blob/master/boot/boot.js#L866\n * @param {Tiddler} tiddler - A tiddler reference or object.\n * @return {Tiddler} A tiddler object.\n */\nvar getTiddler = exports.getTiddler = function getTiddler(tiddler) {\n return $tw.wiki.getTiddler(tiddler instanceof $tw.Tiddler ? tiddler.fields.title : tiddler);\n};\n\n/**\n * Get a tiddler's field value. If the field does not exist or\n * its value is an empty string, return the default or an empty\n * string.\n */\nvar getField = exports.getField = function getField(tiddler, field) {\n var defValue = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : '';\n\n\n var tObj = getTiddler(tiddler);\n return !tObj ? defValue : tObj.fields[field] || defValue;\n};\n\n/**\n * Checks if tiddlers (including shadow tiddlers) exist.\n *\n * @param {Tiddler} tiddler\n * @return {boolean} True if the tiddler exists, false otherwise\n */\nvar tiddlerExists = exports.tiddlerExists = function tiddlerExists(tiddler) {\n\n if (!tiddler) {\n return false;\n }\n\n var tRef = getTiddlerRef(tiddler);\n\n return Boolean(tRef && ($tw.wiki.tiddlerExists(tRef) || $tw.wiki.isShadowTiddler(tRef)));\n};\n\nvar setSidebarTab = exports.setSidebarTab = function setSidebarTab(tRef) {\n\n setText(getTiddlersByPrefix('$:/state/tab/sidebar-')[0], tRef);\n};\n\n/**\n * Set a tiddler field to a given value.\n *\n * Setting the title field to another value will clone the tiddler.\n * In this case, better use @link{clone} as this is\n * semantically stronger.\n *\n * This method is guarded against\n * https://github.com/Jermolene/TiddlyWiki5/issues/2025\n *\n * @return {$tw.Tiddler|undefined} The tiddler object containing\n * the field with the assigned value.\n */\nvar setField = exports.setField = function setField(tiddler, field, value) {\n\n if (!tiddler || !field) {\n return;\n }\n\n var tRef = getTiddlerRef(tiddler);\n var fields = _defineProperty({\n title: tRef\n }, field, value);\n\n // do not use any tObj provided, it may result in a lost update!\n var tObj = $tw.wiki.getTiddler(tRef, true);\n\n if (field !== 'text' && tObj && !tObj.fields.text) {\n fields.text = '';\n }\n\n tObj = new $tw.Tiddler(tObj, fields);\n $tw.wiki.addTiddler(tObj);\n\n return tObj;\n};\n\n/**\n * Pendant to tw native {@code addTiddlers()}.\n *\n * Also removes tiddlers from the river.\n *\n * @param {TiddlerCollection} tiddlers - A collection of tiddlers\n * to be removed.\n */\nvar deleteTiddlers = exports.deleteTiddlers = function deleteTiddlers(tiddlers) {\n\n var keys = Object.keys(tiddlers);\n var storyList = $tw.wiki.getTiddlerList('$:/StoryList');\n\n for (var i = keys.length; i--;) {\n var tRef = getTiddlerRef(tiddlers[keys[i]]);\n if (!$tw.wiki.tiddlerExists(tiddlers[keys[i]])) {\n // this check is important!\n // see https://github.com/Jermolene/TiddlyWiki5/issues/1919\n continue;\n }\n\n var index = storyList.indexOf(tRef);\n if (index !== -1) {\n // tiddler is displayed in river\n storyList.splice(index, 1);\n setField('$:/StoryList', 'list', storyList);\n }\n\n // finally delete the tiddler;\n\n\n $tw.wiki.deleteTiddler(tRef);\n }\n};\n\nvar moveFieldValues = exports.moveFieldValues = function moveFieldValues(oldName, newName, isRemoveOldField, isIncludeSystemTiddlers, tiddlers) {\n\n if (oldName === newName) return;\n\n var allTiddlers = tiddlers || $tw.wiki.allTitles();\n\n for (var i = allTiddlers.length; i--;) {\n\n var tObj = getTiddler(allTiddlers[i]);\n\n if (tObj.isDraft() || !tObj.fields[oldName]) {\n continue;\n }\n\n if (!isIncludeSystemTiddlers && $tw.wiki.isSystemTiddler(allTiddlers[i])) {\n continue;\n }\n\n var fields = _defineProperty({}, newName, tObj.fields[oldName]);\n\n if (isRemoveOldField) {\n fields[oldName] = undefined;\n }\n $tw.wiki.addTiddler(new $tw.Tiddler(tObj, fields));\n }\n};\n\n/**\n * This function returns all tiddlers that match the filter.\n *\n * @Todo: skip drafts! Or not?\n *\n * @param {TiddlyWikiFilter} filter - The filter to use.\n * @param {TiddlerCollection} [tiddlers] - A set of tiddlers used as\n * source. If not defined, all tiddlers and system tiddlers are\n * selected. Shadows are *not* included.\n * @return {Array.<TiddlerReference>}\n */\nvar getMatches = exports.getMatches = function getMatches(filter, tiddlers, widget) {\n\n // use wiki as default source\n var source = undefined;\n\n // shortcuts for performance\n var wiki = $tw.wiki;\n\n if (typeof filter === 'string') {\n filter = wiki.compileFilter(filter);\n }\n\n // if a source is provided, create an iterator callback\n if (tiddlers != null && (typeof tiddlers === 'undefined' ? 'undefined' : _typeof(tiddlers)) === 'object') {\n\n if (!Array.isArray(tiddlers)) {\n tiddlers = Object.keys(tiddlers);\n }\n\n source = function source(callback) {\n for (var i = tiddlers.length; i--;) {\n var tObj = wiki.getTiddler(tiddlers[i]);\n callback(tObj, tiddlers[i]);\n }\n };\n }\n\n return filter.call(wiki, source, widget);\n};\n\n/**\n * Tries to match a single tiddler object against a filter.\n * Returns a boolean value.\n *\n * @param {Tiddler} tiddler - The object to apply the filter to.\n * @param {TiddlyWikiFilter} filter - The filter to use.\n * @return {boolean} True if the tiddler matches the filter, false otherwise.\n */\nvar isMatch = exports.isMatch = function isMatch(tiddler, filter) {\n return getTiddlerRef(tiddler) === getMatches(filter, [getTiddlerRef(tiddler)])[0];\n};\n\n/**\n *\n * @param {Tiddler} tiddler\n * @param {boolean} isBlock\n */\nvar getTranscludeNode = exports.getTranscludeNode = function getTranscludeNode(tiddler, isBlock) {\n return {\n type: 'transclude',\n attributes: {\n tiddler: {\n type: 'string',\n value: getTiddlerRef(tiddler) } },\n children: [],\n isBlock: !!isBlock\n };\n};\n\n/**\n *\n * @param {Tiddler} tiddler\n */\nvar getTiddlerNode = exports.getTiddlerNode = function getTiddlerNode(tiddler) {\n return {\n type: 'tiddler',\n attributes: {\n tiddler: {\n type: 'string', value: getTiddlerRef(tiddler) } },\n children: []\n };\n};\n\n/**\n *\n * @param type\n * @param className\n * @param text\n */\nvar getElementNode = exports.getElementNode = function getElementNode(type, className, text) {\n return {\n type: 'element',\n tag: type,\n attributes: {\n class: {\n type: 'string',\n value: className } },\n children: text ? [{ type: 'text', text: text }] : []\n };\n};\n\n/**\n *\n * @param {Widget} widget\n * @param {string} name\n * @param {Tiddler} tiddler\n * @return {*}\n */\nvar registerTransclude = exports.registerTransclude = function registerTransclude(widget, name, tiddler) {\n\n // if an instance exists, remove it\n basicUtils.removeArrayElement(widget.children, widget[name]);\n\n widget[name] = widget.makeChildWidget(getTranscludeNode(tiddler, true));\n widget.children.push(widget[name]);\n\n return widget[name];\n};\n\n/**\n * This function uses the tw-notification mechanism to display a\n * temporary message.\n *\n * @see https://github.com/Jermolene/TiddlyWiki5/blob/master/core/modules/utils/dom/notifier.js\n * @param {string} message - A short message to display.\n */\nvar notify = exports.notify = function notify(message) {\n\n // @todo add to environment\n var notifyTiddlerRef = '$:/temp/tiddlymap/notify';\n\n $tw.wiki.addTiddler(new $tw.Tiddler({\n title: notifyTiddlerRef,\n text: message\n }));\n\n $tw.notifier.display(notifyTiddlerRef);\n};\n\n/**\n * The function allows to detect whether a widget is displayed\n * in preview or not.\n */\nvar isPreviewed = exports.isPreviewed = function isPreviewed(widget) {\n if (!widget) {\n return false;\n }\n\n // TODO: in the wiki utils we should not know about TiddlyMap domNode property!\n if (widget.domNode.isTiddlyWikiFakeDom) {\n return true;\n }\n\n if (widget.getVariable('tv-tiddler-preview')) {\n return true;\n } else {\n // fallback for < v5.1.9\n var cls = 'tc-tiddler-preview-preview';\n // TODO: in the wiki utils we should not know about TiddlyMap domNode property!\n return !!basicUtils.getAncestorWithClass(widget.parentDomNode, cls);\n }\n};\n\n/**\n * Parse json from field or return default value on error.\n *\n * @param {Tiddler} tiddler - The tiddler containing the json.\n * @param {string} field - The field with the json data.\n * @param {Object} [data] - An optional default value.\n * @return {*} Either the parsed data or the default data.\n */\nvar parseFieldData = exports.parseFieldData = function parseFieldData(tiddler) {\n var field = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 'text';\n var data = arguments[2];\n\n\n var tObj = getTiddler(tiddler);\n\n if (!tObj) {\n return data;\n }\n\n return basicUtils.parseJSON(tObj.fields[field], data);\n};\n\n/**\n * Serialize json data and store it in a tiddler's field.\n *\n * @param {Tiddler} tiddler - The tiddler to store the json in.\n * @param {string} field - The field that will store the json.\n * @param {Object} data - The json data.\n * @param {int} [indent = 0] - the indentation\n */\nvar writeFieldData = exports.writeFieldData = function writeFieldData(tiddler, field, data, indent) {\n\n if ((typeof data === 'undefined' ? 'undefined' : _typeof(data)) !== 'object') {\n return;\n }\n\n indent = parseInt(indent);\n indent = indent > 0 && field === 'text' ? indent : 0;\n\n setField(tiddler, field, JSON.stringify(data, null, indent));\n};\n\n/**\n * Clone a tiddler and give it another title.\n * This means the tiddlers are equal except from their titles.\n */\nvar clone = exports.clone = function clone(src, dest) {\n\n setField(src, 'title', dest);\n};\n\n/**\n * Set the value of a data tiddler entry (index) to a given value\n */\nvar setEntry = exports.setEntry = function setEntry(tiddler, prop, value) {\n\n $tw.wiki.setText(getTiddlerRef(tiddler), null, prop, value);\n};\n\n/**\n * Get the value of a data tiddler entry (index)\n */\nvar getEntry = exports.getEntry = function getEntry(tiddler, prop, defValue) {\n\n var data = $tw.wiki.getTiddlerData(getTiddlerRef(tiddler), {});\n return data[prop] == null ? defValue : data[prop];\n};\n\n//~ utils.getNestedProperty = function(obj, propPath) {\n//~\n//~ propPath = propPath.split(\".\");\n//~ for (var i = propPath.length; i--;) {\n//~ if (obj !== null && typeof obj === \"object\") {\n//~ obj = obj[propPath[i]];\n//~ }\n//~\n//~ };\n\n/**\n * Compare versions.\n * @return {boolean} Unlike `$tw.utils.checkVersions`, this function\n * only returns true if the left argument is greater than the right\n * argument.\n */\nvar isLeftVersionGreater = exports.isLeftVersionGreater = function isLeftVersionGreater(v1, v2) {\n return v1 !== v2 && $tw.utils.checkVersions(v1, v2);\n};\n\n/**\n *\n * @param tiddler\n * @param defValue\n */\nvar getText = exports.getText = function getText(tiddler, defValue) {\n return getField(tiddler, 'text', defValue);\n};\n\n/**\n *\n * @param tiddler\n * @param value\n */\nvar setText = exports.setText = function setText(tiddler, value) {\n\n setField(tiddler, 'text', value);\n};\n\n/**\n * Checks whether a tiddler is a draft or not.\n *\n * @param {Tiddler} tiddler - The tiddler to check on.\n */\nvar isDraft = exports.isDraft = function isDraft(tiddler) {\n\n var tObj = getTiddler(tiddler);\n return tObj && tObj.isDraft();\n};\n\n/**\n * Get a tiddler's text or otherwise return a default text.\n */\nvar isSystemOrDraft = exports.isSystemOrDraft = function isSystemOrDraft(tiddler) {\n return $tw.wiki.isSystemTiddler(getTiddlerRef(tiddler)) || isDraft(tiddler);\n};\n\n/**\n * Function to merge an array of tiddlers into a single tiddler.\n *\n * @param {Array<TiddlerReference|Tiddler>} tiddlers - The\n * tiddlers to merge.\n * @param {string} [title=null] - The title where the result is\n * written to. If not specified, the first array item is used\n * as output title.\n */\nvar getMergedTiddlers = exports.getMergedTiddlers = function getMergedTiddlers(tiddlers, title) {\n\n if (!Array.isArray(tiddlers)) {\n return;\n }\n\n // turn all array elements into tiddler objects\n for (var i = tiddlers.length; i--;) {\n tiddlers[i] = getTiddler(tiddlers[i]);\n }\n\n if (!tiddlers.length) {\n return;\n }\n\n tiddlers.push({ title: title || tiddlers[0].fields.title }, $tw.wiki.getModificationFields(), $tw.wiki.getCreationFields());\n\n // add context for `apply()` function\n tiddlers.unshift(null);\n\n return new (Function.prototype.bind.apply($tw.Tiddler, tiddlers))();\n};\n\n/**\n * Depth first search\n */\nvar getChildWidgetByProperty = exports.getChildWidgetByProperty = function getChildWidgetByProperty(widget, prop, val) {\n\n var children = widget.children;\n for (var i = children.length; i--;) {\n var child = children[i];\n if (child[prop] === val) {\n return child;\n } else {\n child = getChildWidgetByProperty(child, prop, val);\n if (child) {\n return child;\n }\n }\n }\n};\n\n/**\n * Register listeners to widget using a hashmap.\n *\n * @param {Hashmap<Key, Function>} listeners - The listeners to attach.\n * @param {Widget} widget - the widget to attach the listeners to.\n * @param {Object} context - The context to bind the listeners to.\n */\nvar addTWlisteners = exports.addTWlisteners = function addTWlisteners(listeners, widget, context) {\n\n for (var id in listeners) {\n widget.addEventListener(id, listeners[id].bind(context));\n }\n};\n\n/**\n * Renames all tiddler titles that are prefixed with `oldPrefix`\n * into titles that are prefixed with `newPrefix` by replacing\n * `oldPrefix` with `newPrefix`.\n *\n * The force option somewhat ensures atomicity.\n *\n * @param {string} oldPrefix - Moves all tiddlers with this prefix.\n * @param {string} newPrefix - All tiddlers moved tiddlers will\n * receive this new prefix.\n * @param {boolean} [isForce=false] - If a new title would override\n * an existing title, and `force` is not set, then nothing will\n * happen and undefined is returned by the function.\n * @param {boolean} [isDelete=true] - True, if the tiddlers with the\n * old prefix should be deleted or false, if they should be kept.\n * @returns {Object<string, string>} - A hashmap that maps the old\n * and the new path.\n */\nvar mv = exports.mv = function mv(oldPrefix, newPrefix, isForce, isDelete) {\n\n if (oldPrefix === newPrefix || !oldPrefix || !newPrefix) {\n return;\n }\n\n isForce = typeof isForce === 'boolean' ? isForce : false;\n isDelete = typeof isDelete === 'boolean' ? isDelete : true;\n\n // prepare\n var targets = getTiddlersByPrefix(oldPrefix);\n var fromToMapper = basicUtils.makeHashMap();\n\n for (var i = targets.length; i--;) {\n\n var oldTRef = targets[i];\n var newTRef = oldTRef.replace(oldPrefix, newPrefix);\n if ($tw.wiki.tiddlerExists(newTRef) && !isForce) {\n return; // undefined\n }\n fromToMapper[oldTRef] = newTRef;\n }\n\n for (var _oldTRef in fromToMapper) {\n\n setField(_oldTRef, 'title', fromToMapper[_oldTRef]);\n if (isDelete) {\n $tw.wiki.deleteTiddler(_oldTRef);\n }\n }\n\n return fromToMapper;\n};\n\n/**\n * Clones all tiddler titles that are prefixed with `oldPrefix`\n * into titles that are instead prefixed with `newPrefix`.\n *\n * The force option somewhat ensures atomicity.\n *\n * @param {string} oldPrefix - Moves all tiddlers with this prefix.\n * @param {string} newPrefix - All tiddlers moved tiddlers will\n * receive this new prefix.\n * @param {boolean} [isForce=false] - If a new title would override\n * an existing title, and `force` is not set, then nothing will\n * happen and undefined is returned by the function.\n * @returns {Object<string, string>} - A hashmap that maps the old\n * and the new path.\n */\nvar cp = exports.cp = function cp(oldPrefix, newPrefix, isForce) {\n return mv(oldPrefix, newPrefix, isForce, false);\n};\n\n/**\n * Delete all tiddlers with a given prefix.\n *\n * @param {string} prefix - The prefix\n */\nvar deleteByPrefix = exports.deleteByPrefix = function deleteByPrefix(prefix, tiddlers) {\n\n if (!prefix) {\n return;\n }\n\n tiddlers = tiddlers || $tw.wiki.allTitles();\n\n var deletedTiddlers = [];\n for (var i = tiddlers.length; i--;) {\n if (basicUtils.startsWith(tiddlers[i], prefix)) {\n $tw.wiki.deleteTiddler(tiddlers[i]);\n deletedTiddlers.push(deletedTiddlers[i]);\n }\n }\n\n return deletedTiddlers;\n};\n\n/**\n * Returns all tiddlers that possess a property with a certain value.\n *\n * @param {string} fieldName - The property name to look for.\n * @param {string} [value] - If provided, the field's value must\n * equal this value in order to match.\n * @param {Hashmap} [options] - Further options.\n * @param {TiddlerCollection} [options.tiddlers=$tw.wiki.allTitles()] - A collection\n * of tiddlers to perform the search on.\n * @param {boolean} [options.isIncludeDrafts=false] - True if drafts of the found\n * tiddlers are also included in the result set.\n * @param {number} [options.limit] - A positive number delimiting the maximum\n * number of results.\n * tiddlers are also included in the result set.\n * @return {Hashmap.<TiddlerReference, Tiddler>} Result\n */\nvar getTiddlersWithField = exports.getTiddlersWithField = function getTiddlersWithField(fieldName, value) {\n var options = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n\n\n var tiddlers = options.tiddlers || $tw.wiki.allTitles();\n var isIncludeDrafts = options.isIncludeDrafts === true;\n var result = basicUtils.makeHashMap();\n var keys = Object.keys(tiddlers);\n var hasOwnProp = $tw.utils.hop;\n var limit = options.limit || 0;\n\n for (var i = keys.length; i--;) {\n\n var tObj = getTiddler(tiddlers[keys[i]]);\n var fields = tObj.fields;\n if (hasOwnProp(fields, fieldName) && (!hasOwnProp(fields, 'draft.of') || isIncludeDrafts)) {\n if (!value || fields[fieldName] === value) {\n result[fields.title] = tObj;\n if (--limit === 0) {\n break;\n }\n }\n }\n }\n\n return result;\n};\n\n/**\n *\n * @param name\n * @param value\n */\nvar getTiddlerWithField = exports.getTiddlerWithField = function getTiddlerWithField(name, value) {\n return Object.keys(getTiddlersWithField(name, value, { limit: 1 }))[0];\n};\n\n/**\n * Iterates over all tiddlers in a given way and returns tiddlers\n * whose title matches the prefix string.\n *\n * @param {string} prefix - The prefix to match\n * @param {Hashmap} [options] - An options object.\n * @param {string} [options.iterator=\"each\"] - A tw store iterator\n * function, e.g. \"eachShadow\" or \"ShadowPlusTiddlers\".\n * @param {boolean} [options.removePrefix= false] - Whether to remove\n * the prefix or to leave it.\n * @return {Array<string>} The matches with or without the prefix.\n */\nvar getTiddlersByPrefix = exports.getTiddlersByPrefix = function getTiddlersByPrefix(prefix) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n\n var removePrefix = options.removePrefix === true;\n var result = [];\n var iterator = $tw.wiki[options.iterator || 'each'];\n\n iterator(function (tObj, tRef) {\n if (basicUtils.startsWith(tRef, prefix)) {\n result.push(removePrefix ? basicUtils.getWithoutPrefix(tRef, prefix) : tRef);\n }\n });\n\n return result;\n};\n\n/**\n * Advanced addTiddler method.\n *\n * It adds timestamps and only adds the tiddler if it doesn't exist\n * yet or the force option is used.\n *\n * This method is guarded against\n * https://github.com/Jermolene/TiddlyWiki5/issues/2025\n */\nvar addTiddler = exports.addTiddler = function addTiddler(tiddler, isForce) {\n\n var tObj = getTiddler(tiddler);\n\n if (!isForce && tObj) {\n return tObj;\n }\n\n tObj = new $tw.Tiddler({\n title: tiddler,\n text: ''\n }, $tw.wiki.getModificationFields(), $tw.wiki.getCreationFields());\n\n $tw.wiki.addTiddler(tObj);\n\n return tObj;\n};\n\nvar touch = exports.touch = function touch(tRef) {\n setField(tRef, 'modified', new Date());\n};\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/lib/utils/wiki.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/macro/tmap": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/macro/tmap",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.params = exports.name = exports.run = undefined;\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; }; // @preserve\n/*\\\ntitle: $:/plugins/felixhayashi/tiddlymap/js/macro/tmap\ntype: application/javascript\nmodule-type: macro\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _ViewAbstraction = require('$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction');\n\nvar _ViewAbstraction2 = _interopRequireDefault(_ViewAbstraction);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\n/*** Code **********************************************************/\n\nvar name = 'tmap';\nvar params = getParamSlots(5);\n\n/**\n * @this MacroCallWidget\n * @return {string} the result of the operation or an empty string.\n * @private\n */\nfunction run() {\n\n this.substVarRefs = this.substituteVariableReferences;\n\n var fn = command[arguments[0]];\n var result = null;\n\n if (typeof fn === 'function') {\n var args = Array.prototype.slice.call(arguments, 1);\n result = fn.apply(this, args);\n }\n\n return typeof result === 'string' ? result : '';\n}\n\n/**\n * unfortunately tw forces us to specify params in advance so I\n * will reserve some argument slots here.\n * @private\n */\nfunction getParamSlots(maxArgs) {\n\n var arr = [];\n for (var i = 0; i < maxArgs; i++) {\n arr.push({ name: 'arg' + i });\n }\n\n return arr;\n}\n\n/**\n * In connection with tiddlymap, this macro allows us to access\n * system information from within tiddlers as well as to execute\n * some util functions.\n *\n * Every command will be called with `this` pointing to the current\n * MacroCallWidget instance!\n *\n * @private\n */\nvar command = _utils2.default.makeHashMap();\n\n/**\n * Returns the basename of the string\n *\n * @see {@link utils.basename}\n */\ncommand.basename = function (separator) {\n\n var str = this.getVariable('currentTiddler');\n return _utils2.default.getBasename(str, separator);\n};\n\n/**\n * TW messes with svg urls so we always use base64 encoding when\n * a data uri is requested as macro call\n */\ncommand.datauri = function (tiddler, type) {\n\n return _utils2.default.getDataUri(tiddler, type, true);\n};\n\ncommand.testJSON = function (fieldName) {\n\n var tObj = $tw.wiki.getTiddler(this.getVariable('currentTiddler'));\n\n try {\n JSON.parse(tObj.fields[fieldName]);\n return 'valid';\n } catch (SyntaxError) {\n return 'malformed';\n }\n};\n\ncommand.splitAndSelect = function (separator, index) {\n\n var str = this.getVariable('currentTiddler');\n var result = str.split(separator)[index];\n\n return result != null ? result : str;\n};\n\ncommand.concat = function () {\n\n var str = '';\n for (var i = 1, l = arguments.length; i < l; i++) {\n str += arguments[i];\n }\n return str;\n};\n\ncommand.uuid = function () {\n\n return _utils2.default.genUUID();\n};\n\ncommand.regRepl = function () {\n\n var oldStr = this.substVarRefs(arguments[0]);\n var regStr = arguments[1];\n var newStr = this.substVarRefs(arguments[2]);\n var regFlags = this.substVarRefs(arguments[4]);\n\n return oldStr.replace(new RegExp(regStr, regFlags), newStr);\n};\n\ncommand.halfOfString = function () {\n\n var str = this.substVarRefs(arguments[0]);\n\n if (!str) {\n return '';\n }\n\n return str.substr(0, Math.ceil(str.length / 2));\n};\n\ncommand.isETyVisible = function (view, userInput) {\n\n view = new _ViewAbstraction2.default(view);\n\n var id = command.getETyId.call(this, view, userInput);\n\n return '' + view.isEdgeTypeVisible(id);\n};\n\ncommand.getETyId = function (view, userInput) {\n\n view = new _ViewAbstraction2.default(view);\n\n var type = _EdgeType2.default.getInstance(userInput || this.getVariable('currentTiddler'));\n\n if (!type.namespace) {\n var _EdgeType$getIdParts = _EdgeType2.default.getIdParts(type.id),\n marker = _EdgeType$getIdParts.marker,\n _name = _EdgeType$getIdParts.name;\n\n var namespace = view.getConfig('edge_type_namespace');\n\n type = _EdgeType2.default.getInstance(_EdgeType2.default.getId(marker, namespace, _name));\n }\n\n return type.id;\n};\n\ncommand.scale = function () {\n\n var str = '';\n for (var i = 1, l = parseInt(arguments[0]); i < l; i++) {\n str += '[[' + i + ']]';\n }\n return str;\n};\n\ncommand.mergeFields = function () {\n\n var tObj = _utils2.default.getTiddler(arguments[0]);\n var prefix = arguments[1];\n var separator = arguments[2] || ' ';\n\n if (!tObj) return;\n\n var fields = _utils2.default.getPropertiesByPrefix(tObj.fields, prefix);\n var str = '';\n for (var name in fields) {\n\n if (typeof fields[name] === 'string') {\n\n str += fields[name] + separator;\n }\n }\n return str;\n};\n\ncommand.option = function (path, unit) {\n\n if (typeof $tm == \"undefined\") {\n // this macro is referenced from css which means we cannot\n // expect $tm to exist, e.g when rendering static css\n // @see https://github.com/felixhayashi/TW5-TiddlyMap/issues/257#issuecomment-427343226\n return '';\n }\n\n var prop = $tm;\n var propertyPath = path.split('.');\n\n for (var i = 0; i < propertyPath.length; i++) {\n if ((typeof prop === 'undefined' ? 'undefined' : _typeof(prop)) == 'object' && prop[propertyPath[i]]) {\n prop = prop[propertyPath[i]];\n }\n }\n\n // TODO: ugly, use regex\n if (unit && typeof prop === 'string' && _utils2.default.hasSubString(unit) && prop.lastIndexOf(unit) + unit.length === prop.length) {\n prop = prop + unit;\n }\n\n return prop;\n};\n\n/*** Exports *******************************************************/\n\nexports.run = run;\nexports.name = name;\nexports.params = params;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/macro/MapMacro.js.map\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/plugins/felixhayashi/tiddlymap/js/Adapter": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/Adapter",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/Adapter\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _ViewAbstraction = require('$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction');\n\nvar _ViewAbstraction2 = _interopRequireDefault(_ViewAbstraction);\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _NodeType = require('$:/plugins/felixhayashi/tiddlymap/js/NodeType');\n\nvar _NodeType2 = _interopRequireDefault(_NodeType);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _Edge = require('$:/plugins/felixhayashi/tiddlymap/js/Edge');\n\nvar _Edge2 = _interopRequireDefault(_Edge);\n\nvar _vis = require('$:/plugins/felixhayashi/vis/vis.js');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nvar _contrastcolour = require('$:/core/modules/macros/contrastcolour.js');\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/***************************** CODE ********************************/\n\n/**\n * This library acts as an abstraction layer above the tiddlywiki\n * system. All the provided methods give the api-user the chance\n * to interact with tiddlywiki as if it was a simple graph database.\n *\n * Everything that is related to retrieving or inserting nodes and\n * edges is handled by the adapter class.\n *\n * You don't need to create your own instance of this class.\n * The adapter service may be accessed from anywhere using\n * `$tm.apapter`.\n *\n * @constructor\n */\nvar Adapter = function () {\n\n /**\n * @param {Tracker} tracker\n * @param {EdgeTypeSubscriberRegistry} edgeTypeSubscriberRegistry\n */\n function Adapter(tracker, edgeTypeSubscriberRegistry) {\n _classCallCheck(this, Adapter);\n\n this.getTiddlerById = tracker.getTiddlerById.bind(tracker);\n this.getId = tracker.getIdByTiddler.bind(tracker);\n this.assignId = tracker.assignId.bind(tracker);\n\n this.edgeTypeSubscriberRegistry = edgeTypeSubscriberRegistry;\n\n this.indeces = $tm.indeces;\n this.wiki = $tw.wiki;\n\n this.visShapesWithTextInside = _utils2.default.getLookupTable(['ellipse', 'circle', 'database', 'box', 'text']);\n }\n\n /**\n * This function will delete the specified edge object from the system.\n *\n * @param {Edge} edge - The edge to be deleted. The edge necessarily\n * needs to possess an `id` and a `from` property.\n * @return {Edge} The deleted edge is returned.\n */\n\n\n _createClass(Adapter, [{\n key: 'deleteEdge',\n value: function deleteEdge(edge) {\n\n return this._processEdge(edge, 'delete');\n }\n\n /**\n * Persists an edge by storing the vector (from, to, type).\n *\n * @param {Edge} edge - The edge to be saved. The edge necessarily\n * needs to possess a `to` and a `from` property.\n * @return {Edge} The newly inserted edge.\n */\n\n }, {\n key: 'insertEdge',\n value: function insertEdge(edge) {\n\n return this._processEdge(edge, 'insert');\n }\n\n /**\n * Removes multiple edges from several stores.\n *\n * @param {EdgeCollection} edges - The edges to be deleted.\n */\n\n }, {\n key: 'deleteEdges',\n value: function deleteEdges(edges) {\n\n edges = _utils2.default.convert(edges, 'array');\n for (var i = edges.length; i--;) {\n this.deleteEdge(edges[i]);\n }\n }\n\n /**\n * Private function to handle the insertion or deletion of an edge.\n * It prepares the process according to the action type and delegates\n * the task to more specific functions.\n *\n * @private\n * @return {Edge} The processed edge.\n */\n\n }, {\n key: '_processEdge',\n value: function _processEdge(edge, action) {\n\n $tm.logger('debug', 'Edge', action, edge);\n\n // get from-node and corresponding tiddler\n var fromTRef = this.getTiddlerById(edge.from);\n\n if (!fromTRef || !_utils2.default.tiddlerExists(fromTRef)) {\n return;\n }\n\n var tObj = _utils2.default.getTiddler(fromTRef);\n var type = this.indeces.allETy[edge.type] || _EdgeType2.default.getInstance(edge.type);\n var handlers = this.edgeTypeSubscriberRegistry.getAllForType(type);\n var fn = action + 'Edge';\n\n for (var i = handlers.length; i--;) {\n handlers[i][fn](tObj, edge, type);\n }\n\n // if type didn't exist yet, create it\n if (action === 'insert' && !type.exists()) {\n type.save();\n }\n\n return edge;\n }\n\n /**\n * This function will return an adjacency list for the nodes\n * present in the current system. The list may be restricted by\n * optional filters.\n *\n * @param {string} [groupBy='to'] - Specifies by which property the\n * adjacency list is indexed. May be either 'from' or 'to'.\n * @param {Hashmap} [opts] - An optional options object.\n * @param {Hashmap} [opts.typeWL] - A whitelist lookup-table\n * that restricts which edge-types are included.\n * @param {Hashmap} [opts.edges] - A set of edges on which basis\n * the adjacency list is build. If not provided,\n * all edges in the system are considered.\n * @return {Object<Id, Array<Edge>>} For each key (a node id) an\n * array of edges pointing 'from' (or 'to'; depends on `groupBy`)\n * is supplied as value.\n */\n\n }, {\n key: 'getAdjacencyList',\n value: function getAdjacencyList(groupBy) {\n var opts = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n\n $tm.start('Creating adjacency list');\n\n if (!opts.edges) {\n var tRefs = _utils2.default.getMatches(env.selector.allPotentialNodes);\n opts.edges = this.getEdgesForSet(tRefs, opts.toWL, opts.typeWL);\n }\n\n var adjList = _utils2.default.groupByProperty(opts.edges, groupBy || 'to');\n\n $tm.stop('Creating adjacency list');\n\n return adjList;\n }\n\n /**\n * This function will return all neighbours of a graph denoted by\n * a set of tiddlers.\n *\n * @todo parts of this code may be outsourced into a function to\n * prevent repeating code.\n *\n * @param {Array<TiddlerReference>} matches - The original set that\n * defines the starting point for the neighbourhood discovery\n * @param {Hashmap} [opts] - An optional options object.\n * @param {Hashmap} [opts.typeWL] - A whitelist lookup-table\n * that restricts which edges are travelled to reach a neighbour.\n * @param {Hashmap} [opts.edges] - An initial set of edges that is\n * used in the first step to reach immediate neighbours, if no\n * set of edges is specified, all exsisting edges will be considered.\n * @param {number} [opts.steps] - An integer value that specifies\n * the scope of the neighbourhood. A node is considered a neighbour\n * if it can be reached within the given number of steps starting\n * from original set of tiddlers returned by the node filter.\n * @param {Hashmap} [opts.addProperties] - a hashmap\n * containing properties to be added to each node.\n * For example:\n * {\n * group: 'g1',\n * color: 'red'\n * }\n * @return {Object} An object of the form:\n * {\n * nodes: { *all neighbouring nodes* },\n * edges: { *all edges connected to neighbours* },\n * }\n */\n\n }, {\n key: 'getNeighbours',\n value: function getNeighbours(matches) {\n var _this = this;\n\n var opts = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n\n\n $tm.start('Get neighbours');\n\n var addProperties = opts.addProperties,\n toWL = opts.toWL,\n typeWL = opts.typeWL,\n steps = opts.steps;\n var allETy = this.indeces.allETy;\n\n // index of all tiddlers have already are been visited, either by\n // having been included in the original set, or by having been\n // recorded as neighbour during the discovery.\n\n var visited = _utils2.default.getArrayValuesAsHashmapKeys(matches);\n var view = _ViewAbstraction2.default.exists(opts.view) ? new _ViewAbstraction2.default(opts.view) : null;\n var allEdgesLeadingToNeighbours = _utils2.default.makeHashMap();\n var allNeighbours = _utils2.default.makeHashMap();\n var maxSteps = parseInt(steps) > 0 ? steps : 1;\n var direction = opts.direction || view && view.getConfig('neighbourhood_directions');\n var isWalkBoth = !direction || direction === 'both';\n var isWalkIn = isWalkBoth || direction === 'in';\n var isWalkOut = isWalkBoth || direction === 'out';\n\n // in order to apply the node-filter also to neighbours we need to make it\n // include all tiddlers in the filter's source (e.g. a tiddler and a few neighbours)\n // and then apply the filter – which now has the chance to take away tiddlers\n // a few filters from the set\n var neighFilter = view && '[all[]] ' + view.getNodeFilter('raw');\n\n // adjacency receives whitelists through opts\n var adjList = this.getAdjacencyList('to', opts);\n\n var addAsNeighbour = function addAsNeighbour(edge, role, neighboursOfThisStep) {\n allEdgesLeadingToNeighbours[edge.id] = edge;\n var tRef = _this.getTiddlerById(edge[role]);\n\n if (view && _utils2.default.isTrue($tm.config.sys.nodeFilterNeighbours) && !_utils2.default.isMatch(tRef, neighFilter)) {\n return;\n }\n\n if (!visited[tRef]) {\n visited[tRef] = true;\n var node = _this.makeNode(tRef, addProperties);\n if (node) {\n // saveguard against obsolete edges or other problems\n // record node\n allNeighbours[node.id] = node;\n neighboursOfThisStep.push(tRef);\n }\n }\n };\n\n // needed later\n var step = void 0;\n\n // loop if still steps to be taken and we have a non-empty starting set\n for (step = 0; step < maxSteps && matches.length; step++) {\n\n // neighbours that are discovered in the current step;\n // starting off from the current set of matches;\n var neighboursOfThisStep = [];\n\n // loop over all nodes in the original set\n for (var i = matches.length; i--;) {\n\n if (_utils2.default.isSystemOrDraft(matches[i])) {\n // = this might happen if the user manually created edges\n // that link to a system/draft tiddler or if the original\n // set contained system/draft tiddlers.\n continue;\n }\n\n // get all outgoing edges\n // = edges originating from the starting set and point outwards\n var outgoing = this.getEdges(matches[i], toWL, typeWL);\n for (var id in outgoing) {\n\n var t = allETy[outgoing[id].type];\n if (isWalkBoth || isWalkOut && t.toArrow || isWalkIn && t.invertedArrow) {\n\n addAsNeighbour(outgoing[id], 'to', neighboursOfThisStep);\n }\n }\n\n // get all incoming edges\n // = edges originating from outside pointing to the starting set\n var incoming = adjList[this.getId(matches[i])];\n if (!incoming) {\n continue;\n }\n\n for (var j = incoming.length; j--;) {\n var _t = allETy[incoming[j].type];\n if (isWalkBoth || isWalkIn && _t.toArrow || isWalkOut && _t.invertedArrow) {\n addAsNeighbour(incoming[j], 'from', neighboursOfThisStep);\n }\n }\n }\n\n // the current set of newly discovered neighbours forms the\n // starting point for the next discovery\n matches = neighboursOfThisStep;\n }\n\n var neighbourhood = {\n nodes: allNeighbours,\n edges: allEdgesLeadingToNeighbours\n };\n\n $tm.logger('debug', 'Retrieved neighbourhood', neighbourhood, 'steps', step);\n\n $tm.stop('Get neighbours');\n\n return neighbourhood;\n }\n\n /**\n * This function will assemble a graph object based on the supplied\n * node and edge filters. Optionally, a neighbourhood may be\n * merged into the graph neighbourhood.\n *\n * @param {string|ViewAbstraction} [view] - The view in which\n * the graph will be displayed.\n * @param {string|ViewAbstraction} [filter] - If supplied,\n * this will act as node filter that defines which nodes\n * are to be displayed in the graph; a possible view node filter\n * would be ignored.\n * @param {Hashmap} [edgeTypeWL] - A whitelist lookup-table\n * that restricts which edges are travelled to reach a neighbour.\n * @param {number} [neighbourhoodScope] - An integer value that\n * specifies the scope of the neighbourhood in steps.\n * See {@link Adapter#getNeighbours}\n * @return {Object} An object of the form:\n * {\n * nodes: { *all nodes in the graph* },\n * edges: { *all edges in the graph* },\n * }\n * Neighbours will be receive the 'tmap:neighbour' type.\n */\n\n }, {\n key: 'getGraph',\n value: function getGraph() {\n var _ref = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n view = _ref.view,\n filter = _ref.filter,\n edgeTypeWL = _ref.edgeTypeWL,\n neighbourhoodScope = _ref.neighbourhoodScope;\n\n $tm.start('Assembling Graph');\n\n view = _ViewAbstraction2.default.exists(view) ? new _ViewAbstraction2.default(view) : null;\n var matches = _utils2.default.getMatches(filter || view && view.getNodeFilter('compiled'));\n var neighScope = parseInt(neighbourhoodScope || view && view.getConfig('neighbourhood_scope'));\n var typeWL = edgeTypeWL || view && view.getEdgeTypeFilter('whitelist');\n var toWL = _utils2.default.getArrayValuesAsHashmapKeys(matches);\n\n var graph = {\n edges: this.getEdgesForSet(matches, toWL, typeWL),\n nodes: this.selectNodesByReferences(matches, {\n view: view,\n outputType: 'hashmap'\n })\n };\n\n if (neighScope) {\n var neighbours = this.getNeighbours(matches, {\n steps: neighScope,\n view: view,\n typeWL: typeWL,\n addProperties: {\n group: 'tmap:neighbour'\n }\n });\n\n // add neighbours (nodes and edges) to graph\n Object.assign(graph.nodes, neighbours.nodes);\n Object.assign(graph.edges, neighbours.edges);\n\n if (view && view.isEnabled('show_inter_neighbour_edges')) {\n var nodeTRefs = this.getTiddlersByIds(neighbours.nodes);\n // this time we need a whitelist based on the nodeTRefs\n var _toWL = _utils2.default.getArrayValuesAsHashmapKeys(nodeTRefs);\n Object.assign(graph.edges, this.getEdgesForSet(nodeTRefs, _toWL));\n }\n }\n\n // this is pure maintainance!\n removeObsoleteViewData(graph.nodes, view);\n\n // add styles to nodes\n this.attachStylesToNodes(graph.nodes, view);\n\n $tm.stop('Assembling Graph');\n\n $tm.logger('debug', 'Assembled graph:', graph);\n\n return graph;\n }\n\n /**\n * Returns all edges stored in a given tiddler. Any edge stored in a\n * tiddler is orginally an outgoing edge. Depending on how the user\n * changes the arrow head (by manipulating the Visjs edge-type style),\n * the edge may change its orientation and become an incoming edge or\n * bi-directional. Therefore, the edges retrieved may be incoming,\n * outgoing or both!\n *\n * Returned edges may be of the following type:\n *\n * - Edges stored in the tiddler text (=links).\n * - Edges stored in fields denoted by magic edge-types.\n * - TiddlyMap edges stored in a json format\n *\n * @param {Tiddler} tiddler - A tiddler reference or object from\n * which to retrieve the edges.\n * @param {Hashmap<TiddlerReference, boolean>} [toWL]\n * A hashmap on which basis it is decided, whether to include\n * an edge that leads to a certain tiddler in the result or not.\n * In this case, all edges stored in the tiddler are treated as\n * outgoing and the arrow head is ignored. If not specified,\n * all edges are included.\n * @param {Hashmap<string, boolean>} [typeWL]\n * A hashmap on which basis it is decided, whether to include\n * an edge of a given type in the result or not. If not\n * specified, all edges are included.\n */\n\n }, {\n key: 'getEdges',\n value: function getEdges(tiddler, toWL, typeWL) {\n\n var tObj = _utils2.default.getTiddler(tiddler);\n\n if (!tObj || _utils2.default.isSystemOrDraft(tObj)) {\n return;\n }\n\n var allETy = this.indeces.allETy;\n\n var edges = _utils2.default.makeHashMap();\n var eTySubscribers = this.edgeTypeSubscriberRegistry.getAll();\n\n for (var i = 0, l = eTySubscribers.length; i < l; i++) {\n Object.assign(edges, eTySubscribers[i].loadEdges(tObj, toWL, typeWL));\n }\n\n for (var id in edges) {\n\n var edge = edges[id];\n\n // check exists for historical reasons...\n if (!edge.from || !edge.to) {\n continue;\n }\n\n var type = allETy[edge.type] || _EdgeType2.default.getInstance(edge.type);\n addStyleToEdge(edges[id], type);\n\n edges[id] = edge;\n }\n\n return edges;\n }\n\n /**\n * The method will return all outgoing edges for a subset of tiddlers.\n *\n * @param {Array<Tiddler>} tiddlers - The set of tiddlers to consider.\n * @param toWL\n * @param typeWL\n * @return {Hashmap<Id, Edge>} An edge collection.\n */\n\n }, {\n key: 'getEdgesForSet',\n value: function getEdgesForSet(tiddlers, toWL, typeWL) {\n\n var edges = _utils2.default.makeHashMap();\n for (var i = tiddlers.length; i--;) {\n Object.assign(edges, this.getEdges(tiddlers[i], toWL, typeWL));\n }\n\n return edges;\n }\n\n /**\n * Select all edges of a given type.\n *\n * @param {string|EdgeType} type - Either the edge type id (name) or an EdgeType object.\n */\n\n }, {\n key: 'selectEdgesByType',\n value: function selectEdgesByType(type) {\n\n var typeWL = _utils2.default.makeHashMap(_defineProperty({}, _EdgeType2.default.getInstance(type).id, true));\n\n return this.getEdgesForSet(this.getAllPotentialNodes(), null, typeWL);\n }\n\n /**\n *\n * @return {*}\n */\n\n }, {\n key: 'getAllPotentialNodes',\n value: function getAllPotentialNodes() {\n\n return _utils2.default.getMatches($tm.selector.allPotentialNodes);\n }\n }, {\n key: '_processEdgesWithType',\n\n\n /**\n * Deletes or renames all edges of a given type.\n *\n * @param {string|EdgeType} type - Either the edge type id (name) or an EdgeType object.\n * @param {('rename'|'delete')} [action='delete']\n * @param {string} [newName]\n */\n value: function _processEdgesWithType(type, _ref2) {\n var action = _ref2.action,\n newName = _ref2.newName;\n\n\n type = _EdgeType2.default.getInstance(type);\n\n $tm.logger('debug', 'Processing edges', type, action);\n\n // get edges\n var edges = this.selectEdgesByType(type);\n\n if (action === 'rename') {\n\n // clone type first to prevent auto-creation\n new _EdgeType2.default(newName, type).save();\n }\n\n for (var id in edges) {\n\n this._processEdge(edges[id], 'delete');\n\n if (action === 'rename') {\n edges[id].type = newName;\n this._processEdge(edges[id], 'insert');\n }\n }\n\n // finally remove the old type\n this.wiki.deleteTiddler(type.fullPath);\n }\n\n /**\n * Returns a set of nodes that corresponds to a set of tiddlers.\n *\n * @param {TiddlerCollection} tiddlers - A collection of tiddlers.\n * @param {Hashmap} [addProperties] - a hashmap\n * @param {CollectionTypeString} [outputType='dataset'] - The result type.\n *\n * @return {NodeCollection} A collection of a type specified in the options.\n */\n\n }, {\n key: 'selectNodesByReferences',\n value: function selectNodesByReferences(tiddlers) {\n var _ref3 = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {},\n addProperties = _ref3.addProperties,\n outputType = _ref3.outputType;\n\n var result = _utils2.default.makeHashMap();\n var keys = Object.keys(tiddlers);\n\n for (var i = keys.length; i--;) {\n\n var node = this.makeNode(tiddlers[keys[i]], addProperties);\n if (node) {\n result[node.id] = node;\n }\n }\n\n return _utils2.default.convert(result, outputType);\n }\n\n /**\n * Retrieve nodes based on the a list of ids that corrspond to tiddlers\n * id fields.\n *\n * @param {Array.<Id>|Hashmap.<Id, *>|vis.DataSet} nodeIds - The ids of the tiddlers\n * that represent the nodes.\n * @param {Hashmap} [options] - See {@link Adapter#selectNodesByReferences}.\n * @return {NodeCollection} A collection of a type specified in the options.\n */\n\n }, {\n key: 'selectNodesByIds',\n value: function selectNodesByIds(nodeIds, options) {\n\n var tRefs = this.getTiddlersByIds(nodeIds);\n\n return this.selectNodesByReferences(tRefs, options);\n }\n\n /**\n * Select a single node by id.\n *\n * @param {Id} id - A node's id\n * @param {Hashmap} [options]\n * Except from the outputType option, all options\n * are inherited from {@link Adapter#selectNodesByIds}.\n * @return {Node|undefined} A node or nothing.\n */\n\n }, {\n key: 'selectNodeById',\n value: function selectNodeById(id, options) {\n\n options = Object.assign({}, options, { outputType: 'hashmap' });\n var result = this.selectNodesByIds([id], options);\n\n return result[id];\n }\n\n /**\n * Deletes a node type from the system.\n * @param {NodeType|string} type - the node type id or the actual NodeType\n */\n\n }, {\n key: 'removeNodeType',\n value: function removeNodeType(type) {\n\n type = _NodeType2.default.getInstance(type);\n this.wiki.deleteTiddler(type.fullPath);\n }\n\n /**\n * Gets a Node representation for a tiddler.\n *\n * @param {Tiddler} tiddler - the tiddler to represent as node\n * @param {Object} protoNode - default node properties\n *\n * @return {Node|void}\n */\n\n }, {\n key: 'makeNode',\n value: function makeNode(tiddler, protoNode) {\n\n var tObj = _utils2.default.getTiddler(tiddler);\n\n if (!tObj || _utils2.default.isSystemOrDraft(tObj)) return;\n\n // merge(!) so later node manipulations do not affect other nodes\n var node = _utils2.default.merge({}, protoNode);\n\n // note: assignId() will not assign an id if the tiddler already has one\n node.id = this.assignId(tObj);\n\n // add label\n var label = tObj.fields[$tm.field.nodeLabel];\n node.label = label && $tm.field.nodeLabel !== 'title' ? this.wiki.renderText('text/plain', 'text/vnd-tiddlywiki', label) : tObj.fields.title;\n\n return node;\n }\n\n /**\n * Return node styles that are inherited from system styles or node types.\n *\n * @param nodes\n * @return {Object<TiddlerReference, Object>}\n */\n\n }, {\n key: 'getInheritedNodeStyles',\n value: function getInheritedNodeStyles(nodes) {\n\n var src = this.getTiddlersByIds(nodes);\n var protoByTRef = {};\n var glNTy = this.indeces.glNTy;\n\n for (var i = glNTy.length; i--;) {\n var type = glNTy[i];\n\n var inheritors = [];\n if (type.id === 'tmap:neighbour') {\n // special case\n for (var id in nodes) {\n\n if (nodes[id].group === 'tmap:neighbour') {\n\n inheritors.push(this.getTiddlerById(id));\n }\n }\n } else {\n inheritors = type.getInheritors(src);\n }\n\n for (var j = inheritors.length; j--;) {\n var tRef = inheritors[j];\n var proto = protoByTRef[tRef] = protoByTRef[tRef] || {};\n proto.style = _utils2.default.merge(proto.style || {}, type.style);\n\n // ATTENTION: only override proto icons when the type provides\n // an icon since otherwise we might erase previously\n // inherited icons.\n if (type['fa-icon']) {\n proto['fa-icon'] = type['fa-icon'];\n } else if (type['tw-icon']) {\n proto['tw-icon'] = type['tw-icon'];\n }\n }\n }\n\n return protoByTRef;\n }\n\n /**\n * Adds styles to nodes.\n *\n * @param {Object<string, Node>} nodes\n * @param {ViewAbstraction|string} view\n */\n\n }, {\n key: 'attachStylesToNodes',\n value: function attachStylesToNodes(nodes, view) {\n\n view = _ViewAbstraction2.default.exists(view) ? new _ViewAbstraction2.default(view) : null;\n\n var inheritedStyles = this.getInheritedNodeStyles(nodes);\n var viewNodeData = view ? view.getNodeData() : _utils2.default.makeHashMap();\n var isStaticMode = view && !view.isEnabled('physics_mode');\n\n for (var id in nodes) {\n\n var tRef = this.getTiddlerById(id);\n var tObj = this.wiki.getTiddler(tRef);\n var fields = tObj.fields;\n var node = nodes[id];\n var icon = void 0;\n\n // == group styles ==\n\n var inheritedStyle = inheritedStyles[tRef];\n\n if (inheritedStyle) {\n\n _utils2.default.merge(node, inheritedStyle.style);\n icon = getIcon(inheritedStyle['fa-icon'], inheritedStyle['tw-icon']);\n }\n\n // == global node styles ==\n\n // background color\n if (fields.color) {\n node.color = fields.color;\n }\n\n // global node style from vis editor\n if (fields['tmap.style']) {\n _utils2.default.merge(node, _utils2.default.parseJSON(fields['tmap.style']));\n }\n\n icon = getIcon(fields['tmap.fa-icon'], fields['icon']) || icon;\n\n // == local node styles ==\n\n // local node style and positions\n\n var nodeData = viewNodeData[id];\n\n if (nodeData) {\n\n _utils2.default.merge(node, nodeData);\n if (isStaticMode) {\n // fix x if x-position is set; same for y\n node.fixed = {\n x: node.x != null,\n y: node.y != null\n };\n }\n\n icon = getIcon(nodeData['fa-icon'], nodeData['tw-icon']) || icon;\n }\n\n // == tweaks ==\n\n var isColorObject = node.color !== null && _typeof(node.color) === 'object';\n // color/border-color may be undefined\n var color = isColorObject ? node.color.background : node.color;\n\n node.color = {\n background: color,\n border: isColorObject ? node.color.border : undefined\n };\n\n // ATTENTION: this function needs to be called after color is assigned\n addNodeIcon(node, icon);\n\n // determine font color if not defined via a group- or node-style;\n // in case of global and local default styles, the user is responsible\n // him- or herself to adjust the font\n node.font = node.font || {};\n\n if (node.shape && !this.visShapesWithTextInside[node.shape]) {\n node.font.color = 'black'; // force a black color\n } else if (!node.font.color && color) {\n node.font.color = (0, _contrastcolour.run)(color, color, 'black', 'white');\n }\n\n if (node.shape === 'icon' && _typeof(node.icon) === 'object') {\n node.icon.color = color;\n }\n }\n\n if (view) {\n var _node = nodes[view.getConfig('central-topic')];\n if (_node) {\n _utils2.default.merge(_node, this.indeces.glNTyById['tmap:central-topic'].style);\n }\n }\n }\n\n /**\n * This function will remove all tiddlers from the wiki that correspond\n * to a node in the collection. Drafts are also removed. The default\n * storylist is updated eventually.\n * call deleteNode which does the following\n * 1. get id using IdByT\n * 2. remove id using adapter.deleteEdgesByTo(idByT[tRef])\n * 3. remove from all indeces\n *\n * @see: https://github.com/Jermolene/TiddlyWiki5/issues/1550\n *\n * @param {Node|string} node.\n */\n\n }, {\n key: 'deleteNode',\n value: function deleteNode(node) {\n\n if (!node) {\n return;\n }\n\n var id = (typeof node === 'undefined' ? 'undefined' : _typeof(node)) === 'object' ? node.id : node;\n var tRef = this.getTiddlerById(id);\n\n // delete tiddler and remove it from the river; this will\n // automatically remove the global node style and the outgoing edges\n\n if (tRef) {\n // checking for tRef is needed;\n // see: https://github.com/Jermolene/TiddlyWiki5/issues/1919\n _utils2.default.deleteTiddlers([tRef]);\n }\n\n // delete local node-data in views containing the node\n\n var viewRefs = _utils2.default.getMatches(env.selector.allViews);\n for (var i = viewRefs.length; i--;) {\n var view = new _ViewAbstraction2.default(viewRefs[i]);\n view.removeNode(id);\n }\n\n // remove obsolete connected edges\n\n var neighbours = this.getNeighbours([tRef]);\n this.deleteEdges(neighbours.edges);\n\n // -------------------------------------------\n // NEVER DELETE AN INDEX THAT ALREADY EXISTED!\n // -------------------------------------------\n // Some instances may have cached the index and get confused!\n // It does not do harm to leave indeces as is since we do not\n // iterate over them(!) and when a tiddler has the same title or\n // id as a deleted tiddler, which is highly unlikely, then it will\n // simply override the index, which is totally fine. The indeces\n // are refreshed on every boot anyway so it is not a big deal.\n //\n // THEREFORE:\n //\n // DO NOT DO delete this.tById[id];\n // DO NOT DO delete this.idByT[tRef];\n }\n\n /**\n * Delete all nodes from the system.\n *\n * @param {string[]} ids ids\n */\n\n }, {\n key: 'deleteNodes',\n value: function deleteNodes(ids) {\n\n for (var i = ids.length; i--;) {\n this.deleteNode(ids[i]);\n }\n }\n\n /**\n * Create a new tiddler that gets a non-existant title and is opened\n * for edit. If a view is registered, the fields of the tiddler match\n * the current view. If arguments network and position are specified,\n * the node is also inserted directly into the graph at the given\n * position.\n *\n * @TODO: Description is obsolete!\n *\n * @param {object} node A node object to be inserted\n * @param {ViewAbstraction|string} view - used to set positions and register the node to\n * @param {Tiddler} protoTiddler\n */\n\n }, {\n key: 'insertNode',\n value: function insertNode() {\n var node = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n var view = arguments[1];\n var protoTiddler = arguments[2];\n\n\n // title might has changed after generateNewTitle()\n node.label = this.wiki.generateNewTitle(node.label || _utils2.default.getRandomLabel());\n\n // add to tiddler store\n var tObj = new $tw.Tiddler({ text: '' }, // https://github.com/Jermolene/TiddlyWiki5/issues/2025\n protoTiddler, {\n title: node.label, // force title\n 'tmap.id': null // force empty id (generated later)\n }, this.wiki.getModificationFields(), this.wiki.getCreationFields());\n\n this.wiki.addTiddler(tObj);\n\n node = this.makeNode(tObj, node);\n\n if (_ViewAbstraction2.default.exists(view)) {\n new _ViewAbstraction2.default(view).addNode(node);\n }\n\n return node;\n }\n\n /**\n * Retrieve tiddlers based on the a list of corresponding ids.\n *\n * @param {Array.<Id>|Hashmap.<Id, *>|vis.DataSet} nodeIds - The ids.\n * @return {Array<TiddlerReference>} The resulting tiddlers.\n */\n\n }, {\n key: 'getTiddlersByIds',\n value: function getTiddlersByIds(nodeIds) {\n\n // transform into a hashmap with all values being true\n if (Array.isArray(nodeIds)) {\n nodeIds = _utils2.default.getArrayValuesAsHashmapKeys(nodeIds);\n } else if (nodeIds instanceof _vis2.default.DataSet) {\n nodeIds = _utils2.default.getLookupTable(nodeIds, 'id'); // use id field as key\n }\n\n var result = [];\n for (var id in nodeIds) {\n var tRef = this.getTiddlerById(id);\n if (tRef) {\n result.push(tRef);\n }\n }\n\n return result;\n }\n }]);\n\n return Adapter;\n}();\n\n/**** Helper *******************************************************/\n\n/**\n * Returns the short symbol identifier (`` → `f206`).\n *\n * @param str FontAwesome id\n * @return {string}\n */\n\n\nvar getFAdigits = function getFAdigits(str) {\n return str.length === 4 ? str : str.substr(3, 4);\n};\n\n/**\n * Adds an icon to the specified node.\n *\n * @param {Node} node\n * @param {Object} icon\n */\nvar addNodeIcon = function addNodeIcon(node, icon) {\n\n if (!icon) {\n return;\n }\n\n // Font Awesome style\n\n if (icon.fa) {\n\n node.shape = 'icon';\n node.icon = {\n shape: 'icon',\n face: 'FontAwesome',\n color: node.color,\n code: String.fromCharCode('0x' + getFAdigits(icon.fa))\n };\n\n if (node.size) {\n node.icon.size = node.size;\n }\n\n return;\n }\n\n // TiddlyWiki stored icons\n\n if (icon.tw) {\n\n var imgTObj = _utils2.default.getTiddler(icon.tw);\n\n if (!imgTObj) {\n return;\n }\n\n if (imgTObj.fields['_canonical_uri']) {\n // image is a url address\n\n node.image = imgTObj.fields['_canonical_uri'];\n node.shape = 'image';\n } else if (imgTObj.fields.text) {\n\n node.image = _utils2.default.getDataUri(imgTObj);\n node.shape = 'image';\n }\n }\n};\n\n/**\n * Garbage collector for obsolete node data.\n *\n * @param {Object<string, Node>} nodes\n * @param {ViewAbstraction|string} view\n */\nvar removeObsoleteViewData = function removeObsoleteViewData(nodes, view) {\n\n if (!_ViewAbstraction2.default.exists(view) || !nodes) {\n return;\n }\n\n view = new _ViewAbstraction2.default(view);\n\n var data = view.getNodeData();\n\n var obsoleteDataItems = 0;\n for (var id in data) {\n if (nodes[id] === undefined && data[id] != null) {\n // we only set this to undefined as deletion would\n // slow down V8, however, this necessarily requires\n // a safeguard agains recursion: data[id] != null\n\n data[id] = undefined;\n obsoleteDataItems++;\n }\n }\n\n if (obsoleteDataItems) {\n $tm.logger('debug', '[Cleanup]', 'Removed obsolete node data:', view.getLabel(), obsoleteDataItems);\n view.saveNodeData(data);\n }\n};\n\n/**\n * Sets up an edge object that is ready to be consumed by vis.\n *\n * @param {Edge} edge\n * @param {EdgeType} type\n */\nvar addStyleToEdge = function addStyleToEdge(edge, type) {\n\n edge = Object.assign(edge, type.style);\n\n if (_utils2.default.isTrue(type['show-label'], true)) {\n edge.label = type.getLabel();\n }\n};\n\nvar getIcon = function getIcon(faIcon, twIcon) {\n return faIcon && { fa: faIcon } || twIcon && { tw: twIcon };\n};\n\n/*** Exports *******************************************************/\n\nexports.default = Adapter;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/Adapter.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/CallbackManager": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/CallbackManager",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/CallbackManager\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/**\n * Makes it possible to register callbacks for tiddler changes.\n */\nvar CallbackManager = function () {\n function CallbackManager() {\n _classCallCheck(this, CallbackManager);\n\n this.callbacks = _utils2.default.makeHashMap();\n this.logger = $tm.logger;\n this.wiki = $tw.wiki;\n }\n\n /**\n * The callback mechanism allows to dynamically listen to tiddler\n * changes without hardcoding a change-check for a tiddler name\n * in the refresh function.\n *\n * @param {TiddlerReference} tRef - A tiddler whose change triggers\n * the callback.\n * @param {function} callback - A function that is called when the\n * tiddler has changed.\n * @param {boolean} [isDeleteOnCall=true] - True if to delete the\n * callback once it has been called, false otherwise.\n */\n\n\n _createClass(CallbackManager, [{\n key: 'add',\n value: function add(tRef, callback) {\n var isDeleteOnCall = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : true;\n\n\n this.logger('debug', 'A callback was registered for changes of \"' + tRef + '\"');\n this.callbacks[tRef] = {\n execute: callback,\n isDeleteOnCall: isDeleteOnCall\n };\n }\n }, {\n key: 'remove',\n\n\n /**\n * Removes the callback from the list of tiddler callbacks.\n */\n value: function remove(refOrRefList) {\n\n if (!refOrRefList) {\n return;\n }\n\n if (typeof refOrRefList === 'string') {\n refOrRefList = [refOrRefList];\n }\n\n for (var i = refOrRefList.length; i--;) {\n var tRef = refOrRefList[i];\n if (this.callbacks[tRef]) {\n this.logger('debug', 'Deleting callback for \"' + tRef + '\"');\n delete this.callbacks[tRef];\n }\n }\n }\n }, {\n key: 'refresh',\n\n\n /**\n * this method has to be implemented at the top of the refresh method.\n * It checks for changed tiddlers that have\n * registered callbacks. If `deleteOnCall` was specified during\n * registration of the callback, the callback will be deleted\n * automatically.\n */\n value: function refresh(changedTiddlers) {\n\n if (this.callbacks.length == 0) {\n return;\n }\n\n for (var tRef in changedTiddlers) {\n\n if (!this.callbacks[tRef]) {\n continue;\n }\n\n if (this.wiki.getTiddler(tRef)) {\n\n this.logger('debug', 'Executing a callback for: ' + tRef);\n this.callbacks[tRef].execute(tRef);\n\n // a continue prevents deleting the callback\n if (!this.callbacks.isDeleteOnCall) {\n continue;\n }\n }\n\n this.remove(tRef);\n }\n }\n }]);\n\n return CallbackManager;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = CallbackManager;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/CallbackManager.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/startup/caretaker": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/startup/caretaker",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.startup = exports.synchronous = exports.before = exports.after = exports.platforms = exports.name = undefined;\n\nvar _rebuilders;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; }; // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/startup/caretaker\ntype: application/javascript\nmodule-type: startup\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _vis = require('$:/plugins/felixhayashi/tiddlymap/js/config/vis');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _Fixer = require('$:/plugins/felixhayashi/tiddlymap/js/Fixer');\n\nvar _Fixer2 = _interopRequireDefault(_Fixer);\n\nvar _Adapter = require('$:/plugins/felixhayashi/tiddlymap/js/Adapter');\n\nvar _Adapter2 = _interopRequireDefault(_Adapter);\n\nvar _tracker = require('$:/plugins/felixhayashi/tiddlymap/js/services/tracker');\n\nvar _tracker2 = _interopRequireDefault(_tracker);\n\nvar _EdgeTypeSubscriberRegistry = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeTypeSubscriberRegistry');\n\nvar _EdgeTypeSubscriberRegistry2 = _interopRequireDefault(_EdgeTypeSubscriberRegistry);\n\nvar _DialogManager = require('$:/plugins/felixhayashi/tiddlymap/js/DialogManager');\n\nvar _DialogManager2 = _interopRequireDefault(_DialogManager);\n\nvar _CallbackManager = require('$:/plugins/felixhayashi/tiddlymap/js/CallbackManager');\n\nvar _CallbackManager2 = _interopRequireDefault(_CallbackManager);\n\nvar _ViewAbstraction = require('$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction');\n\nvar _ViewAbstraction2 = _interopRequireDefault(_ViewAbstraction);\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _NodeType = require('$:/plugins/felixhayashi/tiddlymap/js/NodeType');\n\nvar _NodeType2 = _interopRequireDefault(_NodeType);\n\nvar _vis3 = require('$:/plugins/felixhayashi/vis/vis.js');\n\nvar _vis4 = _interopRequireDefault(_vis3);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nvar _URL = require('$:/plugins/felixhayashi/tiddlymap/js/URL');\n\nvar _URL2 = _interopRequireDefault(_URL);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\n/*** Code **********************************************************/\n\n/**\n * This module is responsible for registering a global namespace\n * under $tw and loading (and refreshing) the configuration and services.\n *\n * Attention: Careful with the order of the function calls in this\n * functions body!\n *\n */\nvar init = function init() {\n\n window.$tm = _extends({}, env, { utils: _utils2.default, url: new _URL2.default(window.location.href) });\n\n // cleanup previous session\n cleanup();\n\n registerPublicClasses($tm);\n\n // build and integrate global options\n updateGlobals($tm);\n\n // register meta file (if not done yet)\n createMetaFile($tm.logger);\n\n // create indeces\n var indeces = attachIndeces($tm);\n\n // create services\n var services = getInitializedServices(indeces);\n Object.assign($tm, services);\n\n // load defaults\n loadDefaultView($tm.config.sys.defaultView);\n\n // Run the fixer to update older wikis\n services.fixer.fix();\n\n // all graphs need to register here. @see routineWalk()\n $tm.registry = [];\n setInterval(routineCheck, 5000);\n\n // AT THE VERY END: register change listener with the callback manager\n registerChangeListener($tm.callbackManager);\n\n // register DOM listeners\n registerMousemoveListener();\n registerClickListener();\n\n // check for fullscreen directives\n if ($tm.url.query['tmap-enlarged']) {\n prepareFullscreenStart($tm.url);\n }\n\n // issue notification\n $tm.logger('warn', 'TiddlyMap\\'s caretaker successfully started');\n};\n\n/**\n * Injects dependencies and registers services\n *\n * @param indeces\n * @return Object\n */\nvar getInitializedServices = function getInitializedServices(indeces) {\n\n var tracker = new _tracker2.default(fixer);\n\n // inject modules\n var handler = $tw.modules.applyMethods('tmap.edgetypehandler');\n var edgeTypeSubscriberRegistry = new _EdgeTypeSubscriberRegistry2.default(handler, indeces.allETy, tracker);\n\n // attach the adapter object to the tiddlymap namespace\n var adapter = new _Adapter2.default(tracker, edgeTypeSubscriberRegistry);\n\n var callbackManager = new _CallbackManager2.default();\n var dialogManager = new _DialogManager2.default(callbackManager);\n\n var fixer = new _Fixer2.default(adapter, $tm.logger, indeces.glNTy);\n\n return {\n edgeTypeSubscriberRegistry: edgeTypeSubscriberRegistry,\n tracker: tracker,\n adapter: adapter,\n callbackManager: callbackManager,\n dialogManager: dialogManager,\n fixer: fixer\n };\n};\n\n/**\n * make classes available for console users\n */\nvar registerPublicClasses = function registerPublicClasses(parent) {\n parent.keycharm = _vis4.default.keycharm;\n parent.NodeType = _NodeType2.default;\n parent.EdgeType = _EdgeType2.default;\n parent.ViewAbstraction = _ViewAbstraction2.default;\n};\n\n/**\n * This function will append the global options to the tree. In case\n * this function is called again, only the option leafs are rebuild\n * so a process may safely store a reference to a branch of the option\n * tree as the reference doesn't change.\n *\n * ATTENTION: For the path options, no trailing or double slashes!\n * This is NOT unix where paths are normalized (// is not rewritten to /).\n *\n * @see\n * - [TW5] Is there a designated place for TW plugins to store stuff in the dom?\n * https://groups.google.com/forum/#!topic/tiddlywikidev/MZZ37XiVcvY\n * @param {object} parent The root where to insert the options into\n */\nvar attachOptions = function attachOptions(parent) {\n\n var p = parent;\n\n // default configurations mixed with user config\n if (!p.config) p.config = _utils2.default.makeHashMap();\n\n // Never modify the imported config objects; instead, merge them\n // into a new object\n\n // attention! it is a tw-data-tiddler!\n p.config.sys = _utils2.default.merge(p.config.sys, _utils2.default.unflatten($tw.wiki.getTiddlerData(env.ref.sysUserConf)));\n\n // CAREFUL: Never merge directly into the default vis config object\n p.config.vis = _utils2.default.merge({}, _vis2.default, _utils2.default.parseFieldData(env.ref.visUserConf));\n\n // a shortcut for fields property\n if (!p.field) p.field = _utils2.default.makeHashMap();\n $tw.utils.extend(p.field, p.config.sys.field);\n};\n\n/**\n * This function will cache/index some tiddler properties as javascript\n * objects for faster access.\n */\nvar attachIndeces = function attachIndeces(parent) {\n\n $tm.start('Attaching Indeces');\n\n parent.indeces = parent.indeces || {};\n\n updateNodeTypesIndeces(parent.indeces);\n updateEdgeTypesIndeces(parent.indeces);\n\n $tm.stop('Attaching Indeces');\n\n return parent.indeces;\n};\n\n/**\n * For faster access to node-type styles, we store all node-type\n * objects as indeces in a table.\n *\n * Types without a filter are not indexed since they are either\n * special types that TiddlyMap manually assignes (e.g. tmap:neighbour,\n * or tmap:selected).\n *\n * Indeces added to the indeces chain:\n * 1. glNTy – all global node types\n *\n * @param {Object} [parent] - The global indeces object indeces.\n * If not stated, $tm.indeces is used.\n * @param {Array<TiddlerReference>} [allTiddlers] - The tiddlers to\n * use as basis for this index. If not stated, all tiddlers in\n * the wiki are used.\n */\nvar updateNodeTypesIndeces = function updateNodeTypesIndeces(parent) {\n\n parent = parent || $tm.indeces;\n\n var typePath = $tm.path.nodeTypes;\n var glNTy = parent.glNTy = [];\n var glNTyById = parent.glNTyById = _utils2.default.makeHashMap();\n\n $tw.wiki.eachTiddlerPlusShadows(function (tObj, tRef) {\n if (_utils2.default.startsWith(tRef, typePath)) {\n var type = _NodeType2.default.getInstance(tRef);\n glNTyById[type.id] = type;\n glNTy.push(type);\n }\n });\n\n glNTy.sort(function (a, b) {\n return a.priority - b.priority;\n });\n};\n\nvar updateEdgeTypesIndeces = function updateEdgeTypesIndeces(parent) {\n\n parent = parent || $tm.indeces;\n\n var typePath = $tm.path.edgeTypes;\n var allETy = parent.allETy = _utils2.default.makeHashMap();\n\n $tw.wiki.eachTiddlerPlusShadows(function (tObj, tRef) {\n\n if (_utils2.default.startsWith(tRef, typePath)) {\n\n var et = _EdgeType2.default.getInstance(tRef);\n allETy[et.id] = et;\n }\n });\n\n if ($tm.edgeTypeSubscriberRegistry) {\n $tm.edgeTypeSubscriberRegistry.updateIndex(allETy);\n }\n};\n\n/**\n * This function attaches all the top level functions to the\n * tiddlymap namespace.\n *\n * This will add the\n * 1. global logger method,\n * 2. the notify method\n * 3. the stopwatch methods `start` and `stop`.\n *\n * @param {Hashmap} parent - The parent object to attach the options to.\n */\nvar attachFunctions = function attachFunctions(parent) {\n\n var fn = parent;\n var nirvana = function nirvana() {/* /dev/null */};\n\n if (_utils2.default.isTrue($tm.config.sys.debug, false) && console) {\n\n /**\n * A logging mechanism that uses the first argument as type and\n * passes all consequent arguments as console arguments. The\n * reason for this functions existence is to be able to switch\n * off the logging without redirecting every single console function\n * such as log, debug, warn etc. Plus, we have more control over\n * the logging.\n *\n * @see http://stackoverflow.com/questions/5538972/console-log-apply-not-working-in-ie9\n * @see http://stackoverflow.com/questions/9521921/why-does-console-log-apply-throw-an-illegal-invocation-error\n *\n * @param {string} type - The type of the message (debug, info, warning…)\n * which is exactly the same as in `console[type]`.\n * @param {...*} message - An infinite number of arguments to be printed\n * (just like console).\n */\n fn.logger = function () /* type, [messages,] messages */{\n if (arguments.length < 2) return;\n var args = Array.prototype.slice.call(arguments);\n var arg1 = args.shift(args);\n var type = console.hasOwnProperty(arg1) ? arg1 : 'debug';\n console[type].apply(console, args);\n };\n\n fn.start = function (timerName) {\n console.time('[timer] ' + timerName);\n };\n\n fn.stop = function (timerName) {\n console.timeEnd('[timer] ' + timerName);\n };\n } else {\n\n fn.logger = fn.start = fn.stop = nirvana;\n }\n\n fn.notify = _utils2.default.isTrue($tm.config.sys.notifications) ? _utils2.default.notify : nirvana;\n};\n\n/**\n * This periodic check is needed to trigger a cleanup if a graph is\n * removed since a graph itself cannot react to its destruction.\n * This includes removing listeners that were not attached to the\n * local container or calling the vis destructor.\n *\n * @todo Specify which functions are required for widgets that register\n * themselves in the registry.\n */\nvar routineCheck = function routineCheck() {\n\n for (var i = $tm.registry.length; i--;) {\n var widget = $tm.registry[i];\n\n if (!widget.destruct || !widget.isZombieWidget) return; // no duck!\n\n if (widget.isZombieWidget()) {\n // removed!\n $tm.logger('warn', 'a widget will be removed');\n $tm.registry.splice(i, 1);\n widget.destruct();\n }\n }\n};\n\n/**\n * Every widget that has registered itself in the registry\n * will receive the `updates` object. The `updates` object is a more\n * advanced\n *\n * @param {Updates} updates\n */\nvar dispatchUpdates = function dispatchUpdates(updates) {\n\n var registry = $tm.registry;\n for (var i = registry.length; i--;) {\n\n var widget = registry[i];\n\n if (widget.update && widget.isZombieWidget && !widget.isZombieWidget()) {\n widget.update(updates);\n }\n }\n};\n\n/**\n * We need to do this check as TiddlyWiki does not allow us to hook into the\n * clone process to find out whether a node was cloned.\n *\n * @param tObj\n */\nvar checkForClone = function checkForClone(tObj) {\n\n var tRefs = _utils2.default.getDublicates(tObj);\n\n if (!tRefs.length) {\n return;\n }\n\n // remove any defined edges\n _utils2.default.setField(tObj, 'tmap.edges', undefined);\n\n // force override id\n $tm.tracker.assignId(tObj, true);\n\n // inform the user about what we did\n $tm.dialogManager.open('dublicateIdInfo', {\n param: {\n changedTiddler: tObj.fields.title,\n filter: _utils2.default.joinAndWrap(tRefs, '[[', ']]'),\n id: _utils2.default.getId(tObj)\n }\n });\n};\n\n/**\n * Builds and registers globals and the functions that depend on them.\n */\nvar updateGlobals = function updateGlobals(parent) {\n\n attachOptions($tm);\n attachFunctions($tm);\n\n // attention: logger() cannot be called before functions are rebuild\n $tm.logger('warn', 'Rebuilt globals');\n};\n\nvar lastCurrentTiddler = null;\nvar updateLiveViewTrigger = function updateLiveViewTrigger(changedTiddlers) {\n\n if (changedTiddlers['$:/HistoryList']) {\n var tRef = _utils2.default.getField('$:/HistoryList', 'current-tiddler');\n } else if (changedTiddlers['$:/temp/focussedTiddler']) {\n var tRef = _utils2.default.getField('$:/temp/focussedTiddler', 'text');\n }\n\n if (tRef != null && lastCurrentTiddler !== tRef) {\n lastCurrentTiddler = tRef;\n _utils2.default.setField('$:/temp/tmap/currentTiddler', 'text', tRef);\n }\n};\n\n/**\n * Only for debugging\n */\nvar printChanges = function printChanges(changedTiddlers, loopCount) {\n\n if (!_utils2.default.isTrue($tm.config.sys.debug, false)) return;\n\n $tm.logger('warn', '=== Refresh ' + loopCount + ' ===');\n\n for (var tRef in changedTiddlers) {\n\n var c = changedTiddlers[tRef].deleted ? '[Deleted]' : '[Modified]';\n\n $tm.logger('warn', c, tRef, $tw.wiki.getTiddler(tRef));\n }\n};\n\n/**\n * Saves the last mousemove event under $tm.mouse\n */\nvar registerMousemoveListener = function registerMousemoveListener() {\n\n $tm.mouse = {};\n\n var fn = function fn(evt) {\n $tm.mouse = evt;\n };\n window.addEventListener('mousemove', fn, false);\n};\n\n/**\n * @TODO: suggest this to Jeremy for TW popup handling\n */\nvar registerClickListener = function registerClickListener() {\n\n var tempPopups = $tm.path.tempPopups;\n window.addEventListener('click', function (evt) {\n\n var popupStates = _utils2.default.getTiddlersByPrefix(tempPopups);\n\n for (var i = popupStates.length; i--;) {\n if (_utils2.default.getText(popupStates[i])) break;\n }\n\n if (i === -1) return;\n\n if (!$tw.utils.hasClass(evt.target, 'tc-drop-down') && !_utils2.default.getAncestorWithClass(evt.target, 'tc-drop-down')) {\n // = clicked on an element that isn't a dropdown or inside one\n for (var i = popupStates.length; i--;) {\n _utils2.default.setText(popupStates[i], '');\n }\n }\n }, false);\n};\n\n/**\n * Registers a change listener that will dispatch\n * @param callbackManager\n */\nvar registerChangeListener = function registerChangeListener(callbackManager) {\n\n var loopCount = 0;\n\n $tw.wiki.addEventListener('change', function (changedTiddlers) {\n\n $tm.start('Caretaker handling changes');\n\n printChanges(changedTiddlers, loopCount++);\n callbackManager.refresh(changedTiddlers);\n\n var updates = { changedTiddlers: {} };\n\n for (var tRef in changedTiddlers) {\n\n var tObj = _utils2.default.getTiddler(tRef);\n\n if (tObj && tObj.isDraft()) {\n continue;\n }\n\n var isHandled = handleTiddlerChange(tRef, tObj, updates);\n\n if (isHandled) {\n updates.changedTiddlers[tRef] = changedTiddlers[tRef];\n }\n }\n\n dispatchUpdates(updates);\n\n // NOTE: changes will affect the next refresh cycle\n updateLiveViewTrigger(changedTiddlers);\n\n $tm.stop('Caretaker handling changes');\n });\n};\n\n/**\n * Mapping of paths and callbacks that should be invoked if tiddlers\n * within theses paths change.\n */\nvar rebuilders = (_rebuilders = {}, _defineProperty(_rebuilders, env.path.options, updateGlobals), _defineProperty(_rebuilders, env.path.nodeTypes, updateNodeTypesIndeces), _defineProperty(_rebuilders, env.path.edgeTypes, updateEdgeTypesIndeces), _rebuilders);\n\n/**\n * This function will deal with tiddler changes and will log changes\n * to the provided `updates` object.\n *\n * @param {TiddlerReference} tRef\n * @param {$tw.Tiddler} tObj\n * @param {Updates} updates\n */\nvar handleTiddlerChange = function handleTiddlerChange(tRef, tObj, updates) {\n\n if ($tw.wiki.isSystemTiddler(tRef)) {\n\n for (var path in rebuilders) {\n if (_utils2.default.startsWith(tRef, path) && !updates[path]) {\n $tm.logger('warn', '[System change]', path);\n rebuilders[path]();\n updates[path] = true;\n }\n }\n } else if (tObj) {\n // created or modified\n\n if (tObj.fields.text === undefined) {\n // sic; '' is ok\n // to make sure that the tiddler's body is fully loaded\n // we postpone the handling of the tiddler\n // see https://github.com/felixhayashi/TW5-TiddlyMap/issues/222#issuecomment-268978764\n $tw.wiki.dispatchEvent('lazyLoad', tRef);\n\n return false;\n }\n\n checkForClone(tObj);\n\n // call assignId IN ANY CASE to make sure the index\n // stays intact, also after a renaming operation\n $tm.tracker.assignId(tObj);\n } else {\n // deleted or renamed\n\n var id = $tm.tracker.getIdByTiddler(tRef);\n\n if (!id) {\n // ignore tiddler without id\n return false;\n }\n\n var tRefWithId = _utils2.default.getTiddlerWithField('tmap.id', id);\n\n if (tRefWithId) {\n // only renamed\n\n $tm.logger('warn', '[Renamed]', tRef, 'into', tRefWithId);\n } else {\n // removed\n\n // remove node; any edges pointing in/out; update indeces\n // CAREFUL with recursion here!\n $tm.adapter.deleteNode(id);\n }\n }\n\n return true;\n};\n\n/**\n * Remove temp files from previous session.\n */\nvar cleanup = function cleanup() {\n\n _utils2.default.deleteByPrefix('$:/temp/felixhayashi');\n _utils2.default.deleteByPrefix('$:/temp/tiddlymap');\n _utils2.default.deleteByPrefix('$:/temp/tmap');\n};\n\n/**\n * Register the view that should be displayed at startup.\n */\nvar loadDefaultView = function loadDefaultView(defaultView) {\n\n if (defaultView) {\n _utils2.default.setText(env.ref.defaultViewHolder, $tm.config.sys.defaultView);\n }\n};\n\n/**\n * Init the wiki so we can start the main editor with the specified\n * view in fullscreen mode.\n *\n * @param {ViewAbstraction|string} view\n */\nvar prepareFullscreenStart = function prepareFullscreenStart(view) {\n var _env$ref = env.ref,\n mainEditor = _env$ref.mainEditor,\n defaultViewHolder = _env$ref.defaultViewHolder;\n\n\n _utils2.default.setSidebarTab(mainEditor);\n\n if (_ViewAbstraction2.default.exists(view)) {\n view = new _ViewAbstraction2.default(view);\n _utils2.default.setField(defaultViewHolder, 'text', view.getLabel());\n }\n};\n\n/**\n * The meta file keeps track of installation data.\n */\nvar createMetaFile = function createMetaFile(logger) {\n\n if (_utils2.default.tiddlerExists(env.ref.sysMeta)) {\n return;\n }\n\n logger('warn', 'Creating meta file');\n\n var plugin = $tw.wiki.getTiddler(env.path.pluginRoot);\n\n $tw.wiki.setTiddlerData(env.ref.sysMeta, {\n // the version originally installed\n originalVersion: plugin.fields.version,\n // the data structure in use corresponds to version x\n // if the structure is obsolete, it will be automatically\n // fixed by the fixer module.\n dataStructureState: '0.6.9',\n // whether or not to display a welcome message\n showWelcomeMessage: true\n });\n};\n\n/*** Exports *******************************************************/\n\nvar name = exports.name = 'tmap.caretaker';\nvar platforms = exports.platforms = ['browser'];\nvar after = exports.after = ['startup'];\nvar before = exports.before = ['rootwidget'];\nvar synchronous = exports.synchronous = true;\nvar startup = exports.startup = init;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/Caretaker.js.map\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/plugins/felixhayashi/tiddlymap/js/DialogManager": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/DialogManager",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/DialogManager\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _CallbackManager = require('$:/plugins/felixhayashi/tiddlymap/js/CallbackManager');\n\nvar _CallbackManager2 = _interopRequireDefault(_CallbackManager);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/**\n * The DialogManager is responsible for preparing, displaying and\n * finalizing all the dialogs.\n */\nvar DialogManager = function () {\n\n /**\n * @param {CallbackManager} callbackManager - A callback manager that\n * is informed about changed tiddlers and keeps track of the\n * various tiddlers produced during the dialog process.\n * @param {Object} [context] - An optional *this*-reference to bind the\n * callback of each called dialog to. Otherwise, the callback of\n * each dialog has to be bound manually to the callback if required.\n */\n function DialogManager(callbackManager, context) {\n _classCallCheck(this, DialogManager);\n\n // create callback registry\n this.callbackManager = callbackManager;\n this.context = context;\n }\n\n /**\n * This function opens a dialog based on a skeleton and some fields and eventually\n * calls a callback once the dialog is closed. The callback contains an indicator\n * whether the dialog subject was confirmed or the operation cancelled. In any\n * case the output tiddler is passed to the callback. Each dialog may write its\n * changes to this tiddler in order to store the dialog result and make it available\n * to the callback.\n *\n * How does it work?\n *\n * The output of the dialog process is stored in a temporary tiddler that is only known\n * to the current instance of the dialog. This way it is ensured that only the dialog process\n * that created the temporary tiddler will retrieve the result. Now we are able to\n * provide unambigous and unique correspondance to dialog callbacks.\n * Any dialog output is stored in a unique output-tiddler. Once there is a result,\n * a new result tiddler is created with indicators how to interpret the output.\n * The result tiddler can be understood as exit code that is independent of the output.\n * It is the result tiddler that triggers the dialog callback that was registered before.\n * the output is then read immediately from the output-tiddler.\n *\n * @param {string} templateId - The dialog id which is the basename of\n * the template title.\n * @param {Hashmap} [param] - All properties (except those with special meanings)\n * of param will be accessible as variables in the modal\n * @param {string} [param.subtitle] -\n * @param {string} [param.cancelButtonLabel] - The label of the cancel button.\n * @param {string} [param.confirmButtonLabel] - The label of the confirm button.\n * @param {function} [callback] - A function with the signature\n * function(isConfirmed, outputTObj). `outputTObj` contains data\n * produced by the dialog (can be undefined even if confirmed!).\n * Be careful: the tiddler that outputTObj represents is deleted immediately.\n * @return {$tw.Tiddler} The dialog tddler object with all its fields.\n */\n\n\n _createClass(DialogManager, [{\n key: 'open',\n value: function open(templateId) {\n var param = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n var callback = arguments[2];\n\n\n if (_utils2.default.isTrue($tm.config.sys.suppressedDialogs[templateId], false)) {\n $tm.logger('warning', 'Suppressed dialog', templateId);\n return;\n }\n\n $tm.logger('debug', 'Dialog param object', param);\n\n if (typeof callback === 'function' && this.context) {\n callback = callback.bind(this.context);\n }\n\n // create a temporary tiddler reference for the dialog\n var dialogTRef = $tm.path.tempRoot + '/dialog-' + _utils2.default.genUUID();\n\n // get the dialog template\n var skeleton = _utils2.default.getTiddler($tm.path.dialogs + '/' + templateId);\n\n // fields used to handle the dialog process\n var dialog = {\n title: dialogTRef,\n buttons: skeleton.fields['buttons'] || 'ok_cancel',\n classes: 'tmap-modal-content ' + skeleton.fields['classes'],\n output: dialogTRef + '/output',\n result: dialogTRef + '/result',\n temp: dialogTRef + '/temp',\n template: skeleton.fields.title,\n templateId: templateId,\n currentTiddler: dialogTRef + '/output',\n text: _utils2.default.getText($tm.path.dialogs)\n };\n\n _utils2.default.touch(dialog.output);\n\n if (param.dialog) {\n\n if (param.dialog.preselects) {\n\n // register preselects\n $tw.wiki.addTiddler(new $tw.Tiddler({ title: dialog.output }, _utils2.default.flatten(param.dialog.preselects)));\n\n // remove preselects from param object\n delete param.dialog.preselects;\n }\n\n // extend the dialog object with parameters provided by the user\n _utils2.default.merge(dialog, param.dialog);\n }\n\n // force the footer to be set to the wrapper\n // the footer wrapper will determine the footer from the\n // buttons field/variable\n dialog.footer = _utils2.default.getText($tm.path.footers);\n\n // flatten dialog and param object\n dialog = _utils2.default.flatten(dialog);\n param = _utils2.default.flatten(param);\n\n var fn = function fn(tRef) {\n\n DialogManager.getElement('hidden-close-button').click();\n\n var triggerTObj = $tw.wiki.getTiddler(tRef);\n var isConfirmed = triggerTObj.fields.text;\n\n var outputTObj = null;\n if (isConfirmed) {\n outputTObj = $tw.wiki.getTiddler(dialog.output);\n } else {\n $tm.notify('operation cancelled');\n }\n\n if (typeof callback === 'function') {\n callback(isConfirmed, outputTObj);\n }\n\n // close and remove all tiddlers used by the dialog\n _utils2.default.deleteByPrefix(dialogTRef);\n };\n\n // add trigger\n this.callbackManager.add(dialog.result, fn, true);\n\n // create dialog\n var dialogTiddler = new $tw.Tiddler(skeleton, param, dialog);\n $tw.wiki.addTiddler(dialogTiddler);\n\n $tm.logger('debug', 'Opening dialog', dialogTiddler);\n\n $tw.rootWidget.dispatchEvent({\n type: 'tm-modal',\n param: dialogTiddler.fields.title,\n paramObject: dialogTiddler.fields\n });\n\n DialogManager.addKeyBindings();\n\n return dialogTiddler;\n }\n }], [{\n key: 'getElement',\n value: function getElement(name) {\n\n return _utils2.default.getFirstElementByClassName('tmap-' + name);\n }\n\n /**\n * This method will search for form elements that have the class\n * `tmap-trigger-field` set, which means that TiddlyMap shall\n * perform a button press when a key combo occurs while the field\n * has focus. To know which button to press on what key event,\n * it looks for classes of the form: tmap-triggers-BUTTONNAME-on-KEYCOMBO.\n */\n\n }, {\n key: 'addKeyBindings',\n value: function addKeyBindings() {\n\n var keys = $tm.keycharm({\n container: _utils2.default.getFirstElementByClassName('tc-modal')\n });\n\n var re = /tmap-triggers-(.+?)-on-(.+?)(?:\\s|$)/;\n var triggers = document.getElementsByClassName('tmap-trigger-field');\n\n var _loop = function _loop(i) {\n var classNames = triggers[i].className.split(' ');\n\n var _loop2 = function _loop2(j) {\n var matches = classNames[j].match(re);\n if (!matches) {\n // don't care\n return 'continue';\n }\n var buttonName = matches[1];\n var key = matches[2];\n var buttonElement = DialogManager.getElement(buttonName);\n if (!buttonElement) {\n return 'continue';\n }\n keys.bind(key, function () {\n if (document.getElementsByClassName(classNames[j]).length) {\n // only click button if trigger is active (i.e. still in focus)\n // see https://github.com/felixhayashi/TW5-TiddlyMap/issues/280\n buttonElement.click();\n }\n });\n };\n\n for (var j = classNames.length; j--;) {\n var _ret2 = _loop2(j);\n\n if (_ret2 === 'continue') continue;\n }\n };\n\n for (var i = triggers.length; i--;) {\n _loop(i);\n }\n }\n }]);\n\n return DialogManager;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = DialogManager;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/DialogManager.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/Fixer": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/Fixer",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/Fixer\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _ViewAbstraction = require('$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction');\n\nvar _ViewAbstraction2 = _interopRequireDefault(_ViewAbstraction);\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _NodeType = require('$:/plugins/felixhayashi/tiddlymap/js/NodeType');\n\nvar _NodeType2 = _interopRequireDefault(_NodeType);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/*** Code **********************************************************/\n\nvar Fixer = function () {\n\n /**\n * @param {Adapter} adapter\n * @param {Object} logger\n * @param {Object} glNTy\n */\n function Fixer(adapter, logger, glNTy) {\n _classCallCheck(this, Fixer);\n\n this.adapter = adapter;\n this.logger = logger;\n this.wiki = $tw.wiki;\n this.glNTy = glNTy;\n }\n\n _createClass(Fixer, [{\n key: 'moveEdges',\n value: function moveEdges(path, view) {\n\n var matches = _utils2.default.getTiddlersByPrefix(path);\n for (var i = 0; i < matches.length; i++) {\n\n // create edge type\n var type = _utils2.default.getBasename(matches[i]);\n\n if (type === '__noname__') {\n type = 'tmap:unknown';\n }\n\n type = _EdgeType2.default.getInstance(type);\n\n if (!type.exists()) {\n type.save();\n }\n\n // move edges\n var edges = this.wiki.getTiddlerData(matches[i]);\n for (var j = 0; j < edges.length; j++) {\n // prefix formerly private edges with view name as namespace\n edges[j].type = (view ? view + ':' : '') + type.id;\n this.adapter.insertEdge(edges[j]);\n }\n\n // finally remove the store\n this.wiki.deleteTiddler(matches[i]);\n }\n }\n }, {\n key: 'executeUpgrade',\n value: function executeUpgrade(toVersion, curVersion, upgrade) {\n\n if (!_utils2.default.isLeftVersionGreater(toVersion, curVersion)) {\n // = current data structure version is newer than version we want to upgrade to.\n return;\n }\n\n // issue debug message\n this.logger('debug', 'Upgrading data structure to ' + toVersion);\n // execute fix\n var msg = upgrade();\n // update meta\n _utils2.default.setEntry(env.ref.sysMeta, 'dataStructureState', toVersion);\n\n return msg;\n }\n }, {\n key: 'fixId',\n\n\n /**\n * Special fix that is not invoked along with the other fixes but\n * when creating the index (see caretaker code).\n *\n * Changes:\n * 1. The node id field is moved to tmap.id if **original version**\n * is below v0.9.2.\n */\n value: function fixId() {\n\n var meta = this.wiki.getTiddlerData(env.ref.sysMeta, {});\n\n this.executeUpgrade('0.9.2', meta.dataStructureState, function () {\n\n if (_utils2.default.isLeftVersionGreater('0.9.2', meta.originalVersion)) {\n // path of the user conf at least in 0.9.2\n var userConf = '$:/plugins/felixhayashi/tiddlymap/config/sys/user';\n var nodeIdField = _utils2.default.getEntry(userConf, 'field.nodeId', 'tmap.id');\n _utils2.default.moveFieldValues(nodeIdField, 'tmap.id', true, false);\n }\n });\n }\n }, {\n key: 'fix',\n value: function fix() {\n var _this = this;\n\n var meta = this.wiki.getTiddlerData(env.ref.sysMeta, {});\n\n this.logger('debug', 'Fixer is started');\n this.logger('debug', 'Data-structure currently in use: ', meta.dataStructureState);\n\n /**\n * Changes:\n * 1. Edges are stored in tiddlers instead of type based edge stores\n * 2. No more private views\n */\n this.executeUpgrade('0.7.0', meta.dataStructureState, function () {\n\n // move edges that were formerly \"global\"\n _this.moveEdges('$:/plugins/felixhayashi/tiddlymap/graph/edges', null);\n\n // move edges that were formerly bound to view (\"private\")\n var filter = env.selector.allViews;\n var viewRefs = _utils2.default.getMatches(filter);\n for (var i = 0; i < viewRefs.length; i++) {\n var view = new _ViewAbstraction2.default(viewRefs[i]);\n _this.moveEdges(view.getRoot() + '/graph/edges', view);\n }\n });\n\n /**\n * Changes:\n * 1. Changes to the live view filter and refresh trigger field\n */\n this.executeUpgrade('0.7.32', meta.dataStructureState, function () {\n\n if (!_ViewAbstraction2.default.exists('Live View')) {\n\n return;\n }\n\n var liveView = new _ViewAbstraction2.default('Live View');\n\n // Only listen to the current tiddler of the history list\n liveView.setNodeFilter('[field:title{$:/temp/tmap/currentTiddler}]', true);\n\n liveView.setConfig({\n 'refresh-trigger': null, // delete the field (renamed)\n 'refresh-triggers': $tw.utils.stringifyList(['$:/temp/tmap/currentTiddler'])\n });\n });\n\n /**\n * Changes:\n * 1. Group styles for matches and neighbours are now modulized\n * and stored as node-types.\n * 2. vis user configuration is restored unflattened!\n * The user only interacts through the GUI.\n * 3. If the node id field was \"id\" it is moved to tmap.id\n */\n this.executeUpgrade('0.9.0', meta.dataStructureState, function () {\n\n var confRef = env.ref.visUserConf;\n var userConf = _utils2.default.unflatten(_this.wiki.getTiddlerData(confRef, {}));\n\n if (_typeof(userConf.groups) === 'object') {\n\n var type = _NodeType2.default.getInstance('tmap:neighbour');\n type.setStyle(userConf.groups['neighbours']);\n type.save();\n\n delete userConf.groups;\n _this.wiki.setTiddlerData(confRef, userConf);\n }\n });\n\n /**\n * Changes:\n * 1. The node id field is moved to tmap.id if **original version**\n * is below v0.9.2.\n */\n this.fixId();\n\n /**\n * This will ensure that all node types have a prioritization field\n * set.\n */\n this.executeUpgrade('0.9.16', meta.dataStructureState, function () {\n\n for (var i = _this.glNTy.length; i--;) {\n _this.glNTy[i].save(null, true);\n }\n });\n\n /**\n * Fixes the live tab\n */\n this.executeUpgrade('0.10.3', meta.dataStructureState, function () {\n\n var liveTab = env.ref.liveTab;\n if (_utils2.default.getTiddler(liveTab).hasTag('$:/tags/SideBar')) {\n this.wiki.deleteTiddler(liveTab);\n _utils2.default.setField(liveTab, 'tags', '$:/tags/SideBar');\n }\n });\n\n /**\n * 1) Fixes the edge type filter. Before, an empty filter was\n * treated as default filter, i.e. no links and tags shown.\n * Now an empty filter means that we show all edge types.\n *\n * 2) Adds prefix to hide private edges per default\n *\n * 3) Corrects view-namespaces (formerly stored with colon).\n *\n */\n this.executeUpgrade('0.11.0', meta.dataStructureState, function () {\n\n var views = _utils2.default.getMatches(env.selector.allViews);\n\n for (var i = views.length; i--;) {\n\n var view = new _ViewAbstraction2.default(views[i]);\n var eTyFilter = view.getEdgeTypeFilter('raw');\n var confKey = 'edge_type_namespace';\n view.setConfig(confKey, view.getConfig(confKey));\n\n var f = env.filter.defaultEdgeTypeFilter;\n\n if (eTyFilter) {\n\n // remove any occurences of the egde type path prefix\n var edgeTypePath = env.path.edgeTypes;\n eTyFilter = _utils2.default.replaceAll(eTyFilter, '', [edgeTypePath, edgeTypePath + '/', '[prefix[' + edgeTypePath + ']]', '[prefix[' + edgeTypePath + '/]]', ['[suffix[tw-body:link]]', '[[tw-body:link]]'], ['[suffix[tw-list:tags]]', '[[tw-list:tags]]'], ['[suffix[tw-list:list]]', '[[tw-body:list]]'], ['[suffix[tmap:unknown]]', '[[tmap:unknown]]'], ['[suffix[unknown]]', '[[tmap:unknown]]']]);\n\n f = '-[prefix[_]] ' + eTyFilter;\n }\n\n view.setEdgeTypeFilter(f);\n }\n });\n }\n }]);\n\n return Fixer;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = Fixer;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/Fixer.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/startup/listener": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/startup/listener",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.startup = exports.synchronous = exports.before = exports.after = exports.platforms = exports.name = undefined;\n\nvar _NodeType = require('$:/plugins/felixhayashi/tiddlymap/js/NodeType');\n\nvar _NodeType2 = _interopRequireDefault(_NodeType);\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _Edge = require('$:/plugins/felixhayashi/tiddlymap/js/Edge');\n\nvar _Edge2 = _interopRequireDefault(_Edge);\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _vis = require('$:/plugins/felixhayashi/tiddlymap/js/config/vis');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\n/*** Code **********************************************************/\n\n/**\n * @param {Object} param - event.param\n */\nvar handleCancelDialog = function handleCancelDialog(_ref) {\n var param = _ref.param;\n\n _utils2.default.setField(param, 'text', '');\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\n// @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/startup/listener\ntype: application/javascript\nmodule-type: startup\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar handleClearTiddler = function handleClearTiddler() {\n var _ref2 = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n paramObject = _ref2.paramObject;\n\n var title = paramObject.title,\n keep = paramObject.keep;\n\n\n if (!title) return;\n\n var tObj = _utils2.default.getTiddler(title);\n var originalFields = tObj ? tObj.fields : {};\n var fieldsToKeep = keep ? keep.split() : [];\n var cloneFields = {\n title: title,\n text: '' // see https://github.com/Jermolene/TiddlyWiki5/issues/2025\n };\n\n for (var i = fieldsToKeep.length; i--;) {\n var fieldName = fieldsToKeep[i];\n cloneFields[fieldName] = originalFields[fieldName];\n }\n\n $tw.wiki.deleteTiddler(title);\n $tw.wiki.addTiddler(new $tw.Tiddler(cloneFields));\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleMixTiddlers = function handleMixTiddlers(_ref3) {\n var _ref3$paramObject = _ref3.paramObject,\n paramObject = _ref3$paramObject === undefined ? {} : _ref3$paramObject;\n var tiddlersStringArray = paramObject.tiddlers,\n output = paramObject.output;\n\n\n if (!tiddlersStringArray || !output) return;\n\n var tiddlers = $tw.utils.parseStringArray(tiddlersStringArray);\n var tObj = _utils2.default.getMergedTiddlers(tiddlers, output);\n\n $tw.wiki.addTiddler(tObj);\n};\n\n/**\n * @param {string} param - event.param\n */\nvar handleConfirmDialog = function handleConfirmDialog(_ref4) {\n var param = _ref4.param;\n\n\n _utils2.default.setField(param, 'text', '1');\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleSuppressDialog = function handleSuppressDialog(_ref5) {\n var paramObject = _ref5.paramObject;\n var dialog = paramObject.dialog,\n suppress = paramObject.suppress;\n\n\n if (_utils2.default.isTrue(suppress, false)) {\n _utils2.default.setEntry($tm.ref.sysUserConf, 'suppressedDialogs.' + dialog, true);\n }\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleDownloadGraph = function handleDownloadGraph(_ref6) {\n var paramObject = _ref6.paramObject;\n var view = paramObject.view;\n\n var graph = $tm.adapter.getGraph({ view: view });\n\n graph.nodes = _utils2.default.convert(graph.nodes, 'array');\n graph.edges = _utils2.default.convert(graph.edges, 'array');\n\n var tRef = '$:/temp/tmap/export';\n\n _utils2.default.setField(tRef, 'text', JSON.stringify(graph, null, 2));\n\n $tw.rootWidget.dispatchEvent({\n type: 'tm-download-file',\n param: tRef,\n paramObject: {\n filename: view + '.json'\n }\n });\n};\n\n/**\n *\n */\nvar handleConfigureSystem = function handleConfigureSystem() {\n\n var allTiddlers = _utils2.default.getMatches($tm.selector.allPotentialNodes);\n var allEdges = $tm.adapter.getEdgesForSet(allTiddlers);\n var plugin = $tw.wiki.getTiddler($tm.path.pluginRoot).fields;\n var meta = $tw.wiki.getTiddlerData($tm.ref.sysMeta);\n var hasLiveTab = _utils2.default.getTiddler($tm.ref.liveTab).hasTag('$:/tags/SideBar');\n\n var args = {\n numberOfNodes: '' + allTiddlers.length,\n numberOfEdges: '' + Object.keys(allEdges).length,\n pluginVersion: 'v' + plugin.version,\n dataStructureVersion: 'v' + meta.dataStructureState,\n dialog: {\n preselects: {\n 'liveTab': '' + hasLiveTab,\n 'vis-inherited': JSON.stringify(_vis2.default),\n 'config.vis': _utils2.default.getText($tm.ref.visUserConf),\n 'config.sys': $tm.config.sys\n }\n }\n };\n\n $tm.dialogManager.open('globalConfig', args, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) return;\n\n var config = _utils2.default.getPropertiesByPrefix(outTObj.fields, 'config.sys.', true);\n\n // CAREFUL: this is a data tiddler!\n $tw.wiki.setTiddlerData($tm.ref.sysUserConf, config);\n\n // show or hide the live tab; to hide the live tab, we override\n // the shadow tiddler; to show it, we remove the overlay again.\n if (_utils2.default.isTrue(outTObj.fields.liveTab, false)) {\n _utils2.default.setField($tm.ref.liveTab, 'tags', '$:/tags/SideBar');\n } else {\n $tw.wiki.deleteTiddler($tm.ref.liveTab);\n }\n\n // tw doesn't translate the json to an object so this is already a string\n _utils2.default.setField($tm.ref.visUserConf, 'text', outTObj.fields['config.vis']);\n });\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleGenerateWidget = function handleGenerateWidget(_ref7) {\n var _ref7$paramObject = _ref7.paramObject,\n paramObject = _ref7$paramObject === undefined ? {} : _ref7$paramObject;\n\n\n var options = {\n dialog: {\n preselects: {\n 'var.view': paramObject.view || $tm.misc.defaultViewLabel\n }\n }\n };\n\n $tm.dialogManager.open('widgetCodeGenerator', options);\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleRemoveEdge = function handleRemoveEdge(_ref8) {\n var paramObject = _ref8.paramObject;\n\n\n $tm.adapter.deleteEdge(paramObject);\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleCreateEdge = function handleCreateEdge(_ref9) {\n var paramObject = _ref9.paramObject;\n var from = paramObject.from,\n to = paramObject.to,\n isForce = paramObject.force;\n\n\n if (!from || !to) return;\n\n if (_utils2.default.tiddlerExists(from) && _utils2.default.tiddlerExists(to) || isForce) {\n\n // will not override any existing tiddlers…\n _utils2.default.addTiddler(to);\n _utils2.default.addTiddler(from);\n\n var edge = new _Edge2.default($tm.adapter.makeNode(from).id, $tm.adapter.makeNode(to).id, paramObject.label, paramObject.id);\n\n $tm.adapter.insertEdge(edge);\n $tm.notify('Edge inserted');\n }\n};\n\n/**\n * @param {string} type - event.type\n * @param {Object} [paramObject] - event.paramObject\n */\nvar handleOpenTypeManager = function handleOpenTypeManager(_ref10) {\n var type = _ref10.type,\n _ref10$paramObject = _ref10.paramObject,\n paramObject = _ref10$paramObject === undefined ? {} : _ref10$paramObject;\n\n\n // either 'manage-edge-types' or 'manage-node-types'\n var mode = type.match(/tmap:tm-(.*)/)[1];\n\n if (mode === 'manage-edge-types') {\n var topic = 'Edge-Type Manager';\n var allTypesSelector = $tm.selector.allEdgeTypes;\n var typeRootPath = $tm.path.edgeTypes;\n } else {\n var topic = 'Node-Type Manager';\n var allTypesSelector = $tm.selector.allNodeTypes;\n var typeRootPath = $tm.path.nodeTypes;\n }\n\n var args = {\n mode: mode,\n topic: topic,\n searchSelector: allTypesSelector,\n typeRootPath: typeRootPath\n };\n\n var dialogTObj = $tm.dialogManager.open('MapElementTypeManager', args);\n\n if (paramObject.type) {\n handleLoadTypeForm({\n paramObject: {\n mode: mode,\n id: paramObject.type,\n output: dialogTObj.fields['output']\n }\n });\n }\n};\n\n/**\n * @param {string} id - The id of a {@link MapElementType}\n * @param {('manage-edge-types'|'manage-node-types')} mode\n * @param {TiddlerReference} output\n */\nvar handleLoadTypeForm = function handleLoadTypeForm(_ref11) {\n var _ref11$paramObject = _ref11.paramObject,\n mode = _ref11$paramObject.mode,\n id = _ref11$paramObject.id,\n output = _ref11$paramObject.output;\n\n\n var outTRef = output;\n var type = mode === 'manage-edge-types' ? _EdgeType2.default.getInstance(id) : _NodeType2.default.getInstance(id);\n\n // inject all the type data as fields into the dialog output\n type.save(outTRef, true);\n\n // fields that need preprocessing\n\n if (mode === 'manage-edge-types') {\n var usage = $tm.adapter.selectEdgesByType(type);\n var count = Object.keys(usage).length;\n _utils2.default.setField(outTRef, 'temp.usageCount', count);\n }\n\n $tw.wiki.addTiddler(new $tw.Tiddler(_utils2.default.getTiddler(outTRef), {\n 'typeTRef': type.fullPath,\n 'temp.idImmutable': type.isShipped ? 'true' : '',\n 'temp.newId': type.id,\n 'vis-inherited': JSON.stringify($tm.config.vis)\n }));\n\n // reset the tabs to default\n _utils2.default.deleteByPrefix('$:/state/tabs/MapElementTypeManager');\n};\n\n/**\n * @param {Object} paramObject - event.paramObject\n */\nvar handleSaveTypeForm = function handleSaveTypeForm(_ref12) {\n var paramObject = _ref12.paramObject;\n\n\n var tObj = _utils2.default.getTiddler(paramObject.output);\n if (!tObj) return;\n\n var id = tObj.fields.id;\n var mode = paramObject.mode;\n\n if (_utils2.default.isTrue(tObj.fields['temp.deleteType'], false)) {\n deleteType(mode, id, tObj);\n } else {\n saveType(mode, id, tObj);\n }\n};\n\nvar deleteType = function deleteType(mode, id, dialogOutput) {\n\n var type = mode === 'manage-edge-types' ? _EdgeType2.default.getInstance(id) : _NodeType2.default.getInstance(id);\n\n $tm.logger('debug', 'Deleting type', type);\n\n if (mode === 'manage-edge-types') {\n $tm.adapter._processEdgesWithType(type, { action: 'delete' });\n } else {\n $tm.adapter.removeNodeType(type);\n }\n\n $tw.wiki.addTiddler(new $tw.Tiddler({\n title: _utils2.default.getTiddlerRef(dialogOutput)\n }));\n\n $tm.notify('Deleted type');\n};\n\n/**\n * @param {string} id - The id of a {@link MapElementType}\n * @param {('manage-edge-types'|'manage-node-types')} mode\n * @param {TiddlerReference} output\n */\nvar saveType = function saveType(mode, id, output) {\n\n var tObj = _utils2.default.getTiddler(output);\n\n // update the type with the form data\n var Type = mode === 'manage-edge-types' ? _EdgeType2.default : _NodeType2.default;\n var type = new Type(id, tObj);\n type.save();\n\n var newId = tObj.fields['temp.newId'];\n\n if (newId && newId !== tObj.fields['id']) {\n //renamed\n\n if (mode === 'manage-edge-types') {\n\n $tm.adapter._processEdgesWithType(type, {\n action: 'rename',\n newName: newId\n });\n } else {\n\n new _NodeType2.default(newId, type).save();\n $tw.wiki.deleteTiddler(type.fullPath);\n }\n\n _utils2.default.setField(tObj, 'id', newId);\n }\n\n $tm.notify('Saved type data');\n};\n\n/**\n * @param {string} id - The id of a {@link MapElementType}\n * @param {('manage-edge-types'|'manage-node-types')} mode\n * @param {TiddlerReference} output\n */\nvar handleCreateType = function handleCreateType(_ref13) {\n var _ref13$paramObject = _ref13.paramObject,\n mode = _ref13$paramObject.mode,\n _ref13$paramObject$id = _ref13$paramObject.id,\n id = _ref13$paramObject$id === undefined ? 'New type' : _ref13$paramObject$id,\n output = _ref13$paramObject.output;\n\n\n var type = mode === 'manage-edge-types' ? new _EdgeType2.default(id) : new _NodeType2.default(id);\n\n type.save();\n\n handleLoadTypeForm({ paramObject: { id: type.id, mode: mode, output: output } });\n};\n\n/*** Exports *******************************************************/\n\nvar name = exports.name = 'tmap.listener';\nvar platforms = exports.platforms = ['browser'];\nvar after = exports.after = ['rootwidget', 'tmap.caretaker'];\nvar before = exports.before = ['story'];\nvar synchronous = exports.synchronous = true;\nvar startup = exports.startup = function startup() {\n _utils2.default.addTWlisteners({\n 'tmap:tm-remove-edge': handleRemoveEdge,\n 'tmap:tm-load-type-form': handleLoadTypeForm,\n 'tmap:tm-save-type-form': handleSaveTypeForm,\n 'tmap:tm-create-type': handleCreateType,\n 'tmap:tm-create-edge': handleCreateEdge,\n 'tmap:tm-suppress-dialog': handleSuppressDialog,\n 'tmap:tm-generate-widget': handleGenerateWidget,\n 'tmap:tm-download-graph': handleDownloadGraph,\n 'tmap:tm-configure-system': handleConfigureSystem,\n 'tmap:tm-manage-edge-types': handleOpenTypeManager,\n 'tmap:tm-manage-node-types': handleOpenTypeManager,\n 'tmap:tm-cancel-dialog': handleCancelDialog,\n 'tmap:tm-clear-tiddler': handleClearTiddler,\n 'tmap:tm-merge-tiddlers': handleMixTiddlers,\n 'tmap:tm-confirm-dialog': handleConfirmDialog\n }, $tw.rootWidget, undefined);\n};\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/Listener.js.map\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/plugins/felixhayashi/tiddlymap/js/services/tracker": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/services/tracker",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }(); // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/services/tracker\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\n/***************************** CODE ********************************/\n\n/**\n *\n */\nvar Tracker = function () {\n function Tracker(fixer) {\n _classCallCheck(this, Tracker);\n\n this.wiki = $tw.wiki;\n this.logger = $tm.logger;\n\n this._createIndex();\n }\n\n /**\n * TiddlyMap uses ids to reference tiddlers. This function creates\n * a table that maps ids to tRefs and vice versa.\n *\n * Two indeces are added to the indeces chain:\n * 1. tById – tiddler references by id\n * 2. idByT – ids by tiddler references\n *\n * @param {Array<TiddlerReference>} [allTiddlers] - The tiddlers to\n * use as basis for this index. If not stated, all tiddlers in\n * the wiki are used.\n */\n\n\n _createClass(Tracker, [{\n key: '_createIndex',\n value: function _createIndex() {\n\n var tById = this.tById = {}; // tiddlerById\n var idByT = this.idByT = {}; // idByTiddler\n\n this.wiki.each(function (tObj, tRef) {\n\n if (_utils2.default.isSystemOrDraft(tObj)) {\n return;\n }\n\n // will create id if not present\n var id = tObj.fields['tmap.id'];\n if (!id) {\n id = _utils2.default.genUUID();\n _utils2.default.setField(tObj, 'tmap.id', id);\n }\n\n tById[id] = tRef; // tiddlerById\n idByT[tRef] = id; // idByTiddler\n });\n }\n\n /**\n * This method will assign an id to an *existing* tiddler that does\n * not already possess and id. Any assigned id will be registered\n * at the id->tiddler index.\n *\n * @param {Tiddler} tiddler - The tiddler to assign the id to.\n * @param {boolean} isForce - True if the id should be overridden,\n * false otherwise. Only works if the id field is not set to title.\n *\n * @return {Id} The assigned or retrieved id.\n */\n\n }, {\n key: 'assignId',\n value: function assignId(tiddler, isForce) {\n\n // Note: always reload from store to avoid setting wrong ids on tiddler\n // being in the role of from and to at the same time.\n var tObj = _utils2.default.getTiddler(tiddler);\n\n if (!tObj) {\n throw new ResourceNotFoundException(tiddler);\n }\n\n var id = tObj.fields['tmap.id'];\n\n if (!id || isForce) {\n id = _utils2.default.genUUID();\n _utils2.default.setField(tObj, 'tmap.id', id);\n this.logger('info', 'Assigning new id to', tObj.fields.title);\n }\n\n // blindly update the index IN ANY CASE because tiddler may have\n // an id but it is not indexed yet (e.g. because of renaming operation)\n this.tById[id] = tObj.fields.title;\n this.idByT[tObj.fields.title] = id;\n\n return id;\n }\n\n /**\n * @param {Tiddler} tiddler\n * @return string\n */\n\n }, {\n key: 'getIdByTiddler',\n value: function getIdByTiddler(tiddler) {\n\n return this.idByT[_utils2.default.getTiddlerRef(tiddler)];\n }\n }, {\n key: 'getIdsByTiddlers',\n value: function getIdsByTiddlers() {\n return this.idByT;\n }\n }, {\n key: 'getTiddlersByIds',\n value: function getTiddlersByIds() {\n return this.tById;\n }\n\n /**\n * @param id\n * @return {TiddlerReference} tiddler\n */\n\n }, {\n key: 'getTiddlerById',\n value: function getTiddlerById(id) {\n\n return this.tById[id];\n }\n }]);\n\n return Tracker;\n}();\n\n/*** Exports *******************************************************/\n\nexports.default = Tracker;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/services/Tracker.js.map\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/tiddlymap/js/widget/MapConfigWidget": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/widget/MapConfigWidget",
"text": "'use strict';\n\nvar _typeof = typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\" ? function (obj) { return typeof obj; } : function (obj) { return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj; }; // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/widget/MapConfigWidget\ntype: application/javascript\nmodule-type: widget\n\n@preserve\n\n\\*/\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _vis = require('$:/plugins/felixhayashi/vis/vis.js');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nvar _widget = require('$:/core/modules/widgets/widget.js');\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\n/**\n * Wrapper for the Visjs configurator.\n *\n * ```\n * <$tmap-config\n * inherited=\"FIELDNAME FIELDNAME …\"\n * extension=\"FIELDNAME\"\n * changes=\"FIELDNAME\" (default: same field as extension)\n * override=\"true|false\" (default: false)\n * mode=\"manage-*\"\n * refresh-trigger=\"tRef\" />\n * ```\n *\n * @constructor\n */\nfunction MapConfigWidget(parseTreeNode, options) {\n\n // call the parent constructor\n _widget.widget.call(this);\n\n // call initialise on prototype\n this.initialise(parseTreeNode, options);\n\n // make the html attributes available to this widget\n this.computeAttributes();\n}\n\n// !! EXTENSION !!\nMapConfigWidget.prototype = Object.create(_widget.widget.prototype);\n// !! EXTENSION !!\n\n/**\n * Method to render this widget into the DOM.\n *\n * @override\n */\nMapConfigWidget.prototype.render = function (parent, nextSibling) {\n\n // remember our place in the dom\n this.parentDomNode = parent;\n\n if (!this.domNode) {\n this.domNode = this.document.createElement('div');\n $tw.utils.addClass(this.domNode, 'tmap-config-widget');\n parent.insertBefore(this.domNode, nextSibling);\n }\n\n if (this.network) {\n\n // destroy any previous instance\n this.network.destroy();\n }\n\n // create container for vis configurator; destroyed when vis is destroyed\n this.networkContainer = document.createElement('div');\n this.domNode.appendChild(this.networkContainer);\n\n // get environment\n this.refreshTrigger = this.getAttribute('refresh-trigger');\n this.pipeTRef = this.getVariable('currentTiddler');\n this.inheritedFields = $tw.utils.parseStringArray(this.getAttribute('inherited'));\n this.extensionTField = this.getAttribute('extension');\n this.mode = this.getAttribute('mode');\n\n // load inherited options\n for (var i = 0; i < this.inheritedFields.length; i++) {\n var fieldName = this.inheritedFields[i];\n var style = _utils2.default.parseFieldData(this.pipeTRef, fieldName, {});\n\n // maybe the inherited options also come without a top level property\n // so we do the same here to…\n // TODO looks clumsy; do it in a more generic way…\n if (this.mode === 'manage-edge-types') {\n if (!style.edges) {\n style = { edges: style };\n }\n } else if (this.mode === 'manage-node-types') {\n if (!style.nodes) {\n style = { nodes: style };\n }\n }\n\n this.inherited = _utils2.default.merge(this.inherited, style);\n }\n\n // load extension to the inherited options; since we store vis config\n // for nodes and edges without the top level property, we may need to\n // append it again, if not done so already.\n this.extension = _utils2.default.parseFieldData(this.pipeTRef, this.extensionTField, {});\n // TODO looks clumsy; do it in a more generic way…\n if (this.mode === 'manage-edge-types') {\n if (!this.extension.edges) {\n this.extension = { edges: this.extension };\n }\n } else if (this.mode === 'manage-node-types') {\n if (!this.extension.nodes) {\n this.extension = { nodes: this.extension };\n }\n }\n\n // we record all changes in a separate variable\n var isSaveOnlyChanges = _utils2.default.isTrue(this.getAttribute('save-only-changes'));\n this.changes = isSaveOnlyChanges ? {} : this.extension;\n\n var data = { nodes: [], edges: [] };\n var options = _utils2.default.merge({}, this.inherited, this.extension);\n $tw.utils.extend(options, {\n configure: {\n enabled: true,\n showButton: false,\n filter: this.getOptionFilter(this.mode)\n }\n });\n\n this.network = new _vis2.default.Network(this.networkContainer, data, options);\n this.network.on('configChange', this.handleConfigChange.bind(this));\n\n // giving the parent a css height will prevent it from jumping\n // back when the network is destroyed and the network\n // container is removed.\n // fixes https://github.com/almende/vis/issues/1568\n var height = this.parentDomNode.getBoundingClientRect().height;\n this.parentDomNode.style['height'] = height + 'px';\n\n var reset = this.handleResetEvent.bind(this);\n this.networkContainer.addEventListener('reset', reset, false);\n\n // register this graph at the caretaker's graph registry\n $tm.registry.push(this);\n\n this.enhanceConfigurator();\n};\n\n/**\n * I only receive the option that has actually changed\n */\nMapConfigWidget.prototype.handleResetEvent = function (ev) {\n var change = {};\n change[ev.detail.trigger.path] = null;\n this.handleConfigChange(change);\n};\n\n/**\n * I only receive the option that has actually changed\n */\nMapConfigWidget.prototype.handleConfigChange = function (change) {\n\n var flatChanges = _utils2.default.flatten(this.changes);\n var flatChange = _utils2.default.flatten(change);\n var confPath = Object.keys(_utils2.default.flatten(change))[0];\n var isReset = flatChange[confPath] === null;\n\n if (isReset) {\n // we interpret this as delete\n\n flatChanges[confPath] = undefined;\n this.changes = _utils2.default.unflatten(flatChanges);\n } else {\n\n this.changes = _utils2.default.merge(this.changes, change);\n }\n\n // when storing edge- or node-styles we strip the root property\n var options = _utils2.default.merge({}, this.changes);\n if (this.mode === 'manage-node-types') {\n options = options['nodes'];\n }\n if (this.mode === 'manage-edge-types') {\n options = options['edges'];\n }\n\n // save changes\n _utils2.default.writeFieldData(this.pipeTRef, this.extensionTField, options, $tm.config.sys.jsonIndentation);\n\n // hack to ensure vis doesn't scroll\n var cls = 'vis-configuration-wrapper';\n var div = this.networkContainer.getElementsByClassName(cls)[0];\n div.style.height = div.getBoundingClientRect().height + 'px';\n\n if (isReset) {\n\n // we need to use a timeout here, otherwise we cause a vis bug\n // since it is in the middle of storing the value!\n window.setTimeout(this.refresh.bind(this), 0);\n } else {\n\n // add active-config indicators\n window.setTimeout(this.enhanceConfigurator.bind(this), 50);\n }\n};\n\n/**\n * enhanceConfigurator over all config items and add an indicator.\n */\nMapConfigWidget.prototype.enhanceConfigurator = function () {\n\n var cls = 'vis-configuration-wrapper';\n var elements = this.networkContainer.getElementsByClassName(cls)[0].children;\n var list = [];\n var changes = _utils2.default.flatten(this.changes);\n for (var i = 0; i < elements.length; i++) {\n if (!elements[i].classList.contains('vis-config-item')) continue;\n\n var conf = new VisConfElement(elements[i], list, i);\n list.push(conf);\n\n if (conf.level === 0) continue;\n\n conf.setActive(!!changes[conf.path]);\n }\n};\n\n/**\n *\n * @param {DOMElement} The config item element.\n * @param {Array<VisConfElement>} a list of VisConfElements of which\n * this element is also part of.\n * @param {number} the position in the list\n */\nfunction VisConfElement(el, list, pos) {\n\n var getByCls = 'getElementsByClassName';\n\n this.el = el;\n this.labelEl = el[getByCls]('vis-config-label')[0] || el[getByCls]('vis-config-header')[0] || el;\n var labelText = this.labelEl.innerText || this.labelEl.textContent;\n this.label = labelText && labelText.match(/([a-zA-Z0-9]+)/)[1];\n this.level = parseInt(el.className.match(/.*vis-config-s(.).*/)[1]) || 0;\n\n this.path = this.label;\n\n if (this.level > 0) {\n for (var i = pos; i--;) {\n var prev = list[i];\n if (prev.level < this.level) {\n this.path = prev.path + '.' + this.path;\n break;\n }\n }\n }\n}\n\nVisConfElement.prototype.setActive = function (isEnable) {\n\n if (!isEnable) return;\n\n // cannot use utils.hasKeyWithPrefix because some keys start with\n // same value as others\n var cls = 'tmap-vis-config-item-' + (isEnable ? 'active' : 'inactive');\n $tw.utils.addClass(this.el, cls);\n\n if (isEnable) {\n\n var button = document.createElement('button');\n button.innerHTML = 'reset';\n button.className = 'tmap-config-item-reset';\n\n var self = this;\n\n button.addEventListener('click', function (ev) {\n ev.currentTarget.dispatchEvent(new CustomEvent('reset', {\n detail: { trigger: self },\n bubbles: true,\n cancelable: true\n }));\n }, false);\n\n this.el.appendChild(button);\n }\n};\n\n/**\n *\n *\n */\nMapConfigWidget.prototype.getOptionFilter = function (mode) {\n\n var whitelist = {\n nodes: {\n borderWidth: true,\n borderWidthSelected: true,\n color: {\n background: true,\n border: true\n },\n font: {\n color: true,\n size: true\n },\n icon: true,\n labelHighlightBold: false,\n shadow: true,\n shape: true,\n shapeProperties: {\n borderDashes: true\n\n },\n size: true\n },\n edges: {\n arrows: true,\n color: true,\n dashes: true,\n font: true,\n labelHighlightBold: false,\n length: true,\n selfReferenceSize: false,\n shadow: true,\n smooth: true,\n width: true\n },\n interaction: {\n hideEdgesOnDrag: true,\n hideNodesOnDrag: true,\n tooltipDelay: true\n },\n layout: {\n hierarchical: false\n },\n manipulation: {\n initiallyActive: true\n },\n physics: {\n forceAtlas2Based: {\n gravitationalConstant: true,\n springLength: true,\n springConstant: true,\n damping: true,\n centralGravity: true\n }\n }\n };\n\n if (mode === 'manage-edge-types') {\n whitelist = { edges: whitelist.edges };\n } else if (mode === 'manage-node-types') {\n whitelist = { nodes: whitelist.nodes };\n } else {\n whitelist.edges.arrows = false;\n }\n\n return function (option, path) {\n\n // operate on a clone; add option as element\n path = path.concat([option]);\n\n var wlObj = whitelist;\n for (var i = 0, l = path.length; i < l; i++) {\n if (wlObj[path[i]] === true) {\n return true;\n } else if (wlObj[path[i]] == null) {\n return false;\n } // else assume object\n wlObj = wlObj[path[i]];\n }\n\n return false;\n };\n};\n\n/**\n * A zombie widget is a widget that is removed from the dom tree\n * but still referenced or still partly executed -- I mean\n * otherwise you couldn't call this function, right?\n *\n * @TODO Outsource this as interface or common super class\n */\nMapConfigWidget.prototype.isZombieWidget = function () {\n\n return !document.body.contains(this.parentDomNode);\n};\n\n/**\n * called from outside.\n *\n * @TODO Outsource this as interface or common super class\n */\nMapConfigWidget.prototype.destruct = function () {\n\n if (this.network) {\n this.network.destroy();\n }\n};\n\n/**\n * This function is called by the system to notify the widget about\n * tiddler changes.\n *\n * @override\n */\nMapConfigWidget.prototype.refresh = function (changedTiddlers) {\n\n if (this.isZombieWidget() || !this.network) return;\n\n if (!changedTiddlers || changedTiddlers[this.refreshTrigger]) {\n this.refreshSelf();\n return true;\n }\n};\n\nMapConfigWidget.prototype.setNull = function (obj) {\n\n for (var p in obj) {\n\n if (_typeof(obj[p]) == 'object') {\n\n this.setNull(obj[p]);\n } else {\n\n obj[p] = undefined;\n }\n }\n};\n\n/*** Exports *******************************************************/\n\nexports['tmap-config'] = MapConfigWidget;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/widget/ConfigurationWidget.js.map\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidgetItem": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidgetItem",
"text": "'use strict';\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _widget = require('$:/core/modules/widgets/widget.js');\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidgetItem\ntype: application/javascript\nmodule-type: widget\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\nvar EdgeListItemWidget = function (_Widget) {\n _inherits(EdgeListItemWidget, _Widget);\n\n function EdgeListItemWidget(parseTreeNode, options) {\n _classCallCheck(this, EdgeListItemWidget);\n\n var _this = _possibleConstructorReturn(this, (EdgeListItemWidget.__proto__ || Object.getPrototypeOf(EdgeListItemWidget)).call(this, parseTreeNode, options));\n\n _this.arrows = $tm.misc.arrows;\n\n return _this;\n }\n\n _createClass(EdgeListItemWidget, [{\n key: 'execute',\n value: function execute() {\n\n var item = this.parseTreeNode;\n var tRef = $tm.tracker.getTiddlerById(item.neighbour.id);\n\n // make edge properties available as variables\n var edge = _utils2.default.flatten(item.edge);\n\n for (var p in edge) {\n if (typeof edge[p] === 'string') {\n this.setVariable('edge.' + p, edge[p]);\n }\n }\n\n // Perspective: Neighbour\n this.setVariable('currentTiddler', tRef);\n this.setVariable('neighbour', tRef);\n\n var type = $tm.indeces.allETy[edge.type];\n var indexedAs = edge.to === item.neighbour.id ? 'to' : 'from';\n var arrow = indexedAs;\n\n if (type.biArrow) {\n arrow = 'bi';\n } else {\n if (indexedAs === 'to' && type.invertedArrow) {\n arrow = 'from';\n } else if (indexedAs === 'from' && type.invertedArrow) {\n arrow = 'to';\n }\n }\n\n this.setVariable('direction', arrow);\n this.setVariable('directionSymbol', arrow === 'bi' ? this.arrows.bi : arrow === 'from' ? this.arrows.in : this.arrows.out);\n\n // Construct the child widgets\n this.makeChildWidgets();\n }\n }, {\n key: 'refresh',\n value: function refresh(changedTiddlers) {\n\n return this.refreshChildren(changedTiddlers);\n }\n }]);\n\n return EdgeListItemWidget;\n}(_widget.widget);\n\n/*** Exports *******************************************************/\n\nexports['tmap-edgelistitem'] = EdgeListItemWidget;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/widget/EdgeListItemWidget.js.map\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidget": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidget",
"text": "'use strict';\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _widget = require('$:/core/modules/widgets/widget.js');\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/widget/EdgeListWidget\ntype: application/javascript\nmodule-type: widget\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\nvar EdgeListWidget = function (_Widget) {\n _inherits(EdgeListWidget, _Widget);\n\n function EdgeListWidget(parseTreeNode, options) {\n _classCallCheck(this, EdgeListWidget);\n\n return _possibleConstructorReturn(this, (EdgeListWidget.__proto__ || Object.getPrototypeOf(EdgeListWidget)).call(this, parseTreeNode, options));\n }\n\n _createClass(EdgeListWidget, [{\n key: 'render',\n value: function render(parent, nextSibling) {\n\n this.parentDomNode = parent;\n this.computeAttributes();\n this.execute();\n this.renderChildren(parent, nextSibling);\n }\n }, {\n key: 'execute',\n value: function execute() {\n\n var nodes = [this.getVariable('currentTiddler')];\n var filter = this.getAttribute('filter', '');\n var direction = this.getAttribute('direction', 'both');\n var allETy = $tm.indeces.allETy;\n var matches = _utils2.default.getEdgeTypeMatches(filter, allETy);\n\n var options = {\n typeWL: _utils2.default.getLookupTable(matches),\n direction: direction\n };\n\n // retrieve nodes and edges\n\n var _$tm$adapter$getNeigh = $tm.adapter.getNeighbours(nodes, options),\n neighbours = _$tm$adapter$getNeigh.nodes,\n edges = _$tm$adapter$getNeigh.edges;\n\n var entries = [];\n for (var id in edges) {\n\n var edge = edges[id];\n var neighbour = neighbours[edge.to] || neighbours[edge.from];\n\n if (!neighbour) {\n // obsolete edge from old times;\n continue;\n }\n\n // make item template\n entries.push({\n type: 'tmap-edgelistitem',\n edge: edge,\n typeWL: options.typeWL,\n neighbour: neighbour,\n // the children of this widget (=what is wrapped inside the\n // widget-element's body) is used as template for the list items\n children: this.parseTreeNode.children\n });\n }\n\n if (!entries.length) {\n\n this.wasEmpty = true;\n entries = this.getEmptyMessage();\n } else if (this.wasEmpty) {\n\n // we need to remove the empty message\n this.removeChildDomNodes();\n }\n\n this.makeChildWidgets(entries);\n }\n }, {\n key: 'getEmptyMessage',\n value: function getEmptyMessage() {\n\n var parser = this.wiki.parseText('text/vnd.tiddlywiki', this.getAttribute('emptyMessage', ''), { parseAsInline: true });\n\n return parser ? parser.tree : [];\n }\n }, {\n key: 'refresh',\n value: function refresh(changedTiddlers) {\n\n var changedAttributes = this.computeAttributes();\n\n if (_utils2.default.hasElements(changedAttributes)) {\n\n this.refreshSelf();\n\n return true;\n }\n\n for (var tRef in changedTiddlers) {\n if (!_utils2.default.isSystemOrDraft(tRef)) {\n\n this.refreshSelf();\n\n return true;\n }\n }\n\n // let children decide for themselves\n return this.refreshChildren(changedTiddlers);\n }\n }]);\n\n return EdgeListWidget;\n}(_widget.widget);\n\n/*** Exports *******************************************************/\n\nexports['tmap-connections'] = EdgeListWidget;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/widget/EdgeListWidget.js.map\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/felixhayashi/tiddlymap/js/widget/MapWidget": {
"title": "$:/plugins/felixhayashi/tiddlymap/js/widget/MapWidget",
"text": "'use strict';\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.tiddlymap = exports.tmap = undefined;\n\nvar _extends = Object.assign || function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; };\n\nvar _createClass = function () { function defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } } return function (Constructor, protoProps, staticProps) { if (protoProps) defineProperties(Constructor.prototype, protoProps); if (staticProps) defineProperties(Constructor, staticProps); return Constructor; }; }();\n\nvar _CallbackManager = require('$:/plugins/felixhayashi/tiddlymap/js/CallbackManager');\n\nvar _CallbackManager2 = _interopRequireDefault(_CallbackManager);\n\nvar _ViewAbstraction = require('$:/plugins/felixhayashi/tiddlymap/js/ViewAbstraction');\n\nvar _ViewAbstraction2 = _interopRequireDefault(_ViewAbstraction);\n\nvar _EdgeType = require('$:/plugins/felixhayashi/tiddlymap/js/EdgeType');\n\nvar _EdgeType2 = _interopRequireDefault(_EdgeType);\n\nvar _Popup = require('$:/plugins/felixhayashi/tiddlymap/js/Popup');\n\nvar _Popup2 = _interopRequireDefault(_Popup);\n\nvar _vis = require('$:/plugins/felixhayashi/vis/vis.js');\n\nvar _vis2 = _interopRequireDefault(_vis);\n\nvar _widget = require('$:/core/modules/widgets/widget.js');\n\nvar _utils = require('$:/plugins/felixhayashi/tiddlymap/js/utils');\n\nvar _utils2 = _interopRequireDefault(_utils);\n\nvar _SelectionRectangle = require('$:/plugins/felixhayashi/tiddlymap/js/lib/SelectionRectangle');\n\nvar _SelectionRectangle2 = _interopRequireDefault(_SelectionRectangle);\n\nvar _environment = require('$:/plugins/felixhayashi/tiddlymap/js/lib/environment');\n\nvar env = _interopRequireWildcard(_environment);\n\nfunction _interopRequireWildcard(obj) { if (obj && obj.__esModule) { return obj; } else { var newObj = {}; if (obj != null) { for (var key in obj) { if (Object.prototype.hasOwnProperty.call(obj, key)) newObj[key] = obj[key]; } } newObj.default = obj; return newObj; } }\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nfunction _toConsumableArray(arr) { if (Array.isArray(arr)) { for (var i = 0, arr2 = Array(arr.length); i < arr.length; i++) { arr2[i] = arr[i]; } return arr2; } else { return Array.from(arr); } }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _possibleConstructorReturn(self, call) { if (!self) { throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\"); } return call && (typeof call === \"object\" || typeof call === \"function\") ? call : self; }\n\nfunction _inherits(subClass, superClass) { if (typeof superClass !== \"function\" && superClass !== null) { throw new TypeError(\"Super expression must either be null or a function, not \" + typeof superClass); } subClass.prototype = Object.create(superClass && superClass.prototype, { constructor: { value: subClass, enumerable: false, writable: true, configurable: true } }); if (superClass) Object.setPrototypeOf ? Object.setPrototypeOf(subClass, superClass) : subClass.__proto__ = superClass; } // @preserve\n/*\\\n\ntitle: $:/plugins/felixhayashi/tiddlymap/js/widget/MapWidget\ntype: application/javascript\nmodule-type: widget\n\n@preserve\n\n\\*/\n\n/*** Imports *******************************************************/\n\n/*** Code **********************************************************/\n\n/**\n * The map widget is responsible for drawing the actual network\n * diagrams.\n *\n * @constructor\n */\nvar MapWidget = function (_Widget) {\n _inherits(MapWidget, _Widget);\n\n function MapWidget(parseTreeNode, options) {\n _classCallCheck(this, MapWidget);\n\n // create shortcuts for services and frequently used vars\n var _this = _possibleConstructorReturn(this, (MapWidget.__proto__ || Object.getPrototypeOf(MapWidget)).call(this, parseTreeNode, options));\n\n _this.getAttr = _this.getAttribute;\n _this.isDebug = _utils2.default.isTrue($tm.config.sys.debug, false);\n\n // force early binding of functions to this context\n _utils2.default.bindTo(_this, ['constructTooltip', 'handleResizeEvent', 'handleClickEvent', 'handleCanvasKeyup', 'handleCanvasKeydown', 'handleCanvasScroll', 'handleCanvasMouseMove', 'handleWidgetKeyup', 'handleWidgetKeydown', 'handleTriggeredRefresh', 'handleContextMenu']);\n\n // instanciate managers\n _this.callbackManager = new _CallbackManager2.default();\n\n // make the html attributes available to this widget\n _this.computeAttributes();\n _this.editorMode = _this.getAttr('editor');\n _this.clickToUse = _utils2.default.isTrue(_this.getAttr('click-to-use'), false);\n\n // who am I? the id is used for debugging and special cases\n _this.id = _this.getAttr('object-id') || _this.getStateQualifier();\n\n _this.widgetPopupsPath = $tm.path.tempPopups + '/' + _this.id;\n\n // register listeners that are available in editor mode\n if (_this.editorMode) {\n _utils2.default.addTWlisteners({\n 'tmap:tm-create-view': _this.handleCreateView,\n 'tmap:tm-rename-view': _this.handleRenameView,\n 'tmap:tm-delete-view': _this.handleDeleteView,\n 'tmap:tm-delete-element': _this.handleDeleteElement,\n 'tmap:tm-edit-view': _this.handleEditView,\n 'tmap:tm-generate-widget': _this.handleGenerateWidget,\n 'tmap:tm-toggle-central-topic': _this.handleSetCentralTopic,\n 'tmap:tm-save-canvas': _this.handleSaveCanvas\n }, _this, _this);\n }\n\n // register listeners that are available in any case\n _utils2.default.addTWlisteners({\n 'tmap:tm-focus-node': _this.handleFocusNode,\n 'tmap:tm-reset-focus': _this.repaintGraph\n }, _this, _this);\n\n // Visjs handlers\n _this.visListeners = {\n 'click': _this.handleVisSingleClickEvent,\n 'doubleClick': _this.handleVisDoubleClickEvent,\n 'stabilized': _this.handleVisStabilizedEvent,\n 'selectNode': _this.handleVisSelectNode,\n 'deselectNode': _this.handleVisDeselectNode,\n 'dragStart': _this.handleVisDragStart,\n 'dragEnd': _this.handleVisDragEnd,\n 'hoverNode': _this.handleVisHoverElement,\n 'hoverEdge': _this.handleVisHoverElement,\n 'blurNode': _this.handleVisBlurElement,\n 'blurEdge': _this.handleVisBlurElement,\n 'beforeDrawing': _this.handleVisBeforeDrawing,\n 'afterDrawing': _this.handleVisAfterDrawing,\n 'stabilizationProgress': _this.handleVisLoading,\n 'stabilizationIterationsDone': _this.handleVisLoadingDone\n };\n\n _this.windowDomListeners = {\n 'resize': [_this.handleResizeEvent, false],\n 'click': [_this.handleClickEvent, false],\n 'mousemove': [_this.handleCanvasMouseMove, true]\n };\n\n _this.canvasDomListeners = {\n 'keyup': [_this.handleCanvasKeyup, true],\n 'keydown': [_this.handleCanvasKeydown, true],\n 'mousewheel': [_this.handleCanvasScroll, true],\n 'DOMMouseScroll': [_this.handleCanvasScroll, true],\n 'contextmenu': [_this.handleContextMenu, true]\n };\n\n _this.widgetDomListeners = {\n 'keyup': [_this.handleWidgetKeyup, true],\n 'keydown': [_this.handleWidgetKeydown, true]\n };\n\n _this.conVector = { from: null, to: null };\n return _this;\n }\n\n /**\n * This handler will open a dialog that allows the user to create a\n * new relation between two edges. This includes, that the user\n * gets a chance to specify the edgetype of the connection.\n *\n * If an edge-type namespace has been declared for the entire view,\n * then add it to the `id` of the specified type…\n * - …if the type doesn't exist yet.\n * - …if the type doesn't contain a namespace already, regardless\n * whether it exists or not.\n *\n * Once the user confirmed the dialog, the edge is persisted.\n *\n * Note: this should not trigger a zoom.\n *\n * @param {Edge} edge - A javascript object that contains at least\n * the properties 'from' and 'to'\n * @param {function} [callback] - A function with the signature\n * function(isConfirmed);\n */\n\n\n _createClass(MapWidget, [{\n key: 'handleConnectionEvent',\n value: function handleConnectionEvent(edge, callback) {\n var _this2 = this;\n\n var eTyFilter = this.view.getEdgeTypeFilter();\n\n var param = {\n fromLabel: $tm.adapter.selectNodeById(edge.from).label,\n toLabel: $tm.adapter.selectNodeById(edge.to).label,\n view: this.view.getLabel(),\n eTyFilter: eTyFilter.raw\n };\n\n $tm.dialogManager.open('getEdgeType', param, function (isConfirmed, outTObj) {\n\n if (isConfirmed) {\n\n var str = _utils2.default.getText(outTObj);\n var type = _EdgeType2.default.getInstance(str);\n\n if (!type.namespace) {\n var _EdgeType$getIdParts = _EdgeType2.default.getIdParts(type.id),\n marker = _EdgeType$getIdParts.marker,\n name = _EdgeType$getIdParts.name;\n\n var namespace = _this2.view.getConfig('edge_type_namespace');\n type = _EdgeType2.default.getInstance(_EdgeType2.default.getId(marker, namespace, name));\n }\n\n // persist the type if it doesn't exist\n if (!type.exists()) {\n type.save();\n }\n\n // add type to edge\n edge.type = type.id;\n $tm.adapter.insertEdge(edge);\n\n // prevent zoom\n _this2.isPreventZoomOnNextUpdate = true;\n\n if (!_this2.view.isEdgeTypeVisible(type)) {\n\n $tm.dialogManager.open('edgeNotVisible', {\n type: type.id,\n view: _this2.view.getLabel(),\n eTyFilter: eTyFilter.pretty\n });\n }\n }\n\n if (typeof callback === 'function') {\n callback(isConfirmed);\n }\n });\n }\n\n /**\n * The first time a map is opened, we want to display a welcome message.\n * Once shown, a flag is set and the message is not displayed again.\n */\n\n }, {\n key: 'checkForFreshInstall',\n value: function checkForFreshInstall() {\n\n if (!_utils2.default.getEntry($tm.ref.sysMeta, 'showWelcomeMessage', true)) {\n return;\n }\n\n _utils2.default.setEntry($tm.ref.sysMeta, 'showWelcomeMessage', false);\n\n var args = {\n dialog: {\n preselects: {\n \"config.storyview\": \"true\",\n \"config.navigation\": \"true\",\n \"config.sidebar\": \"true\",\n \"config.demo\": \"true\"\n }\n }\n };\n\n $tm.dialogManager.open('welcome', args, function (isConfirmed, outTObj) {\n\n var config = _utils2.default.getPropertiesByPrefix(outTObj.fields, 'config.', true);\n\n if (config['storyview'] && _utils2.default.tiddlerExists('$:/plugins/felixhayashi/topstoryview')) {\n _utils2.default.setText('$:/view', 'top');\n }\n\n if (config['navigation']) {\n _utils2.default.setText('$:/config/Navigation/openLinkFromInsideRiver', 'above');\n _utils2.default.setText('$:/config/Navigation/openLinkFromOutsideRiver', 'top');\n }\n\n if (config['sidebar']) {\n _utils2.default.setText('$:/themes/tiddlywiki/vanilla/options/sidebarlayout', 'fixed-fluid');\n }\n\n if (config['demo']) {\n var view = $tm.misc.defaultViewLabel;\n\n var n1 = $tm.adapter.insertNode({ label: 'Have fun with', x: 0, y: 0 }, view);\n var n2 = $tm.adapter.insertNode({ label: 'TiddlyMap!!', x: 100, y: 100 }, view);\n\n $tm.adapter.insertEdge({ from: n1.id, to: n2.id });\n }\n\n if (Object.keys(config).length) {\n // trigger a save and reload message\n _utils2.default.touch('$:/plugins/felixhayashi/tiddlymap');\n }\n });\n }\n\n /**\n * A very basic dialog that will tell the user he/she has to make\n * a choice.\n *\n * @param {function} [callback] - A function with the signature\n * function(isConfirmed).\n * @param {string} [message] - An small optional message to display.\n */\n\n }, {\n key: 'openStandardConfirmDialog',\n value: function openStandardConfirmDialog(callback, message) {\n\n var param = { message: message };\n $tm.dialogManager.open('getConfirmation', param, callback);\n }\n\n /**\n * An extention of the default logger mechanism. It works like\n * `this.logger` but will include the object id of the widget\n * instance.\n *\n * @param {string} type - The type of the message (debug, info, warning…)\n * which is exactly the same as in `console[type]`.\n * @param {...*} message - An infinite number of arguments to be printed\n * (just like console).\n */\n\n }, {\n key: 'logger',\n value: function logger(type, message /*, more stuff*/) {\n\n if (this.isDebug) {\n\n var args = Array.prototype.slice.call(arguments, 1);\n args.unshift('@' + this.id);\n args.unshift(type);\n $tm.logger.apply(this, args);\n }\n }\n\n /**\n * Method to render this widget into the DOM.\n *\n * Note that we do not add this.domNode to the list of domNodes\n * since this widget does never remove itself during a refresh.\n *\n * @override\n */\n\n }, {\n key: 'render',\n value: function render(parent, nextSibling) {\n\n this.parentDomNode = parent;\n\n this.domNode = this.document.createElement('div');\n parent.insertBefore(this.domNode, nextSibling);\n\n // add widget classes\n this.registerClassNames(this.domNode);\n\n // get view and view holder\n this.viewHolderRef = this.getViewHolderRef();\n this.view = this.getView();\n\n // create the header div\n this.graphBarDomNode = this.document.createElement('div');\n $tw.utils.addClass(this.graphBarDomNode, 'tmap-topbar');\n this.domNode.appendChild(this.graphBarDomNode);\n\n // create body div\n this.graphDomNode = this.document.createElement('div');\n this.domNode.appendChild(this.graphDomNode);\n\n $tw.utils.addClass(this.graphDomNode, 'tmap-vis-graph');\n\n if (_utils2.default.isPreviewed(this)) {\n\n $tw.utils.addClass(this.domNode, 'tmap-static-mode');\n this.renderPreview(this.graphBarDomNode, this.graphDomNode);\n } else {\n\n // render the full widget\n this.renderFullWidget(this.domNode, this.graphBarDomNode, this.graphDomNode);\n }\n }\n\n /**\n * When the widget is only previewed we do some alternative rendering.\n */\n\n }, {\n key: 'renderPreview',\n value: function renderPreview(header, body) {\n\n var snapshotTRef = this.view.getRoot() + '/snapshot';\n var snapshotTObj = _utils2.default.getTiddler(snapshotTRef);\n\n var label = this.document.createElement('span');\n label.innerHTML = this.view.getLabel();\n label.className = 'tmap-view-label';\n header.appendChild(label);\n\n if (snapshotTObj) {\n\n // Construct child widget tree\n var placeholder = this.makeChildWidget(_utils2.default.getTranscludeNode(snapshotTRef), true);\n placeholder.renderChildren(body, null);\n } else {\n\n $tw.utils.addClass(body, 'tmap-graph-placeholder');\n }\n }\n\n /**\n * The standard way of rendering.\n * Attention: BE CAREFUL WITH THE ORDER OF FUNCTION CALLS IN THIS FUNCTION.\n */\n\n }, {\n key: 'renderFullWidget',\n value: function renderFullWidget(widget, header, body) {\n\n // add window and widget dom node listeners\n _utils2.default.setDomListeners('add', window, this.windowDomListeners);\n _utils2.default.setDomListeners('add', widget, this.widgetDomListeners);\n\n // add a loading bar\n this.addLoadingBar(this.domNode);\n\n // prepare the tooltip for graph elements\n this.tooltip = new _Popup2.default(this.domNode, {\n className: 'tmap-tooltip',\n showDelay: $tm.config.sys.popups.delay\n });\n\n // prepare the context menu\n this.contextMenu = new _Popup2.default(this.domNode, {\n className: 'tmap-context-menu',\n showDelay: 0,\n hideOnClick: true,\n leavingDelay: 999999\n });\n\n // register\n this.sidebar = _utils2.default.getFirstElementByClassName('tc-sidebar-scrollable');\n this.isInSidebar = this.sidebar && !this.domNode.isTiddlyWikiFakeDom && this.sidebar.contains(this.domNode);\n\n // *first* inject the bar\n this.rebuildEditorBar(header);\n\n // *second* initialise graph variables and render the graph\n this.initAndRenderGraph(body);\n\n // register this graph at the caretaker's graph registry\n $tm.registry.push(this);\n\n // if any refresh-triggers exist, register them\n this.reloadRefreshTriggers();\n\n // maybe display a welcome message\n this.checkForFreshInstall();\n\n if (this.id === $tm.misc.mainEditorId) {\n\n var url = $tm.url;\n if (url && url.query['tmap-enlarged']) {\n\n this.toggleEnlargedMode(url.query['tmap-enlarged']);\n //~ this.setView(url.query['tmap-view']);\n }\n }\n }\n\n /**\n * Add some classes to give the user a chance to apply some css\n * to different graph modes.\n */\n\n }, {\n key: 'registerClassNames',\n value: function registerClassNames(parent) {\n\n var addClass = $tw.utils.addClass;\n\n // add main class\n addClass(parent, 'tmap-widget');\n\n if (this.clickToUse) {\n addClass(parent, 'tmap-click-to-use');\n }\n\n if (this.getAttr('editor') === 'advanced') {\n addClass(parent, 'tmap-advanced-editor');\n }\n\n if (this.getAttr('design') === 'plain') {\n addClass(parent, 'tmap-plain-design');\n }\n\n if (!_utils2.default.isTrue(this.getAttr('show-buttons'), true)) {\n addClass(parent, 'tmap-no-buttons');\n }\n\n if (this.getAttr('class')) {\n addClass(parent, this.getAttr('class'));\n }\n }\n\n /**\n * Adds a loading bar div below the parent.\n */\n\n }, {\n key: 'addLoadingBar',\n value: function addLoadingBar(parent) {\n\n this.graphLoadingBarDomNode = this.document.createElement('progress');\n $tw.utils.addClass(this.graphLoadingBarDomNode, 'tmap-loading-bar');\n parent.appendChild(this.graphLoadingBarDomNode);\n }\n\n /**\n * The editor bar contains a bunch of widgets that allow the user\n * to manipulate the current view.\n *\n * Attention: The Editor bar needs to render *after* the graph\n * because some elements depend on the graph's nodes which are\n * calculated when the network is created.\n *\n * @see https://groups.google.com/forum/#!topic/tiddlywikidev/sJrblP4A0o4\n * @see blob/master/editions/test/tiddlers/tests/test-wikitext-parser.js\n */\n\n }, {\n key: 'rebuildEditorBar',\n value: function rebuildEditorBar() {\n\n this.removeChildDomNodes();\n\n // register dialog variables\n\n var view = this.view;\n\n var unicodeBtnClass = 'tmap-unicode-button';\n var activeUnicodeBtnClass = unicodeBtnClass + ' tmap-active-button';\n var variables = {\n widgetQualifier: this.getStateQualifier(),\n widgetTempPath: this.widgetTempPath,\n widgetPopupsPath: this.widgetPopupsPath,\n isViewBound: String(this.isViewBound()),\n viewRoot: view.getRoot(),\n viewLabel: view.getLabel(),\n viewHolder: this.getViewHolderRef(),\n edgeTypeFilter: view.edgeTypeFilterTRef,\n allEdgesFilter: $tm.selector.allEdgeTypes,\n neighScopeBtnClass: view.isEnabled('neighbourhood_scope') ? activeUnicodeBtnClass : unicodeBtnClass,\n rasterMenuBtnClass: view.isEnabled('raster') ? activeUnicodeBtnClass : unicodeBtnClass\n };\n\n for (var name in variables) {\n this.setVariable(name, variables[name]);\n }\n\n // Construct the child widget tree\n var body = _utils2.default.getTiddlerNode(view.getRoot());\n\n if (this.editorMode === 'advanced') {\n\n body.children.push(_utils2.default.getTranscludeNode($tm.ref.graphBar));\n } else {\n\n var el = _utils2.default.getElementNode('span', 'tmap-view-label', view.getLabel());\n body.children.push(el);\n }\n\n body.children.push(_utils2.default.getTranscludeNode($tm.ref.focusButton));\n\n this.makeChildWidgets([body]);\n this.renderChildren(this.graphBarDomNode, this.graphBarDomNode.firstChild);\n }\n\n /**\n * This function is called by the system to notify the widget about\n * tiddler changes. It is ignored by TiddlyMap.\n *\n * ATTENTION: TiddlyMap doesn't use the refresh mechanism here.\n * The caretaker module dispatches an `updates` object that provides\n * more advanced information, tailored to the needs of TiddlyMap.\n * These updates are picked up by {@link MapWidget#update}.\n *\n * @override\n */\n\n }, {\n key: 'refresh',\n value: function refresh(changedTiddlers) {\n\n // TiddlyMap never needs a full refresh so we return false\n return false;\n }\n\n /**\n * This function is called by the caretaker module to notify the\n * widget about tiddler changes.\n *\n * TiddlyMap is interested in the following changes:\n *\n * - Callbacks have been triggered (e.g. dialog results)\n * - A view has been switched\n * - A view has been modified (= configured)\n * - Global options have changed\n * - Node- or edge-types have changed\n * - Graph elements have changed\n * - Changes to the graph's topbar\n *\n * @override\n * @see https://groups.google.com/d/msg/tiddlywikidev/hwtX59tKsIk/EWSG9glqCnsJ\n */\n\n }, {\n key: 'update',\n value: function update(updates) {\n\n if (!this.network || this.isZombieWidget() || _utils2.default.isPreviewed(this)) {\n return;\n }\n\n var changedTiddlers = updates.changedTiddlers;\n\n // check for callback changes\n\n this.callbackManager.refresh(changedTiddlers);\n\n if (this.isViewSwitched(changedTiddlers) || this.hasChangedAttributes() // widget html code changed\n || updates[env.path.options] // global options changed\n || changedTiddlers[this.view.getRoot()] // view's main config changed\n ) {\n\n this.logger('warn', 'View switched config changed');\n\n this.isPreventZoomOnNextUpdate = false;\n this.view = this.getView(true);\n this.reloadRefreshTriggers();\n this.rebuildEditorBar();\n this.initAndRenderGraph(this.graphDomNode);\n } else {\n // view has not been switched\n\n // give the view a chance to refresh itself\n var isViewUpdated = this.view.update(updates);\n\n if (isViewUpdated) {\n\n this.logger('warn', 'View components modified');\n\n this.rebuildEditorBar();\n this.reloadBackgroundImage();\n this.rebuildGraph({ resetFocus: { delay: 1000, duration: 1000 } });\n } else {\n // neither view switch or view modification\n\n if (updates[env.path.nodeTypes] || this.hasChangedElements(changedTiddlers)) {\n this.rebuildGraph();\n }\n\n // give children a chance to update themselves\n this.refreshChildren(changedTiddlers);\n }\n }\n }\n }, {\n key: 'hidePopups',\n value: function hidePopups(delay, isForce) {\n\n this.tooltip.hide(delay, isForce);\n this.contextMenu.hide(0, true);\n }\n\n /**\n * Refresh-triggers are tiddlers whose mere occurrence in the\n * changedTiddlers list forces tiddlymap to reassert\n * whether a filter expression returns the same set of matches as it\n * is currently displayed in the graph.\n *\n * The raison d'etre for refresh-triggers is that a filter may contain\n * implicit text-references or variables that may require a filter to be\n * reasserted even though, the filter expression itself did not change.\n *\n * For example a filter `[field:title{$:/HistoryList!!current-tiddler}]`\n * requires a `$:/HistoryList` refresh trigger to be added to the view so\n * everytime the `$:/HistoryList` tiddler changes, the filter gets\n * reasserted.\n */\n\n }, {\n key: 'reloadRefreshTriggers',\n value: function reloadRefreshTriggers() {\n\n // remove old triggers (if there are any)\n this.callbackManager.remove(this.refreshTriggers);\n\n // load new trigger list either from attribute or view config\n var str = this.getAttr('refresh-triggers') || this.view.getConfig('refresh-triggers');\n this.refreshTriggers = $tw.utils.parseStringArray(str) || [];\n\n this.logger('debug', 'Registering refresh trigger', this.refreshTriggers);\n\n // TODO: not nice, if more than one trigger changed it\n // will cause multiple reassertments\n for (var i = this.refreshTriggers.length; i--;) {\n this.callbackManager.add(this.refreshTriggers[i], this.handleTriggeredRefresh, false);\n }\n }\n\n /**\n * Calling this method will cause the graph to be rebuild, which means\n * the graph data is refreshed. A rebuild of the graph will always\n * cause the network to stabilize again.\n *\n * @param {Hashmap} [resetFocus=null] - If not false or null,\n * this object requires two properties to be set: `delay` (the\n * time to wait before starting the fit), `duration` (the length\n * of the fit animation).\n */\n\n }, {\n key: 'rebuildGraph',\n value: function rebuildGraph() {\n var _ref = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n resetFocus = _ref.resetFocus;\n\n if (_utils2.default.isPreviewed(this)) {\n\n return;\n }\n\n this.logger('debug', 'Rebuilding graph');\n\n this.hidePopups(0, true);\n\n // always reset to allow handling of stabilized-event!\n this.hasNetworkStabilized = false;\n\n var changes = this.rebuildGraphData();\n\n if (changes.changedNodes.withoutPosition.length) {\n\n // force resetFocus\n resetFocus = resetFocus || { delay: 1000, duration: 1000 };\n\n if (!this.view.isEnabled('physics_mode')) {\n\n // in static mode we need to ensure that objects spawn\n // near center so we need to set physics from\n // zero to something. Yes, we override the users\n // central gravity value… who cares about central\n // gravity in static mode anyways.\n var physics = this.visOptions.physics;\n physics[physics.solver].centralGravity = 0.25;\n this.network.setOptions(this.visOptions);\n }\n }\n\n if (!_utils2.default.hasElements(this.graphData.nodesById)) {\n return;\n }\n\n if (resetFocus) {\n\n if (!this.isPreventZoomOnNextUpdate) {\n\n // see https://github.com/almende/vis/issues/987#issuecomment-113226216\n // see https://github.com/almende/vis/issues/939\n this.network.stabilize();\n this.resetFocus = resetFocus;\n }\n\n this.isPreventZoomOnNextUpdate = false;\n }\n }\n\n /**\n * WARNING: Do not change this functionname as it is used by the\n * caretaker's routinely checkups.\n */\n\n }, {\n key: 'getContainer',\n value: function getContainer() {\n\n return this.domNode;\n }\n\n /**\n *\n */\n\n }, {\n key: 'rebuildGraphData',\n value: function rebuildGraphData() {\n\n $tm.start('Reloading Network');\n\n var graph = $tm.adapter.getGraph({ view: this.view });\n\n var changedNodes = _utils2.default.refreshDataSet(this.graphData.nodes, // dataset\n graph.nodes // new nodes\n );\n\n var changedEdges = _utils2.default.refreshDataSet(this.graphData.edges, // dataset\n graph.edges // new edges\n );\n\n // create lookup tables\n\n this.graphData.nodesById = graph.nodes;\n this.graphData.edgesById = graph.edges;\n\n // TODO: that's a performance killer. this should be loaded when\n // the search is actually used!\n // update: Careful when refactoring, some modules are using this…\n _utils2.default.setField('$:/temp/tmap/nodes/' + this.view.getLabel(), 'list', $tm.adapter.getTiddlersByIds(graph.nodes));\n\n $tm.stop('Reloading Network');\n\n return { changedEdges: changedEdges, changedNodes: changedNodes };\n }\n }, {\n key: 'isViewBound',\n value: function isViewBound() {\n\n return _utils2.default.startsWith(this.getViewHolderRef(), $tm.path.localHolders);\n }\n\n /**\n * A view is switched, if the holder was changed.\n * Also if a view suddenly doesn't exist anymore we consider this\n * a trigger for a view change.\n */\n\n }, {\n key: 'isViewSwitched',\n value: function isViewSwitched(changedTiddlers) {\n\n return !_ViewAbstraction2.default.exists(this.view) || changedTiddlers[this.getViewHolderRef()];\n }\n\n /**\n * A view is switched, if the holder was changed.\n */\n\n }, {\n key: 'hasChangedAttributes',\n value: function hasChangedAttributes() {\n\n return Object.keys(this.computeAttributes()).length;\n }\n\n /**\n * Rebuild or update the graph if one of the following is true:\n *\n * 1. A tiddler currently contained as node in the graph has been\n * deleted or modified. This also includes tiddlers that are\n * represented as neighbours in the graph.\n * 2. The neighbourhood is shown and a non-system tiddler has changed.\n * 3. A tiddler that matches the node filter has been modified\n * (not deleted).\n *\n * Since edges are stored in tiddlers themselves, any edge modification\n * is always accounted for as in this case the tiddler holding the\n * edge would be included as changed tiddler.\n *\n * @param {Hashmap<TiddlerReference, *>} changedTiddlers - A list of\n * tiddler changes.\n *\n * @return {boolean} true if the graph needs a refresh.\n */\n\n }, {\n key: 'hasChangedElements',\n value: function hasChangedElements(changedTiddlers) {\n\n var maybeMatches = [];\n var inGraph = this.graphData.nodesById;\n var isShowNeighbourhood = this.view.isEnabled('neighbourhood_scope');\n\n for (var tRef in changedTiddlers) {\n\n if (_utils2.default.isSystemOrDraft(tRef)) {\n\n continue;\n }\n\n if (inGraph[$tm.adapter.getId(tRef)] || isShowNeighbourhood) {\n\n return true;\n }\n\n if (changedTiddlers[tRef].modified) {\n // may be a match so we store this and process it later\n maybeMatches.push(tRef);\n }\n }\n\n if (maybeMatches.length) {\n\n var nodeFilter = this.view.getNodeFilter('compiled');\n var matches = _utils2.default.getMatches(nodeFilter, maybeMatches);\n\n return !!matches.length;\n }\n }\n\n /**\n * Rebuild the graph\n *\n * @see http://visjs.org/docs/network.html\n * @see http://visjs.org/docs/dataset.html\n */\n\n }, {\n key: 'initAndRenderGraph',\n value: function initAndRenderGraph(parent) {\n var _this3 = this;\n\n // make sure to destroy any previous instance\n if (this.network) {\n this._destructVis();\n }\n\n this.logger('info', 'Initializing and rendering the graph');\n\n if (!this.isInSidebar) {\n this.callbackManager.add('$:/state/sidebar', this.handleResizeEvent);\n }\n\n this.visOptions = this.getVisOptions();\n\n this.graphData = {\n nodes: new _vis2.default.DataSet(),\n edges: new _vis2.default.DataSet(),\n nodesById: _utils2.default.makeHashMap(),\n edgesById: _utils2.default.makeHashMap()\n };\n\n this.tooltip.setEnabled(_utils2.default.isTrue($tm.config.sys.popups.enabled, true));\n\n this.network = new _vis2.default.Network(parent, this.graphData, this.visOptions);\n // after vis.Network has been instantiated, we fetch a reference to\n // the canvas element\n this.canvas = parent.getElementsByTagName('canvas')[0];\n this.networkDomNode = _utils2.default.getFirstElementByClassName('vis-network', parent, true);\n // just to be sure\n this.canvas.tabIndex = 0;\n\n for (var event in this.visListeners) {\n this.network.on(event, this.visListeners[event].bind(this));\n }\n\n this.addGraphButtons({\n 'fullscreen-button': function fullscreenButton() {\n _this3.toggleEnlargedMode('fullscreen');\n },\n 'halfscreen-button': function halfscreenButton() {\n _this3.toggleEnlargedMode('halfscreen');\n }\n });\n\n _utils2.default.setDomListeners('add', this.canvas, this.canvasDomListeners);\n\n this.reloadBackgroundImage();\n this.rebuildGraph({\n resetFocus: { delay: 0, duration: 0 }\n });\n this.handleResizeEvent();\n this.canvas.focus();\n }\n }, {\n key: 'handleCanvasKeyup',\n value: function handleCanvasKeyup(ev) {\n var _this4 = this;\n\n var nodeIds = this.network.getSelectedNodes();\n\n // this.isCtrlKeyDown = ev.ctrlKey;\n\n if (ev.ctrlKey) {\n // ctrl key is hold down\n ev.preventDefault();\n\n if (ev.keyCode === 88) {\n // x\n if (this.editorMode) {\n this.handleAddNodesToClipboard('move');\n } else {\n $tm.notify('Map is read only!');\n }\n } else if (ev.keyCode === 67) {\n // c\n this.handleAddNodesToClipboard('copy');\n } else if (ev.keyCode === 86) {\n // v\n this.handlePasteNodesFromClipboard();\n } else if (ev.keyCode === 65) {\n // a\n var allNodes = Object.keys(this.graphData.nodesById);\n this.network.selectNodes(allNodes);\n } else if (ev.keyCode === 49 || ev.keyCode === 50) {\n // 1 || 2\n if (nodeIds.length !== 1) return;\n\n var role = ev.keyCode === 49 ? 'from' : 'to';\n $tm.notify(_utils2.default.ucFirst(role) + '-part selected');\n\n this.conVector[role] = nodeIds[0];\n if (this.conVector.from && this.conVector.to) {\n // create the edge\n this.handleConnectionEvent(this.conVector, function () {\n // reset both properties, regardless whether confirmed\n _this4.conVector = { from: null, to: null };\n });\n }\n }\n } else {\n // ctrl is not pressed\n\n if (ev.keyCode === 13) {\n // ENTER\n\n if (nodeIds.length !== 1) return;\n\n this.openTiddlerWithId(nodeIds[0]);\n }\n }\n }\n }, {\n key: 'handleCanvasKeydown',\n value: function handleCanvasKeydown(ev) {\n\n if (ev.keyCode === 46) {\n // delete\n ev.preventDefault();\n this.handleRemoveElements(this.network.getSelection());\n }\n }\n }, {\n key: 'handleDeleteElement',\n value: function handleDeleteElement(ev) {\n\n var id = ev.paramObject.id;\n var elements = id ? [id] : this.network.getSelectedNodes();\n\n this.handleRemoveElements({ nodes: elements });\n }\n\n /**\n *\n * @param ev\n */\n\n }, {\n key: 'handleCanvasMouseMove',\n value: function handleCanvasMouseMove(ev) {\n var network = this.network;\n\n\n if (!(ev.ctrlKey && ev.buttons)) {\n\n if (this.selectRect) {\n this.selectRect = null;\n var _selectedNodes = network.getSelectedNodes();\n $tm.notify(_selectedNodes.length + ' nodes selected');\n network.redraw();\n }\n\n return;\n }\n\n // prevent vis' network drag if ctrl key and mouse button is pressed\n ev.preventDefault();\n ev.stopPropagation();\n\n if (!this.domNode.contains(ev.target)) {\n // since we are using a global mouse listener, we need to check whether\n // we are actually inside our widget, so we stop updating the selectRect\n return;\n }\n\n var mouse = network.DOMtoCanvas({ x: ev.offsetX, y: ev.offsetY });\n\n if (!this.selectRect) {\n this.selectRect = new _SelectionRectangle2.default(mouse.x, mouse.y);\n }\n\n // register new coordinates\n this.selectRect.span(mouse.x, mouse.y);\n // retrieve current mouse positions\n var nodePositions = network.getPositions();\n // we include previously selected nodes in the new set\n var selectedNodes = network.getSelectedNodes();\n\n for (var id in nodePositions) {\n\n if (this.selectRect.isPointWithin(nodePositions[id]) && !_utils2.default.inArray(id, selectedNodes)) {\n selectedNodes.push(id);\n }\n }\n\n network.selectNodes(selectedNodes);\n this.assignActiveStyle(selectedNodes);\n\n network.redraw();\n }\n\n //https://github.com/almende/vis/blob/111c9984bc4c1870d42ca96b45d90c13cb92fe0a/lib/network/modules/InteractionHandler.js\n\n }, {\n key: 'handleCanvasScroll',\n value: function handleCanvasScroll(ev) {\n\n var isZoomAllowed = !!(this.isInSidebar || // e.g. the map editor in the sidebar\n ev.ctrlKey || this.enlargedMode || this.clickToUse && this.networkDomNode.classList.contains('vis-active'));\n\n var interaction = this.visOptions.interaction;\n\n var isVisSettingInSync = isZoomAllowed === interaction.zoomView;\n\n if (isZoomAllowed || !isVisSettingInSync) {\n ev.preventDefault();\n }\n\n if (!isVisSettingInSync) {\n // prevent visjs from reacting to this event as we first need to sync states\n ev.stopPropagation();\n\n interaction.zoomView = isZoomAllowed;\n this.network.setOptions({ interaction: { zoomView: isZoomAllowed } });\n\n return false;\n }\n }\n\n /**\n * Called when the user click on the canvas with the right\n * mouse button. A context menu is opened.\n */\n\n }, {\n key: 'handleContextMenu',\n value: function handleContextMenu(ev) {\n var _this5 = this;\n\n ev.preventDefault();\n\n var network = this.network;\n\n\n this.hidePopups(0, true);\n\n var nodeId = network.getNodeAt({ x: ev.offsetX, y: ev.offsetY });\n if (!nodeId) return;\n\n // ids of selected nodes\n var selectedNodes = network.getSelectedNodes();\n\n if (!_utils2.default.inArray(nodeId, selectedNodes)) {\n // unselect other nodes and select this one instead…\n selectedNodes = [nodeId];\n network.selectNodes(selectedNodes);\n }\n\n this.contextMenu.show(selectedNodes, function (selectedNodes, div) {\n\n var mode = selectedNodes.length > 1 ? 'multi' : 'single';\n var tRef = '$:/plugins/felixhayashi/tiddlymap/editor/contextMenu/node';\n\n _utils2.default.registerTransclude(_this5, 'contextMenuWidget', tRef);\n _this5.contextMenuWidget.setVariable('mode', mode);\n _this5.contextMenuWidget.render(div);\n });\n }\n }, {\n key: 'handleWidgetKeyup',\n value: function handleWidgetKeyup(ev) {}\n }, {\n key: 'handleWidgetKeydown',\n value: function handleWidgetKeydown(ev) {\n\n if (ev.ctrlKey) {\n // ctrl key is hold down\n ev.preventDefault();\n\n if (ev.keyCode === 70) {\n // f\n ev.preventDefault();\n\n var focusButtonStateTRef = this.widgetPopupsPath + '/focus';\n _utils2.default.setText(focusButtonStateTRef, _utils2.default.getText(focusButtonStateTRef) ? '' : '1');\n\n // note: it is ok to focus the graph right after this,\n // if the focus button is activated it will steal the focus anyway\n } else {\n\n return;\n }\n } else if (ev.keyCode === 120) {\n // F9\n ev.preventDefault();\n this.toggleEnlargedMode('halfscreen');\n } else if (ev.keyCode === 121) {\n // F10\n ev.preventDefault();\n this.toggleEnlargedMode('fullscreen');\n } else if (ev.keyCode === 27) {\n // ESC\n ev.preventDefault();\n\n _utils2.default.deleteByPrefix(this.widgetPopupsPath);\n } else {\n return;\n }\n\n this.canvas.focus();\n }\n }, {\n key: 'handlePasteNodesFromClipboard',\n value: function handlePasteNodesFromClipboard() {\n\n if (!this.editorMode) {\n $tm.notify('Map is read only!');\n return;\n }\n\n if (!$tm.clipBoard || $tm.clipBoard.type !== 'nodes') {\n $tm.notify('TiddlyMap clipboad is empty!');\n }\n\n var nodes = $tm.clipBoard.nodes;\n var ids = Object.keys(nodes);\n\n for (var i = ids.length; i--;) {\n\n var id = ids[i];\n\n if (this.graphData.nodesById[id]) {\n // node already present in this view\n continue;\n }\n\n this.view.addNode(nodes[id]);\n\n // paste nodes so we can select them!\n this.graphData.nodes.update({ id: id });\n }\n\n this.network.selectNodes(ids);\n\n this.rebuildGraph({ resetFocus: { delay: 0, duration: 0 } });\n\n $tm.notify('pasted ' + ids.length + ' nodes into map.');\n }\n }, {\n key: 'handleAddNodesToClipboard',\n value: function handleAddNodesToClipboard(mode) {\n\n var nodeIds = this.network.getSelectedNodes();\n\n if (!nodeIds.length) {\n return;\n }\n\n $tm.clipBoard = {\n type: 'nodes',\n nodes: this.graphData.nodes.get(nodeIds, { returnType: 'Object' })\n };\n\n $tm.notify('Copied ' + nodeIds.length + ' nodes to clipboard');\n\n if (mode === 'move') {\n for (var i = nodeIds.length; i--;) {\n this.view.removeNode(nodeIds[i]);\n }\n }\n\n // prevent zoom\n this.isPreventZoomOnNextUpdate = true;\n }\n\n /**\n * @todo Instead of redrawing the whole graph when an edge or node is\n * added it may be worth considering only getting the element from the\n * adapter and directly inserting it into the graph and *avoid* a\n * reload of the graph via `rebuildGraph`!\n *\n * @todo: too much recomputation -> outsource\n */\n\n }, {\n key: 'getVisOptions',\n value: function getVisOptions() {\n var _this6 = this;\n\n // merge options\n var globalOptions = $tm.config.vis;\n var localOptions = _utils2.default.parseJSON(this.view.getConfig('vis'));\n var options = _utils2.default.merge({}, globalOptions, localOptions);\n\n options.clickToUse = this.clickToUse;\n options.manipulation.enabled = !!this.editorMode;\n\n options.manipulation.deleteNode = function (data, callback) {\n _this6.handleRemoveElements(data);\n _this6.resetVisManipulationBar(callback);\n };\n\n options.manipulation.deleteEdge = function (data, callback) {\n _this6.handleRemoveElements(data);\n _this6.resetVisManipulationBar(callback);\n };\n\n options.manipulation.addEdge = function (data, callback) {\n _this6.handleConnectionEvent(data);\n _this6.resetVisManipulationBar(callback);\n };\n\n options.manipulation.addNode = function (data, callback) {\n _this6.handleInsertNode(data);\n _this6.resetVisManipulationBar(callback);\n };\n\n options.manipulation.editNode = function (data, callback) {\n _this6.handleEditNode(data);\n _this6.resetVisManipulationBar(callback);\n };\n\n options.interaction.zoomView = !!(this.isInSidebar || this.enlargedMode);\n\n // not allowed\n options.manipulation.editEdge = false;\n\n // make sure the actual solver is an object\n var physics = options.physics;\n physics[physics.solver] = physics[physics.solver] || {};\n\n physics.stabilization.iterations = 1000;\n\n this.logger('debug', 'Loaded graph options', options);\n\n return options;\n }\n }, {\n key: 'resetVisManipulationBar',\n value: function resetVisManipulationBar(visCallback) {\n\n if (visCallback) {\n visCallback(null);\n }\n\n this.network.disableEditMode();\n this.network.enableEditMode();\n }\n }, {\n key: 'isVisInEditMode',\n value: function isVisInEditMode() {\n\n return this.graphDomNode.getElementsByClassName('vis-button vis-back').length > 0;\n }\n\n /**\n * Create an empty view. A dialog is opened that asks the user how to\n * name the view. The view is then registered as current view.\n */\n\n }, {\n key: 'handleCreateView',\n value: function handleCreateView() {\n var _this7 = this;\n\n var args = {\n view: this.view.getLabel()\n };\n\n $tm.dialogManager.open('createView', args, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) return;\n\n var label = _utils2.default.getField(outTObj, 'name');\n var isClone = _utils2.default.getField(outTObj, 'clone', false);\n\n if (_ViewAbstraction2.default.exists(label)) {\n\n $tm.notify('Forbidden! View already exists!');\n\n return;\n }\n\n if (isClone && _this7.view.isLiveView()) {\n $tm.notify('Forbidden to clone the live view!');\n return;\n }\n\n var newView = new _ViewAbstraction2.default(label, {\n isCreate: true,\n protoView: isClone ? _this7.view : null\n });\n\n _this7.setView(newView);\n });\n }\n }, {\n key: 'handleRenameView',\n value: function handleRenameView() {\n var _this8 = this;\n\n if (this.view.isLocked()) {\n\n $tm.notify('Forbidden!');\n return;\n }\n\n var references = this.view.getOccurrences();\n\n var args = {\n count: references.length.toString(),\n refFilter: _utils2.default.joinAndWrap(references, '[[', ']]')\n };\n\n $tm.dialogManager.open('renameView', args, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) {\n return;\n }\n\n var label = _utils2.default.getText(outTObj);\n\n if (!label) {\n\n $tm.notify('Invalid name!');\n } else if (_ViewAbstraction2.default.exists(label)) {\n\n $tm.notify('Forbidden! View already exists!');\n } else {\n\n _this8.view.rename(label);\n _this8.setView(_this8.view);\n }\n });\n }\n }, {\n key: 'handleEditView',\n value: function handleEditView() {\n var _this9 = this;\n\n var visInherited = JSON.stringify($tm.config.vis);\n var data = this.graphData;\n\n var viewConfig = this.view.getConfig();\n\n var preselects = {\n 'filter.prettyNodeFltr': this.view.getNodeFilter('pretty'),\n 'filter.prettyEdgeFltr': this.view.getEdgeTypeFilter('pretty'),\n 'vis-inherited': visInherited\n };\n\n var args = {\n view: this.view.getLabel(),\n createdOn: this.view.getCreationDate(true),\n numberOfNodes: Object.keys(data.nodesById).length.toString(),\n numberOfEdges: Object.keys(data.edgesById).length.toString(),\n dialog: {\n preselects: $tw.utils.extend({}, viewConfig, preselects)\n }\n };\n\n $tm.dialogManager.open('configureView', args, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) {\n return;\n }\n\n var config = _utils2.default.getPropertiesByPrefix(outTObj.fields, 'config.', true);\n\n // ATTENTION: needs to be tested before applying new config!\n var prvBg = _this9.view.getConfig('background_image');\n\n _this9.view.setConfig(config);\n if (config['physics_mode'] && !_this9.view.isEnabled('physics_mode')) {\n // when not in physics mode, store positions\n // to prevent floating afterwards\n _this9.view.saveNodePositions(_this9.network.getPositions());\n }\n\n var curBg = _this9.view.getConfig('background_image');\n if (curBg && curBg !== prvBg) {\n $tm.notify('Background changed! You may need to zoom out a bit.');\n }\n\n var nf = _utils2.default.getField(outTObj, 'filter.prettyNodeFltr', '');\n var eTf = _utils2.default.getField(outTObj, 'filter.prettyEdgeFltr', '');\n\n _this9.view.setNodeFilter(nf);\n _this9.view.setEdgeTypeFilter(eTf);\n });\n }\n\n /**\n * Triggers a download dialog where the user can store the canvas\n * as png on his/her harddrive.\n */\n\n }, {\n key: 'handleSaveCanvas',\n value: function handleSaveCanvas() {\n var _this10 = this;\n\n var tempImagePath = '$:/temp/tmap/snapshot';\n this.createAndSaveSnapshot(tempImagePath);\n var defaultName = _utils2.default.getSnapshotTitle(this.view.getLabel(), 'png');\n\n var args = {\n dialog: {\n snapshot: tempImagePath,\n width: this.canvas.width.toString(),\n height: this.canvas.height.toString(),\n preselects: {\n name: defaultName,\n action: 'download'\n }\n }\n };\n\n $tm.dialogManager.open('saveCanvas', args, function (isConfirmed, outTObj) {\n if (!isConfirmed) return;\n\n // allow the user to override the default name or if name is\n // empty use the original default name\n defaultName = outTObj.fields.name || defaultName;\n\n var action = outTObj.fields.action;\n\n if (action === 'download') {\n _this10.handleDownloadSnapshot(defaultName);\n } else if (action === 'wiki') {\n _utils2.default.cp(tempImagePath, defaultName, true);\n _this10.dispatchEvent({\n type: 'tm-navigate', navigateTo: defaultName\n });\n } else if (action === 'placeholder') {\n _this10.view.addPlaceholder(tempImagePath);\n }\n\n // in any case\n $tw.wiki.deleteTiddler('$:/temp/tmap/snapshot');\n });\n }\n }, {\n key: 'handleDownloadSnapshot',\n value: function handleDownloadSnapshot(title) {\n\n var a = this.document.createElement('a');\n var label = this.view.getLabel();\n a.download = title || _utils2.default.getSnapshotTitle(label, 'png');\n a.href = this.getSnapshot();\n\n // we cannot simply call click() on <a>; chrome is cool with it but\n // firefox requires us to create a mouse event…\n var event = new MouseEvent('click');\n a.dispatchEvent(event);\n }\n }, {\n key: 'createAndSaveSnapshot',\n value: function createAndSaveSnapshot(title) {\n\n var tRef = title || this.view.getRoot() + '/snapshot';\n $tw.wiki.addTiddler(new $tw.Tiddler({\n title: tRef,\n type: 'image/png',\n text: this.getSnapshot(true),\n modified: new Date()\n }));\n\n return tRef;\n }\n }, {\n key: 'getSnapshot',\n value: function getSnapshot(stripPreamble) {\n\n var data = this.canvas.toDataURL('image/png');\n\n return stripPreamble ? _utils2.default.getWithoutPrefix(data, 'data:image/png;base64,') : data;\n }\n }, {\n key: 'handleDeleteView',\n value: function handleDeleteView() {\n var _this11 = this;\n\n var viewname = this.view.getLabel();\n\n if (this.view.isLocked()) {\n\n $tm.notify('Forbidden!');\n return;\n }\n\n // regex is non-greedy\n\n var references = this.view.getOccurrences();\n if (references.length) {\n\n var fields = {\n count: references.length.toString(),\n refFilter: _utils2.default.joinAndWrap(references, '[[', ']]')\n };\n\n $tm.dialogManager.open('cannotDeleteViewDialog', fields);\n\n return;\n }\n\n var message = '\\n You are about to delete the view \\'\\'' + viewname + '\\'\\'\\n (no tiddler currently references this view).\\n ';\n\n this.openStandardConfirmDialog(function (isConfirmed) {\n // TODO: this dialog needs an update\n\n if (!isConfirmed) {\n return;\n }\n\n _this11.view.destroy();\n _this11.setView($tm.misc.defaultViewLabel);\n var msg = 'view \"' + viewname + '\\' deleted';\n _this11.logger('debug', msg);\n $tm.notify(msg);\n }, message);\n }\n\n /**\n * This will rebuild the graph after a trigger has been activated.\n *\n * Prior to TiddlyMap v0.9, an additional check was performed\n * to verify, if the graph had actually changed before rebuilding\n * the graph. This check, however, was an overkill and as such removed.\n */\n\n }, {\n key: 'handleTriggeredRefresh',\n value: function handleTriggeredRefresh(trigger) {\n\n this.logger('log', trigger, 'Triggered a refresh');\n\n // special case for the live tab\n if (this.id === 'live_tab') {\n var curTiddler = _utils2.default.getTiddler(_utils2.default.getText(trigger));\n if (curTiddler) {\n var view = curTiddler.fields['tmap.open-view'] || $tm.config.sys.liveTab.fallbackView;\n if (view && view !== this.view.getLabel()) {\n this.setView(view);\n return;\n }\n }\n }\n\n this.rebuildGraph({\n resetFocus: {\n delay: 1000,\n duration: 1000\n }\n });\n }\n\n /**\n * Called by vis when the user tries to delete nodes or edges.\n * The action is delegated to subhandlers.\n *\n * @param {Array<Id>} nodes - Removed edges.\n * @param {Array<Id>} edges - Removed nodes.\n */\n\n }, {\n key: 'handleRemoveElements',\n value: function handleRemoveElements(_ref2) {\n var nodes = _ref2.nodes,\n edges = _ref2.edges;\n\n\n if (nodes.length) {\n // the adapter also removes edges when nodes are removed.\n this.handleRemoveNodes(nodes);\n } else if (edges.length) {\n this.handleRemoveEdges(edges);\n }\n\n this.resetVisManipulationBar();\n }\n }, {\n key: 'handleRemoveEdges',\n value: function handleRemoveEdges(edgeIds) {\n\n $tm.adapter.deleteEdges(this.graphData.edges.get(edgeIds));\n $tm.notify('edge' + (edgeIds.length > 1 ? 's' : '') + ' removed');\n }\n\n /**\n * Handler that guides the user through the process of deleting a node\n * from the graph. The nodes may be removed from the filter (if possible)\n * or from the system.\n *\n * Note: this should not trigger a zoom.\n */\n\n }, {\n key: 'handleRemoveNodes',\n value: function handleRemoveNodes(nodeIds) {\n var _this12 = this;\n\n var tiddlers = $tm.adapter.getTiddlersByIds(nodeIds);\n var params = {\n 'count': nodeIds.length.toString(),\n 'tiddlers': $tw.utils.stringifyList(tiddlers),\n dialog: {\n preselects: {\n 'delete-from': 'filter'\n }\n }\n };\n\n $tm.dialogManager.open('deleteNodeDialog', params, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) return;\n\n var deletionCount = 0;\n\n for (var i = nodeIds.length; i--;) {\n var success = _this12.view.removeNode(nodeIds[i]);\n if (success) {\n deletionCount++;\n }\n }\n\n if (outTObj.fields['delete-from'] === 'system') {\n\n // will also delete edges\n $tm.adapter.deleteNodes(nodeIds);\n deletionCount = nodeIds.length; // we just say so ;)\n }\n\n // prevent zoom\n _this12.isPreventZoomOnNextUpdate = true;\n\n $tm.notify('\\n Removed ' + deletionCount + '\\n of ' + nodeIds.length + '\\n from ' + outTObj.fields['delete-from'] + '\\n ');\n });\n }\n\n /**\n * Calling this function will toggle the enlargement of the map\n * instance. Markers need to be added at various places to ensure the\n * map stretches properly. This includes marking ancestor dom nodes\n * to be able to shift the stacking context.\n *\n * @param {string} type - either 'halfscreen' or 'fullscreen'.\n */\n\n }, {\n key: 'toggleEnlargedMode',\n value: function toggleEnlargedMode(type) {\n\n if (!this.isInSidebar && type === 'halfscreen') {\n return;\n }\n\n this.logger('log', 'Toggled graph enlargement');\n\n var enlargedMode = this.enlargedMode;\n\n // in any case, exit enlarged mode if active\n if (enlargedMode) {\n\n // reset click to use\n this.network.setOptions({ clickToUse: this.clickToUse });\n\n // remove markers\n _utils2.default.findAndRemoveClassNames(['tmap-has-' + enlargedMode + '-widget', 'tmap-' + enlargedMode]);\n\n // reset flag\n this.enlargedMode = null;\n document.body.scrollTop = this.scrollTop;\n }\n\n if (!enlargedMode || enlargedMode !== type && (type === 'fullscreen' || type === 'halfscreen' && !this.isInSidebar)) {\n\n this.scrollTop = document.body.scrollTop;\n\n this.enlargedMode = type;\n\n var pContainer = this.isInSidebar ? this.sidebar : _utils2.default.getFirstElementByClassName('tc-story-river');\n\n $tw.utils.addClass(this.document.body, 'tmap-has-' + type + '-widget');\n $tw.utils.addClass(pContainer, 'tmap-has-' + type + '-widget');\n $tw.utils.addClass(this.domNode, 'tmap-' + type);\n\n // disable click to use by force\n this.network.setOptions({ clickToUse: false });\n\n $tm.notify('Toggled ' + type + ' mode');\n }\n\n // always do resize\n this.handleResizeEvent();\n }\n }, {\n key: 'handleGenerateWidget',\n value: function handleGenerateWidget(event) {\n\n $tw.rootWidget.dispatchEvent({\n type: 'tmap:tm-generate-widget',\n paramObject: { view: this.view.getLabel() }\n });\n }\n }, {\n key: 'handleSetCentralTopic',\n value: function handleSetCentralTopic(_ref3) {\n var paramObject = _ref3.paramObject;\n\n\n var nodeId = paramObject.id || this.network.getSelectedNodes()[0];\n\n if (nodeId === this.view.getConfig('central-topic')) {\n nodeId = '';\n }\n\n this.view.setCentralTopic(nodeId);\n }\n\n /**\n * Called by vis when the graph has stabilized itself.\n *\n * ATTENTION: never store positions in a view's map during stabilize\n * as this will affect other graphs positions and will cause recursion!\n * Storing positions inside vis' nodes is fine though\n */\n\n }, {\n key: 'handleVisStabilizedEvent',\n value: function handleVisStabilizedEvent(properties) {\n\n if (this.hasNetworkStabilized) {\n return;\n }\n\n this.hasNetworkStabilized = true;\n this.logger('log', 'Network stabilized after', properties.iterations, 'iterations');\n\n if (!this.view.isEnabled('physics_mode')) {\n // static mode\n\n // store positions if new nodes without position were added\n var nodes = this.graphData.nodesById;\n var idsOfNodesWithoutPosition = [];\n\n for (var id in nodes) {\n if (nodes[id].x === undefined) {\n idsOfNodesWithoutPosition.push(id);\n }\n }\n\n if (idsOfNodesWithoutPosition.length) {\n this.setNodesMoveable(idsOfNodesWithoutPosition, false);\n $tm.notify(idsOfNodesWithoutPosition.length + ' nodes were added to the graph');\n }\n\n // after storing positions, set gravity to zero again\n var physics = this.visOptions.physics;\n physics[physics.solver].centralGravity = 0;\n this.network.setOptions(this.visOptions);\n }\n\n if (this.resetFocus) {\n this.fitGraph(this.resetFocus.delay, this.resetFocus.duration);\n this.resetFocus = null;\n }\n }\n\n /**\n * Zooms on a specific node in the graph\n */\n\n }, {\n key: 'handleFocusNode',\n value: function handleFocusNode(_ref4) {\n var tRef = _ref4.param;\n\n\n this.network.focus($tm.adapter.getId(tRef), {\n scale: 1.5,\n animation: true\n });\n }\n\n /**\n * A zombie widget is a widget that is removed from the dom tree\n * but still referenced or still partly executed -- I mean\n * otherwise you couldn't call this function, right?\n *\n * If TiddlyMap is executed in a fake environment, the function\n * always returns true.\n */\n\n }, {\n key: 'isZombieWidget',\n value: function isZombieWidget() {\n\n return this.domNode.isTiddlyWikiFakeDom === true || !this.document.body.contains(this.getContainer());\n }\n\n /**\n * This method allows us to specify after what time and for how long\n * the zoom-to-fit process should be executed for a graph.\n *\n * @param {number} [delay=0] - How long to wait before starting to zoom.\n * @param {number} [duration=0] - After the delay, how long should it\n * take for the graph to be zoomed.\n */\n\n }, {\n key: 'fitGraph',\n value: function fitGraph() {\n var _this13 = this;\n\n var delay = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : 0;\n var duration = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 0;\n\n\n // clear any existing fitting attempt\n clearTimeout(this.activeFitTimeout);\n\n var fit = function fit() {\n\n // happens when widget is removed after stabilize but before fit\n if (_this13.isZombieWidget()) {\n return;\n }\n\n // fixes #97\n _this13.network.redraw();\n\n _this13.network.fit({ // v4: formerly zoomExtent\n animation: {\n duration: duration,\n easingFunction: 'easeOutQuart'\n }\n });\n };\n\n this.activeFitTimeout = setTimeout(fit, delay);\n }\n\n /**\n * Spawns a dialog in which the user can specify node attributes.\n * Once the dialog is closed, the node is inserted into the current\n * view, unless the operation was cancelled.\n */\n\n }, {\n key: 'handleInsertNode',\n value: function handleInsertNode(node) {\n var _this14 = this;\n\n $tm.dialogManager.open('addNodeToMap', {}, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) {\n return;\n }\n\n var tRef = _utils2.default.getField(outTObj, 'draft.title');\n\n if (_utils2.default.tiddlerExists(tRef)) {\n\n // Todo: use graphData and test if node is match (!=neighbour)\n if (_utils2.default.isMatch(tRef, _this14.view.getNodeFilter('compiled'))) {\n\n $tm.notify('Node already exists');\n\n return;\n } else {\n\n node = $tm.adapter.makeNode(tRef, node);\n _this14.view.addNode(node);\n }\n } else {\n\n var tObj = new $tw.Tiddler(outTObj, { 'draft.title': null });\n\n node.label = tRef;\n $tm.adapter.insertNode(node, _this14.view, tObj);\n }\n\n // prevent zoom\n _this14.isPreventZoomOnNextUpdate = true;\n });\n }\n\n /**\n * Open the node editor to style the node.\n */\n\n }, {\n key: 'handleEditNode',\n value: function handleEditNode(node) {\n var _this15 = this;\n\n var tRef = $tm.tracker.getTiddlerById(node.id);\n var tObj = _utils2.default.getTiddler(tRef);\n var globalDefaults = JSON.stringify($tm.config.vis);\n var localDefaults = this.view.getConfig('vis');\n var nodes = {};\n nodes[node.id] = node;\n var nodeStylesByTRef = $tm.adapter.getInheritedNodeStyles(nodes);\n var groupStyles = JSON.stringify(nodeStylesByTRef[tRef]);\n var globalNodeStyle = JSON.stringify(_utils2.default.merge({}, { color: tObj.fields['color'] }, _utils2.default.parseJSON(tObj.fields['tmap.style'])));\n\n var viewLabel = this.view.getLabel();\n\n // we copy the object since we intend to modify it.\n // NOTE: A deep copy would be needed if a nested property were modified\n // In that case, use $tw.utils.deepCopy.\n var nodeData = _extends({}, this.view.getNodeData(node.id));\n // we need to delete the positions so they are not reset when a user\n // resets the style…\n delete nodeData.x;\n delete nodeData.y;\n\n var args = {\n 'view': viewLabel,\n 'tiddler': tObj.fields.title,\n 'tidColor': tObj.fields['color'],\n 'tidIcon': tObj.fields[$tm.field.nodeIcon] || tObj.fields['tmap.fa-icon'],\n 'tidLabelField': 'global.' + $tm.field.nodeLabel,\n 'tidIconField': 'global.' + $tm.field.nodeIcon,\n dialog: {\n preselects: {\n 'inherited-global-default-style': globalDefaults,\n 'inherited-local-default-style': localDefaults,\n 'inherited-group-styles': groupStyles,\n 'global.tmap.style': globalNodeStyle,\n 'local-node-style': JSON.stringify(nodeData)\n }\n }\n };\n\n // function to iterate over attributes that shall be available\n // in the dialog.\n var addToPreselects = function addToPreselects(scope, store, keys) {\n for (var i = keys.length; i--;) {\n args.dialog.preselects[scope + '.' + keys[i]] = store[keys[i]] || '';\n }\n };\n\n // local values are retrieved from the view's node data store\n addToPreselects('local', nodeData, ['label', 'tw-icon', 'fa-icon', 'open-view']);\n\n // global values are taken from the tiddler's field object\n addToPreselects('global', tObj.fields, [$tm.field.nodeLabel, $tm.field.nodeIcon, 'tmap.fa-icon', 'tmap.open-view']);\n\n $tm.dialogManager.open('editNode', args, function (isConfirmed, outTObj) {\n\n if (!isConfirmed) return;\n\n var fields = outTObj.fields;\n\n // save or remove global individual style\n var global = _utils2.default.getPropertiesByPrefix(fields, 'global.', true);\n for (var p in global) {\n\n _utils2.default.setField(tRef, p, global[p] || undefined);\n }\n\n // save local individual data (style + config)\n var local = _utils2.default.getPropertiesByPrefix(fields, 'local.', true);\n\n // CAREFUL: Never change 'local-node-style' to 'local.node-style'\n // (with a dot) because it will get included in the loop!\n var data = _utils2.default.parseJSON(fields['local-node-style'], {});\n\n for (var _p in local) {\n data[_p] = local[_p] || undefined;\n }\n\n _this15.view.saveNodeStyle(node.id, data);\n\n _this15.isPreventZoomOnNextUpdate = true;\n });\n }\n\n /**\n * This handler is registered at and called by the vis network event\n * system.\n */\n\n }, {\n key: 'handleVisSingleClickEvent',\n value: function handleVisSingleClickEvent(properties) {\n\n var isActivated = _utils2.default.isTrue($tm.config.sys.singleClickMode);\n if (isActivated && !this.editorMode) {\n this.handleOpenMapElementEvent(properties);\n }\n }\n\n /**\n * This handler is registered at and called by the vis network event\n * system.\n *\n * @see Coordinates not passed on click/tap events within the properties object\n * @see https://github.com/almende/vis/issues/440\n *\n * @properties a list of nodes and/or edges that correspond to the\n * click event.\n */\n\n }, {\n key: 'handleVisDoubleClickEvent',\n value: function handleVisDoubleClickEvent(properties) {\n\n if (properties.nodes.length || properties.edges.length) {\n\n if (this.editorMode || !_utils2.default.isTrue($tm.config.sys.singleClickMode)) {\n\n this.handleOpenMapElementEvent(properties);\n }\n } else {\n // = clicked on an empty spot\n\n if (this.editorMode) {\n this.handleInsertNode(properties.pointer.canvas);\n }\n }\n }\n }, {\n key: 'handleOpenMapElementEvent',\n value: function handleOpenMapElementEvent(_ref5) {\n var nodes = _ref5.nodes,\n edges = _ref5.edges;\n\n\n if (nodes.length) {\n // clicked on a node\n\n var node = this.graphData.nodesById[nodes[0]];\n if (node['open-view']) {\n $tm.notify('Switching view');\n this.setView(node['open-view']);\n } else {\n this.openTiddlerWithId(nodes[0]);\n }\n } else if (edges.length) {\n // clicked on an edge\n\n this.logger('debug', 'Clicked on an Edge');\n var typeId = this.graphData.edgesById[edges[0]].type;\n this.handleEditEdgeType(typeId);\n } else {\n\n return;\n }\n\n this.hidePopups(0, true);\n }\n }, {\n key: 'handleEditEdgeType',\n value: function handleEditEdgeType(type) {\n\n if (!this.editorMode) return;\n\n var behaviour = $tm.config.sys.edgeClickBehaviour;\n if (behaviour !== 'manager') return;\n\n $tw.rootWidget.dispatchEvent({\n type: 'tmap:tm-manage-edge-types',\n paramObject: {\n type: type\n }\n });\n }\n }, {\n key: 'handleResizeEvent',\n\n\n /**\n * Listener will be removed if the parent is not part of the dom anymore\n *\n * @see https://groups.google.com/d/topic/tiddlywikidev/yuQB1KwlKx8/discussion [TW5] Is there a destructor for widgets?\n * @see https://developer.mozilla.org/en-US/docs/Web/API/Node.contains\n */\n value: function handleResizeEvent(event) {\n\n if (this.isZombieWidget()) return;\n\n var height = this.getAttr('height');\n var width = this.getAttr('width');\n\n if (this.isInSidebar) {\n\n var rect = this.domNode.getBoundingClientRect();\n var distRight = 15;\n width = document.body.clientWidth - rect.left - distRight + 'px';\n\n var distBottom = parseInt(this.getAttr('bottom-spacing')) || 15;\n var calculatedHeight = window.innerHeight - rect.top;\n height = calculatedHeight - distBottom + 'px';\n }\n\n this.domNode.style.height = height || '300px';\n this.domNode.style.width = width;\n\n this.repaintGraph(); // redraw graph\n }\n\n /**\n * used to prevent nasty deletion as edges are not unselected when leaving vis\n */\n\n }, {\n key: 'handleClickEvent',\n value: function handleClickEvent(evt) {\n\n if (this.isZombieWidget() || !this.network) return;\n\n if (!this.graphDomNode.contains(evt.target)) {\n // clicked outside\n\n var selected = this.network.getSelection();\n if (selected.nodes.length || selected.edges.length) {\n this.logger('debug', 'Clicked outside; deselecting nodes/edges');\n // upstream bug: this.network.unselectAll() doesn't work\n this.network.selectNodes([]); // deselect nodes and edges\n this.resetVisManipulationBar();\n }\n } else {\n\n this.canvas.focus();\n }\n\n if (evt.button !== 2) {\n // not the right button\n this.contextMenu.hide(0, true);\n }\n }\n }, {\n key: 'handleVisSelectNode',\n value: function handleVisSelectNode(_ref6) {\n var nodes = _ref6.nodes;\n\n\n if (!this.isDraggingAllowed(nodes)) {\n return;\n }\n\n // assign selected style\n this.assignActiveStyle(nodes);\n }\n }, {\n key: 'isDraggingAllowed',\n value: function isDraggingAllowed(_ref7) {\n var nodes = _ref7.nodes;\n\n return this.editorMode || this.view.isEnabled('physics_mode');\n }\n\n /**\n * Assign some styles when the graph element becomes active, i.e.\n * it is selected or hovered over.\n *\n * @param {Id|Array<Id>} nodeIds - A single id or an Array of ids.\n */\n\n }, {\n key: 'assignActiveStyle',\n value: function assignActiveStyle(nodeIds) {\n\n if (!Array.isArray(nodeIds)) nodeIds = [nodeIds];\n\n var defaultColor = this.visOptions.nodes.color;\n\n // iterate over selected nodes\n for (var i = nodeIds.length; i--;) {\n var id = nodeIds[i];\n var node = this.graphData.nodesById[id];\n var colorObj = _utils2.default.merge({}, defaultColor, node.color);\n this.graphData.nodes.update({\n id: id,\n color: {\n highlight: colorObj,\n hover: colorObj\n }\n });\n }\n }\n }, {\n key: 'handleVisDeselectNode',\n value: function handleVisDeselectNode(properties) {}\n\n //~ var prevSelectedNodes = properties.previousSelection.nodes;\n //~ for (var i = prevSelectedNodes.length; i--;) {\n //~ };\n\n /**\n * Called by vis when the dragging of a node(s) has ended.\n * Vis passes an object containing event-related information.\n *\n * @param {Array<Id>} nodes - Array of ids of the nodes\n * that were being dragged.\n */\n\n }, {\n key: 'handleVisDragEnd',\n value: function handleVisDragEnd(_ref8) {\n var nodes = _ref8.nodes;\n\n\n if (!nodes.length) {\n return;\n }\n\n if (nodes.length === 1 && this.view.isEnabled('raster')) {\n var pos = this.network.getPositions()[nodes[0]];\n this.graphData.nodes.update(_extends({\n id: nodes[0]\n }, _utils2.default.getNearestRasterPosition(pos, parseInt(this.view.getConfig('raster')))));\n }\n\n // reset store\n this.draggedNode = null;\n\n // fix node again and store positions\n // if in static mode, fixing will be ignored\n this.setNodesMoveable(nodes, false);\n }\n\n /**\n *\n * @param context2d\n */\n\n }, {\n key: 'handleVisBeforeDrawing',\n value: function handleVisBeforeDrawing(context2d) {\n var view = this.view,\n network = this.network,\n backgroundImage = this.backgroundImage;\n\n\n if (backgroundImage) {\n context2d.drawImage(backgroundImage, 0, 0);\n }\n\n if (view.isEnabled('raster')) {\n _utils2.default.drawRaster(context2d, network.getScale(), network.getViewPosition(), parseInt(view.getConfig('raster')));\n }\n }\n\n /**\n *\n * @param context2d\n */\n\n }, {\n key: 'handleVisAfterDrawing',\n value: function handleVisAfterDrawing(context2d) {\n\n if (this.selectRect) {\n\n var rect = this.selectRect.getRect();\n\n context2d.beginPath();\n context2d.globalAlpha = 0.5;\n context2d.fillStyle = '#EAFFEF';\n context2d.fillRect.apply(context2d, _toConsumableArray(rect));\n\n context2d.beginPath();\n context2d.globalAlpha = 1;\n context2d.strokeStyle = '#B4D9BD';\n context2d.strokeRect.apply(context2d, _toConsumableArray(rect));\n }\n\n if (this.draggedNode && this.view.isEnabled('raster')) {\n\n var pos = this.network.getPositions()[this.draggedNode];\n var rPos = _utils2.default.getNearestRasterPosition(pos, parseInt(this.view.getConfig('raster')));\n\n context2d.strokeStyle = 'green';\n context2d.fillStyle = 'green';\n\n context2d.beginPath();\n context2d.moveTo(pos.x, pos.y);\n context2d.lineTo(rPos.x, rPos.y);\n context2d.stroke();\n context2d.beginPath();\n context2d.arc(rPos.x, rPos.y, 5, 0, Math.PI * 2);\n context2d.fill();\n }\n }\n\n /**\n * called by tooltip class when tooltip is displayed;\n */\n\n }, {\n key: 'constructTooltip',\n value: function constructTooltip(signature, div) {\n\n var ev = _utils2.default.parseJSON(signature);\n var id = ev.node || ev.edge;\n\n var text = null;\n var outType = 'text/html';\n var inType = 'text/vnd-tiddlywiki';\n\n if (ev.node) {\n // node\n\n var tRef = $tm.tracker.getTiddlerById(id);\n var tObj = _utils2.default.getTiddler(tRef);\n\n var descr = tObj.fields[$tm.field.nodeInfo];\n\n if (descr) {\n\n div.innerHTML = $tw.wiki.renderText(outType, inType, descr);\n } else if (tObj.fields.text) {\n\n // simply rendering the text is not sufficient as this prevents\n // us from updating the tooltip content on refresh. So we need\n // to create a temporary widget that is registered to the dom\n // node passed by the tooltip.\n\n _utils2.default.registerTransclude(this, 'tooltipWidget', tRef);\n this.tooltipWidget.setVariable('tv-tiddler-preview', 'yes');\n this.tooltipWidget.render(div);\n } else {\n\n div.innerHTML = tRef;\n }\n } else {\n // edge\n\n var edge = this.graphData.edgesById[id];\n var type = $tm.indeces.allETy[edge.type];\n\n if (type.description) {\n text = $tw.wiki.renderText(outType, inType, type.description);\n }\n\n div.innerHTML = text || type.label || type.id;\n }\n }\n }, {\n key: 'handleVisHoverElement',\n value: function handleVisHoverElement(ev) {\n\n if ($tm.mouse.buttons) return;\n\n //~ this.graphDomNode.style.cursor = 'pointer';\n\n var id = ev.node || ev.edge;\n var signature = JSON.stringify(ev);\n\n if (ev.node) {\n\n // override the hover color\n this.assignActiveStyle(id);\n }\n\n // show tooltip if not in edit mode\n if (!this.isVisInEditMode() && !this.contextMenu.isShown()) {\n var populator = this.constructTooltip;\n this.tooltip.show(signature, populator);\n }\n }\n }, {\n key: 'handleVisBlurElement',\n value: function handleVisBlurElement(ev) {\n\n this.tooltip.hide();\n }\n }, {\n key: 'handleVisLoading',\n value: function handleVisLoading(_ref9) {\n var total = _ref9.total,\n iterations = _ref9.iterations;\n\n\n // we only start to show the progress bar after a while\n //~ if (params.iterations / params.total < 0.05) return;\n\n this.graphLoadingBarDomNode.style.display = 'block';\n this.graphLoadingBarDomNode.setAttribute('max', total);\n this.graphLoadingBarDomNode.setAttribute('value', iterations);\n\n //~ var text = 'Loading ' + Math.round((iterations / total) * 100) + '%';\n //~ this.graphLoadingBarDomNode.innerHTML = text;\n }\n }, {\n key: 'handleVisLoadingDone',\n value: function handleVisLoadingDone(params) {\n\n this.graphLoadingBarDomNode.style.display = 'none';\n }\n\n /**\n * Called by vis when a node is being dragged.\n * Vis passes an object containing event-related information.\n * @param {Array<Id>} nodes - Array of ids of the nodes\n * that were being dragged.\n */\n\n }, {\n key: 'handleVisDragStart',\n value: function handleVisDragStart(_ref10) {\n var nodes = _ref10.nodes;\n\n\n if (!nodes.length ||\n // we do not allow nodes to be dragged if not in editor mode\n // except cases physics is enabled\n !this.isDraggingAllowed(nodes)) {\n return;\n }\n\n this.hidePopups(0, true);\n this.assignActiveStyle(nodes);\n this.setNodesMoveable(nodes, true);\n\n if (nodes.length === 1) {\n this.draggedNode = nodes[0];\n }\n }\n\n /**\n * called from outside.\n */\n\n }, {\n key: 'destruct',\n value: function destruct() {\n\n // while the container should be destroyed and the listeners\n // garbage collected, we remove them manually just to be save\n\n _utils2.default.setDomListeners('remove', window, this.windowDomListeners);\n _utils2.default.setDomListeners('remove', this.domNode, this.widgetDomListeners);\n\n this._destructVis();\n }\n\n /**\n * Only destructs stuff related to vis.\n */\n\n }, {\n key: '_destructVis',\n value: function _destructVis() {\n\n if (!this.network) return;\n\n _utils2.default.setDomListeners('remove', this.canvas, this.canvasDomListeners);\n\n this.network.destroy();\n this.network = null;\n }\n\n /**\n * Opens the tiddler that corresponds to the given id either as\n * modal (when in fullscreen mode) or in the story river.\n */\n\n }, {\n key: 'openTiddlerWithId',\n value: function openTiddlerWithId(id) {\n var _this16 = this;\n\n var tRef = $tm.tracker.getTiddlerById(id);\n\n this.logger('debug', 'Opening tiddler', tRef, 'with id', id);\n\n if (this.enlargedMode === 'fullscreen') {\n\n var draftTRef = $tw.wiki.findDraft(tRef);\n var wasInDraftAlready = !!draftTRef;\n\n if (!wasInDraftAlready) {\n\n var type = 'tm-edit-tiddler';\n this.dispatchEvent({ type: type, tiddlerTitle: tRef });\n draftTRef = $tw.wiki.findDraft(tRef);\n }\n\n var args = { draftTRef: draftTRef, originalTRef: tRef };\n\n $tm.dialogManager.open('fullscreenTiddlerEditor', args, function (isConfirmed, outTObj) {\n\n if (isConfirmed) {\n\n var _type = 'tm-save-tiddler';\n _this16.dispatchEvent({ type: _type, tiddlerTitle: draftTRef });\n } else if (!wasInDraftAlready) {\n\n // also removes the draft from the river before deletion!\n _utils2.default.deleteTiddlers([draftTRef]);\n }\n\n // in any case, remove the original tiddler from the river\n var type = 'tm-close-tiddler';\n _this16.dispatchEvent({ type: type, tiddlerTitle: tRef });\n });\n } else {\n\n var bounds = this.domNode.getBoundingClientRect();\n\n this.dispatchEvent({\n type: 'tm-navigate',\n navigateTo: tRef,\n navigateFromTitle: this.getVariable('storyTiddler'),\n navigateFromNode: this,\n navigateFromClientRect: {\n top: bounds.top,\n left: bounds.left,\n width: bounds.width,\n right: bounds.right,\n bottom: bounds.bottom,\n height: bounds.height\n }\n });\n }\n }\n\n /**\n * The view holder is a tiddler that stores a references to the current\n * view. If the graph is not bound to a view by the user via an\n * attribute, the default view holder is used. Otherwise, a temporary\n * holder is created whose value is set to the view specified by the user.\n * This way, the graph is independent from view changes made in a\n * tiddlymap editor.\n *\n * This function will only calculate a new reference to the holder\n * on first call (that is when no view holder is registered to 'this'.\n *\n */\n\n }, {\n key: 'getViewHolderRef',\n value: function getViewHolderRef() {\n\n // the viewholder is never recalculated once it exists\n if (this.viewHolderRef) {\n return this.viewHolderRef;\n }\n\n this.logger('info', 'Retrieving or generating the view holder reference');\n\n // if given, try to retrieve the viewHolderRef by specified attribute\n var viewName = this.getAttr('view');\n var holderRef = null;\n\n if (viewName) {\n\n this.logger('log', 'User wants to bind view \"' + viewName + '\\' to graph');\n\n var viewRef = $tm.path.views + '/' + viewName;\n\n if ($tw.wiki.getTiddler(viewRef)) {\n\n // create a view holder that is exclusive for this graph\n\n holderRef = $tm.path.localHolders + '/' + _utils2.default.genUUID();\n this.logger('log', 'Created an independent temporary view holder \"' + holderRef + '\"');\n\n // we do not use setView here because it would store and reload the view unnecessarily...\n _utils2.default.setText(holderRef, viewRef);\n\n this.logger('log', 'View \"' + viewRef + '\\' inserted into independend holder');\n } else {\n this.logger('log', 'View \"' + viewName + '\" does not exist');\n }\n }\n\n if (!holderRef) {\n this.logger('log', 'Using default (global) view holder');\n holderRef = $tm.ref.defaultViewHolder;\n }\n\n return holderRef;\n }\n\n /**\n * This function will switch the current view reference of the\n * view holder.\n *\n * NOTE:\n * The changes will be picked up in the next refresh cycle.\n * This function will never update the view object currently\n * held by this widget (this.view)! This would create a race\n * condition where the view has changed, but the graph data hasn't\n * and maybe a stabilization event fires in this moment. At this point\n * it would work with graph data that doesn't relate to the view\n * and do bad things, trust me, big time bad things.\n *\n * @param {ViewAbstraction|string} view – A reference to the view.\n * @param {string} [viewHolderRef] – A reference to the view holder.\n */\n\n }, {\n key: 'setView',\n value: function setView(view, viewHolderRef) {\n\n if (!_ViewAbstraction2.default.exists(view)) {\n\n return;\n }\n\n view = new _ViewAbstraction2.default(view);\n\n var viewLabel = view.getLabel();\n viewHolderRef = viewHolderRef || this.viewHolderRef;\n this.logger('info', 'Inserting view \"' + viewLabel + '\" into holder \"' + viewHolderRef + '\"');\n $tw.wiki.addTiddler(new $tw.Tiddler({\n title: viewHolderRef,\n text: viewLabel\n }));\n\n // we don't wait til next render-cycle (which would leave tiddlymap in\n // a rather undefined state) but update immediately.\n this.update({\n changedTiddlers: _defineProperty({}, viewHolderRef, true)\n });\n }\n\n /**\n * This function will return a view abstraction that is based on the\n * view specified in the view holder of this graph.\n *\n * @param {boolean} noCache - Retrieve the view reference again\n * from the holder and recreate the view abstraction object.\n * @return {ViewAbstraction} the view\n */\n\n }, {\n key: 'getView',\n value: function getView(noCache) {\n\n if (!noCache && this.view) {\n return this.view;\n }\n\n var viewHolderRef = this.getViewHolderRef();\n\n // transform into view object\n var ref = _utils2.default.getText(viewHolderRef);\n\n this.logger('debug', 'Retrieved view from holder');\n\n var view = void 0;\n\n if (_ViewAbstraction2.default.exists(ref)) {\n\n view = new _ViewAbstraction2.default(ref);\n } else {\n\n this.logger('debug', 'Warning: View \"' + ref + '\" doesn\\'t exist. Default is used instead.');\n view = new _ViewAbstraction2.default('Default');\n }\n\n return view;\n }\n }, {\n key: 'reloadBackgroundImage',\n value: function reloadBackgroundImage(msg) {\n var _this17 = this;\n\n this.backgroundImage = null;\n\n var bgFieldValue = this.view.getConfig('background_image');\n var imgTObj = _utils2.default.getTiddler(bgFieldValue);\n if (!imgTObj && !bgFieldValue) return;\n\n var img = new Image();\n var ajaxCallback = function ajaxCallback(b64) {\n img.src = b64;\n };\n img.onload = function () {\n // only now set the backgroundImage to the img object!\n _this17.backgroundImage = img;\n _this17.repaintGraph();\n if (msg) {\n $tm.notify(msg);\n }\n };\n\n if (imgTObj) {\n // try loading from tiddler\n var urlField = imgTObj.fields['_canonical_uri'];\n if (urlField) {\n // try loading by uri field\n _utils2.default.getImgFromWeb(urlField, ajaxCallback);\n } else if (imgTObj.fields.text) {\n // try loading from base64\n img.src = $tw.utils.makeDataUri(imgTObj.fields.text, imgTObj.fields.type);\n }\n } else if (bgFieldValue) {\n // try loading directly from reference\n _utils2.default.getImgFromWeb(bgFieldValue, ajaxCallback);\n }\n }\n\n /**\n * The graph of this widget is only repainted if the following counts:\n *\n * The network object exists (prerequisit).\n *\n * 1. We are not in fullscreen at all\n * 2. This particular graph instance is currently running fullscreen.\n */\n\n }, {\n key: 'repaintGraph',\n value: function repaintGraph() {\n\n var isInFS = $tw.utils.hasClass(this.document.body, 'tmap-has-fullscreen-widget');\n if (this.network && (!isInFS || isInFS && this.enlargedMode)) {\n\n this.logger('info', 'Repainting the whole graph');\n\n this.network.redraw();\n this.fitGraph(0, 1000);\n }\n }\n\n /**\n * If a button is enabled it means it is displayed on the graph canvas.\n *\n * @param {string} name - The name of the button to enabled. Has to\n * correspond with the css button name.\n * @param {boolean} enable - True if the button should be visible,\n * false otherwise.\n */\n\n }, {\n key: 'setGraphButtonEnabled',\n value: function setGraphButtonEnabled(name, enable) {\n\n var className = 'vis-button tmap-' + name;\n var b = _utils2.default.getFirstElementByClassName(className, this.domNode);\n $tw.utils.toggleClass(b, 'tmap-button-enabled', enable);\n }\n\n /**\n * Allow the given nodes to be moveable.\n *\n * @param {Array<number>} nodeIds - The ids of the nodes for which\n * we allow or disallow the movement.\n * @param {boolean} isMoveable - True, if the nodes are allowed to\n * move or be moved.\n */\n\n }, {\n key: 'setNodesMoveable',\n value: function setNodesMoveable(nodeIds, isMoveable) {\n\n if (!nodeIds || !nodeIds.length || this.view.isEnabled('physics_mode')) {\n // = no ids passed or in floating mode\n return;\n }\n\n var updates = [];\n var isFixed = !isMoveable;\n for (var i = nodeIds.length; i--;) {\n\n updates.push({\n id: nodeIds[i],\n fixed: { x: isFixed, y: isFixed }\n });\n }\n\n this.graphData.nodes.update(updates);\n\n if (isFixed) {\n\n this.logger('debug', 'Fixing', updates.length, 'nodes');\n\n // if we fix nodes in static mode then we also store the positions\n this.view.saveNodePositions(this.network.getPositions());\n // prevent zoom\n this.isPreventZoomOnNextUpdate = true;\n }\n }\n\n /**\n * This function will create the dom elements for all tiddlymap-vis\n * buttons and register the event listeners.\n *\n * @param {Object<string, function>} buttonEvents - The label of the\n * button that is used as css class and the click handler.\n */\n\n }, {\n key: 'addGraphButtons',\n value: function addGraphButtons(buttonEvents) {\n\n var parent = _utils2.default.getFirstElementByClassName('vis-navigation', this.domNode);\n\n for (var name in buttonEvents) {\n var div = this.document.createElement('div');\n div.className = 'vis-button tmap-' + name;\n\n div.addEventListener('click', buttonEvents[name].bind(this), false);\n parent.appendChild(div);\n\n this.setGraphButtonEnabled(name, true);\n }\n }\n }]);\n\n return MapWidget;\n}(_widget.widget);\n\n/*** Exports *******************************************************/\n\nexports.tmap = MapWidget;\nexports.tiddlymap = MapWidget;\n//# sourceMappingURL=./maps/felixhayashi/tiddlymap/js/widget/MapWidget.js.map\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/felixhayashi/tiddlymap/license": {
"title": "$:/plugins/felixhayashi/tiddlymap/license",
"subtitle": "License",
"caption": "License",
"text": "\\rules except wikilink\n\n!! TiddlyMap\n\nCopyright (c) 2014, Felix Küppers\nAll rights reserved.\n\nTiddlyMap is licensed under the [[BSD 2-Clause License|http://opensource.org/licenses/BSD-2-Clause]]. For the exact license terms, please visit [[https://github.com/felixhayashi/TW5-TiddlyMap/blob/master/LICENSE]]. \n\n!! TiddlyWiki\n\nCreated by Jeremy Ruston, (jeremy [at] jermolene [dot] com)\n\nCopyright © Jeremy Ruston 2004-2007 Copyright © UnaMesa Association 2007-2014\n\nPublished under the following [licenses](https://github.com/Jermolene/TiddlyWiki5/tree/master/licenses):\n\n# BSD 3-clause \"New\" or \"Revised\" License (including any right to adopt any future version of a license if permitted)\n# Creative Commons Attribution 3.0 (including any right to adopt any future version of a license if permitted)\n\n!! Vis.js\n\nCopyright (c) 2014 [Almende B.V.](https://github.com/almende/vis)\n\nPublished under the following licenses:\n\n# Apache License Version 2.0, January 2004 http://www.apache.org/licenses/\n# MIT License (MIT)\n"
},
"$:/plugins/felixhayashi/tiddlymap/readme": {
"title": "$:/plugins/felixhayashi/tiddlymap/readme",
"text": "* Please refer to the project-readme hosted at [[https://github.com/felixhayashi/TW5-TiddlyMap]].\n* A demo with several examples and explanations can be found at [[http://tiddlymap.org]]."
},
"$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tmap:unknown": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tmap:unknown",
"description": "Automatically assigned to an edge that does not have a type assigned",
"style": "{\"color\":\"gray\"}",
"show-label": "false"
},
"$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-body:link": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-body:link",
"description": "A link that is contained in the tiddler's body pointing to another resource.",
"style": "{\"color\":\"orange\", \"dashes\":true}",
"label": "links to",
"text": ""
},
"$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-list:list": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-list:list",
"description": "Contained in a list of this tiddler",
"style": "{ \"color\": \"red\", \"dashes\":true}",
"label": "listed in",
"text": ""
},
"$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-list:tags": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes/tw-list:tags",
"description": "A tag that refers to a tiddler of the same name.",
"style": "{ \"color\": \"darkslategray\", \"dashes\":true}",
"label": "tagged with"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/default": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/default",
"caption": "Overview",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-plain\">\n Please visit the [[online docs|http://tiddlymap.org/Documentation]]\n for more information about the available global options.\n</div>\n<table class=\"tmap-key-value-table\">\n <tr>\n <th align=\"left\">Plugin version</th>\n <td><<pluginVersion>></td>\n </tr>\n<!--\n <tr>\n <th align=\"left\">Datastructure version</th>\n <td><<dataStructureVersion>></td>\n </tr>\n-->\n <tr>\n <th align=\"left\">Nodes in system</th>\n <td><<numberOfNodes>></td>\n </tr>\n <tr>\n <th align=\"left\">Edges in system</th>\n <td><<numberOfEdges>></td>\n </tr>\n</table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/editor": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/editor",
"caption": "Editor",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-checkbox\"\n title:\"Show Neighbour­hood menu\"\n field:\"config.sys.editorMenuBar.showNeighScopeButton\"\n descr:\"Show or hide the neighbourhood menu button.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Show Screen­shot menu\"\n field:\"config.sys.editorMenuBar.showScreenshotButton\"\n descr:\"Show or hide the screenshot menu button.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Show Raster­ menu\"\n field:\"config.sys.editorMenuBar.showRasterMenuButton\"\n descr:\"Show or hide the raster menu button.\">>\n</table>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields",
"caption": "Field settings",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-text\"\n title:\"Node-icon field\"\n field:\"config.sys.field.nodeIcon\" \n descr:\"Local image used as node image in the graphs.\">>\n <<tmap-row type:\"input-text\"\n title:\"Node-label field\"\n field:\"config.sys.field.nodeLabel\" \n descr:\"Alternative node label to use instead of the title.\">>\n <<tmap-row type:\"input-text\"\n title:\"Node-info field\"\n field:\"config.sys.field.nodeInfo\" \n descr:\"Field used as tooltip when hovering over a node in a graph.\"\n note:\"It is prohibited to use the text field here.\">>\n</table> \n\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction",
"caption": "Interaction & behaviour",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <$macrocall type=\"input-select\"\n $name=\"tmap-row\"\n title=\"Default startup view\"\n field=\"config.sys.defaultView\"\n nochoice=\"Last view used at startup\"\n selectFilter=<<tmap \"option\" \"selector.allViewsByLabel\">>\n descr=\"The view to display at startup\" />\n <<tmap-row type:\"input-checkbox\"\n title:\"Show popups\"\n field:\"config.sys.popups.enabled\"\n descr:\"Set this to true if you want to see automatic\n popups in the map.\">>\n <$list filter=\"[config.sys.popups.enabled[true]]\">\n <<tmap-row type:\"input-text\"\n title:\"Popup delay\"\n field:\"config.sys.popups.delay\"\n descr:\"The time in miliseconds that needs to pass after\n a tooltip is triggered.\">>\n <<tmap-row type:\"input-text\"\n title:\"Popup width\"\n field:\"config.sys.popups.width\"\n descr:\"The default max-width of the popup.\"\n note:\"Make sure you added the desired unit (e.g. `px`).\n Requires a wiki refresh.\">>\n <<tmap-row type:\"input-text\"\n title:\"Popup height\"\n field:\"config.sys.popups.height\"\n descr:\"The default max-height of the popup.\"\n note:\"Make sure you added desired the unit (e.g. `px`).\n Requires a wiki refresh.\">>\n </$list>\n <<tmap-row type:\"input-checkbox\"\n title:\"Allow single click mode\"\n field:\"config.sys.singleClickMode\"\n descr:\"A single click on a node is sufficient to open the\n corresponding tiddler.\"\n note:\"Drag and drop will still work and does not cause a\n tiddler to be opened. Single click is never active in\n the map editor.\">>\n <<tmap-row type:\"input-select\"\n title:\"Edge click behaviour\"\n field:\"config.sys.edgeClickBehaviour\"\n selectFilter:\"[[nothing|Nothing]]\n [[manager|Open edge-type manager]]\"\n descr:\"What should happen when you click on an edge?\">>\n <<tmap-row type:\"input-select\" title:\"Raster size\" field:\"config.sys.raster\"\n selectFilter:\"[[|disabled]] [[5|5px]] [[10|10px]] [[15|15px]] [[20|20px]] [[30|30px]] [[40|40px]]\"\n descr:\"Snap nodes to an invisible raster of the given size after drag'n'drop.\"\n note:\"Only works when the view is not in floating mode\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Apply node-filter to neighbours\"\n field:\"config.sys.nodeFilterNeighbours\"\n descr:\"If checked, neighbours displayed in the map will be filtered\n by the view's node-filter. Otherwise the node-filter will only be used\n to filter the original set of nodes in the map\">>\n</table>\n\n!! Suppressed dialogs\n\n<div class=\"tmap-flash-message tmap-plain\">\n Dialogs that you decided to suppress in the past are listed here.\n Remove the checkmark to enable dialogs again.\n</div>\n\n<table class=\"tmap-config-table\">\n <$list\n filter=\"[<output>fields[]prefix[config.sys.suppressedDialogs]]\"\n emptyMessage=\"–\">\n <$set name=\"dialogName\" value=<<tmap basename \".\">>>\n <$macrocall $name=\"tmap-row\"\n type=\"input-checkbox\"\n title=\"Suppress '$(dialogName)$' dialog\"\n field=<<currentTiddler>> />\n </$set>\n <br />\n </$list>\n</table>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/liveTab": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/liveTab",
"caption": "Live tab",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-checkbox\"\n title:\"Show Live tab\"\n field:\"liveTab\" \n descr:\"Show or hide the live tab in the sidebar.\">>\n <$macrocall type=\"input-select\"\n $name=\"tmap-row\"\n title=\"Fallback view\"\n field=\"config.sys.liveTab.fallbackView\" \n selectFilter=<<tmap \"option\" \"selector.allViewsByLabel\">>\n descr=\"The view to display in the sidebar's live tab in\n case the current tiddler did not specify a view\n to open.\" />\n</table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig",
"subtitle": "{{$:/core/images/options-button}} Global configuration of TiddlyMap",
"classes": "tmap-remove-top-space",
"text": "\\rules except wikilink\n\n<$macrocall $name=\"tabs\"\n default=<<concat \"$(template)$/default\">>\n tabsList=\"[all[shadows]prefix<template>] -[<template>]\"\n/>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/verbosity": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/verbosity",
"caption": "Verbosity",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-plain\">\n Here you can restrict the system's talkativeness.\n</div>\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-checkbox\"\n title:\"Debug output\"\n field:\"config.sys.debug\" \n descr:\"Set this to true if you want debug information to be\n displayed in the browser console.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Show notifications\"\n field:\"config.sys.notifications\" \n descr:\"Set this to true if you want to receive fade-out\n notifications for important events.\">>\n</table> "
},
"$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis",
"classes": "tmap-remove-top-space",
"caption": "Graph",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n The global vis configurations will affect all views and their\n elements (nodes and edges) unless they are overridden on a lower\n level. All options below are documented at\n [[vis.js.org|http://visjs.org/docs/network]].\n</div>\n<div class=\"tmap-flash-message tmap-info\">\n Only config items that you actually changed have an effect on\n the graph. Other options are visible, yet, inactive.\n</div>\n<$tmap-config\n mode=\"manage-config\"\n inherited=\"vis-inherited\"\n extension=\"config.vis\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView/default": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView/default",
"caption": "Overview",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n All configurations __only__ affect this view.\n</div>\n\n<table class=\"tmap-key-value-table\">\n <tr>\n <th align=\"left\">Created on</th>\n <td><<createdOn>></td>\n </tr>\n <tr>\n <th align=\"left\">Nodes contained in graph</th>\n <td><<numberOfNodes>></td>\n </tr>\n <tr>\n <th align=\"left\">Edges contained in graph</th>\n <td><<numberOfEdges>></td>\n </tr>\n</table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView/editFilters": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView/editFilters",
"caption": "Edit filters",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n Completely new to filters? Please read\n [[Introduction to filter notation|http://tiddlywiki.com/#Introduction%20to%20filter%20notation]]\n first.\n</div>\n\n<fieldset>\n <legend>Filters <sup>[1]</sup></legend>\n <table class=\"tmap-config-table tmap-large-input\">\n <<tmap-row type:\"input-textarea\"\n title:\"Node filter\"\n field:\"filter.prettyNodeFltr\"\n descr:\"In the map, only those tiddlers that match this filter\n are shown. Drafts and system tiddlers are automatically\n excluded.\">>\n <<tmap-row type:\"input-textarea\"\n title:\"Edge-type filter\"\n field:\"filter.prettyEdgeFltr\" \n descr:\"Only edges with a type that matches the filter are shown.\">>\n </table> \n</fieldset>\n\n---\n\n<sup>[1]</sup> In the editors above, a new line is equivalent to a space symbol.<br />\n<sup>[2]</sup> It is suggested to read\n[[Node and edge-type filters|http://tiddlymap.org#Node%20and%20edge-type%20filters]]\nand [[Edge-type namespaces|http://tiddlymap.org#Node%20and%20edge-type%20filters]]\nbefore using Tiddlymap's filter editor."
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout",
"caption": "Layout",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-checkbox\"\n title:\"Floating nodes\"\n field:\"config.physics_mode\" \n descr:\"Set this to true if you want your nodes to freely\n swirl around.\">>\n <<tmap-row type:\"input-text\"\n title:\"Background image\"\n field:\"config.background_image\" \n descr:\"The title of an image tiddler to be used as background\n in the view.\"\n note:\"You can also use an image url directly, however, the\n image needs be stored under the same domain as your wiki.\n Otherwise, it won't be displayed!\">>\n</table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView/namespace": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView/namespace",
"caption": "Namespace",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table tmap-small-input\">\n <<tmap-row type:\"input-text\"\n title:\"Edge-type namespace\"\n field:\"config.edge_type_namespace\" \n descr:\"A namespace (like `foaf` in `foaf:knows`) that will be\n automatically added to all edge types you create in\n this view. The namespace is only added if the types\n do not exist yet and do not have a namespace assigned yet.\n Namespaces are always hidden in the graph.\"\n note:\"Most likely, you don't want the edges created with this\n namespace to leak into other views, moreover, you don't\n want edges that do not possess the namespace ever to be\n shown here. In this case, use a private marker (`_`)\n in front of your namespace, e.g. `_mynamespace` and use\n an appropriate edge type filter, i.e. `+[prefix[_mynamespace]]`\n For further information see:\n \n * [[Edge-type namespaces|http://tiddlymap.org/#Edge-type%20namespaces]]\n * [[Private edge types|http://tiddlymap.org/#Private%20edge%20types]]\n \">>\n</table>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView",
"subtitle": "{{$:/core/images/options-button}} View configuration -- <<view>>",
"text": "\\rules except wikilink\n\n\\define privateEdgeTypes() [[private edge-types|http://tiddlymap.org/#Private%20edge%20types]]\n\n<$macrocall $name=\"tabs\"\n default=<<concat \"$(template)$/default\">>\n tabsList=\"[all[shadows]prefix<template>] -[<template>]\"\n/>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis",
"caption": "Graph",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n The local vis configurations will affect all\n elements (nodes and edges) of this view, unless they are\n overridden on a lower level. All options below are documented at\n [[vis.js.org|http://visjs.org/docs/network]].\n</div>\n<div class=\"tmap-flash-message tmap-info\">\n Only config items that you actually changed have an effect on the\n graph. Other options are visible, yet, inactive.\n</div>\n<$tmap-config\n mode=\"manage-config\"\n inherited=\"vis-inherited\"\n extension=\"config.vis\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/getEdgeType": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/getEdgeType",
"subtitle": "{{$:/plugins/felixhayashi/tiddlymap/icon}} Edge type specification",
"text": "\\rules except wikilink\n\\rules except underscore\n\n\\define filter() $(allEdgeTypes)$ +[search:title[$(term)$]]\n\n\\define badge(color, label, tooltip)\n<span style=\"background: $color$\" title=\"$tooltip$\">$label$</span>\n\\end\n\n\\define badges()\n<$tiddler tiddler={{!!text}}>\n<$set name=\"id\" value=<<tmap \"getETyId\" \"$(view)$\">>>\n<$set name=\"isVisible\" value=<<tmap \"isETyVisible\" \"$(view)$\">>>\n<div class=\"tmap-badges\">\n <span\n style=\"background: darkslategray\"\n title=\"Your input translates into this id.\">\n <<id>>\n </span>\n <$list filter=\"[<isVisible>regexp[true]]\">\n <<badge \"green\" \"visible\" \"Matches your view's filter\">>\n </$list>\n <$list filter=\"[<isVisible>regexp[false]]\">\n <<badge \"red\" \"not visible\" \"Doesn't match your view's filter\">>\n </$list>\n <$list filter=\"[<id>!regexp[^tmap:unknown$]]\" variable=\"item\">\n <$list filter=\"[<id>regexp[^_]]\">\n <<badge \"purple\" \"private\" \"Not shown in other views per default\">>\n </$list>\n <$list filter=\"[<id>regexp[.+:.+]]\">\n <<badge \"orange\" \"namespace\" \"This type is prefixed with a proper namespace\">>\n </$list>\n </$list>\n</div>\n</$set>\n</$set>\n</$tiddler>\n\\end\n\n\\define search()\n<p>\n You are about to connect \"<$text text=\"$(fromLabel)$\" />\"\n with \"<$text text=\"$(toLabel)$\" />\". Please specify a type.\n</p>\n<table id=\"tmap-search-table\">\n <tr>\n <td><b>Type:</b></td>\n <td>\n <$edit-text\n focus=\"true\"\n field=\"text\"\n type=\"text\"\n tag=\"input\"\n default=\"\"\n class=\"tmap-trigger-field\n tmap-triggers-ok-button-on-enter\n tmap-triggers-cancel-button-on-esc\" />\n <<badges>>\n </td>\n </tr>\n <tr>\n <td></td>\n <td>\n <$set name=\"term\" value={{!!text}}>\n <$set name=\"allEdgeTypes\" value=<<tmap \"option\" \"selector.allEdgeTypesById\">>>\n <ul class=\"tmap-small-list\">\n <$list filter=<<filter>>>\n <li>\n <$button class=\"tc-btn-invisible tmap-link\">\n <$view field=\"title\" />\n <$action-setfield $tiddler=<<output>> text={{!!title}} />\n </$button>\n </li>\n </$list>\n </ul>\n </$set>\n </$set>\n </td>\n </tr>\n</table>\n\\end\n\n<$list filter=\"[<temp>!has[more]]\" variable=\"item\"><<search>></$list>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/addNodeToMap": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/addNodeToMap",
"subtitle": "{{$:/core/images/tag-button}} Add node",
"classes": "tmap-modal-add-node",
"text": "\\rules except wikilink\n\n\\define backButtonText() back to list\n\\define outputAndTemplate() [[$(output)$]] [[$(template)$]]\n\n\\define editor()\n <$button class=\"tmap-go-back tc-btn-invisible\">\n {{$:/core/images/chevron-left}} <<backButtonText>>\n <$action-deletefield $tiddler=<<temp>> more template />\n <$action-sendmessage\n $message=\"tmap:tm-clear-tiddler\"\n keep=\"draft.title\"\n title=<<output>> />\n </$button>\n\n <$list filter=\"[<output>get[draft.title]is[tiddler]]\">\n <div class=\"tmap-flash-message tmap-warning\">\n Tiddler already exists! Use another title or click\n \"<<backButtonText>>\" to cancel your edit.\n </div>\n </$list>\n\n <div class=\"tmap-modal-editor\">\n <table class=\"tmap-config-table\">\n <tr class=\"tmap-template-select\">\n <td>Template</td>\n <td>\n <$select\n tiddler=<<temp>>\n field=\"template\">\n <option value=\"\"></option>\n <$list filter=<<tmap \"option\" \"selector.allPotentialNodes\">>>\n <option><$view field=\"title\" /></option>\n </$list>\n </$select>\n <$button>Load\n <$action-sendmessage\n $message=\"tmap:tm-clear-tiddler\"\n keep=\"draft.title\"\n title=<<output>> />\n <$list filter=\"[<temp>get[template]]\" variable=\"template\">\n <$action-sendmessage\n $message=\"tmap:tm-merge-tiddlers\"\n tiddlers=<<outputAndTemplate>>\n output=<<output>> />\n <$action-deletefield $tiddler=<<output>> tmap.id tmap.edges />\n </$list>\n </$button>\n </td>\n <td>Press the \"load\" button to activate the template.</td>\n </tr>\n </table>\n <$importvariables filter=\"[all[tiddlers+shadows]prefix[$:/core/macros/]]\">\n <$set name=\"currentTiddler\" value=<<output>>>\n <$transclude tiddler=\"$:/core/ui/EditTemplate\" mode=\"block\" />\n </$set>\n </$importvariables>\n </div>\n\\end\n\n\\define search()\n<p>Add an existing tiddler to the map or create a new one.</p>\n<table id=\"tmap-search-table\">\n <tr>\n <td><b>Title:</b></td>\n <td>\n <$edit-text\n tiddler=<<output>>\n field=\"draft.title\"\n focus=\"true\"\n type=\"text\"\n tag=\"input\"\n default=\"\"\n class=\"tmap-trigger-field\n tmap-triggers-ok-button-on-enter\n tmap-triggers-cancel-button-on-esc\" />\n <$list filter=\"[<output>get[draft.title]!is[tiddler]]\">\n <$button\n tooltip=\"The tiddler does not exist yet and you may edit it\n before it is added to the map\">\n {{$:/core/images/edit-button}}\n <$action-setfield $tiddler=<<temp>> more=\"true\" />\n </$button> <sup>[1]</sup>\n </$list>\n </td>\n </tr>\n <tr>\n <td></td>\n <td>\n <$set name=\"term\" value={{!!draft.title}}>\n <ul class=\"tmap-small-list\">\n <$list filter=\"[search:title<term>!is[system]!has[draft.of]]\">\n <li>\n <$button class=\"tc-btn-invisible tmap-link\">\n <$view field=\"title\" />\n <$action-setfield $tiddler=<<output>> draft.title={{!!title}} />\n </$button>\n </li>\n </$list>\n </ul>\n </$set>\n </td>\n </tr>\n</table>\n\n<$list filter=\"[<output>get[draft.title]!is[tiddler]]\">\n<hr />\n<sup>[1]</sup>\n<small>\n The tiddler does not exist yet and you may edit it\n before it is added to the map\n</small>\n</$list>\n\\end\n\n<$list filter=\"[<temp>!has[more]]\" variable=\"item\"><<search>></$list>\n<$list filter=\"[<temp>has[more]]\" variable=\"item\"><<editor>></$list>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/cannotDeleteViewDialog": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/cannotDeleteViewDialog",
"subtitle": "{{$:/core/images/locked-padlock}} You cannot delete this view!",
"buttons": "ok",
"text": "\\rules except wikilink\n\nIt is not possible to delete the current view as ''<<count>>'' tiddlers\nare referencing it. To delete the view you must first remove the tiddlymap\nwidgets in the tiddlers listed below or change their view attributes.\n\n''References''\n\n<ul>\n<$list filter=<<refFilter>> variable=\"item\">\n <li><$link><<item>></$link></li>\n</$list>\n</ul>\n\nAfter the references are removed, you may delete the view.\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/getConfirmation": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/getConfirmation",
"subtitle": "{{$:/core/images/import-button}} You must confirm in order to proceed!",
"text": "\\rules except wikilink\n\n<<message>>\n\n''Are you really sure you want to do this?''"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/createView": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/createView",
"subtitle": "{{$:/core/images/new-button}} Creating a new view",
"text": "\\rules except wikilink\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-text\"\n title:\"View name\"\n field:\"name\"\n focus:\"true\"\n class:\"tmap-trigger-field\n tmap-triggers-ok-button-on-enter\n tmap-triggers-cancel-button-on-esc\"\n descr:\"The name for the new view. If no name is entered,\n the program will invent one for you.\"\n note:\"You cannot override an existing view. In this case,\n you need to delete the old view first! You must no use\n slashes (`/`) in the name.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Clone view\"\n field:\"clone\"\n descr:\"Use the view that is currently displayed in the\n editor as blueprint. The view will be an __exact__\n clone of the current one, only with a different\n name.\">>\n</table>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/deleteNodeDialog": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/deleteNodeDialog",
"subtitle": "{{$:/core/images/delete-button}} You are about to delete <<count>> nodes",
"text": "\\rules except wikilink\n\n''Please choose an option or abort:''\n\n<$radio tiddler=<<output>> field=\"delete-from\" value=\"system\">\n Delete nodes from system <sup>[1]</sup>\n</$radio><br />\n<$radio tiddler=<<output>> field=\"delete-from\" value=\"filter\">\n Delete nodes from graph's filter <sup>[2]</sup>\n</$radio>\n\nThe following nodes will be deleted:\n\n<ul>\n<$list filter=<<tiddlers>>>\n <li><$view tiddler={{!!title}} field=\"title\" /></li>\n</$list>\n</ul>\n\n---\n\n<sup>[1]</sup>\n<small>This will delete all nodes, their corresponding tiddlers and all connected edges.</small><br/>\n<sup>[2]</sup>\n<small>''Important:'' Removing a node from the graph's filter only works, if the node has been added in the map editor per double click or via \"Add Node\". If the node hasn't been added as mentioned above, you need to change the underlying tiddler in a way that it doesn't match your filter anymore, if you don't want it to be displayed in the graph.</small>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/dublicateIdInfo": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/dublicateIdInfo",
"subtitle": "{{$:/core/images/info-button}} Dublicate id detected",
"buttons": "ok_suppress",
"text": "\\rules except wikilink\n\nTiddlyMap requires the value of the id field (\"tmap.id\") to be\nunique in order to correctly identify nodes and tiddlers.\n\nThe id of the \"<<param.changedTiddler>>\" already exists for these tiddlers:\n\n<ul>\n<$list filter=<<param.filter>> variable=\"item\">\n <li><$link><<item>></$link></li>\n</$list>\n</ul>\n\nTherefore TiddlyMap\n\n* assigned a new id to tiddler \"<<param.changedTiddler>>\"\n* removed all edges from \"<<param.changedTiddler>>\"\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/edgeNotVisible": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/edgeNotVisible",
"subtitle": "{{$:/core/images/info-button}} Edge will not be visible in view \"<<view>>\"",
"buttons": "ok_suppress",
"text": "\\rules except wikilink\n\nYou just created an edge of type\n<code><$text text=<<type>> /></code> that will not be\nvisible in this view because it doesn't match your\nedge-type filter settings.\n\nThe current edge-type filter of view \"<<view>>\" looks like this:\n\n<pre><code><$text text=<<eTyFilter>> /></code></pre>\n\nTo have the newly added type displayed in your view, adjust your\nedge-type filter accordingly. \n\nSome suggestions:\n\n<ul>\n <li>\n Explicitly add the type to the filter:\n <code><$text text=\"[[\" /><$text text=<<type>> /><$text text=\"]]\" /></code>\n </li>\n <li>\n <$set\n filter=\"[<type>regexp[:]splitbefore[:]]\"\n name=\"prefix\"\n emptyValue=<<tmap halfOfString \"$(type)$\">>>\n Add a filter rule (e.g. a prefix filter) that will match\n your type: <code>[prefix[<<prefix>>]]</code>\n </$set>\n </li>\n <li>Make your current view-filter less restrictive.</li>\n</ul>\n\nFor further information, please see:\n[[Node and edge-type filters|http://tiddlymap.org#Node%20and%20edge-type%20filters]]."
},
"$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default",
"caption": "Global node style",
"classes": "tmap-remove-top-space",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n A node's ''global configuration''\n (also referred to as //global individual config//) defines its\n individual appearance and behaviour in all views.\n</div>\n<<maybeShowTidColorWarning>>\n \n<$macrocall $name=\"sharedSettings\"\n twIconField=<<tidIconField>>\n faIconField=\"global.tmap.fa-icon\"\n labelField=<<tidLabelField>> />\n\n<$macrocall $name=\"visConfiguration\"\n mode=\"manage-node-types\"\n extensionField=\"global.tmap.style\"\n styleName=\"node's global style\"\n inheritedList=\"[[inherited-global-default-style]]\n [[inherited-local-default-style]]\n [[inherited-group-styles]]\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local",
"caption": "Local node style",
"classes": "tmap-remove-top-space",
"text": "\\rules except wikilink\n \n<div class=\"tmap-flash-message tmap-info\">\n The ''local node configuration'' \n (also referred to as //view-specific node configuration//)\n overrides the //global individual configuration// and only affects\n the node's appearance and behaviour in the current view.\n</div>\n<<maybeShowTidColorWarning>>\n\n<fieldset>\n <legend>Behaviour</legend>\n <table class=\"tmap-config-table\">\n <$macrocall type=\"input-select\"\n $name=\"tmap-row\"\n title=\"Open view\"\n field=\"local.open-view\"\n nochoice=\"Disabled\"\n selectFilter=<<tmap \"option\" \"selector.allViewsByLabel\">>\n descr=\"Clicking on this node will open the specified\n view instead of the tiddler represented by this node.\" />\n </table>\n</fieldset>\n\n<$macrocall $name=\"sharedSettings\"\n twIconField=\"local.tw-icon\"\n faIconField=\"local.fa-icon\"\n labelField=\"local.label\" />\n \n<$macrocall $name=\"visConfiguration\"\n mode=\"manage-node-types\"\n extensionField=\"local-node-style\"\n styleName=\"node's local style\"\n inheritedList=\"[[inherited-global-default-style]]\n [[inherited-local-default-style]]\n [[inherited-group-styles]]\n [[global.tmap.style]]\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/editNode": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/editNode",
"subtitle": "{{$:/core/images/edit-button}} Editing style of node \"<<tiddler>>\"",
"classes": "tmap-remove-top-space",
"text": "\\rules except wikilink\n\n\\define maybeShowTidColorWarning()\n <$list filter=\"[<tidColor>regexp[.+]]\">\n <div class=\"tmap-flash-message tmap-warning\">\n You have set the tiddler's color\n field to \"<<tidColor>>\". This value will be completely ignored\n when you change node's color properties in the vis editor below.\n </div>\n </$list>\n\\end\n\n\\define iconSettings(twIconField, faIconField)\n <fieldset>\n <legend>Icon Settings</legend>\n <table class=\"tmap-config-table\">\n <<tmap-row type:\"input-text\"\n title:\"TW-icon\"\n field:\"$twIconField$\"\n descr:\"A tiddlywiki image reference.\n For example '$:/core/icon' for Movotun Jack.\">>\n <<tmap-row type:\"input-text\"\n title:\"FA-icon\"\n field:\"$faIconField$\"\n descr:\"A Font Awesome icon code.\n For example 'f206' for the bicycle symbol.\">>\n </table>\n </fieldset>\n\\end\n\n\\define sharedSettings(twIconField, faIconField, labelField)\n <fieldset>\n <legend>General Settings</legend>\n <table class=\"tmap-config-table\">\n <<tmap-row type:\"input-text\"\n title:\"Label\"\n field:\"$labelField$\"\n descr:\"Use this value as node label.\">>\n </table>\n </fieldset>\n <!-- display icon fieldset -->\n <<iconSettings \"$twIconField$\" \"$faIconField$\">> \n\\end\n\n<$macrocall\n $name=\"tabs\"\n default=<<concat \"$(template)$/default\">>\n tabsList=\"[all[shadows]prefix<template>] -[<template>]\"\n/>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft",
"caption": "Draft",
"text": "\\rules except wikilink\n\n<div class=\"tmap-modal-editor\">\n <$importvariables filter=\"[all[tiddlers+shadows]prefix[$:/core/macros/]]\">\n <$set name=\"currentTiddler\" value=<<draftTRef>> >\n <$transclude tiddler=\"$:/core/ui/EditTemplate\" field=\"text\" mode=\"block\" />\n </$set>\n </$importvariables>\n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original",
"caption": "Current Version",
"text": "\\rules except wikilink\n\n<div class=\"tmap-modal-editor\">\n <$importvariables filter=\"[all[tiddlers+shadows]prefix[$:/core/macros/]]\">\n <$set name=\"currentTiddler\" value=<<originalTRef>> >\n <$transclude tiddler=\"$:/core/ui/ViewTemplate\" field=\"text\" mode=\"block\" />\n </$set>\n </$importvariables>\n</div>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor",
"subtitle": "{{$:/core/images/edit-button}} <<originalTRef>>",
"classes": "tmap-modal-fullscreen-editor tmap-remove-top-space",
"text": "\\rules except wikilink\n\n\\define defaultTab() $:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original\n\n<$macrocall $name=\"tabs\"\n default=<<defaultTab>>\n tabsList=\"[all[shadows]prefix[$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/]]\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/fieldChanged": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/fieldChanged",
"subtitle": "{{$:/core/images/info-button}} The field \"<<name>>\" changed",
"text": "\\rules except wikilink\n\nYou changed the field \"<<name>>\" from \"<<oldValue>>\" to \"<<newValue>>\".\n\nIt is recommended to let TiddlyMap copy all values from the former field \"<<oldValue>>\" to the new field \"<<newValue>>\" so the data stored in \"<<oldValue>>\" is not lost. This operation has to be done now or never.\n\nDo you want to move each tiddler's existing \"<<oldValue>>\" value to \"<<newValue>>\"? Please note that any value currently stored in \"<<newValue>>\" would consequently be overridden and the old field \"<<oldValue>>\" would be eventually removed!"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenNotSupported": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenNotSupported",
"subtitle": "{{$:/core/images/info-button}} Your machine does not support fullscreen",
"buttons": "ok_suppress",
"text": "\\rules except wikilink\n\nPlease have a look [[here|http://caniuse.com/#feat=fullscreen]] to see a list of supported devices/browsers.\n\nSorry for this :("
},
"$:/plugins/felixhayashi/tiddlymap/dialog/renameView": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/renameView",
"subtitle": "{{$:/core/images/tag-button}} Please specify a view name",
"text": "\\rules except wikilink\n\n''Name:''\n<$edit-text focus=\"true\" tiddler=<<output>> field=\"text\" type=\"text\" tag=\"input\" default=\"\"\n class=\"tmap-trigger-field tmap-triggers-ok-button-on-enter\" />\n\nNote that ''<<count>>'' tiddlers are referencing this view.\n\n<$reveal type=\"nomatch\" text=\"0\" default=<<count>>>\n\nRenaming the view will cause the reference to be invalid.\nIt is recommended to first remove the tiddlymap widgets in\nthe tiddlers listed below or change their view attributes\naccordingly.\n\n''References''\n\n<ul>\n<$list filter=<<refFilter>> variable=\"item\">\n <li><$text text=<<item>> /></li>\n</$list>\n</ul>\n\n</$reveal>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/saveCanvas": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/saveCanvas",
"subtitle": "{{$:/core/images/options-button}} Save a snapshot image of view \"<<view>>\"",
"text": "\\rules except wikilink\n\n\\define preview()\n<div class=\"tmap-save-canvas-preview\">\n <$transclude tiddler=<<snapshot>> /><br />\n Measures: <<width>> × <<height>>\n</div>\n\\end\n\n<table class=\"tmap-config-table\">\n<!--\n <<tmap-row type:\"input-text\"\n title:\"Name\"\n field:\"name\">\n-->\n<$macrocall $name=\"tmap-row\"\n type=\"input-text\"\n title=\"Name\"\n field=\"name\"\n descr=<<preview>> />\n</table>\n\n<fieldset><legend>Options</legend>\n <table class=\"tmap-config-table\">\n <<tmap-row type:\"input-radio\"\n title:\"Action\"\n field:\"action\" \n selectFilter:\"[[download|Download]]\n [[wiki|Save in wiki]]\n [[placeholder|Use as placeholder for this view]]\"\n descr:\"Save the image by downloading it to your computer or\n save it as a tiddler in your wiki.<br /><br />\n A third option is to make TiddlyMap use this image as\n placeholder for the current view. Placeholders are used\n when tiddlers are exported in form of static html\n or when editing a tiddler while having the preview\n shown. In this case the title input is ignored.\">>\n </table>\n</fieldset>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog",
"text": "\\rules except wikilink\n\n<div class=<<classes>>>\n<$importvariables\n filter=\"[[$:/plugins/felixhayashi/tiddlymap/misc/macros]]\n [[$:/core/macros/tabs]]\">\n<$transclude tiddler=<<template>> mode=\"block\" />\n</$importvariables>\n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/welcome": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/welcome",
"subtitle": "{{$:/core/images/info-button }} Welcome",
"buttons": "ok",
"text": "\\rules except wikilink\n\nIt seems that you freshly installed TiddlyMap.\n\n* In case you need any help, please consult the [[online docs|http://tiddlymap.org#Documentation]] first.\n* You are welcome to create an [[issue|https://github.com/felixhayashi/TW5-TiddlyMap/issues]] at GitHub for any bug you discover.\n* Make sure to revisit the [[demo site|http://tiddlymap.org]] to see whether your version is up-to-date.\n* If you like TiddlyMap, please give it a star at [[GitHub|https://github.com/felixhayashi/TW5-TiddlyMap]] or tell your friends about it :)\n* ''Please note:'' TiddlyMap is distributed under the [[BSD 2-Clause License|http://opensource.org/licenses/BSD-2-Clause]], which belongs to the same license family, as the license used by TiddlyWiki. By using this plugin you agree to the product's [[License Terms|https://github.com/felixhayashi/TW5-TiddlyMap/blob/master/LICENSE]].\n\nEnjoy!\n\n---\n\nTiddlyMap will configure your wiki for optimal use. Everything can be changed back later by you, via the TiddlyWiki configurations panel, so no worries. If you checked some of the options below, please save & restart after closing this dialog.\n\n<table class=\"tmap-config-table\">\n <<tmap-row type:\"input-checkbox\"\n title:\"Optimize storyview\"\n field:\"config.storyview\"\n descr:\"Sets the storyview to 'top' for optimal scrolling.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Optimize sidebar\"\n field:\"config.sidebar\"\n descr:\"Sets sidebar layout to 'fixed-fluid' for larger editor.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Optimize navigation\"\n field:\"config.navigation\"\n descr:\"Sets navigation style to open tiddlers at top for better map navigation.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Create demo welcome map\"\n field:\"config.demo\"\n descr:\"Creates a little hello world welcome map for you.\">>\n</table>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/widgetCodeGenerator": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/widgetCodeGenerator",
"subtitle": "{{$:/core/images/permalink-button}} Widget Code Generator",
"buttons": "close",
"text": "\\rules except wikilink\n\n<div class=\"tmap-flash-message tmap-info\">\n Use the code below to embed a view in a tiddler.\n</div>\n\n<pre style=\"white-space: normal;\">\n<$tmap\n\n<$list filter=\"[<output>has[var.view]]\">\n view=\"<$view field='var.view' />\"</$list>\n<$list filter=\"[<output>field:var.click-to-use[true]]\">\n click-to-use=\"true\"</$list>\n<$list filter=\"[<output>has[var.editor]]\">\n editor=\"<$view field='var.editor' />\"</$list>\n<$list filter=\"[<output>has[var.width]]\">\n width=\"<$view field='var.width' />\"</$list>\n<$list filter=\"[<output>has[var.height]]\">\n height=\"<$view field='var.height' />\"</$list>\n<$list filter=\"[<output>has[var.class]]\">\n class=\"<$view field='var.class' />\"</$list>\n<$list filter=\"[<output>field:var.show-buttons[false]]\">\n show-buttons=\"false\"</$list>\n<$list filter=\"[<output>has[var.design]]\">\n design=\"<$view field='var.design' />\"</$list>></$tmap>\n</pre>\n\n<fieldset>\n <legend>Parameters</legend> \n <table class=\"tmap-config-table\">\n <$macrocall type=\"input-select\"\n $name=\"tmap-row\"\n title=\"View\"\n field=\"var.view\"\n nochoice=\" \"\n selectFilter=<<tmap \"option\" \"selector.allViewsByLabel\">>\n descr=\"The view to bind the wiedget to\" />\n <<tmap-row type:\"input-select\"\n title:\"Editor bar\"\n field:\"var.editor\"\n selectFilter:\"[[|Hidden]]\n [[vis|Simple]]\n [[advanced|Advanced]]\"\n descr:\"Whether the widget should act as an editor or not.\">>\n <<tmap-row type:\"input-select\"\n title:\"Design\"\n field:\"var.design\"\n selectFilter:\"[[|Normal]]\n [[plain|Plain]]\"\n descr:\"Usually a header is displayed and borders. Plain\n design will only show the mere graph.\">>\n <<tmap-row type:\"input-text\"\n title:\"Height\"\n field:\"var.height\"\n descr:\"Graph's height in css units. Defaults to '300px'.\">>\n <<tmap-row type:\"input-text\"\n title:\"Width\"\n field:\"var.width\"\n descr:\"Graph's width in css units. Defaults to '100%'.\">>\n <<tmap-row type:\"input-text\"\n title:\"Class\"\n field:\"var.class\"\n descr:\"A custom class to apply your own css.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Click to use\"\n field:\"var.click-to-use\"\n default:\"false\"\n descr:\"A click is needed to enable the graph.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Show buttons\"\n field:\"var.show-buttons\"\n default:\"true\"\n descr:\"Show or hide the graph's navigation buttons.\">>\n </table>\n</fieldset>"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter/close": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter/close",
"text": "\\rules except wikilink\n\n<$button class=\"tmap-dialog-button tmap-close-button\" tooltip=\"Close this dialog\">Close\n\n <!-- trigger dialog callback -->\n <$action-setfield $tiddler=<<result>> text=\"1\" />\n \n</$button>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok",
"text": "\\rules except wikilink\n\n<$button class=\"tmap-dialog-button tmap-ok-button\" tooltip=\"Confirm dialog\">OK\n\n <!-- trigger dialog callback -->\n <$action-setfield $tiddler=<<result>> text=\"1\" />\n \n</$button>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok_cancel": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok_cancel",
"text": "\\rules except wikilink\n\n<$transclude tiddler=\"$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok\" mode=\"inline\" />\n<$button class=\"tmap-dialog-button tmap-cancel-button\" tooltip=\"Close dialog without saving\">Cancel\n <!-- trigger dialog callback -->\n <$action-setfield $tiddler=<<result>> text=\"\" />\n</$button>\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok_suppress": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter/ok_suppress",
"text": "\\rules except wikilink\n\n<$set name=\"currentTiddler\" value=<<title>> >\n\n<$checkbox field=\"suppress\" checked=\"1\" unchecked=\"0\" default=\"0\"> Do not show this dialog again</$checkbox>\n<$button class=\"tmap-dialog-button tmap-ok-button\" tooltip=\"Confirm this dialog\">OK\n\n <!-- trigger dialog callback -->\n <$action-setfield $tiddler=<<result>> text=\"1\" />\n \n <!-- suppress dialog in the future -->\n <$action-sendmessage $message=\"tmap:tm-suppress-dialog\"\n dialog=<<templateId>>\n suppress={{!!suppress}} />\n \n</$button>\n\n</$set>"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter",
"text": "\\rules except wikilink\n\n\\define footer() $:/plugins/felixhayashi/tiddlymap/dialogFooter/$(buttons)$\n\n<$transclude tiddler=<<footer>> />\n\n<!-- we need this button to be able to close a tiddler from outside programmatically -->\n<$button class=\"tmap-hidden-close-button\" message=\"tm-close-tiddler\" />"
},
"$:/plugins/felixhayashi/tiddlymap/dialogFooter/element_type_manager": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialogFooter/element_type_manager",
"text": "\\rules except wikilink\n\n<$button\n class=\"tmap-dialog-button tmap-save-button\"\n tooltip=\"Save the current changes\">Save\n <$action-sendmessage\n $message=\"tmap:tm-save-type-form\"\n mode=<<mode>>\n output=<<output>> />\n</$button>\n<$button\n class=\"tmap-dialog-button tmap-cancel-button\"\n tooltip=\"Cancel the most resent changes and exit\">Quit\n <$action-setfield $tiddler=<<result>> text=\"1\" />\n</$button>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/deleteType": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/deleteType",
"caption": "Removal",
"text": "\\rules except wikilink\n\n\\define manage-edge-types()\n <$macrocall $name=\"tmap-row\"\n type=\"input-checkbox\"\n title=\"Delete type\"\n field=\"temp.deleteType\"\n default={{!id}}\n readonly={{!!temp.idImmutable}}\n descr=\"If you want to delete this type, set this to true\n and click the save button afterwards. Predefined system\n types cannot be deleted.\" note=\"Consequently, all edges\n of this type will be deleted.\" />\n\\end\n\n\\define manage-node-types()\n <$macrocall $name=\"tmap-row\"\n type=\"input-checkbox\"\n title=\"Delete type\"\n field=\"temp.deleteType\"\n default={{!id}}\n readonly={{!!temp.idImmutable}}\n descr=\"If you want to delete this type, set this to true and\n click the save button afterwards. Predefined system\n types cannot be deleted.\" />\n\\end\n\n<table class=\"tmap-config-table\"><$macrocall $name=<<mode>> /></table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/description": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/description",
"caption": "Description",
"text": "\\rules except wikilink\n\n\\define manage-edge-types()\n <<tmap-row type:\"input-textarea\"\n title:\"Description\"\n field:\"description\"\n descr:\"An optional description for this type. The\n description will be displayed as tooltip when\n moving the mouse over an edge of this type.\">>\n\\end\n\n\\define manage-node-types()\n <<tmap-row type:\"input-textarea\"\n title:\"Description\"\n field:\"description\" \n descr:\"An optional description for this type.\">>\n\\end\n\n<table class=\"tmap-config-table\">\n <$macrocall $name=<<mode>> />\n</table>\n\n"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/generalSettings": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/generalSettings",
"caption": "General",
"text": "\\rules except wikilink\n\n\\define manage-edge-types()\n <<tmap-row type:\"input-text\"\n title:\"Label\"\n field:\"label\" \n descr:\"An optional alias used as edge-label.\">>\n <<tmap-row type:\"input-checkbox\"\n title:\"Show label\"\n field:\"show-label\"\n default:\"true\"\n descr:\"If unchecked, no edge label will be displayed.\">>\n\\end\n\\define manage-node-types()\n <$list filter=\"[<currentTiddler>!regexp:id[tmap:]]\">\n <<tmap-row type:\"input-textarea\"\n title:\"Scope\"\n field:\"scope\" \n descr:\"A filter expression that defines, which nodes inherit\n this node-type and its style.\">>\n </$list>\n <$macrocall type=\"input-select\"\n $name=\"tmap-row\" \n title=\"Priority\"\n field=\"priority\"\n selectFilter=<<tmap \"scale\" \"100\">>\n descr=\"When a type has a a higher priority than another type,\n its style will override the other style\" />\n\\end\n\n<table class=\"tmap-config-table\">\n <$macrocall\n $name=\"tmap-row\"\n title=\"Identifier\"\n field=\"temp.newId\"\n type=\"input-text\"\n default={{!!id}}\n readonly={{!!temp.idImmutable}}\n descr=\"A unique identifier\"\n />\n <$macrocall $name=<<mode>> />\n</table>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/overview": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/overview",
"caption": "Overview",
"text": "\\rules except wikilink\n\n\\define date(f) <$view field=$f$ format=\"date\" template=\"DDth mmm hh:mm:ss\"/>\n\n! <$link to={{!!typeTRef}}><$view field=\"id\" /></$link>\n\n<table class=\"tmap-key-value-table\">\n <tr>\n <th align=\"left\">Created on</th>\n <td>\n <<date \"created\">>\n </td>\n </tr>\n <tr>\n <th align=\"left\">Modified on</th>\n <td><<date \"modified\">></td>\n </tr>\n <tr>\n <th align=\"left\">Usage count</th>\n <td>\n <span class=\"tmap-edge-type-specific\">\n <$view field=\"temp.usageCount\" />\n </span>\n <span class=\"tmap-node-type-specific\">\n <$count filter={{!!scope}}>0</$count>\n </span>\n </td>\n </tr>\n</table>\n\n<$view field=\"description\">//No description available//</$view>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/styling": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/styling",
"caption": "Styling",
"text": "\\rules except wikilink\n\n\\define url()\n <$set\n filter=\"[<mode>prefix[manage-edge-types]]\"\n name=\"module\"\n value=\"edges\"\n emptyValue=\"nodes\">\n [[visjs.org|http://visjs.org/docs/network/$(module)$]]\n </$set>\n\\end\n\n<fieldset class=\"tmap-node-type-specific\">\n <legend>Icon Settings</legend>\n <table class=\"tmap-config-table\">\n <<tmap-row type:\"input-text\"\n title:\"TW-icon\"\n field:\"tw-icon\"\n descr:\"A tiddlywiki image reference.\n For example '$:/core/icon' for Movotun Jack.\">>\n <<tmap-row type:\"input-text\"\n title:\"FA-icon\"\n field:\"fa-icon\"\n descr:\"A Font Awesome icon code.\n For example 'f206' for the bicycle symbol.\">>\n </table>\n</fieldset>\n\n<fieldset><legend>Visjs styles</legend>\n <div class=\"tmap-flash-message tmap-info\">\n All visjs options below are documented at <<url>>.\n </div>\n <div class=\"tmap-flash-message tmap-info\">\n Only config items that you actually changed have an effect on\n the graph. Other options are visible, yet, inactive.\n </div>\n <$tmap-config\n mode=<<mode>>\n inherited=\"vis-inherited\"\n extension=\"style\" />\n</fieldset>"
},
"$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager": {
"title": "$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager",
"subtitle": "{{$:/core/images/tag-button}} <<topic>>",
"buttons": "element_type_manager",
"classes": "tmap-remove-top-space",
"text": "\\rules except wikilink\n\n\\define defaultTab()\n$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/overview\n\\end\n\n\\define settingsTab()\n$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/generalSettings\n\\end\n\n\\define tabsFilter()\n[all[shadows]prefix[$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/]]\n\\end\n\n\\define searchFilter()\n$(searchSelector)$\n+[sort[title]nsort[priority]]\n+[removeprefix<typeRootPath>removeprefix[/]]\n+[search:title{$:/temp/tmap/MapElementTypeSearch}]\n\\end\n\n\\define managerClass() tmap-$(mode)$\n\n\\define typePath() $(typeRootPath)$/$(id)$\n\n<div id=\"tmap-element-type-manager\" class=<<managerClass>>>\n <div>\n <div class=\"tmap-searchbar\">\n <$edit-text\n focus=\"true\"\n tiddler=\"$:/temp/tmap/MapElementTypeSearch\"\n type=\"text\"\n tag=\"input\"\n default=\"\" />\n <$list filter=\"[{$:/temp/tmap/MapElementTypeSearch}regexp[.+]]\n +[addprefix[/]]\n +[addprefix<typeRootPath>]\n +[!is[tiddler]!is[shadow]]\">\n <$button tooltip=\"Create a new type of this name\">\n {{$:/core/images/new-button}}\n <$action-sendmessage\n $message=\"tmap:tm-create-type\"\n mode=<<mode>>\n id={{$:/temp/tmap/MapElementTypeSearch}}\n output=<<output>> />\n <$action-setfield $tiddler=\"$:/temp/tmap/MapElementTypeSearch\" text=\"\" />\n </$button>\n </$list>\n\n </div>\n <ul>\n <$list\n filter=<<searchFilter>>\n emptyMessage=<<noTypeFound>>\n variable=\"id\">\n <li>\n <span class=\"tmap-ranking tmap-node-type-specific\">\n # <$view tiddler=<<typePath>> field=\"priority\">1</$view>\n </span>\n <$button class=\"tc-btn-invisible tmap-link\"><<id>>\n <$action-setfield\n $tiddler=<<qualify \"$:/state/tabs/MapElementTypeManager\">>\n text=<<defaultTab>> />\n <$action-sendmessage\n $message=\"tmap:tm-load-type-form\"\n id=<<id>>\n mode=<<mode>>\n output=<<output>> />\n </$button>\n </li>\n </$list>\n </ul>\n </div>\n <div>\n <$reveal type=\"nomatch\" text=\"\" default={{!!id}} >\n <$macrocall\n $name=\"tabs\"\n default=<<defaultTab>>\n state=\"$:/state/tabs/MapElementTypeManager\"\n tabsList=<<tabsFilter>> />\n </$reveal>\n <$reveal type=\"match\" text=\"\" default={{!!id}} >\n <div class=\"tmap-flash-message tmap-info\">\n Please select a type from the list or create a new one by\n entering the type name in the search field on the left.\n </div>\n <div class=\"tmap-flash-message tmap-info tmap-node-type-specific\">\n The number next to the node-type label represents it's priority.\n </div>\n </$reveal>\n </div>\n</div>\n"
},
"$:/plugins/felixhayashi/tiddlymap/editor/contextMenu/node": {
"title": "$:/plugins/felixhayashi/tiddlymap/editor/contextMenu/node",
"text": "\\define single()\n <$list filter=\"\n [[tmap:tm-toggle-central-topic, $:/core/images/star-filled, Toggle central topic]]\n [[tmap:tm-delete-element, $:/core/images/delete-button, Delete node]]\">\n <$button class=\"tc-btn-invisible\">\n <$action-sendmessage $message=<<tmap \"splitAndSelect\" \", \" \"0\">> />\n <$transclude tiddler=<<tmap \"splitAndSelect\" \", \" \"1\">> />\n <<tmap \"splitAndSelect\" \", \" \"2\">>\n </$button>\n </$list>\n\\end\n\n\\define multi()\n <$list filter=\"\n [[tmap:tm-delete-element, $:/core/images/delete-button, Delete selected nodes]]\">\n <$button class=\"tc-btn-invisible\">\n <$action-sendmessage $message=<<tmap \"splitAndSelect\" \", \" \"0\">> />\n <$transclude tiddler=<<tmap \"splitAndSelect\" \", \" \"1\">> />\n <<tmap \"splitAndSelect\" \", \" \"2\">>\n </$button>\n </$list>\n\\end\n\n<div class=\"tc-drop-down\">\n <$macrocall $name=<<mode>> />\n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/misc/advancedEditorBar": {
"title": "$:/plugins/felixhayashi/tiddlymap/misc/advancedEditorBar",
"text": "\\rules except wikilink\n\\define showEdgeField() show-$(curEdgeId)$\n\n<!-- === View Switcher ========================================== -->\n\n<div class=\"tmap-menu-bar\">\n View:\n <$reveal type=\"match\" text=\"false\" default=<<isViewBound>> >\n <$select\n tiddler=<<viewHolder>>\n field=\"text\"\n default=<<viewLabel>> >\n <$list filter=<<tmap \"option\" \"selector.allViewsByLabel\">> >\n <option value={{!!title}}>\n <$view tiddler={{!!title}} field=\"title\" />\n </option>\n </$list>\n </$select>\n </$reveal>\n <$reveal type=\"match\" text=\"true\" default=<<isViewBound>> >\n <b><<viewLabel>></b>\n </$reveal>\n\n<!-- === Menu =================================================== -->\n\n <$button\n popup=<<qualify \"$:/temp/menu\">>\n tooltip=\"Open the Menu\">{{$:/core/images/menu-button}}\n </$button>\n\n <$reveal type=\"popup\" position=\"below\" state=<<qualify \"$:/temp/menu\">> >\n <div class=\"tc-drop-down\">\n <a href=\"http://tiddlymap.org#Documentation\" target=\"_blank\">\n {{$:/core/images/info-button}} Open online help\n </a>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-create-view\">\n {{$:/core/images/new-button}} Create new view\n </$button>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-generate-widget\">\n {{$:/core/images/permalink-button}} Grab widget code\n </$button>\n <div class=\"tmap-list-separator\">Global configurations:</div>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-configure-system\">\n {{$:/core/images/options-button}} Configure TiddlyMap\n </$button>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-manage-edge-types\">\n <span class=\"tmap-unicode-icon\">◭</span> Manage edge-types\n </$button>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-manage-node-types\">\n <span class=\"tmap-unicode-icon\">▢</span> Manage node-types\n </$button>\n <div class=\"tmap-view-actions\">\n <div class=\"tmap-list-separator\">Actions for this view:</div>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-edit-view\">\n {{$:/core/images/options-button}} Configure view\n </$button>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-rename-view\">\n {{$:/core/images/tag-button}} Rename view\n </$button>\n <$button class=\"tc-btn-invisible\" message=\"tmap:tm-delete-view\">\n {{$:/core/images/delete-button}} Delete view\n </$button>\n </div>\n </div>\n </$reveal>\n\n<!-- === Neighbourhood menu ===================================== -->\n\n <$reveal\n type=\"match\"\n text=\"true\"\n default=<<tmap \"option\"\n \"config.sys.editorMenuBar.showNeighScopeButton\">>>\n <$button\n class=<<neighScopeBtnClass>>\n tooltip=\"Change the neighbourhood scope\"\n popup=<<qualify \"$:/temp/neighScope\">>>\n ☀\n </$button>\n </$reveal>\n\n <$reveal type=\"popup\" position=\"below\" state=<<qualify \"$:/temp/neighScope\">> >\n <div class=\"tc-drop-down\">\n <div class=\"tmap-list-separator\">Neighbourhood scope</div>\n <$button class=\"tc-btn-invisible\">None\n <$action-setfield\n $tiddler=<<viewRoot>>\n config.neighbourhood_scope=\"\" />\n </$button>\n <$list filter=\"[[1|1 step distance]]\n [[2|2 step distance]]\n [[3|3 step distance]]\n [[4|4 step distance]]\n [[5|5 step distance]]\">\n <$button class=\"tc-btn-invisible\">\n <<tmap \"splitAndSelect\" \"|\" \"1\">>\n <$action-setfield\n $tiddler=<<viewRoot>>\n config.neighbourhood_scope=<<tmap \"splitAndSelect\" \"|\" \"0\">> />\n </$button>\n </$list>\n <$button class=\"tc-btn-invisible\">No limit\n <$action-setfield\n $tiddler=<<viewRoot>>\n config.neighbourhood_scope=\"100\" />\n </$button>\n <div class=\"tmap-list-separator\">Neighbourhood traversal</div>\n <$radio field=\"config.neighbourhood_directions\" value=\"in\"> Incoming</$radio><br />\n <$radio field=\"config.neighbourhood_directions\" value=\"out\"> Outgoing</$radio><br />\n <$radio field=\"config.neighbourhood_directions\" value=\"\"> Both</$radio>\n <div class=\"tmap-list-separator\">Other</div>\n <$checkbox field=\"config.show_inter_neighbour_edges\"\n checked=\"true\" unchecked=\"false\"> Inter-neighbour edges</$checkbox>\n\n </div>\n </$reveal>\n\n<!-- === Export menu ============================================ -->\n\n <$reveal\n type=\"match\"\n text=\"true\"\n default=<<tmap \"option\" \"config.sys.editorMenuBar.showScreenshotButton\">>>\n <$button tooltip=\"Open the map-export menu\" popup=<<qualify \"$:/temp/mapExport\">>>\n {{$:/core/images/download-button}}\n </$button>\n </$reveal>\n\n <$reveal type=\"popup\" position=\"below\" state=<<qualify \"$:/temp/mapExport\">>>\n <div class=\"tc-drop-down\">\n <$button\n class=\"tc-btn-invisible\"\n tooltip=\"Export the graph and all its elements\n in form of a JSON file\">\n {{$:/core/images/permalink-button}} Save as JSON file\n <$action-sendmessage\n $message=\"tmap:tm-download-graph\"\n view=<<viewLabel>> />\n </$button>\n <$button\n class=\"tc-btn-invisible\"\n tooltip=\"Create a png image to download or save it\n as image or view-placeholder in your wiki\">\n {{$:/core/images/palette}} Save as png image\n <$action-sendmessage $message=\"tmap:tm-save-canvas\" />\n </$button>\n </div>\n </$reveal>\n\n<!-- === Raster menu ============================================ -->\n\n <$reveal\n type=\"match\"\n text=\"true\"\n default=<<tmap \"option\" \"config.sys.editorMenuBar.showRasterMenuButton\">>>\n <$button\n tooltip=\"Change the raster of your map\"\n class=<<rasterMenuBtnClass>>\n popup=<<qualify \"$:/temp/rasterMenu\">>>\n ⩩\n </$button>\n </$reveal>\n\n <$reveal type=\"popup\" position=\"below\" state=<<qualify \"$:/temp/rasterMenu\">>>\n <div class=\"tc-drop-down\">\n <$button class=\"tc-btn-invisible\">Disable raster\n <$action-setfield\n $tiddler=<<viewRoot>>\n config.raster=\"\" />\n </$button>\n <div class=\"tmap-list-separator\">Raster sizes</div>\n <$list filter=\"[[50|50px]]\n [[75|75px]]\n [[100|100px]]\n [[150|150px]]\">\n <$button class=\"tc-btn-invisible\">\n <<tmap \"splitAndSelect\" \"|\" \"1\">>\n <$action-setfield\n $tiddler=<<viewRoot>>\n config.raster=<<tmap \"splitAndSelect\" \"|\" \"0\">> />\n </$button>\n </$list>\n </div>\n </$reveal>\n\n</div>\n"
},
"$:/plugins/felixhayashi/tiddlymap/misc/focusButton": {
"title": "$:/plugins/felixhayashi/tiddlymap/misc/focusButton",
"text": "\\define filter() [list[$:/temp/tmap/nodes/$(viewLabel)$]search:title{$:/temp/tmap/bar/search}]\n\\define concat(str) $str$\n\n\\define state() $(widgetPopupsPath)$/focus\n\n<div class=\"tmap-focus-button\">\n <$reveal type=\"match\" state=<<state>> text=\"\">\n <$button\n tooltip=\"Zoom on a specific node\"\n class=<<tv-config-toolbar-class>>>{{$:/core/images/advanced-search-button}}\n <$action-setfield $tiddler=\"$:/temp/tmap/bar/search\" text=\"\" />\n <$action-setfield $tiddler=<<state>> text=\"1\" />\n </$button>\n </$reveal>\n <$reveal type=\"nomatch\" state=<<state>> text=\"\">\n <$button\n tooltip=\"Close zoom popup\"\n class=<<tv-config-toolbar-class>>>{{$:/core/images/advanced-search-button}}\n <$action-setfield $tiddler=<<state>> text=\"\" />\n </$button>\n <div class=\"tmap-search-dropdown\">\n <div class=\"tc-drop-down\">\n <$edit-text\n focus=\"true\"\n tiddler=\"$:/temp/tmap/bar/search\"\n field=\"text\"\n type=\"text\"\n tag=\"input\"\n default=\"\" />\n <small><$count filter=<<filter>> /> results</small>\n <hr />\n <div class=\"tmap-very-small-list\">\n <$list filter=<<filter>>\n variable=\"item\"\n emptyMessage=\"//No results//\">\n <$button\n class=\"tc-btn-invisible\"\n message=\"tmap:tm-focus-node\"\n param=<<item>>>\n <$view tiddler=<<item>> field=\"title\" />\n </$button>\n </$list>\n </div>\n </div>\n </div>\n </$reveal>\n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/hook/editor": {
"title": "$:/plugins/felixhayashi/tiddlymap/hook/editor",
"caption": "Map",
"tags": "$:/tags/SideBar",
"text": "\\define width() calc(100% - 15px)\n\n<div class=\"tmap-mobile-editor\">\n <div class=\"tmap-flash-message tmap-warning\">\n The editor is not displayed in mobile mode.\n </div>\n</div>\n<div class=\"tmap-desktop-editor\">\n <$tiddlymap\n class=\"tmap-sidebar-map-editor\"\n editor=\"advanced\"\n object-id=\"main_editor\"\n click-to-use=\"false\">\n </$tiddlymap>\n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/hook/liveTab": {
"title": "$:/plugins/felixhayashi/tiddlymap/hook/liveTab",
"caption": "Live",
"text": "\\define width() calc(100% - 15px)\n\n<div class=\"tmap-mobile-editor\">\n <div class=\"tmap-flash-message tmap-warning\">\n The live tab is not displayed in mobile mode.\n </div>\n</div>\n<div class=\"tmap-desktop-editor\">\n <$set name=\"view\"\n filter=\"[{$:/temp/tmap/currentTiddler}get[tmap.open-view]]\"\n emptyValue=<<tmap \"option\" \"config.sys.liveTab.fallbackView\">>>\n <div>\n <$tiddlymap\n view=<<view>>\n click-to-use=\"false\"\n refresh-triggers=\"$:/temp/tmap/currentTiddler\"\n object-id=\"live_tab\">\n </$tiddlymap>\n </div>\n </$set> \n</div>"
},
"$:/plugins/felixhayashi/tiddlymap/misc/quickConnectButton": {
"title": "$:/plugins/felixhayashi/tiddlymap/misc/quickConnectButton",
"tags": "$:/tags/ViewToolbar",
"description": "{{$:/language/Buttons/TiddlyMap/Hint}}",
"caption": "{{$:/plugins/felixhayashi/tiddlymap/icon}} {{$:/language/Buttons/TiddlyMap/Caption}}",
"text": "\\define buttonClass() $(tv-config-toolbar-class)$ $(additional-classes)$\n\n\\define nonExistentItem()\n<<item>> <span style=\"color: #9E9E9E\">(will be created)</span>\n\\end\n\n\\define noConnectionsMsg()\n<tr><td colspan=\"4\">//No connections found!//</td></tr>\n\\end\n\n\\define normalSearchFilter()\n[!is[system]!has[draft.of]search:title{$:/temp/quickConnectSearch}sortcs[title]limit[50]]\n\\end\n\n\\define regexSearchFilter()\n[!is[system]!has[draft.of]regexp{$:/temp/quickConnectSearch}sortcs[title]limit[50]]\n\\end\n\n\\define showButton(state)\n<$button set=\"$:/temp/tmap/state/popup/quickConnect\"\n setTo=\"$state$\" tooltip={{$:/language/Buttons/TiddlyMap/Hint}} \n aria-label={{$:/language/Buttons/TiddlyMap/Caption}}\n class=<<buttonClass>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">{{$:/plugins/felixhayashi/tiddlymap/icon}}</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/TiddlyMap/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n\\define searchResults()\n<td>\n <$button tooltip=\"Create incoming edge\">\n <<tmap \"option\" \"misc.arrows.in\">>\n <$action-sendmessage $message=\"tmap:tm-create-edge\"\n from=<<item>>\n to=<<currentTiddler>>\n force=\"true\"\n label={{$:/temp/quickConnectSearch/type}}\n view={{$:/temp/quickConnectSearch/view}} />\n </$button>\n</td>\n<td>\n <$button tooltip=\"Create outgoing edge\">\n <<tmap \"option\" \"misc.arrows.out\">>\n <$action-sendmessage $message=\"tmap:tm-create-edge\"\n from=<<currentTiddler>>\n to=<<item>>\n force=\"true\"\n label={{$:/temp/quickConnectSearch/type}}\n view={{$:/temp/quickConnectSearch/view}} />\n </$button>\n</td>\n<td>\n <$list\n filter=\"[<item>is[tiddler]]\"\n emptyMessage=<<nonExistentItem>>>\n <$view tiddler=<<item>> field=\"title\" />\n </$list>\n</td>\n\\end\n\n\\define hidePopup()\n<$macrocall $name=\"showButton\" state=<<qualify>> />\n\\end\n\n\\define showPopup()\n<$set name=\"additional-classes\" value=\"tmap-active-button\">\n <$macrocall $name=\"showButton\" state=\"\" />\n</$set>\n<$set\n name=\"searchFilter\"\n filter=\"[field:title[$:/state/tmap/tid-toolbar]has[re-filter]]\"\n value=<<regexSearchFilter>>\n emptyValue=<<normalSearchFilter>>>\n<div class=\"tmap-quick-connect tc-reveal tc-popup\">\n <div class=\"tc-drop-down\">\n <div class=\"title\">Create connection</div>\n <table class=\"tmap-quick-connect-search-bar\">\n <tr>\n <td>Type:</td>\n <td>\n <$edit-text\n tiddler=\"$:/temp/quickConnectSearch/type\"\n field=\"text\"\n type=\"text\"\n tag=\"input\"\n default=\"\" />\n <$select tiddler=\"$:/temp/quickConnectSearch/type\" default=\"\">\n <option></option>\n <$list filter=<<tmap \"option\" \"selector.allEdgeTypesById\">>>\n <option>{{!!title}}</option>\n </$list>\n </$select>\n </td>\n </tr>\n <tr>\n <td>Search:</td>\n <td>\n <$edit-text tiddler=\"$:/temp/quickConnectSearch\" type=\"text\" tag=\"input\" default=\"\"></$edit-text>\n <$checkbox\n tiddler=\"$:/state/tmap/tid-toolbar\"\n field=\"re-filter\"\n checked=\"1\"\n unchecked=\"\"\n default=\"\"> regexp\n </$checkbox>\n<!--\n <small>(<$count filter=<<searchFilter>> /> results)</small>\n-->\n </td>\n </tr>\n </table>\n <table class=\"tmap-create-connection-table\">\n <tr>\n <td colspan=\"2\">\n <table class=\"tmap-very-small-list\">\n <$list\n filter=<<searchFilter>>\n variable=\"item\">\n <tr><<searchResults>></tr>\n </$list>\n <tr>\n <$list filter=\"[{$:/temp/quickConnectSearch}regexp[.+]] -[is[tiddler]]\" variable=\"item\">\n <<searchResults>>\n </$list>\n </tr>\n </table>\n </td>\n </tr>\n </table>\n <div class=\"title\">Existing Connections</div>\n <div class=\"tmap-quick-connect-existing-bar\">\n <$select\n tiddler=\"$:/state/tmap/tid-toolbar\"\n field=\"direction\"\n default=\"both\">\n <option value=\"both\">both</option>\n <option value=\"in\">incoming</option>\n <option value=\"out\">outgoing</option>\n </$select>\n <$checkbox\n tiddler=\"$:/state/tmap/tid-toolbar\"\n field=\"filter.links\"\n checked=\"-[[tw-body:link]]\"\n unchecked=\"\"\n default=\"\"> hide links\n </$checkbox>\n </div>\n <table class=\"tmap-connection-table\">\n<!--\n <tr>\n <th></th>\n <th>Tiddler</th>\n <th>Type</th>\n <th></th>\n </tr>\n-->\n \n <$tmap-connections\n filter=<<tmap mergeFields \"$:/state/tmap/tid-toolbar\" \"filter.\">>\n direction={{$:/state/tmap/tid-toolbar!!direction}}\n emptyMessage=<<noConnectionsMsg>>>\n <tr>\n <td title=<<direction>>><<directionSymbol>></td>\n <td><$link to=<<neighbour>>><$view field=\"title\" /></$link></td>\n <td><<edge.type>></td>\n <td>\n <$button\n tooltip=\"Delete this connection\"\n class=\"tc-btn-invisible\">{{$:/core/images/close-button}}\n <$action-sendmessage $message=\"tmap:tm-remove-edge\"\n id=<<edge.id>>\n from=<<edge.from>>\n to=<<edge.to>>\n type=<<edge.type>> />\n </$button>\n </td>\n </tr>\n </$tmap-connections>\n </table> \n </div>\n</div>\n</$set>\n\\end\n\n<$list filter=\"[all[current]is[tiddler]]\"><$list filter=\"[{$:/temp/tmap/state/popup/quickConnect}prefix<qualify>]\" variable=\"item\" emptyMessage=<<hidePopup>>><<showPopup>></$list></$list>"
},
"$:/plugins/felixhayashi/tiddlymap/media/fullscreen.png": {
"title": "$:/plugins/felixhayashi/tiddlymap/media/fullscreen.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/tiddlymap/media/halfscreen.png": {
"title": "$:/plugins/felixhayashi/tiddlymap/media/halfscreen.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/tiddlymap/icon": {
"title": "$:/plugins/felixhayashi/tiddlymap/icon",
"tags": "$:/tags/Image",
"text": "<svg\n xmlns:dc=\"http://purl.org/dc/elements/1.1/\"\n xmlns:cc=\"http://creativecommons.org/ns#\"\n xmlns:rdf=\"http://www.w3.org/1999/02/22-rdf-syntax-ns#\"\n xmlns:svg=\"http://www.w3.org/2000/svg\"\n xmlns=\"http://www.w3.org/2000/svg\"\n xmlns:inkscape=\"http://www.inkscape.org/namespaces/inkscape\"\n class=\"tc-image-tiddlymap-button tc-image-button\"\n version=\"1.1\"\n width=\"22pt\"\n height=\"22pt\"\n viewBox=\"0 0 128 128\">\n <defs\n id=\"defs4\">\n <marker\n refX=\"0\"\n refY=\"0\"\n orient=\"auto\"\n id=\"Arrow1Lend\"\n style=\"overflow:visible\">\n <path\n d=\"M 0,0 5,-5 -12.5,0 5,5 0,0 z\"\n transform=\"matrix(-0.8,0,0,-0.8,-10,0)\"\n id=\"path3850\"\n style=\"fill-rule:evenodd;stroke:#000000;stroke-width:1pt\" />\n </marker>\n <marker\n refX=\"0\"\n refY=\"0\"\n orient=\"auto\"\n id=\"Arrow1Lstart\"\n style=\"overflow:visible\">\n <path\n d=\"M 0,0 5,-5 -12.5,0 5,5 0,0 z\"\n transform=\"matrix(0.8,0,0,0.8,10,0)\"\n id=\"path3847\"\n style=\"fill-rule:evenodd;stroke:#000000;stroke-width:1pt\" />\n </marker>\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4329\" />\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4321\" />\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4315\" />\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4307\" />\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4299\" />\n <inkscape:path-effect\n effect=\"skeletal\"\n id=\"path-effect4293\" />\n </defs>\n <g\n transform=\"translate(0,-1024.5289)\"\n id=\"layer1\">\n <path\n d=\"m 17.867073,4.5821643 a 3.7249374,3.7249374 0 1 1 -7.449875,0 3.7249374,3.7249374 0 1 1 7.449875,0 z\"\n transform=\"matrix(-6.3328802,0,0,6.2775831,193.9581,1100.3667)\"\n id=\"path4139-1-14\"\n style=\"fill-opacity:1;fill-rule:nonzero\" />\n <path\n d=\"M 77.450496,1064.5069 C 58.849552,1025.9634 15.704158,1023.3858 2.8821873e-7,1034.558 L 0.02388589,1035.2674 C 24.502636,1022.2072 44.810725,1042.1507 60.163934,1074.112 z\"\n id=\"path4337\"\n style=\"fill-opacity:1;stroke-width:0.58181816;stroke-miterlimit:4;stroke-dasharray:none;marker-start:none;marker-end:none\" />\n <path\n d=\"m 12.878637,11.280739 4.75937,-2.7478243 4.759371,-2.7478236 0,5.4956479 0,5.495648 -4.759371,-2.747824 z\"\n transform=\"matrix(4.6545455,0,0,4.6545455,-13.580429,1027.7638)\"\n id=\"path3004\"\n style=\"fill-opacity:1;fill-rule:nonzero\" />\n </g>\n <metadata\n id=\"metadata3772\">\n <rdf:RDF>\n <cc:Work\n rdf:about=\"\">\n <dc:title></dc:title>\n <dc:format>image/svg+xml</dc:format>\n <dc:type\n rdf:resource=\"http://purl.org/dc/dcmitype/StillImage\" />\n </cc:Work>\n </rdf:RDF>\n </metadata>\n</svg>\n"
},
"$:/plugins/felixhayashi/tiddlymap/media/surface.png": {
"title": "$:/plugins/felixhayashi/tiddlymap/media/surface.png",
"type": "image/png",
"text": "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"
},
"$:/language/Buttons/TiddlyMap/Caption": {
"title": "$:/language/Buttons/TiddlyMap/Caption",
"text": "tiddlymap"
},
"$:/language/Buttons/TiddlyMap/Hint": {
"title": "$:/language/Buttons/TiddlyMap/Hint",
"text": "Toggle TiddlyMap actions"
},
"$:/plugins/felixhayashi/tiddlymap/misc/macros": {
"title": "$:/plugins/felixhayashi/tiddlymap/misc/macros",
"text": "\\define concat(str) $str$\n\n\\define input-text(field, index, default, readonly, class, focus)\n <$reveal type=\"match\" text=\"\" default=\"$readonly$\">\n <$edit-text\n tiddler=<<output>>\n field=\"$field$\"\n index=\"$index$\"\n type=\"text\"\n tag=\"input\"\n default=\"$default$\"\n focus=\"$focus$\"\n class=\"$class$\" />\n </$reveal>\n <$reveal type=\"nomatch\" text=\"\" default=\"$readonly$\">\n <input type=\"text\" value=\"$default$\" readonly=\"true\" disabled=\"true\" />\n </$reveal>\n\\end\n\n\\define input-button(field, index, default, default, label:\"Proceed\")\n <div class=\"tmap-button-wrapper\">\n <$button>$label$\n <$action-setfield $tiddler=<<output>> $field=\"$field$\" index=\"$index$\" $value=\"$default$\" />\n </$button>\n </div>\n\\end\n\n\\define input-textarea(field, index, default, default, class, focus)\n <$edit-text\n tiddler=<<output>>\n field=\"$field$\"\n index=\"$index$\"\n autoHeight=\"no\"\n type=\"text\"\n tag=\"textarea\"\n default=\"$default$\"\n class=\"$class$\"\n focus=\"$focus$\" />\n\\end\n\n\\define input-checkbox(field, index, readonly, default)\n <$reveal type=\"match\" text=\"\" default=\"$readonly$\">\n <$checkbox\n tiddler=<<output>>\n field=\"$field$\"\n index=\"$index$\"\n checked=\"true\"\n unchecked=\"false\"\n default=\"$default$\" />\n </$reveal>\n <$reveal type=\"nomatch\" text=\"\" default=\"$readonly$\">\n <input type=\"checkbox\" value=\"$default$\" readonly=\"true\" disabled=\"true\" />\n </$reveal>\n\\end\n\n\\define input-multi-checkbox(selectFilter, invert:\"no\", default)\n <div class=\"tmap-no-stretch\">\n <$list\n filter=\"$selectFilter$\"\n emptyMessage=\"– This list contains no items –\">\n <$checkbox\n tiddler=<<output>>\n tag=<<tmap \"splitAndSelect\" \"|\" \"0\">>>\n <$view\n tiddler=<<tmap \"splitAndSelect\" \"|\" \"1\">>\n field=\"title\" />\n </$checkbox><br />\n </$list>\n </div>\n\\end\n\n\\define input-select(field, index, selectFilter, default, nochoice)\n <$select\n tiddler=<<output>>\n field=\"$field$\"\n index=\"$index$\"\n default=\"$default$\">\n <$set name=\"nochoice\" value=\"$nochoice$\">\n <$list filter=\"[<nochoice>regexp[.+]]\">\n <option value=\"\"><b><<nochoice>></b></option>\n </$list>\n </$set>\n <$list filter=\"$selectFilter$\">\n <option value=<<tmap \"splitAndSelect\" \"|\" \"0\">> >\n <$view tiddler=<<tmap \"splitAndSelect\" \"|\" \"1\">> field=\"title\" />\n </option>\n </$list>\n </$select>\n\\end\n\n\\define input-radio(field, index, selectFilter, default)\n <$list filter=\"$selectFilter$\">\n <$radio\n tiddler=<<output>>\n field=\"$field$\"\n index=\"$index$\"\n value=<<tmap \"splitAndSelect\" \"|\" \"0\">>>\n <<tmap \"splitAndSelect\" \"|\" \"1\">>\n </$radio><br />\n </$list>\n\\end\n\n\\define tmap-row(title, field, index, type, descr, note, label, default, readonly, reset, selectFilter, nochoice, invert, class, focus)\n <tr>\n <td class=\"tmap-title\">$title$:</td>\n <td>\n <<$type$\n field:\"$field$\"\n index:\"$index$\"\n readonly:\"$readonly$\"\n default:\"$default$\"\n label:\"$label$\"\n invert:\"$invert$\"\n selectFilter:\"$selectFilter$\"\n nochoice:\"$nochoice$\"\n focus:\"$focus$\"\n class:\"$class$\">>\n <$reveal type=\"match\" text=\"true\" default=\"$reset$\">\n <$button>reset\n <$action-setfield $tiddler=<<output>> $field=\"$field$\" $index=\"$index$\" $value=\"$default$\" />\n </$button>\n </$reveal>\n </td>\n <td>\n <span class=\"tmap-description\">$descr$</span>\n <$reveal type=\"nomatch\" text=\"\" default=\"$note$\">\n <div class=\"tmap-note\">''Note:'' $note$</div>\n </$reveal>\n </td>\n </tr>\n\\end\n\n\\define visConfiguration(inheritedList, extensionField, styleName:\"style\")\n <fieldset><legend>Visjs configurations ($styleName$)</legend>\n <div class=\"tmap-flash-message tmap-info\">\n Only config items that you actually changed have an effect on\n the graph. Other options are visible, yet, inactive.\n </div>\n <$tmap-config\n mode=\"manage-node-types\"\n inherited=\"$inheritedList$\"\n extension=\"$extensionField$\" />\n </fieldset>\n\\end\n"
},
"$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder": {
"title": "$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder",
"text": "Default"
},
"$:/plugins/felixhayashi/tiddlymap/graph/nodeTypes/tmap:central-topic": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/nodeTypes/tmap:central-topic",
"description": "The style is applied to the node that you marked as central topic in a map.",
"style": "{\"font\":{\"size\":22,\"color\":\"rgba(0,0,0,1)\"},\"shape\":\"star\"}"
},
"$:/plugins/felixhayashi/tiddlymap/graph/nodeTypes/tmap:neighbour": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/nodeTypes/tmap:neighbour",
"description": "Neighbours are all nodes that are not part of the original set of nodes (\"matches\") but are connected (either outgoing or incoming) to a node of the original set.",
"style": "{\"color\":\"#565656\"}"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Default/filter/edges": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Default/filter/edges"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Default/filter/nodes": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Default/filter/nodes"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Default": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Default",
"config.physics_mode": "false",
"isview": "true"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Live View/filter/edges": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Live View/filter/edges",
"filter": "[prefix[$:/plugins/felixhayashi/tiddlymap/graph/edgeTypes]]",
"text": "\n\n"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Live View/filter/nodes": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Live View/filter/nodes",
"filter": "[field:title{$:/temp/tmap/currentTiddler}]"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Live View": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Live View",
"config.neighbourhood_scope": "1",
"config.refresh-triggers": "$:/temp/tmap/currentTiddler",
"config.physics_mode": "true",
"config.vis": "{\"physics\":{\"forceAtlas2Based\":{\"springLength\":0,\"springConstant\":0.09}}}",
"isview": "true"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Graph search/filter/nodes": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Graph search/filter/nodes",
"filter": "[!is[system]search{$:/temp/search}] [!is[system]search:tags{$:/temp/search}] [!is[system]search:text{$:/temp/search}limit[10]]"
},
"$:/plugins/felixhayashi/tiddlymap/graph/views/Graph search": {
"title": "$:/plugins/felixhayashi/tiddlymap/graph/views/Graph search",
"config.refresh-triggers": "$:/temp/search",
"config.neighbourhood_scope": "1",
"config.physics_mode": "true"
}
}
}
{
"field.nodeLabel": "caption",
"field.nodeIcon": "icon",
"field.nodeInfo": "description",
"field.viewMarker": "isview",
"liveTab.fallbackView": "Blank",
"edgeClickBehaviour": "manager",
"debug": "false",
"notifications": "false",
"popups.enabled": "true",
"popups.delay": "300",
"popups.width": "350px",
"popups.height": "200px",
"jsonIndentation": "1",
"alwaysAddNodeIdToViewFilter": "true",
"editNodeOnCreate": "false",
"singleClickMode": "false",
"nodeFilterNeighbours": "false",
"editorMenuBar.showNeighScopeButton": "false",
"editorMenuBar.showRasterMenuButton": "false",
"editorMenuBar.showScreenshotButton": "true",
"defaultView": ""
}
{"nodes":{"color":{"border":"rgba(7,7,7,0.11)","background":"rgba(39,82,188,0.08)"},"font":{"size":10,"color":"rgba(52,52,52,0)"},"shape":"dot","size":16,"shadow":{"enabled":false}},"edges":{"font":{"size":8,"align":"middle"},"color":{"hover":"rgba(91,91,91,1)"}},"interaction":{"hideNodesOnDrag":false},"manipulation":{"initiallyActive":true}}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -106,
"y": -14
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 819,
"y": 549
},
"5387f827-384b-4d1e-a8fb-72e20cdda223": {
"x": 31,
"y": 295
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -30,
"y": -7.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -43,
"y": -17
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 2320,
"y": 974
},
"7a017ae4-1ba1-4177-a9b4-26b6aacec1d4": {
"x": 29,
"y": 516
},
"1e1327f6-17f3-47c6-8e92-08af42ba5608": {
"x": 153,
"y": 241
},
"b2a15482-8d94-43eb-907c-37afa5bb8388": {
"x": 261,
"y": 496
},
"0a62157f-f4b6-498b-ad3f-8971236a1a50": {
"x": 173,
"y": 706
},
"ed5ae3a4-a6b8-4d71-8834-3d42946ac960": {
"x": 464,
"y": 132
},
"cf5846f2-3242-402b-979b-bd8503b5da77": {
"x": 464,
"y": 168
},
"42e80922-90f8-4fd3-9764-deb3e0cbb18b": {
"x": 465,
"y": 206
},
"f2365820-9e4c-4ea8-b80b-8912f7616ad9": {
"x": 465,
"y": 277
},
"0d45ef7e-7a04-466c-8e83-1cf3ce080df8": {
"x": 465,
"y": 313
},
"192560c4-19b8-4af0-a429-e2c65f8695b6": {
"x": 707,
"y": 424
},
"46b43d34-9050-4e10-a952-b0a0321b1412": {
"x": 707,
"y": 462
},
"29d42c81-286e-40c2-bf8f-bf7f8a59edd5": {
"x": 705,
"y": 494
},
"7f89f10c-726e-4690-bf4f-55f3936d3d63": {
"x": 707,
"y": 537
},
"2b95bcc8-fb05-49ea-bd82-85a3d24b8f32": {
"x": 705,
"y": 570
},
"6e0d18b3-949a-4bd4-9da5-20f303126256": {
"x": 515,
"y": 651
},
"abf367f6-681b-482b-8f17-30577fe3641c": {
"x": 515,
"y": 687
},
"233c7ca6-76e3-4ea9-8094-d4adf0b87f0d": {
"x": 515,
"y": 724
},
"cceb3bc1-b206-4acc-9d6b-c21e948995ca": {
"x": 515,
"y": 797
},
"b4e6670d-1f0d-4d77-9b11-ee4e7be6512e": {
"x": 1166,
"y": 518
},
"e93a9515-4704-48d4-91e8-7c183d5b7627": {
"x": 1258,
"y": 203
},
"ba746ef7-b676-4fdb-9d8b-8f4f9c186fe0": {
"x": 1603,
"y": 504
},
"3a2a42c7-09ba-470c-8fcd-2ac3a62a3f79": {
"x": 1267,
"y": 796
},
"6293eecf-219a-47b9-a919-a701a9d12d22": {
"x": 1470,
"y": 28
},
"54ef340b-c2e6-4407-8013-c5fba11694c4": {
"x": 1472,
"y": 95
},
"6e52f62b-da3c-4c9a-9d9c-6f4376d88d30": {
"x": 1686,
"y": 158
},
"fba96276-0e57-4671-b88b-1fa09748548a": {
"x": 1686,
"y": 191
},
"51fea4fa-ce12-4848-b967-75c4a744cefc": {
"x": 1686,
"y": 224
},
"ca544585-2fa4-4111-9298-24e5491fe6ef": {
"x": 1685,
"y": 255
},
"45a41d45-4180-40a1-bf2e-a3fe5428cb58": {
"x": 1472,
"y": 334
},
"d7fa7715-5cbf-4ad0-97c8-8c897281b379": {
"x": 1721,
"y": 472
},
"7c44358e-8989-4468-b7e9-783b412a42b8": {
"x": 1721,
"y": 632
},
"9f84e948-adb5-4f81-b46e-34fed63cb09f": {
"x": 1416,
"y": 746
},
"158fa216-dd7f-4fdc-b5a2-875b1a7973ea": {
"x": 1416,
"y": 874
},
"f9ec6aec-c2fc-406a-acda-1255a4355e0a": {
"x": 1417,
"y": 924
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -133,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 888,
"y": 1014
},
"87181d45-2312-4f2c-9f8a-efc2c5691f7b": {
"x": 28,
"y": 513
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -60,
"y": -21
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1188,
"y": 868
},
"95499426-6e2a-402b-8f8c-616f774f3896": {
"x": 29,
"y": 412
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -66,
"y": 38.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 911,
"y": 517
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -73,
"y": -59
},
"2e319253-df02-466f-8679-af1e32fc3a4a": {
"x": 30,
"y": 247
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -201,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1202,
"y": 1412
},
"89701ed2-1c1c-403e-9d4e-0b32397f4a09": {
"x": 29,
"y": 796
},
"1e391b1c-1c57-43a6-a477-83a6bf512f12": {
"x": 108,
"y": 442
},
"d7dc471a-46ca-49b4-ae55-04473e10d776": {
"x": 120,
"y": 1124
},
"40476ef7-ed63-411b-97a5-c996a0b37ef6": {
"x": 368,
"y": 58
},
"23d57fb1-331b-4ef2-8504-e9afd3eedd2e": {
"x": 369,
"y": 233
},
"75399e7e-4586-4b31-9183-d395b21ea133": {
"x": 368,
"y": 473
},
"e44ec74e-f3d9-48c6-88ce-1de57b90a68e": {
"x": 368,
"y": 617
},
"4335f51d-fee1-4fbf-83c9-18673a327fd2": {
"x": 368,
"y": 713
},
"76ff9468-bdab-4b60-bb97-0fb840b713e5": {
"x": 368,
"y": 825
},
"88ed595d-1a11-4b66-a7cc-d95b6b7024c5": {
"x": 412,
"y": 1226
},
"b9ffc700-e8a8-4cd3-9829-84b8d5a3b68f": {
"x": 619,
"y": 26
},
"d2d4722c-9d4b-43aa-829b-dbc9a99ddcb1": {
"x": 618,
"y": 58
},
"e8404a0e-2d1d-48bd-8df6-e6182f052b82": {
"x": 606,
"y": 90
},
"62950482-af92-47ef-b043-22b99b4fdfd0": {
"x": 413,
"y": 892
},
"089dd925-fc15-44e9-b5b5-0daca035f860": {
"x": 412,
"y": 1188
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -58.94796844460012,
"y": -22.453492153000116
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1474.293277741,
"y": 1177.1328840400001
},
"75e49dc3-0449-45e9-8b2c-2c540283f9d7": {
"x": 29.44015444015431,
"y": 577.5
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -19,
"y": 14.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -126,
"y": -8
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1937,
"y": 1584
},
"25ac073f-4ce7-4312-b2dc-894d5e05b348": {
"x": 29,
"y": 877
},
"6c825c15-2294-4948-9d28-51e1553bb4bb": {
"x": 111,
"y": 474
},
"bc9120cd-56f2-4afb-bd13-1f56330e4921": {
"x": 113,
"y": 1252
},
"0a32e6b6-3d85-4a58-83ed-ebb24d4ddca4": {
"x": 314,
"y": 345
},
"9a9ced34-0ac0-4acc-a76b-1b9725424af4": {
"x": 314,
"y": 809
},
"77c54677-69cf-41f5-8c18-48510c3e3a63": {
"x": 283,
"y": 1076
},
"3fe73238-033c-415c-825d-d68343d448c5": {
"x": 283,
"y": 1428
},
"3bf64dea-601e-4165-8dff-4004a7db6324": {
"x": 668,
"y": 170
},
"5a3a3016-63b5-4ecb-8ac8-3952b50602e3": {
"x": 668,
"y": 506
},
"fca1633b-6556-49eb-a433-502289ee4890": {
"x": 900,
"y": 73
},
"4cce9e2b-a3aa-4d29-bf5f-1cd704350f6e": {
"x": 899,
"y": 233
},
"f7b534da-7d07-49c9-b9a8-46df75fd2382": {
"x": 853,
"y": 425
},
"48ba4855-8e7e-4d8a-bb4b-7f1efb1b2e8c": {
"x": 854,
"y": 602
},
"df8fecd3-a80f-46e4-be21-028f8f7e2176": {
"x": 489,
"y": 746
},
"b71112c0-2377-4c51-98cb-69596a983119": {
"x": 489,
"y": 874
},
"c868689f-c0cc-4bdf-94f5-8c50670a93cb": {
"x": 1199,
"y": 42
},
"d179d3f9-d9d9-4fc3-80b1-a708e6d5570b": {
"x": 1205,
"y": 105
},
"f69ddc4c-fd9c-47c6-b191-b1fb53532d8e": {
"x": 1125,
"y": 169
},
"ad9c6d0f-c11c-48ed-adf4-d0c72b5f1fd0": {
"x": 1125,
"y": 265
},
"f3f9dc52-a14c-4d77-bd16-437b343ecf07": {
"x": 1463,
"y": 217
},
"38eb9412-a611-47f6-b13a-1e22880f9fa7": {
"x": 1464,
"y": 250
},
"57ddb8bc-46ac-452e-a284-25bc76e64ce6": {
"x": 1375,
"y": 283
},
"7bf4483d-6e9d-465d-b75c-8c5733af60bf": {
"x": 1375,
"y": 315
},
"1ad1eedc-7a84-460e-bb5b-6583cf5a171f": {
"x": 1113,
"y": 393
},
"a97121e9-1e46-49b5-aebf-754347d7f958": {
"x": 1110,
"y": 490
},
"464ad46d-d49e-4d22-9bcb-aa23b52bb41b": {
"x": 1569,
"y": 346
},
"f77e3780-2661-4068-8fd2-3dba5eacf5cf": {
"x": 1569,
"y": 378
},
"6821b272-3b20-4f7e-98e2-be27f57ef1ca": {
"x": 1517,
"y": 410
},
"701e94ca-dd77-43d7-8a90-b3413190b2fa": {
"x": 1514,
"y": 443
},
"52287628-00ec-4495-a314-3b15a666233d": {
"x": 983,
"y": 585
},
"f379c6dd-0381-4137-b2cd-58c34fdb654c": {
"x": 984,
"y": 666
},
"1d62fbd2-a8cb-4369-a47c-297a7dd0f44c": {
"x": 816,
"y": 698
},
"73857e22-9ea6-47c8-9d5f-107cb038ed81": {
"x": 815,
"y": 731
},
"20b7a49b-44c2-4c09-8379-dc671914d24e": {
"x": 801,
"y": 763
},
"fdfbaa26-b7e0-42b6-957d-d9bdf066654b": {
"x": 801,
"y": 795
},
"c0946d13-aa6a-4459-ae57-555b4ef91c7f": {
"x": 852,
"y": 827
},
"f58748a1-462f-498c-a776-faed7b4c2a18": {
"x": 852,
"y": 859
},
"7d0accb4-f560-4255-9454-d4912bcbd35a": {
"x": 931,
"y": 891
},
"7c185e68-e677-431a-b130-79969c721570": {
"x": 1266,
"y": 949
},
"98139f89-cd08-4423-8fc9-bc9e85c1e4be": {
"x": 614,
"y": 1012
},
"1cd5dc6d-f799-4ee9-bce5-2af1f0e57c53": {
"x": 841,
"y": 979
},
"8370cc2b-b1f6-4a9c-bfbe-dd384ae66cec": {
"x": 1140,
"y": 965
},
"b8a751af-557c-4d9d-ae60-d834e6fae835": {
"x": 1289,
"y": 539
},
"e965201a-7434-4037-93cc-773c0d9699f5": {
"x": 1287,
"y": 570
},
"13b65b16-b35b-41b2-9e7a-972d05003cfe": {
"x": 1142,
"y": 1045
},
"7c2def22-51bf-420c-a718-4b82a603a80c": {
"x": 842,
"y": 1091
},
"91fa9b2c-7509-42e1-abf4-45f9c4ca49fd": {
"x": 937,
"y": 1075
},
"a40121a3-cf19-41dc-9b5d-7203c7db3844": {
"x": 936,
"y": 1108
},
"dee0f0c0-047b-41d5-b41f-ff6ad93b4607": {
"x": 614,
"y": 1188
},
"5d67faff-8ff0-4cea-8cda-2bbb8f00f7bd": {
"x": 1142,
"y": 1140
},
"db0a8563-c3c4-479f-93f7-3afb673e5de1": {
"x": 1142,
"y": 1172
},
"6b850878-66cb-44d6-8452-1bdf20b77c7e": {
"x": 393,
"y": 1364
},
"e6feb503-4db1-4635-9ec1-86f5fcc27c00": {
"x": 393,
"y": 1540
},
"444d7f5d-5d39-49a8-93ab-95edc2335425": {
"x": 611,
"y": 1428
},
"a265c6fc-0b73-4c04-953f-87cb36b39629": {
"x": 734,
"y": 1411
},
"7596deec-8b58-4e9c-b9cd-b52734fe9599": {
"x": 735,
"y": 1460
},
"0df8432c-0dc3-4c37-b3fe-146bdec4f5af": {
"x": 901,
"y": 1284
},
"3b24d342-1e01-4863-9907-fb2fa8aa1486": {
"x": 901,
"y": 1348
},
"46a7d7d7-11c7-4722-8305-ee7f0d74bdc4": {
"x": 1017,
"y": 1269
},
"9733daa4-3d51-4ba6-8d66-306ae6c46e8f": {
"x": 1017,
"y": 1301
},
"18e01db2-c0c7-42de-9a7a-824c87215a9a": {
"x": 716,
"y": 1492
},
"89865926-de5f-4d61-af0f-c7a2dbf96162": {
"x": 719,
"y": 1557
},
"6ca8810a-64b8-4060-9069-81ad9e92df42": {
"x": 720,
"y": 1589
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -47,
"y": -19
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1540,
"y": 637
},
"0cf52823-0c50-459a-9388-8273456d703d": {
"x": 31,
"y": 334
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1728,
"y": 802
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -70,
"y": -34
},
"454d9ac5-7040-4c93-94f8-40afe4b6a064": {
"x": 31,
"y": 520
},
"fea3696d-1bb7-4896-94f7-9601d0a9cb79": {
"x": 120,
"y": 396
},
"5e5e75f2-1adb-4a94-8ae3-6747364083ca": {
"x": 122,
"y": 605
},
"076e4bf6-2b27-48ad-96e5-f6fa1ee1a126": {
"x": 259,
"y": 29
},
"aca43973-f509-4990-8085-d0db35e70be9": {
"x": 258,
"y": 416
},
"b95e6d74-1e73-4a3f-9de8-c35a2883bcb8": {
"x": 651,
"y": 430
},
"c6db669f-7ba6-4652-a07c-153fe8a310a0": {
"x": 773,
"y": 303
},
"c3c9cce7-6253-4599-97bf-d46e6971e95c": {
"x": 1514,
"y": 94
},
"c162b43e-c442-40bf-9404-f20cf194cb65": {
"x": 1357,
"y": 318
},
"1b3adb01-2506-4105-934f-4fae547a20c3": {
"x": 1356,
"y": 350
},
"1f5e24fe-ff99-4d00-86c7-b964b4ec067e": {
"x": 1357,
"y": 382
},
"f4bd93e6-b8fb-4e44-862a-29dfcc4ed1ec": {
"x": 1357,
"y": 414
},
"3395d835-0951-457f-9dcb-99ec4dc56d7b": {
"x": 1006,
"y": 478
},
"7fd62e14-5494-4894-8c32-6cdd66f7e743": {
"x": 1034,
"y": 591
},
"99045f7e-3cdc-42e0-acb3-9bf8edeb611f": {
"x": 1033,
"y": 718
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1256,
"y": 548
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -52,
"y": -27
},
"dbc8c274-ba88-481e-91fe-187a1803981b": {
"x": 29,
"y": 289
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -202,
"y": 8
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1727,
"y": 2461
},
"ff86dc8b-1721-4425-9c8f-b1024f70d10e": {
"x": 32,
"y": 1310
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1122,
"y": 1338
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -223,
"y": -13
},
"647b0e1c-e9e4-45cd-b016-8f2276359443": {
"x": 29,
"y": 729
}
}
{
"69135449-8689-4119-9dde-75ae61fcfc1d": {
"font": {},
"x": 147,
"y": 204
},
"01b47234-73ec-4648-a325-549a85b520b8": {
"x": 303,
"y": 29
},
"feeeb40c-958c-4a0d-b55f-dcaf7f6a9635": {
"x": 303,
"y": 143
},
"278d84d7-6c26-4527-8457-5c2a7cee10c8": {
"x": 301,
"y": 319
},
"eb9ce30a-283d-400b-8d25-24a867ec67e7": {
"x": 492,
"y": 255
},
"bcae9e15-7548-4082-9191-f48c9cffcd95": {
"x": 492,
"y": 287
},
"0541478e-16e8-4adb-bbff-1414f9630b93": {
"x": 491,
"y": 319
},
"058937d9-cf08-4162-8e28-1689a155a108": {
"x": 490,
"y": 350
},
"a53ce8b9-c3e4-4144-ae63-91a74f60d666": {
"x": 490,
"y": 383
},
"3327626b-cd95-4b6b-896f-aa3d9471fc2e": {
"x": 306,
"y": 605
},
"2f7af503-1a49-4ee1-8364-9843f8e6143d": {
"x": 458,
"y": 62
},
"8173cacc-82e2-4a31-9b38-a3e227579cb1": {
"x": 459,
"y": 95
},
"f69c8bd7-326a-4006-a3c5-82a5b3dde025": {
"x": 458,
"y": 127
},
"a992ec92-a912-4d25-b173-0b2ab48d74e2": {
"x": 458,
"y": 159
},
"2cb532da-3eda-4cd8-8a9d-db6414ba46f6": {
"x": 458,
"y": 191
},
"3c43661c-ae45-4e93-ab4c-d541caeb3ffe": {
"x": 457,
"y": 223
},
"0d9be87f-0884-4df2-836d-7cf1d2bc7ed2": {
"x": 388,
"y": 385
},
"857c4d25-c959-4db2-864e-4fec0227f362": {
"x": 387,
"y": 422
},
"9521782f-ea94-4e2e-87ca-71d14552d17f": {
"x": 305,
"y": 642
},
"875f9c5a-e8e2-4246-ac5c-5aeb876e7a42": {
"x": 305,
"y": 678
},
"bc589201-542f-4b1e-beab-a60fd38a6021": {
"size": 19,
"x": 919,
"y": 225
},
"a59d4844-31b5-4b18-8902-4034ab83a3bd": {
"x": 52,
"y": 421
},
"aa12b3b8-371d-4c4e-9755-bb120c1ca1a4": {
"x": 261,
"y": 405
},
"6a71a2f4-7973-484a-8ac2-c87100e69c25": {
"x": 154,
"y": 605
},
"8b2201fb-a87c-49f7-a150-d1c4a5b0979c": {
"x": 305,
"y": 531
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -106,
"y": -12
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1089,
"y": 704
},
"36054567-2012-4859-9917-451804f0f3a1": {
"x": 306,
"y": 568
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1298,
"y": 778
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -101,
"y": -23
},
"0600935e-035e-436c-9d9e-fae063f80b82": {
"x": 29.88750758069942,
"y": 449.1502912376921
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -56,
"y": -4
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1044,
"y": 708
},
"151a0882-346a-4109-b9a3-fd6ff98c60db": {
"x": 29,
"y": 246
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -186,
"y": -25
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1603,
"y": 1413
},
"08e2b4b2-1126-4685-8832-5a2fa13efa08": {
"x": 31,
"y": 890
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1957,
"y": 1174
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -108,
"y": -73
},
"cdf59d01-3474-47e8-acea-144170ddbe36": {
"x": 29.664886885351066,
"y": 788.9413851247119
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -34,
"y": -10
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 591,
"y": 325
},
"b189c018-f32e-49de-a2d3-b64a7745d174": {
"x": 170,
"y": 303
},
"b55fdf77-37fa-4a63-9c78-629181044c97": {
"x": 533,
"y": 181
},
"92c16498-dfad-4698-a762-ccb70cdae75e": {
"x": 461,
"y": 198
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -43,
"y": -25
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1673,
"y": 744
},
"32af0ffd-197f-49f6-832b-39ce0a9a088e": {
"x": 29,
"y": 478
},
"015b6215-5bb7-46a9-968d-2e0ed440f856": {
"x": 173,
"y": 313
},
"0bf6a920-897a-438a-bfcb-1fbedb3bd29f": {
"x": 181,
"y": 604
},
"9eaaf2bf-c5c0-4bda-8598-240f094e91a3": {
"x": 822,
"y": 73
},
"71072223-eda1-40bc-833a-d0b25b54620a": {
"x": 823,
"y": 169
},
"fb163605-df87-4aff-8988-a6105bcb1477": {
"x": 1000,
"y": 154
},
"c1040913-ffaa-4374-9e13-a90f7c06f9be": {
"x": 1000,
"y": 187
},
"a33615de-3c43-470d-85c1-481ca740a837": {
"x": 823,
"y": 217
},
"3daf47b5-ac39-43eb-bdf0-70139c8aebba": {
"x": 824,
"y": 250
},
"5f5fb596-8e64-4559-b913-e5b45079ada7": {
"x": 824,
"y": 297
},
"2828223c-765b-438f-bef2-b1dbb87955d2": {
"x": 1048,
"y": 281
},
"6726547c-4f3a-4de8-9e38-49e8b5528b01": {
"x": 1048,
"y": 314
},
"7059ae4d-d0de-43cf-83e6-aa27b0f7191f": {
"x": 825,
"y": 410
},
"191119ad-0e13-420c-b670-fb5022475009": {
"x": 1003,
"y": 348
},
"c4290c64-5cf2-4f6e-8f9c-4cd0950dd92d": {
"x": 1001,
"y": 377
},
"ddcaf593-0c55-4856-b274-1400eb24f56d": {
"x": 1002,
"y": 410
},
"0b6bb9d0-1cc1-4420-80d1-527c3b2a5f5b": {
"x": 1002,
"y": 442
},
"189c8674-a4ee-47b1-b6c2-0ef263a7457a": {
"x": 1002,
"y": 474
},
"dc0023ba-1dd5-4a6a-8ac1-e6439ba67f93": {
"x": 824,
"y": 506
},
"06f3a9d2-040f-4fa1-9c1b-35abf4f463b2": {
"x": 824,
"y": 553
},
"35ccf1d2-be71-4d88-a5fe-f96b196c111a": {
"x": 824,
"y": 602
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1155,
"y": 597
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -70,
"y": -10
},
"b28b2caa-f0e5-4f27-952d-60d134a5fc35": {
"x": 31,
"y": 229
},
"39d22223-48b1-4289-89dd-74a5df419865": {
"x": 225,
"y": 30
},
"06c73351-7f57-4e8c-a2ac-4aa6d8070a11": {
"x": 442,
"y": 212
},
"3beca719-f475-4182-9b4f-838ea55a64ac": {
"x": 224,
"y": 401
},
"b179e27b-c9fa-4d0f-a8c9-44d6248389d6": {
"x": 432,
"y": 362
},
"deb2d8ed-9964-4b25-aea0-bbba509320d0": {
"x": 429,
"y": 510
},
"ea5cc2ae-62b0-41a2-919b-4b911046f6f5": {
"x": 430,
"y": 546
},
"a8a05f02-c307-4c89-a7b0-a28999437fee": {
"x": 887,
"y": 250
},
"3ec966e8-fb7e-4b0e-a0e0-75021e842eaa": {
"x": 887,
"y": 314
},
"7e2676ef-1e70-49ed-ae46-82881567b7fe": {
"x": 903,
"y": 378
},
"73d747d7-9eb3-4168-8c32-112f15fe8401": {
"x": 904,
"y": 442
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -23,
"y": 1
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1558,
"y": 499
},
"7956d86c-7457-4f32-87a7-4c20f10dc7d0": {
"x": 805,
"y": 264
},
"e311aa1a-4feb-412a-91da-afed64319ae3": {
"x": 30,
"y": 263
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -51.35109514569995,
"y": -4.352322592300099
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1271.6461344205572,
"y": 969.7468022353223
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -46.95331899999987,
"y": -3.7038570000000792
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 577.9741604135862,
"y": 650.6152417158386
},
"27314845-3869-487f-987f-267d5c673caf": {
"x": 29.0870656370656,
"y": 355.4084942084942
}
}
{
"2a62e3c9-bd88-4160-a5e3-ed997dd08af0": {
"font": {
"size": 18
},
"label": "⚠ Default view: do not edit!",
"shape": "text",
"x": -43.850006103515625,
"y": 8.350006103515625
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -35,
"y": -16
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 618,
"y": 417
},
"c4487461-0e80-49f0-a6e5-f328c3d50911": {
"x": 30,
"y": 218
},
"84243088-4799-4150-ba7b-b3951ae0cbb3": {
"x": 134,
"y": 67
},
"82f57d0b-9702-4ad8-b481-1c9ee5675f63": {
"x": 428,
"y": 29
},
"e0aaf3bc-6c8e-4add-995c-67dd1cebcfd0": {
"x": 428,
"y": 66
},
"eab11fb8-5c8c-4702-bc01-9bf7e66aafa9": {
"x": 427,
"y": 103
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -38,
"y": -8
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 900,
"y": 613
},
"81973b18-df61-4e4f-835e-65682b5264a4": {
"x": 639,
"y": 561
},
"3003f38f-0686-4e54-8f13-4b9e53be0515": {
"x": 15,
"y": 558
},
"d11229b3-054f-44ef-a876-98e23276efde": {
"x": 327,
"y": 12
},
"cb21f57f-fb32-46b2-90cb-a83dd3aab0dc": {
"x": 80,
"y": 254
},
"fc5bdbc5-b39d-4977-8efd-098c235fa632": {
"x": 531,
"y": 258
},
"1cccaa88-8737-4ba5-8328-1284801e62c9": {
"x": 313,
"y": 417
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -66,
"y": -17
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 730,
"y": 1093
},
"fb998b61-ee0f-4ca5-865d-6ed012353187": {
"x": 29,
"y": 510
},
"81973b18-df61-4e4f-835e-65682b5264a4": {
"x": 167,
"y": 32
},
"fc5bdbc5-b39d-4977-8efd-098c235fa632": {
"x": 170,
"y": 182
},
"d11229b3-054f-44ef-a876-98e23276efde": {
"x": 169,
"y": 464
},
"3003f38f-0686-4e54-8f13-4b9e53be0515": {
"x": 167,
"y": 866
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -97,
"y": -39
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1511,
"y": 1190
},
"ea974325-7dab-4cdc-8ff1-55a92cb9870d": {
"x": 29,
"y": 590
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -153,
"y": -33
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1574,
"y": 1932
},
"002c1c8f-5472-4371-a674-cd3788f612c7": {
"x": 30,
"y": 906
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -117,
"y": -42.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1293,
"y": 914
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -111,
"y": -45
},
"b3a1f217-81b1-42ac-989f-a7ff058ca78f": {
"x": 419,
"y": 36
},
"e6bee333-3eee-4068-ba8c-ff1ae28099c7": {
"x": 583,
"y": 193
},
"fd3eefff-0f4b-461e-aa16-7a9c2f4db720": {
"x": 682,
"y": 273
},
"d60133f0-f969-4fc3-84ee-6f3461965c77": {
"x": 279,
"y": 427
},
"f0e9bd3c-224f-4b0e-bb8f-efef7236f80c": {
"x": 202,
"y": 272
},
"a43eec34-6977-448b-b189-c4065839af33": {
"x": 596,
"y": 513
},
"ba2c5e40-83e8-40cd-aa96-a73185769f84": {
"x": 457,
"y": 499
},
"dd02575e-7b99-4e55-b832-2180b532aded": {
"x": 445,
"y": 152
},
"76e56fe2-bdb3-43f7-a237-bdbb987156f4": {
"x": 421,
"y": 365
},
"fab8583d-8858-4886-bc75-23ea7594db86": {
"x": 483,
"y": 397
},
"89ee34d8-9916-4031-ba85-e9695d8c1e1f": {
"x": 601,
"y": 76
},
"4c37f0cf-a936-4a57-b243-701ec3c174e9": {
"x": 356,
"y": 849
},
"17a1a989-47cd-403c-acd8-23e857c936d2": {
"x": 286,
"y": 191
},
"b7c3944d-63ac-458c-8959-9fc50c29c5e1": {
"x": 89,
"y": 634
},
"7a87973e-3baf-4206-918d-8f6abf290fde": {
"x": 387,
"y": 398
},
"adbac632-2b77-4bc4-a09d-119202119bb1": {
"x": 495,
"y": 434
},
"88fb7960-2772-4390-9d90-f9db7dcff6ee": {
"x": 617,
"y": 802
},
"758c96e9-bcf4-404f-8adc-713459628841": {
"x": 54,
"y": 532
},
"39da7291-6c1a-43fe-8f40-1a8c03157721": {
"x": 266,
"y": 75
},
"8ca69ac7-6f0d-4578-8728-9674c4c14095": {
"x": 529,
"y": 848
},
"b889125c-1cec-4a26-8890-1c26008cf7eb": {
"x": 239,
"y": 802
},
"c55757e4-c831-4047-8fee-17db4489b7a8": {
"x": 155,
"y": 731
},
"bac2dc0c-1e64-48c8-90d7-bc59995299f9": {
"x": 797,
"y": 314
},
"793346b8-d178-4c05-ad8a-403a306e82b7": {
"x": 768,
"y": 225
},
"a4aec702-4c53-41d6-b705-4cd6ebde9a84": {
"x": 688,
"y": 142
},
"7bf61fc1-1e07-4f56-8453-579b7739b135": {
"x": 711,
"y": 730
},
"ec31e84d-0cc3-48e3-a718-910f58abfb74": {
"x": 822,
"y": 417
},
"e9d4b036-87d0-4a9c-821a-aa1a5d81e2b3": {
"x": 756,
"y": 636
},
"fc9e83d4-6b68-4aa0-adb6-3444f39b2e30": {
"x": 68,
"y": 313
},
"c7e0ffcc-4417-4dcc-8024-958b49798de5": {
"x": 55,
"y": 416
},
"46faf54f-89f9-45b1-bb97-d322430bdc21": {
"x": 119,
"y": 222
},
"ce81ee02-71f7-4fe7-875b-2050f286f2fd": {
"x": 176,
"y": 142
},
"390f3385-14da-4406-bb30-10819c1969e2": {
"x": 703,
"y": 369
},
"b884f41c-1e8a-4518-9796-356925a11fab": {
"x": 502,
"y": 735
},
"6c5bfa2e-e33d-4af3-a261-77a983d4fd94": {
"x": 719,
"y": 478
},
"06e9135d-8adc-471a-8097-b8773d4fc9c4": {
"x": 678,
"y": 586
},
"6205d87e-629a-4ecc-b4a8-ce9ed989ccd5": {
"x": 590,
"y": 674
},
"db5af990-190c-479c-8a96-c90984ade0e4": {
"x": 541,
"y": 585
},
"d8a94d63-d08c-49e7-beed-cbffb02c2f33": {
"x": 343,
"y": 733
},
"9ef0ef5d-6535-417a-ae60-a0d6eb62111a": {
"x": 256,
"y": 674
},
"f58ed4fe-8a07-4718-a5b1-1f89ac945be6": {
"x": 200,
"y": 584
},
"53322abb-424a-474b-89ba-836400585965": {
"x": 160,
"y": 369
},
"2625b56a-0011-4d2a-81a3-2b9c6ce693ca": {
"x": 165,
"y": 478
},
"3d1de783-8f83-40e2-bbb3-438ecb801418": {
"x": 536,
"y": 271
},
"a653ce00-4d6d-4151-be98-aa1b041a09c1": {
"x": 557,
"y": 342
},
"c8d33340-45e8-4ee6-b07c-116cd024d572": {
"x": 364,
"y": 273
},
"ad99bad6-1af7-4ff4-b66b-117d23f2b454": {
"x": 302,
"y": 341
},
"4ccc8030-17ca-493d-ae54-80a28289b961": {
"x": 291,
"y": 513
},
"32d51ae6-a230-40a6-abbb-31363f0be80b": {
"x": 411,
"y": 432
},
"3076f8db-a1a6-46b6-8ead-57dda7976a1c": {
"x": 386,
"y": 468
},
"41020aa5-b611-40d4-b431-92fe905debb7": {
"x": 454,
"y": 532
},
"f029b38a-1d61-4760-9ab3-590ce5eb92c3": {
"x": 497,
"y": 467
},
"ad86dbaf-054d-4c7a-a157-c0470dbeac4f": {
"x": 609,
"y": 426
},
"9c4911ee-1a46-420f-8fb7-e73db7891abf": {
"x": 433,
"y": 635
},
"6a178dad-e9d1-4890-b81b-4105f7aeac00": {
"x": 333,
"y": 586
},
"6aa5a429-b620-400c-8163-395bc45dc5a2": {
"x": 801,
"y": 531
},
"3edf2db5-bbf6-4364-bdf3-8e1707920ffa": {
"x": 403,
"y": 500
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -156.70146949008222,
"y": -19.94388481424907
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1182.9349600536923,
"y": 1207.124362874303
},
"ae0d88db-e52c-43d4-99a3-093923963cf4": {
"x": 29.874656789488274,
"y": 870.6537787795435
},
"91ca2d0c-7923-45d9-a656-f4151f44116e": {
"x": 273.3975309986806,
"y": 521.5595771224156
}
}
{
"75d4448a-735e-4cec-bef9-384c1c359f2b": {
"x": 29,
"y": 427
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -65.92706268580001,
"y": -9.608048789299968
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 836.549393713,
"y": 846.2625592140001
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -47,
"y": -9
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 589,
"y": 502
},
"0c2d35b5-9ff4-4e20-ba78-eee23e51f36c": {
"x": 30,
"y": 251
},
"40ffb046-d469-4d0e-9078-467433db35db": {
"x": 120,
"y": 31
},
"99b09394-8c1b-41a5-95bb-f2e2d46b86dc": {
"x": 189,
"y": 107
},
"0454d737-0d07-406e-9bbe-1e520cfbfa11": {
"x": 229,
"y": 183
},
"4beffb8d-64a0-435e-af6f-b2661a54439b": {
"x": 234,
"y": 278
},
"0f3dc946-251f-4cf0-af17-a2e1a2128cd5": {
"x": 184,
"y": 364
},
"9997bcaf-d331-455b-af8b-a61603a30709": {
"x": 119,
"y": 444
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -193,
"y": -98
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 2556,
"y": 2147
},
"60b67aca-3dad-49df-835b-7ce67571529a": {
"x": 29,
"y": 1124
},
"76c7ab84-a007-40e6-99d7-1a751e0e8e82": {
"x": 431,
"y": 239
},
"dc3d4b6e-8c70-4d84-bf81-a5243a47cf76": {
"x": 546,
"y": 28
},
"71b83e5c-bc8e-4747-87e1-e37748d7c917": {
"x": 546,
"y": 238
},
"9dae36b2-50eb-480a-8a17-89863ab27396": {
"x": 545,
"y": 449
},
"98a8b35c-7161-49ba-88c5-7e334183662f": {
"x": 1052,
"y": 1107
},
"50a20c1f-6126-47aa-af3c-1795beb59f2a": {
"x": 1215,
"y": 369
},
"1452e41a-416d-41df-b71a-a6c57b2eb5ff": {
"x": 1476,
"y": 289
},
"5d121e94-5898-42a2-a8dc-3e08baa7581c": {
"x": 1566,
"y": 273
},
"06182fbb-e44f-4161-87df-43c982618afb": {
"x": 1566,
"y": 305
},
"c0fdea68-0be1-4d25-8502-5e3e5c3a63b8": {
"x": 1340,
"y": 353
},
"ec55aaae-3edf-4b0b-9626-e8a3acf8cd5f": {
"x": 1504,
"y": 337
},
"154d0703-3b9d-44be-b334-07dc030f4298": {
"x": 1504,
"y": 369
},
"7a252611-a528-4c2b-9b56-bcca793d820e": {
"x": 1340,
"y": 417
},
"0faec6eb-4d63-47ca-b997-73b59e3d03a6": {
"x": 1523,
"y": 401
},
"8c6a267e-d800-4a34-9d24-6a3d485c8168": {
"x": 1522,
"y": 433
},
"58545201-f9ae-4a80-b963-cc8db9b1febb": {
"x": 1340,
"y": 466
},
"7650143f-3f9b-488a-bf89-748010fd9d40": {
"x": 1216,
"y": 656
},
"71a37014-bd6b-4501-8d67-e621ecc8feec": {
"x": 1441,
"y": 593
},
"6c9a136c-f49b-4bb1-83e4-b65725ec5b79": {
"x": 1531,
"y": 497
},
"4202d77d-b569-4731-922a-e01b0bb20af6": {
"x": 1532,
"y": 545
},
"1a97bf87-3060-4b59-8ff0-9608b45b2a76": {
"x": 1532,
"y": 640
},
"dc05c970-e478-44b8-aa69-5b8f620007d9": {
"x": 1440,
"y": 768
},
"afc7648b-500d-48e7-9b94-2051e53a8890": {
"x": 1214,
"y": 1393
},
"2da34d9a-8600-40d3-b1ea-26bf85ff1377": {
"x": 1439,
"y": 1137
},
"313232d8-384f-4e5c-baf2-46c80e488207": {
"x": 1542,
"y": 865
},
"9e274eaf-6471-49a2-bada-28e6dd64eac6": {
"x": 1665,
"y": 849
},
"f2f15797-d506-4521-aec2-6a7958afb98d": {
"x": 1665,
"y": 882
},
"cf911f72-581f-4323-8751-e6cb48e4e8a8": {
"x": 1542,
"y": 945
},
"c00f52b6-ef84-4383-9c27-66fec8a50536": {
"x": 1666,
"y": 913
},
"ba56097e-63ce-4cd2-b9e6-d2b3e3344a0d": {
"x": 1667,
"y": 944
},
"bdd71cf4-8d68-4969-a88c-071f93255dec": {
"x": 1666,
"y": 977
},
"c208a6dc-03c2-48e4-8202-50860c9449a8": {
"x": 1542,
"y": 1009
},
"1e8c496e-e312-44b0-9705-d3fad74b9ae6": {
"x": 1542,
"y": 1041
},
"82fe09c2-1b70-46e2-865b-4353970e61a0": {
"x": 1542,
"y": 1169
},
"4a3716ac-d53b-4de0-bf93-984207a2d992": {
"x": 1641,
"y": 1073
},
"f8e73d0e-b349-4f47-8c0c-51e2ab144b21": {
"x": 1639,
"y": 1184
},
"6ec31436-7209-4278-90af-0ca6b287c91e": {
"x": 1542,
"y": 1297
},
"5965e429-150a-405d-9105-5201f96f4c40": {
"x": 1541,
"y": 1376
},
"5423b87a-aa51-4229-8691-8ef364abf086": {
"x": 1627,
"y": 1329
},
"04ef7e9d-b273-4be4-85c3-e9d266ebba37": {
"x": 1627,
"y": 1361
},
"bfbd5caa-1f58-4d71-a908-33b260ab31b5": {
"x": 1626,
"y": 1393
},
"37de307f-62b5-4f26-b704-de22dfccfc2e": {
"x": 1627,
"y": 1425
},
"84faddde-8873-4ab9-8571-5c848e5626c9": {
"x": 1440,
"y": 1696
},
"e4d5037b-c992-404d-9b77-b40381ed08b2": {
"x": 1597,
"y": 1488
},
"9b2f2b8b-646a-46a8-b5de-c72ee8bd75c3": {
"x": 1598,
"y": 1729
},
"4025a826-ccab-454f-ad0e-888787dfc7b8": {
"x": 1803,
"y": 1457
},
"eaa89093-af5c-4339-b139-b82abe8e0af4": {
"x": 1802,
"y": 1490
},
"1c2e26d6-fdff-4c81-a2b6-76d715f7fb86": {
"x": 1806,
"y": 1521
},
"3a1bf025-96a4-46e6-acee-00ab8bf7fa56": {
"x": 1831,
"y": 1568
},
"4a055906-162a-4754-9cfb-26d148e8151e": {
"x": 1949,
"y": 1553
},
"a9d32a67-766f-4b15-b704-282acfea5e2c": {
"x": 1952,
"y": 1585
},
"d5ae06e0-b058-472b-9633-f68100ae86e0": {
"x": 1833,
"y": 1681
},
"c3d2a528-8365-4888-bfe8-7db179abe264": {
"x": 2065,
"y": 1617
},
"88542650-8739-4291-a0fc-9326bcb4890f": {
"x": 2066,
"y": 1649
},
"258aa7ee-ea46-4e4d-be80-b898359685ab": {
"x": 2065,
"y": 1713
},
"99dd1b14-a53f-49e2-9c3a-a0cd148986fb": {
"x": 2211,
"y": 1681
},
"86acb890-d20f-41c9-ae38-c1941df59313": {
"x": 2212,
"y": 1712
},
"5ae29f21-d632-4e35-b149-f281aa80bcb6": {
"x": 2213,
"y": 1745
},
"d9bc11ba-32ff-4813-9e3c-2504e5c6874c": {
"x": 1834,
"y": 1809
},
"61b59b78-7674-44e7-93b8-0b1fe05533f6": {
"x": 1926,
"y": 1776
},
"d9c92188-2d57-496a-aec7-6e7cb88a7e02": {
"x": 1926,
"y": 1810
},
"d1afece5-529f-44e6-9aa9-ee55b5c48b4f": {
"x": 1926,
"y": 1841
},
"5b6cfb4a-79e7-4418-a143-50bc2eba0091": {
"x": 1834,
"y": 1873
},
"4ebf6389-d8df-415f-a7ac-9bf41557df79": {
"x": 1832,
"y": 1906
},
"1cccd7d5-beb0-41e2-99f0-df119fd3430f": {
"x": 1831,
"y": 1938
},
"16972388-0df8-48de-a797-bcbdb68afaac": {
"x": 470,
"y": 1918
},
"acb4742f-efc4-431e-a9c1-bc6822a3bdf2": {
"x": 570,
"y": 1766
},
"265aae74-e9fc-40a8-9a85-48a362f4f68e": {
"x": 571,
"y": 1804
},
"919cde81-57a3-4330-9718-c44af0e5151a": {
"x": 572,
"y": 1840
},
"c5c6df8c-3941-491e-be46-ca85af5b3730": {
"x": 570,
"y": 1878
},
"5d3a1caf-c87f-46d3-b274-9f40e5e46f0b": {
"x": 572,
"y": 1944
},
"b86bdc27-0822-475a-943b-8c22092d4e1b": {
"x": 571,
"y": 2041
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -90.1235337756007,
"y": -36.99927316460006
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1251.6464342508586,
"y": 1332.0723911933592
},
"7e2079c0-ac13-4260-9aa7-6ffcee20dcf1": {
"x": 32.767541407224954,
"y": 751.0778895154747
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -42,
"y": -18
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 2341,
"y": 1494
},
"a5a5bfe9-31a0-4f4d-b3f7-2f2bd3e176f5": {
"x": 31,
"y": 610
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -51,
"y": -31
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1647,
"y": 1755
},
"4538291f-a3ca-4d26-9c1c-522451ed9076": {
"x": 32,
"y": 703
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1721,
"y": 726
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -38,
"y": -7
},
"9224dce2-7364-4c29-b99b-06265ae895c1": {
"x": 31,
"y": 253
},
"f327c109-d2c9-4a21-94e3-c1e5c01e47e0": {
"x": 142,
"y": 76
},
"d88348a4-d59f-486f-8bee-fbfb15cf7ce7": {
"x": 150,
"y": 391
},
"cfd4b7b3-81f6-4b88-8c9e-31b25eb66980": {
"x": 790,
"y": 101
},
"20bb2418-05f5-46c2-ab81-0c5971631d7b": {
"x": 1023,
"y": 84
},
"eb0ff35e-3a92-4fdd-b21e-7d43cb14143f": {
"x": 1016,
"y": 117
},
"c81fbe7b-623e-4f70-ab8c-41f79f97ada3": {
"x": 777,
"y": 326
},
"1f717e84-f626-4972-8e82-24507e091b57": {
"x": 1022,
"y": 148
},
"5079196e-003a-409d-94f9-b2d1e25a2262": {
"x": 1030,
"y": 181
},
"09bc7958-9cae-4a98-a7b9-1d3d8f358ac1": {
"x": 1061,
"y": 261
},
"4125f9b1-81ed-4b9b-bb42-92373e594960": {
"x": 1273,
"y": 212
},
"6de96ec1-b38e-402b-b0ab-fb3645a407ae": {
"x": 1314,
"y": 277
},
"1a9460f6-a15b-4e90-be7b-f95f00087701": {
"x": 1509,
"y": 437
},
"9429e5b4-4665-4314-8bad-3bd91075e02b": {
"x": 1530,
"y": 472
},
"2152fde0-6d84-45b2-8ee4-ce522262e2d0": {
"x": 623,
"y": 596
},
"7b175461-64c6-4a9e-b475-d0ff8a86529c": {
"x": 599,
"y": 676
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -14,
"y": 35.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 869,
"y": 378
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -37,
"y": -34
},
"4769bff0-922c-46b6-88ea-17422b27a79a": {
"x": 31,
"y": 200
},
"ca03725f-75f6-489a-9ac7-5437192ce3b0": {
"x": 248,
"y": 71
},
"fa6da71f-d69d-455f-8c8d-45fc66c92a31": {
"x": 252,
"y": 299
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -95,
"y": -27.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1053,
"y": 796
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -52,
"y": -11
},
"9a7a8ea3-b70d-4298-bb0e-daf6acfa18b0": {
"x": 62,
"y": 434
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -23,
"y": 38
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -96.4738240578308,
"y": -0.9072068421997682
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1187.5451796057923,
"y": 1150.6706833717365
},
"dc2d51a9-2c51-4ff1-bf07-b207c454baf1": {
"x": 31.063302752293556,
"y": 592.8623853211009
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -33,
"y": -14
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1205,
"y": 620
},
"473fab12-cdff-474d-84c3-168ecaf87d0c": {
"x": 30.4447876447876,
"y": 396.06254826254826
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"shape": "text",
"x": -78,
"y": -53
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"shape": "text",
"x": 2417,
"y": 1940
},
"2e44ffe4-c568-4565-b1b8-3e33173afd92": {
"x": 27.624176808175267,
"y": 975.9516413835702
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1296,
"y": 398
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -8,
"y": -7
},
"4b2515b1-1620-4362-b369-5ea260d9db7c": {
"x": 31,
"y": 184
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1633,
"y": 1048
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -48,
"y": -18
},
"2a6db7f6-0093-4210-8af5-95261987d6f6": {
"x": 30,
"y": 663
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -50,
"y": -10
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 975,
"y": 856
},
"15bfc761-bc2f-4374-8795-dc82f70584ac": {
"x": 30,
"y": 497
},
"56ca2420-bc43-46c8-aba6-67253add0d50": {
"x": 127,
"y": 266
},
"baf1db3d-2d6b-4ced-a6fa-a9fd65aeed32": {
"x": 127,
"y": 698
},
"5bc05801-0f37-4b14-b280-fe9268affb7d": {
"x": 384,
"y": 160
},
"49114b8a-ec0c-49cb-9f4c-b4fb2de23600": {
"x": 382,
"y": 400
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -22,
"y": -19
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1162,
"y": 874
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -128,
"y": -30
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1446,
"y": 1545
},
"723b5c41-a13f-4e3e-829f-85a27da0a9d9": {
"x": 30,
"y": 679
},
"73e0e507-f691-4e2b-b25d-594c52103103": {
"x": 534,
"y": 26
},
"0da8fa8a-7b27-4bea-b9c5-f438ad8ae27d": {
"x": 534,
"y": 58
},
"79c989c6-5147-4ea2-b7dc-7dc4020737c4": {
"x": 535,
"y": 91
},
"35690f7f-eca9-4ceb-a720-2094e9891832": {
"x": 535,
"y": 122
},
"b27a62f6-c2d0-45ca-bb8f-be6e459fbace": {
"x": 535,
"y": 154
},
"de6c95e2-b13f-4864-a0af-82eb1bb37d9a": {
"x": 719,
"y": 186
},
"09f3c0fe-247f-46b0-a699-a70cdd082f71": {
"x": 535,
"y": 234
},
"98802581-86ee-4e5a-9ea1-c561553427dc": {
"x": 711,
"y": 298
},
"d0e566c2-c054-43cf-b4a8-fd44b7edab23": {
"x": 825,
"y": 282
},
"3676683e-d645-441f-8da4-f42231f40d2a": {
"x": 825,
"y": 314
},
"d26be995-db67-4ed6-a43b-50d46d253a27": {
"x": 713,
"y": 378
},
"8fb70f62-db9f-4b12-9e91-beda5d1e9d5a": {
"x": 712,
"y": 442
},
"072ab849-142e-4319-ba2a-ed519af6cb64": {
"x": 628,
"y": 490
},
"07a0de0b-ccf1-4517-b625-0ec2517c3d53": {
"x": 865,
"y": 473
},
"4de8bf2b-72ca-43cb-a74e-09e25f831ed2": {
"x": 866,
"y": 505
},
"29805a2f-c740-4464-b84e-91ae164315d9": {
"x": 626,
"y": 538
},
"bf27fdad-8ea7-4e96-b11a-2d31ac3ab890": {
"x": 522,
"y": 595
},
"23737cb9-0a50-43df-94ca-9050daf691c5": {
"x": 608,
"y": 645
},
"d93b9860-53d8-45fd-ae82-2fffa83251bf": {
"x": 796,
"y": 709
},
"1ef682c1-245a-4848-b047-3358e72b66ee": {
"x": 1044,
"y": 692
},
"0f6a3d38-1681-4b8f-8773-e4965d8f1e99": {
"x": 1045,
"y": 725
},
"b0206333-58a7-4f8f-ae96-f91787bd257f": {
"x": 797,
"y": 756
},
"8cc87b6c-0af6-4733-8276-c8e900e5d9b4": {
"x": 797,
"y": 789
},
"c68bf2fd-c9e0-419e-ad8c-a562a55e1681": {
"x": 797,
"y": 820
},
"0cbeaeec-4513-4770-9549-e6705ab134e8": {
"x": 797,
"y": 884
},
"60852daa-c77d-43d0-9f0f-e02945aa52c2": {
"x": 910,
"y": 852
},
"3d33a1da-df00-47da-a747-baac318bcd06": {
"x": 910,
"y": 885
},
"6c12ee1f-32e1-43d7-9325-4bbdd7b008ef": {
"x": 910,
"y": 917
},
"4341a3ad-465a-4816-9a0b-4ac7266bd27d": {
"x": 796,
"y": 965
},
"49e371f4-f7f0-4593-b0c8-64e75e936cd1": {
"x": 920,
"y": 949
},
"e7b7aa79-7aa0-4716-a609-d9a867e9a6b9": {
"x": 919,
"y": 981
},
"e9a19144-7f9b-4b37-9628-9119c4c4b81f": {
"x": 796,
"y": 1029
},
"45efb7e2-819c-46ca-a533-3328ecf937e5": {
"x": 916,
"y": 1013
},
"01b7cf53-5be1-48f6-b8aa-6882cef9f117": {
"x": 916,
"y": 1044
},
"d09f5f80-8e6c-4f10-9dee-61b382943de0": {
"x": 798,
"y": 1093
},
"5ff92d9c-df05-499a-837f-0cde6ae38814": {
"x": 1028,
"y": 1077
},
"13c1c2a4-2577-4a36-8e9d-2409c93aa3bc": {
"x": 1028,
"y": 1109
},
"b91486be-7e22-4a7c-8378-fdb447066341": {
"x": 850,
"y": 1141
},
"93300a8b-8798-432a-8dd5-1fd49ed8aef4": {
"x": 1005,
"y": 1172
},
"16663f9b-152d-44ff-b06b-61cc9a9ff383": {
"x": 1006,
"y": 1205
},
"3f6c02fe-f2dc-4724-8169-c87643333e9f": {
"x": 1027,
"y": 1236
},
"64ecd2c1-71c8-4f85-8fd3-f4ca29b2dc4b": {
"x": 1028,
"y": 1269
},
"d925ee67-bcc0-4afe-8650-27cc409f8415": {
"x": 993,
"y": 1365
},
"531d2ac3-7f03-4624-ac18-6b1b449e8cb1": {
"x": 660,
"y": 1429
},
"799182ca-2a52-4714-b96f-53d4b1850ce9": {
"x": 660,
"y": 1461
},
"05ea662f-cf62-45c9-a99f-3dfd83f4d65b": {
"x": 660,
"y": 1493
},
"4f4b7afd-97b6-4027-9a8d-b78961315a5a": {
"x": 660,
"y": 1525
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": 47,
"y": -3.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -124,
"y": -12
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1409,
"y": 1553
},
"dc1dbcbb-9a49-4585-814b-8e6ff941e236": {
"x": 29,
"y": 736
},
"df69d8a1-5b38-4295-91a3-efb2baf1dc8a": {
"x": 161,
"y": 313
},
"c134a957-c0a5-46c1-a4f6-123a94970e6b": {
"x": 519,
"y": 716
},
"6f29a230-42a8-40f7-9332-4880e1202f07": {
"x": 162,
"y": 1157
},
"2cc8df46-a094-438c-a947-8cd7aeb9c817": {
"x": 358,
"y": 168
},
"9dc995bd-45bf-47fd-839b-582afc1ebeab": {
"x": 360,
"y": 472
},
"82b3c356-94cb-4cf7-ad5d-a18d3ca11d05": {
"x": 540,
"y": 103
},
"86d2e209-38d8-4d01-87d6-d979f60424dc": {
"x": 541,
"y": 216
},
"b669d177-e9c1-46b9-886c-5bf3554556dd": {
"x": 538,
"y": 295
},
"89bfcbc7-6fe2-40ea-b19f-4d20233cd67b": {
"x": 504,
"y": 376
},
"07892280-22e4-453c-aee6-a644e205fed3": {
"x": 502,
"y": 441
},
"4a5a4ef5-0f73-4a07-80ba-a83b5195e46d": {
"x": 502,
"y": 537
},
"ab9f7cf7-4c4d-40eb-9ebc-666cc24df04b": {
"x": 706,
"y": 474
},
"349a2751-26dc-4c60-acbf-4fdd0c56f05d": {
"x": 702,
"y": 537
},
"3ac88c97-287c-4a3c-9643-a2d7940f5622": {
"x": 706,
"y": 601
},
"161d3a4c-7c6d-49d9-815f-423ab3cfe0f7": {
"x": 739,
"y": 684
},
"11dff70f-3edc-4592-ba88-8ab7c795f10e": {
"x": 739,
"y": 717
},
"8c70a6b0-a02d-4aca-80dc-94d3e0a53aa9": {
"x": 739,
"y": 749
},
"0247c147-ff0e-4cb4-996d-ca031c40ff01": {
"x": 382,
"y": 790
},
"1da8a943-360e-4a00-93a7-3308352aee44": {
"x": 381,
"y": 1049
},
"3df12e75-10b4-48ab-b8bb-c739c120a0c6": {
"x": 382,
"y": 1417
},
"71787646-847a-4c60-b19c-51e54b8d0fa9": {
"x": 597,
"y": 824
},
"8ce930cd-630a-4e2a-92d2-bbc2c779a0f4": {
"x": 598,
"y": 1033
},
"62518cac-650e-4475-9018-b80256c1800e": {
"x": 597,
"y": 1257
},
"4ef42d76-d9ca-4fa7-b4a6-b8ba8eb99119": {
"x": 796,
"y": 905
},
"72b91bdc-443b-4b08-8296-9d501f22f06a": {
"x": 797,
"y": 1096
},
"4068942b-5f09-49ce-a953-4f6ba721e5a1": {
"x": 927,
"y": 1001
},
"79295414-7d43-4f21-ac2f-072f4a3f94f6": {
"x": 924,
"y": 1128
},
"caf9737f-a5a8-4500-9e1c-28ba435033b1": {
"x": 598,
"y": 1383
},
"1f1b6549-ad5a-41ae-9729-3b6ed02e056f": {
"x": 598,
"y": 1513
},
"cb208812-e5f1-4888-a1b8-d25f720a3e05": {
"x": 822,
"y": 1352
},
"16a78edf-f1d4-489b-9a49-5f8e369c92c1": {
"x": 823,
"y": 1448
},
"81cf0700-5ada-46cc-a1b5-775b07c8d2a9": {
"x": 754,
"y": 1497
},
"164fb941-361e-41c3-9485-5d5f9c1ecd89": {
"x": 754,
"y": 1529
},
"724dfbc7-11b5-4a17-ba50-a67b8421a24d": {
"x": 1037,
"y": 1305
},
"2850453b-4caa-4d79-b568-3f517cec6c7c": {
"x": 1037,
"y": 1337
},
"b6aea438-744d-4c86-a33f-dcda2891ad70": {
"x": 1037,
"y": 1369
},
"cbe1ac42-f5ad-448b-93c8-dcd016e3782e": {
"x": 1037,
"y": 1402
},
"87020c90-ad3c-4cd6-bcb9-c530f2a13589": {
"x": 1130,
"y": 985
},
"2beb683b-faf9-4f77-a2fd-ab7309be9111": {
"x": 1130,
"y": 1017
},
"1f4d1e4a-7226-4191-8826-db35b4d0a35b": {
"x": 1139,
"y": 1113
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1557,
"y": 1268
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -116,
"y": -32
},
"8a7b0c3e-a228-400a-afb3-79e3fc659346": {
"x": 29,
"y": 599
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -40,
"y": -20
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 659,
"y": 579
},
"0fa5ec2f-be99-4d92-9264-3422449ce7c4": {
"x": 28,
"y": 223
},
"6623e2f1-510e-4589-9f00-fe05ff6ca1bc": {
"x": 137,
"y": 48
},
"043b72bd-34ae-4bc8-9d0d-50031a54a50a": {
"x": 471,
"y": 277
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -43,
"y": -55
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1056,
"y": 672
},
"d437ac8a-890e-4e93-92ab-717181d0d9b1": {
"x": 29,
"y": 385
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -60,
"y": -13
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 952,
"y": 847
},
"e6d190b1-de8d-4a10-8466-d2f1bffe1014": {
"x": 30,
"y": 427
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1107,
"y": 996
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -115,
"y": -29
},
"6aa54055-9d7e-4f10-a7c1-63a2620a3259": {
"x": 29,
"y": 413
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -88,
"y": -39
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1712,
"y": 1028
},
"4466210f-12d9-4253-9a49-f584ee40ad80": {
"x": 100,
"y": 188
},
"61ac0144-9624-45e6-a842-bbab558a43f3": {
"x": 498,
"y": 551
},
"9fdcaded-8b8e-438c-9e8c-778984d9538c": {
"x": 106,
"y": 929
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1128,
"y": 488
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -41,
"y": -11
},
"919903e3-8dbc-4fc8-a927-e1e176243fac": {
"x": 30,
"y": 248
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -44,
"y": -19
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 833,
"y": 493
},
"af67e643-e6f7-42e1-ba7e-0afbc91733d3": {
"x": 29,
"y": 255
},
"c4afa1a1-7a55-4681-97d9-faf498d4661c": {
"x": 112,
"y": 66
},
"b1cac6a6-9243-47cc-bab3-c167869bbe96": {
"x": 299,
"y": 200
},
"a7dee631-c387-4c3b-bd3f-9e639874a245": {
"x": 113,
"y": 405
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1000,
"y": 633
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -50,
"y": -24
},
"28c26c39-0a70-43a3-8984-bf0266ad99e0": {
"x": 29,
"y": 314
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -72,
"y": -15
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1322,
"y": 897
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1099,
"y": 528
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -39,
"y": -37
},
"e8b0b38b-4664-46d9-ba1e-a00c77ec35f9": {
"x": 31,
"y": 276
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1075,
"y": 618
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -95,
"y": -30
},
"6e1c886c-a096-4d2e-b3b2-34b0b69e6ad4": {
"x": 31,
"y": 247
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -92,
"y": -40
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1802,
"y": 1246
},
"ecde8552-a8d4-4901-88bb-f8638789a22f": {
"x": 29,
"y": 629
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -76,
"y": -22
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1506,
"y": 1029
},
"ce130698-d6c3-4b5d-b234-b382d98844f1": {
"x": 31,
"y": 564
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1914,
"y": 1848
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -119.21657262834393,
"y": -20.647727833597983
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -62,
"y": -41
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 641,
"y": 522
},
"3ec8bf30-955f-40a3-a6e7-d8560344ffef": {
"x": 31,
"y": 269
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -83,
"y": -39
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1962,
"y": 1356
},
"d94a7332-91cf-4b68-831e-5f314e18e4a6": {
"x": 841,
"y": 552
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -22,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1484,
"y": 676
},
"e4fc3516-7567-4c50-858e-e4d7391242ba": {
"x": 31,
"y": 349
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -52,
"y": -25
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1772,
"y": 788
},
"fcf58f0d-f2ba-4557-a735-ad0b874214c1": {
"x": 29,
"y": 449
},
"825e7291-abcc-4568-b4ee-75357a255ff2": {
"x": 104,
"y": 202
},
"0705cf8b-5211-43f0-a050-2e6844dbece5": {
"x": 230,
"y": 431
},
"5b475d6f-d128-4d73-8416-53f199f2d002": {
"x": 105,
"y": 670
},
"ac2cecbe-468a-4fd4-bac9-039c164b9af2": {
"x": 303,
"y": 28
},
"509f551f-bf64-41e1-88f9-4b72614a4f2f": {
"x": 303,
"y": 220
},
"f780da32-4e6a-4453-b036-6117c4c29933": {
"x": 599,
"y": 474
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -111,
"y": -6
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1117,
"y": 1028
},
"b9eef38b-0749-40c5-b0e2-ccb2bf47d6cc": {
"x": 31,
"y": 644
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -18,
"y": 0
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 983,
"y": 780
},
"9bd44f74-c300-408e-b758-c07e1585a9ec": {
"x": 29,
"y": 402
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 970,
"y": 920
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -126,
"y": 0
},
"4cc8b539-3811-43fa-a491-3ea80adb9ec5": {
"x": 25.8186813186813,
"y": 620.7692307692307
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -34,
"y": -2
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1057,
"y": 743
},
"e7f847ff-eb64-4b6f-a497-0c5057b741a1": {
"x": 117,
"y": 150
},
"cf3c126d-41e5-437b-96cc-233937d91998": {
"x": 261,
"y": 335
},
"d5fe77a9-7a75-4fb4-9353-a086775f23b8": {
"x": 138,
"y": 586
},
"6edf1dee-6418-4a75-8f0b-44f303d87f24": {
"x": 28,
"y": 384
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -60,
"y": -16
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1466,
"y": 690
},
"e235a3e4-9087-436e-86d8-d38b05b7bb00": {
"x": 30,
"y": 423
},
"6f0f5faa-ab45-4329-ab79-7882d7ed8e5d": {
"x": 120,
"y": 173
},
"e5aa7039-de48-4c58-953b-771ab8cb2662": {
"x": 128,
"y": 637
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1356,
"y": 603
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -41,
"y": 2
},
"cf836207-013f-41d5-b1f5-5fcc9f8ee964": {
"x": 29,
"y": 317
},
"c59626ce-7353-4593-8a66-79f713764f9c": {
"x": 764,
"y": 319
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -22,
"y": -35
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1021,
"y": 479
},
"899b09ac-3512-42d3-a3c1-687a7816449a": {
"x": 35,
"y": 188
},
"20cd8b6e-a85a-46be-ab5d-7b29c33c6ece": {
"x": 126,
"y": 61
},
"3783fc0e-c568-483e-90f4-c0c45313e789": {
"x": 386,
"y": 155
},
"15a645bf-0a43-4d6f-9957-fa181399c715": {
"x": 337,
"y": 283
},
"298f1ad8-0669-4fff-a7be-22d7112302bb": {
"x": 392,
"y": 411
},
"0e670543-1b23-41e3-8895-efa2765c91fa": {
"x": 945,
"y": 198
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -84,
"y": -21
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1104,
"y": 502
},
"2d6a0b87-d080-44d3-8d46-95f938d1c611": {
"x": 30,
"y": 276
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -68,
"y": -19
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 976,
"y": 774
},
"6d13576c-f528-4627-86fa-57ed1d02e677": {
"x": 65,
"y": 375
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -45,
"y": -25
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1249,
"y": 641
},
"ed1e7c65-aa3c-4d92-8347-316f4bbd2ab9": {
"x": 29,
"y": 324
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -65,
"y": -20
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 913,
"y": 904
},
"fe03fa61-e3ef-425b-beba-adf0c03b8968": {
"x": 30,
"y": 535
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -40,
"y": 9
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 731,
"y": 585
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -19,
"y": -10
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1021,
"y": 740
},
"38098333-277c-47b1-9ee6-5ffb8d5d806f": {
"x": 501,
"y": 386
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -125,
"y": -94
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1290,
"y": 2269
},
"4a031d48-07ad-4c89-ae0e-902dd3ba919e": {
"x": 32,
"y": 1075
},
"1fae74ec-2833-432a-9e4c-d966e4e052d3": {
"x": 224,
"y": 74
},
"7678338a-0ed4-4067-8028-a5782ec80d50": {
"x": 403,
"y": 328
},
"c1ee4c6d-8c09-47e0-b9b6-1898d9944d44": {
"x": 551,
"y": 1057
},
"b3d0ed8a-544a-4d3b-984b-2a5d0cd7a2ff": {
"x": 405,
"y": 1725
},
"11f7be0d-4c58-49de-a5bf-2c4448451b31": {
"x": 232,
"y": 2075
},
"4289e2c5-472b-417f-9d44-9bc80427c442": {
"x": 650,
"y": 198
},
"25af8ae2-2684-425e-802a-67d1eb57ed02": {
"x": 651,
"y": 471
},
"1c0d8c77-ce43-4c3f-ace8-ebdeeb95f270": {
"x": 847,
"y": 439
},
"e59a2c11-703a-4396-8ccb-2506fb44158d": {
"x": 850,
"y": 566
},
"d1887d0b-9b23-4b4b-afd9-f295fab9d486": {
"x": 756,
"y": 1532
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 751,
"y": 520
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -55,
"y": -13
},
"df827391-a5f5-4d2d-925b-a5266ae75708": {
"x": 29,
"y": 265
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -67,
"y": -37
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1750,
"y": 1046
},
"94d4a483-26c0-4fb7-a41b-a2b3f3d122f7": {
"x": 30,
"y": 297
},
"c1d46c0f-b4fa-48e0-877d-b9bec0154f02": {
"x": 140,
"y": 30
},
"7a0508d8-05ad-4607-9cf8-e3895548f99e": {
"x": 140,
"y": 546
},
"2678e5d4-90a0-4748-9118-13e08bbeebf5": {
"x": 285,
"y": 386
},
"8ab01ae6-dde1-423c-9132-9a48cc601e5c": {
"x": 285,
"y": 881
},
"3c309ce0-ee30-48cb-91a4-b3abd6b228d5": {
"x": 449,
"y": 98
},
"60ce118a-973e-45b3-90e1-4384ac2ca84b": {
"x": 446,
"y": 434
},
"6fff7521-d935-4f86-ac9f-35065769c8d2": {
"x": 475,
"y": 770
},
"388f8c71-892d-4b25-9964-65d257f8e9b0": {
"x": 475,
"y": 929
},
"355965e9-bfda-4cf2-af64-1a299854ad4f": {
"x": 683,
"y": 82
},
"7ff5790c-ebc7-45e5-bed4-6e4ec44d5ab7": {
"x": 683,
"y": 129
},
"721ac09d-7ff1-44ff-9724-a202d4629bf4": {
"x": 566,
"y": 257
},
"642a7846-dc2e-4e8d-8071-7352be2475b9": {
"x": 565,
"y": 545
},
"dce51def-6e64-4341-b65f-2d1431001889": {
"x": 591,
"y": 737
},
"ae66c651-8957-4262-ac28-377f1c963922": {
"x": 591,
"y": 786
},
"94c5c104-958f-40a1-933d-b6fd290933c5": {
"x": 766,
"y": 769
},
"e6905361-eaac-4956-9254-d41ad921ceff": {
"x": 766,
"y": 802
},
"158df73d-f7ed-4ce8-8def-02cb9e0eb6b4": {
"x": 603,
"y": 834
},
"e4c7af16-0438-424a-a9ab-dcb593aac08c": {
"x": 604,
"y": 945
},
"8244476f-b90b-4864-a90d-7fc6075e2b9f": {
"x": 827,
"y": 65
},
"a1aab634-f371-493a-9325-9bd342edcef5": {
"x": 826,
"y": 98
},
"7f7fb223-8724-491c-bab2-39dba512a971": {
"x": 858,
"y": 162
},
"c55116f2-7b5d-4bb7-9d98-7f2b8dba8471": {
"x": 857,
"y": 273
},
"4f5d52d0-7390-4df8-9366-dc0043939d5a": {
"x": 916,
"y": 465
},
"8cd57fc6-159f-40d1-b15e-c4a52e310e95": {
"x": 915,
"y": 641
},
"d9176fbb-5442-453f-97c4-4978d76cb98d": {
"x": 991,
"y": 225
},
"b69c573c-8729-4241-8d4b-651a44d0f3df": {
"x": 992,
"y": 321
},
"0e1dbd53-22a1-45c8-9a7c-5ce42b7bdbee": {
"x": 1124,
"y": 289
},
"64d2724d-823d-4119-9345-fbeef9f12449": {
"x": 1124,
"y": 337
},
"875b035a-930c-4f29-bd06-9da2a6fc8698": {
"x": 754,
"y": 882
},
"83386833-dcf3-4860-939d-09c6160d0e4f": {
"x": 754,
"y": 977
},
"17c00f98-2b8c-43a9-8554-a16f08f528f4": {
"x": 846,
"y": 961
},
"81deaf9a-2411-4fd8-a3c8-f326b662664a": {
"x": 845,
"y": 1026
},
"1226e2e9-c3a8-41c3-934c-55ed475ba472": {
"x": 966,
"y": 945
},
"d7cc4e61-0934-4723-9801-da8621f99864": {
"x": 966,
"y": 993
},
"b22d0549-c91e-48d3-8e2b-8d1aaa391ce0": {
"x": 1256,
"y": 929
},
"e53f1572-ba13-483c-a275-03387aedeb09": {
"x": 1256,
"y": 962
},
"6ba6a5be-eda5-49df-aa50-aecb0f7df06f": {
"x": 1111,
"y": 193
},
"615560cc-027a-4d38-b1cb-5b369537a79e": {
"x": 1111,
"y": 241
},
"01ae94fa-2ad3-4e42-a24b-bb97bbbdbea0": {
"x": 1228,
"y": 225
},
"57da5340-c123-44e6-a471-874e00388a09": {
"x": 1229,
"y": 258
},
"912dbb96-7353-4615-832a-6a380f3a2699": {
"x": 1310,
"y": 321
},
"e38250da-cb43-4bd1-a6b2-f33647136d85": {
"x": 1309,
"y": 354
},
"3d10be4f-98a3-4783-b2e4-d24910d1bcd1": {
"x": 1046,
"y": 419
},
"baf67f3f-bd50-4096-a8be-8203067db7ff": {
"x": 1048,
"y": 513
},
"54428a93-7856-4963-8cf8-2bc4a54e40e6": {
"x": 1028,
"y": 609
},
"947b0f66-62db-4815-bf2a-6e1da73875a1": {
"x": 1028,
"y": 689
},
"41f6e89f-4a73-4b9f-bad4-8ad131e35064": {
"x": 1357,
"y": 386
},
"9250f3d2-f8f4-4739-a3e3-1379ed6e862f": {
"x": 1357,
"y": 434
},
"76629ce8-e056-4b43-a2ed-b245fa519337": {
"x": 1479,
"y": 417
},
"81085818-1d49-4f75-a128-3d1c1f310e8b": {
"x": 1480,
"y": 450
},
"b2de0cf9-27e6-40ec-8877-7e2cb5cc3e51": {
"x": 1332,
"y": 497
},
"b4d6ba8c-8fee-4236-ae5e-2aa52c16e3aa": {
"x": 1332,
"y": 545
},
"4e345018-6f21-4c4e-bcc0-50c39c2d0c48": {
"x": 1448,
"y": 482
},
"168c1d2c-fa52-4230-a7e4-3e7c0a30f81f": {
"x": 1448,
"y": 513
},
"e3811385-fc41-437c-99a1-f2ddcdcd92d1": {
"x": 1217,
"y": 594
},
"04902f0b-7cf2-481f-817c-c02633b08dbf": {
"x": 1216,
"y": 641
},
"748cbc45-5686-46b4-9f3a-796a1db6093e": {
"x": 1394,
"y": 578
},
"d8ff8419-981a-4071-9c5f-f2056c9bfef8": {
"x": 1394,
"y": 609
},
"c7a0ff16-3e1b-43c9-980e-9a6f4a7059bd": {
"x": 1204,
"y": 674
},
"62581e04-166b-4179-8081-e5e2868d5650": {
"x": 1205,
"y": 705
},
"00d61dae-d6e0-4d60-9df4-b955eae6fa23": {
"x": 850,
"y": 865
},
"addbbecb-5273-4ece-ac75-a1b3ecaa17b5": {
"x": 850,
"y": 898
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -84,
"y": -13
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1948,
"y": 949
},
"11094d70-cd32-4591-9654-489e511563fb": {
"x": 32,
"y": 519
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1340,
"y": 820
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -79,
"y": -20
},
"8af131c1-6649-4b98-99c8-a8c9f38eba9a": {
"x": 29,
"y": 535
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -45.15599999999998,
"y": -36.115000000000016
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 823.3994970000001,
"y": 562.0408100000002
},
"f269339c-576a-4c7e-a21f-610cc5fabda1": {
"x": 30.29100917431191,
"y": 245.88844036697247
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -219,
"y": -54
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1772,
"y": 2540
},
"473d7545-0760-4159-94ed-35e353181e2d": {
"x": 28,
"y": 1113
},
"87c5e500-3377-419c-863f-c6f1030cbe1b": {
"x": 174,
"y": 47
},
"2c056b18-e050-41d8-a67f-b9a3902f2796": {
"x": 314,
"y": 29
},
"b92d1f45-44e7-4d58-8fcc-b0ce57c05236": {
"x": 314,
"y": 66
},
"ca073a95-a9f1-4aa5-b864-42361c7f98bd": {
"x": 462,
"y": 67
},
"9fb2d755-cb4e-491b-bfa1-073e774402fd": {
"x": 346,
"y": 172
},
"49de9185-a92d-498e-8715-ddaa6c993579": {
"x": 463,
"y": 104
},
"2e172784-f9c2-4e6b-ba91-f8c343d9c568": {
"x": 461,
"y": 142
},
"57fc55d6-5583-4581-9df1-0af7962b8712": {
"x": 462,
"y": 178
},
"d6ff1651-f419-4e0e-9ad3-63544e4fbaf8": {
"x": 461,
"y": 227
},
"e6d05f44-9650-4b95-b223-74d6d4ac3870": {
"x": 463,
"y": 279
},
"825d3667-ebf7-4a64-9d84-e6500ad6418b": {
"x": 377,
"y": 508
},
"a637f617-fdea-485b-9687-23163d3dfa80": {
"x": 484,
"y": 348
},
"bc0d6772-821d-4b5f-a4e8-e1f40b848976": {
"x": 484,
"y": 588
},
"9dbed34a-6486-4191-8a56-90231c5253ae": {
"x": 691,
"y": 871
},
"6e8c8561-a438-4bd5-810a-fe9e5410419a": {
"x": 720,
"y": 1284
},
"75d2457d-fc4d-4e0e-95c6-ebb1f86b447b": {
"x": 448,
"y": 1788
},
"09c8a959-429e-4daf-b079-e6b8077a2673": {
"x": 346,
"y": 2153
},
"36ccfcd7-f668-4b3a-9c5d-1dfd7d691e09": {
"x": 210,
"y": 2394
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1770,
"y": 1630
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -77,
"y": -9
},
"46fedec8-91aa-45da-b2d0-f740ab0269cd": {
"x": 29,
"y": 1023
},
"09519bc8-8c40-416b-805e-94a72f9f7c1e": {
"x": 536,
"y": 316
},
"e5eecef7-a0d1-4f9b-a978-08320a9162a5": {
"x": 1252,
"y": 89
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -76,
"y": -16
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1623,
"y": 593
},
"d941123a-3319-47bd-b8e4-b56d92876f57": {
"x": 28,
"y": 393
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1144,
"y": 680
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -23,
"y": 0
},
"f49500ef-58e8-44e3-ad11-f50a91e61420": {
"x": 30,
"y": 270
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -46,
"y": -5
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1302,
"y": 882
},
"3183b04c-70e5-4846-95c3-62f131be9563": {
"x": 29,
"y": 344
},
"10afc319-3eb3-40dd-b4f7-2448d47ddbb3": {
"x": 631,
"y": 383
},
"e40dc89e-5055-4cbd-8a2a-bc78f4d3ce88": {
"x": 629,
"y": 451
},
"613a2071-01bc-420c-ad5a-06a296a45747": {
"x": 629,
"y": 554
},
"784510af-e202-459e-a489-b178215328b9": {
"x": 630,
"y": 587
},
"def175e8-bf80-4c42-98bd-b22d37f2270a": {
"x": 718,
"y": 724
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1207,
"y": 425
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -37,
"y": -2
},
"ea7918c8-4dc2-49fa-8991-cd1690994791": {
"x": 29.444067796610188,
"y": 239.868813559322
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1161,
"y": 517
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -32,
"y": 5
},
"d94b33b8-d701-4408-8e1d-ff127a7ae34e": {
"x": 30,
"y": 265
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1195,
"y": 1102
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -125,
"y": -29
},
"9673fa63-3f35-4b59-a6ae-60bc097602c1": {
"x": 468,
"y": 26
},
"daec98ee-a0c7-4b60-810e-74c692cadc03": {
"x": 467,
"y": 58
},
"2d78f16e-2d65-4387-89ce-d7c19b95fc17": {
"x": 457,
"y": 91
},
"13f2ad37-6c89-480d-a76d-b135de4a9cce": {
"x": 456,
"y": 122
},
"b4522000-448d-40ee-8b7a-bd0d9092683b": {
"x": 631,
"y": 164
},
"e485d29e-a6ae-4a66-9338-f91f48865a3b": {
"x": 633,
"y": 309
},
"b991abea-ec84-4a4b-95ac-f08cbfd46d0d": {
"x": 802,
"y": 197
},
"515b0cfb-a5d5-4222-95d0-ce0171345cba": {
"x": 802,
"y": 229
},
"e901547d-6e6e-4ee8-9a38-a3ca9002a03f": {
"x": 802,
"y": 262
},
"a6297d4a-4db6-4886-adf2-8ecb58ed27d1": {
"x": 803,
"y": 293
},
"b8cbd1f5-ff69-43b9-8a2c-6ed0225b9536": {
"x": 962,
"y": 293
},
"686e6b3b-c16e-4fe7-8acd-8223d548d1ef": {
"x": 803,
"y": 357
},
"3d460aa6-accf-4e83-97ca-68bc8b08cecc": {
"x": 803,
"y": 421
},
"0cd63647-ff7b-4dc2-b126-e5fe90089499": {
"x": 636,
"y": 452
},
"71832524-352c-43bd-a451-9e9e6f28b7ae": {
"x": 637,
"y": 485
},
"d9154e76-9442-44c0-a15a-421668b53050": {
"x": 637,
"y": 518
},
"a5b6fe69-f0bd-4a82-9b89-23c080157842": {
"x": 637,
"y": 549
},
"9b91f56e-b570-42e9-a0b6-c08ea90a873d": {
"x": 637,
"y": 581
},
"f664b2a6-955c-4423-a641-c66084bba0ca": {
"x": 636,
"y": 613
},
"174274a4-0836-4b4d-bd22-9e7389badfe5": {
"x": 636,
"y": 645
},
"bb4df24c-0e47-4ac2-9795-dccd70a4baac": {
"x": 635,
"y": 677
},
"d3cc2098-ad22-4183-9323-1191e81b199f": {
"x": 635,
"y": 709
},
"19a9f718-c5f8-4e12-b7a4-3f8ca06edbe9": {
"x": 637,
"y": 741
},
"6bfe40bc-ae3f-41f5-9859-9b7f807290b7": {
"x": 637,
"y": 773
},
"cfca2559-5143-4897-9a41-70dea9da7e0f": {
"x": 637,
"y": 805
},
"dc09fe35-f869-4a75-bf01-67e2dd7b91d7": {
"x": 637,
"y": 837
},
"14bdfea3-07cf-451e-b2d9-75c98f0c0f01": {
"x": 638,
"y": 869
},
"b798bee0-5718-461e-a251-8744496ce6a9": {
"x": 638,
"y": 901
},
"4e50cb84-74b7-4640-8e3a-8c97fda79919": {
"x": 637,
"y": 933
},
"a2b6a1e7-c115-474d-a9ab-dc334b34fe84": {
"x": 638,
"y": 965
},
"618b0772-b30a-4b19-9a04-2bca837eff09": {
"x": 637,
"y": 997
},
"d22912ba-2359-478c-afcb-0e8ad84cebc0": {
"x": 636,
"y": 1029
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 917,
"y": 589
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -15,
"y": -2
},
"cedb5370-58b5-4c63-8589-6c2222a337e4": {
"x": 31.448961937716266,
"y": 280.49982698961935
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 767,
"y": 555
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -53,
"y": -21
},
"f3f243b0-163a-43b9-afc1-899c3ac76dd6": {
"x": 29,
"y": 307
},
"d6836d14-9d2e-46c9-98e5-353d84c9f6d8": {
"x": 247,
"y": 103
},
"58bab180-5d1a-45ba-886b-47112b5b6d20": {
"x": 464,
"y": 286
},
"17206ad1-013b-4dd2-8766-79dd84673e0b": {
"x": 263,
"y": 468
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -9.965765367344336,
"y": -20.57474664618606
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1254.1873483879021,
"y": 1185.1386123624268
},
"33337e8d-a33f-4deb-990c-94b56d0a979b": {
"x": 33.578998824282145,
"y": 612.5407425699791
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1094,
"y": 825
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -100,
"y": -27
},
"f0eea513-dcc4-44d1-9672-05b81792abdb": {
"x": 29,
"y": 380
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -53,
"y": 0
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1112,
"y": 575
},
"223b6dc6-4bde-4bf0-9663-2f229cfddd3a": {
"x": 30,
"y": 287
},
"200205ff-1324-4b5c-829e-deeda97b7852": {
"x": 450,
"y": 28
},
"87592f9c-a880-428a-a4fb-584c2923ff7a": {
"x": 450,
"y": 62
},
"4c2174b2-1773-44a8-a846-b07723056b4b": {
"x": 451,
"y": 158
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -47,
"y": -29
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1745,
"y": 1422
},
"6971548d-bfd0-4d3d-b283-275c73255c84": {
"x": 29,
"y": 610
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -63,
"y": -16
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1696,
"y": 1286
},
"04f78267-7067-4dea-b220-00557249ad92": {
"x": 29,
"y": 434
},
"5779ff66-7f5e-4792-adda-fa9151da31ff": {
"x": 124,
"y": 108
},
"997c51f6-8e8d-4d4e-9c3f-e1b1b3564245": {
"x": 123,
"y": 738
},
"560a3082-aff8-49d1-bda9-935999a74f77": {
"x": 251,
"y": 384
},
"a1163d77-8bef-4bb3-ad63-fd836e24471b": {
"x": 252,
"y": 913
},
"ce236c1c-9ef6-4c58-8c28-e420ebd479e5": {
"x": 566,
"y": 45
},
"95401692-3446-4d95-ae4a-85c6a9440605": {
"x": 566,
"y": 138
},
"faeb09d4-f585-4960-92f7-04a871f9ef74": {
"x": 670,
"y": 946
},
"d8a5203a-6657-4129-9971-d87c356b6f36": {
"x": 797,
"y": 577
},
"6a8c0e0b-2258-4949-85a8-b005e1f99f21": {
"x": 796,
"y": 609
},
"25b5d349-3532-4334-a0de-545a4de4d503": {
"x": 668,
"y": 305
},
"bd819c43-b7fb-4cc9-96b7-ecf76078355c": {
"x": 671,
"y": 483
},
"a4cffd65-d829-48e4-9d6c-4ad14c8850cd": {
"x": 1015,
"y": 155
},
"a8ff56c8-15d7-45d7-90c2-8809fedf9ece": {
"x": 1015,
"y": 187
},
"8da6ec7a-0b72-4d01-9892-972f33ad2c18": {
"x": 670.0688026524042,
"y": 592.982630464998
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 804,
"y": 788
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -87.57212452200015,
"y": -6.296756356400095
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -101.62020000000007,
"y": -29.109800000000046
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 627.8945180519205,
"y": 642.6471781647703
},
"5a296cf6-dc2d-43b9-824e-a335d45dc42d": {
"x": 30.962568807339448,
"y": 300.1331192660551
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -89,
"y": -14
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1065,
"y": 1218
},
"45d89559-1143-431f-871e-0da3e70af0ad": {
"x": 29,
"y": 687
},
"fda72de6-3442-4766-a71b-9618b8b1fb04": {
"x": 123,
"y": 378
},
"fc0b84cf-c47f-43ca-b2a1-c4c7ca85a9df": {
"x": 126,
"y": 979
},
"288b962c-4473-45ec-a30f-6f477fdd68a9": {
"x": 543,
"y": 26
},
"9d3f6b8a-eae1-48cd-9ed5-b601dec0062f": {
"x": 543,
"y": 58
},
"29c171ac-eba6-4a0e-bd6c-8685359e79d0": {
"x": 543,
"y": 90
},
"1359b7eb-04ff-4f23-98a0-b16e02d0a565": {
"x": 543,
"y": 137
},
"5cfb42a2-8856-43f6-be26-fee528eb6b07": {
"x": 748,
"y": 122
},
"f00e8c1b-673f-4c45-bc4d-b2d2d2d5028f": {
"x": 748,
"y": 154
},
"a3a87217-b800-4e17-a3a7-ae5ca0440b20": {
"x": 543,
"y": 185
},
"b22b9aef-eee1-4e0a-8131-10e836a7c70e": {
"x": 543,
"y": 234
},
"c8fec4e9-4950-4e4a-bd7f-6f702876a690": {
"x": 778,
"y": 218
},
"455d9823-5518-4114-a78b-2022eee5c60f": {
"x": 778,
"y": 249
},
"ec50dc5f-eca2-4961-bec0-11d46474c656": {
"x": 543,
"y": 298
},
"f9f30816-666e-4ad6-92f4-89048a51fb51": {
"x": 529,
"y": 345
},
"deabd982-52b9-44f2-8291-e26e61687484": {
"x": 529,
"y": 378
},
"38e0d6d8-0a17-4cdb-b459-52e8dad461ea": {
"x": 529,
"y": 410
},
"6e68f21e-a2a7-46c1-bf63-6600e7f090dc": {
"x": 528,
"y": 505
},
"e382dc9a-7800-4233-9036-4bc73030ca22": {
"x": 701,
"y": 442
},
"d6cd5b37-6648-42da-bc3f-207b601655de": {
"x": 700,
"y": 474
},
"f294cb45-26cd-42e1-b5cd-f112e13f41da": {
"x": 702,
"y": 506
},
"7934ae79-da69-4ffa-b12e-e1e3e59ea19d": {
"x": 702,
"y": 553
},
"b4f34622-a195-4fed-9760-30b911ad8dd2": {
"x": 838,
"y": 538
},
"79533c50-7e88-4ee3-94e0-412a3602b78a": {
"x": 838,
"y": 570
},
"d104428d-9511-455b-bbdb-c3670e7c6e62": {
"x": 530,
"y": 602
},
"6c7bbb2d-e73d-4fc2-8c05-75e12cbcd07d": {
"x": 529,
"y": 650
},
"e8a68101-7721-43bc-a7be-97c53532784e": {
"x": 730,
"y": 633
},
"b25b401f-198f-4561-b840-24472ea4340e": {
"x": 731,
"y": 666
},
"617dc2b0-b036-439a-9f60-88e62d48a9da": {
"x": 528,
"y": 713
},
"fc4ecc0c-877f-4b1c-ae6a-2f1928ceafb8": {
"x": 723,
"y": 698
},
"97e068ec-6fdc-46e9-9b92-067f1783a6dc": {
"x": 723,
"y": 730
},
"decc11ac-fb0c-45d8-8b4f-6fd367c1cd49": {
"x": 470,
"y": 770
},
"f157ecd2-e382-457d-ae7b-d5b1a74f2f4c": {
"x": 470,
"y": 803
},
"688acb09-3861-4a18-94db-aaed0073b07d": {
"x": 470,
"y": 835
},
"4cc31172-f7a7-4bd7-affc-e340e35070be": {
"x": 470,
"y": 867
},
"adf7708b-3bc6-487a-9f4c-f1ae5ce85087": {
"x": 470,
"y": 899
},
"84d85530-1537-4157-8643-c64ea1744939": {
"x": 470,
"y": 931
},
"035f27f2-6647-4985-b9d1-525be211d851": {
"x": 470,
"y": 963
},
"dc783352-845b-4fd6-bb5e-d26e91b23ef8": {
"x": 447,
"y": 995
},
"3971f477-f430-4190-9fe4-2c3cc3da3871": {
"x": 447,
"y": 1027
},
"a118f474-dc8d-4775-95b3-8737db66a4c7": {
"x": 445,
"y": 1058
},
"faced1d6-8491-4624-91b6-648958377adc": {
"x": 445,
"y": 1090
},
"4193e012-9a1a-441d-b03f-e9c726175b7f": {
"x": 444,
"y": 1122
},
"78de265a-564f-4cea-821f-969ff60faf5c": {
"x": 445,
"y": 1155
},
"582fb322-22e5-4052-bc60-14afb9846879": {
"x": 445,
"y": 1187
},
"e3871e13-df4d-46ab-8902-c9716d1018d6": {
"x": 880,
"y": 282
},
"2f22805a-ce92-45b7-9945-4639554e1534": {
"x": 880,
"y": 314
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 955,
"y": 398
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -20,
"y": 10
},
"adb70a8e-c258-4c01-86c1-ca621c7bd461": {
"x": 29,
"y": 187
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -55,
"y": -7.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1796,
"y": 1365
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -98,
"y": -45
},
"54819547-51c7-4bcb-be8f-f77ba58af010": {
"x": 29.595155709342443,
"y": 673.7024221453287
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -50,
"y": -21
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 737,
"y": 421
},
"219ac080-bca0-461e-9f68-45601fceed01": {
"x": 29,
"y": 219
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -19,
"y": 10
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 716,
"y": 571
},
"01b27aa1-5430-4e81-9ffb-d7567923e394": {
"x": 290,
"y": 295
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -54,
"y": -14
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1254,
"y": 557
},
"972b31fa-d6a4-4d94-94e7-a19f302f5e31": {
"x": 29,
"y": 343
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -58,
"y": -8
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 490,
"y": 385
},
"21f3c056-3580-4b90-963d-02929f48f213": {
"x": 29,
"y": 196
},
"d1290ee1-7de2-45a8-af60-29dc0ef0a1b2": {
"x": 239,
"y": 30
},
"0e07f5f9-64d7-4dd6-a4d0-a29104c98093": {
"x": 238,
"y": 66
},
"22ded1b3-7f54-411a-9455-fc2885e1473b": {
"x": 238,
"y": 102
},
"0f673e2c-fd85-406d-af09-047d5b5ff92a": {
"x": 289,
"y": 231
},
"e56b89ff-8817-4855-a5d8-35993ab289c9": {
"x": 289,
"y": 268
},
"d7ce8fe2-36a2-475e-a079-adce3e89d9df": {
"x": 288,
"y": 305
},
"c3c4afca-d8af-4ce2-94b7-cef12aab8e99": {
"x": 288,
"y": 341
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 4646,
"y": 2140
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -180,
"y": -120
},
"4c3f89c0-4cb0-44cb-9592-fc1ca3e13b6f": {
"x": 882,
"y": 1074
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1330,
"y": 616
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -13,
"y": -2
},
"70acd5a6-1e38-4a76-8b97-494981404bc2": {
"x": 29,
"y": 309
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1022,
"y": 759
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -31,
"y": -30
},
"ec9dffbb-208c-4ed9-9553-c9a6cfb0b79b": {
"x": 32,
"y": 439
},
"81cc904e-af09-4e76-98a6-ed1b2f15cd70": {
"x": 221,
"y": 218
},
"c4edd8c0-33d6-45d4-8225-48fe9c8ef907": {
"x": 221,
"y": 609
},
"4258b307-c73e-49ca-a244-e3f96a69f6e8": {
"x": 665,
"y": 26
},
"d97d4e89-6bc6-4b6b-939f-91aa0d513513": {
"x": 664,
"y": 57
},
"85704c09-5a21-4484-b2cb-ad5408e9f192": {
"x": 767,
"y": 122
},
"0b1b70ce-6c73-42c1-acca-e54fb64d5970": {
"x": 767,
"y": 154
},
"1eca4c91-37c6-4352-90f9-1d901713d9cf": {
"x": 767,
"y": 187
},
"4129d998-fd0b-4a68-9b62-d11359f20522": {
"x": 767,
"y": 218
},
"6c44605f-c0de-4978-b8e0-628994453dac": {
"x": 661,
"y": 249
},
"ec18f488-23fd-4e4e-ad3f-a98eb850e677": {
"x": 661,
"y": 281
},
"8e269507-bf64-4e81-b2e9-9257ff954256": {
"x": 608,
"y": 314
},
"88824234-bbaf-4a85-9cfe-fef64bcec77b": {
"x": 603,
"y": 360
},
"40874b03-772c-4c52-93e5-b06ec77914c9": {
"x": 603,
"y": 408
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1467,
"y": 1003
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -289,
"y": 32
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -24,
"y": 26.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -76,
"y": 1
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1424,
"y": 1195
},
"46d36449-83b3-4e86-907b-b9b9e2615880": {
"x": 28,
"y": 503
},
"aa2a8428-7710-4f8c-998e-767dadba9e69": {
"x": 163,
"y": 121
},
"40dbd5a6-ee13-4165-b872-b36d9c8fd5f8": {
"x": 397,
"y": 479
},
"c20bf07a-867c-4d1b-977c-e12ca2b10e83": {
"x": 167,
"y": 870
},
"92358277-ba1a-4628-8d93-d89550193366": {
"x": 643,
"y": 1013
},
"5ddd4698-deec-49a5-8bfa-64a9bc7a1467": {
"x": 871,
"y": 351
},
"2bc91376-50f5-4e65-9b5d-2613790f1cca": {
"x": 872,
"y": 495
},
"519da43a-c244-44f4-8f5d-f7ce4f999745": {
"x": 873,
"y": 574
},
"c936f6dd-576c-42e7-b9c9-debbd0e84db2": {
"x": 873,
"y": 655
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1065,
"y": 827
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -54,
"y": -14
},
"d66f398a-4aa5-4d43-863e-18e44ca5b32f": {
"x": 357,
"y": 57
},
"e8b5b933-3bdb-4332-9a1b-de55097a0c5e": {
"x": 358,
"y": 124
},
"df171097-46a7-4567-afbb-d504fad7069e": {
"x": 357,
"y": 238
},
"21c3b39f-4470-41ec-8167-e4980a1271f5": {
"x": 552,
"y": 159
},
"c88d093b-7e04-490a-884f-7a32c8837b42": {
"x": 545,
"y": 188
},
"3a43a1c1-c0ac-42c4-b180-a4e4bce81d7b": {
"x": 735,
"y": 222
},
"6222563f-b1af-440b-b75b-82060d865bbf": {
"x": 735,
"y": 255
},
"5612ecf2-ee83-4b3b-998f-94bfa342bb49": {
"x": 735,
"y": 286
},
"1bf63a67-7019-4623-bc25-6169e1ae0d7f": {
"x": 735,
"y": 319
},
"32146f8e-a14a-4189-86d9-d5246d752df5": {
"x": 313,
"y": 419
},
"d349ac2b-0011-4d08-98b8-e668f0b97d96": {
"x": 315,
"y": 486
},
"497f0707-9279-4bbd-b015-0e585ea8fff0": {
"x": 313,
"y": 524
},
"1ef1f211-88a1-4dec-a089-b4777fc6321a": {
"x": 314,
"y": 560
},
"635719a9-b82a-45d9-a9d7-4e808047991c": {
"x": 313,
"y": 642
},
"1c284677-f912-4037-91b5-ab886b354785": {
"x": 312,
"y": 725
},
"a5a5a7f0-4dad-4c0b-b01b-231fae0a3b1b": {
"x": 641,
"y": 759
},
"5d182fa8-ee77-4386-93d2-02fc2a306a13": {
"x": 29,
"y": 399
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 830,
"y": 448
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -27,
"y": 8
},
"74b760db-a4dc-4bf0-85ff-c42d1405327b": {
"x": 30,
"y": 252
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1467,
"y": 1267
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -68,
"y": 7
},
"8270605d-74e3-4e9d-b2d2-f5d25fc7d9a0": {
"x": 31,
"y": 562
},
"bd75df61-2f39-4724-94c7-b4809f89aea3": {
"x": 513,
"y": 27
},
"1f5d14c5-1687-46f2-8949-1e58e15eb311": {
"x": 511,
"y": 66
},
"ece62a1e-d7b3-44ea-95f3-6040d71b9ff1": {
"x": 510,
"y": 103
},
"365a2c7c-1a83-4e65-860e-545a7c789a1b": {
"x": 510,
"y": 139
},
"78d53c88-dc5c-4865-b77c-aba26ed1aa0c": {
"x": 511,
"y": 176
},
"d97753c7-32a2-41fc-b0a0-535606ad67cd": {
"x": 629,
"y": 256
},
"b343d693-08b8-4f24-8d01-e6e4f1549233": {
"x": 630,
"y": 294
},
"c5ff58d2-58bf-44d0-9c1c-63841a64f874": {
"x": 630,
"y": 329
},
"565b2966-c2aa-495b-a0ba-22f434260283": {
"x": 629,
"y": 367
},
"769de1c4-f425-405d-9611-b731ddc5e9db": {
"x": 990,
"y": 450
},
"8de1c7b3-9ac5-48ae-9510-a5cafaaaf971": {
"x": 989,
"y": 485
},
"9a41669e-e47f-4596-86c7-2cf75f149447": {
"x": 988,
"y": 522
},
"b9fe6d61-22fc-4687-9fb4-e2895ab755b0": {
"x": 988,
"y": 560
},
"9aae7ecb-7e88-4365-b3fa-34cf663de4ce": {
"x": 988,
"y": 597
},
"d328a790-3097-4d5d-bdfb-39efd408722b": {
"x": 989,
"y": 634
},
"85009620-0792-44a7-82b1-08021c51d936": {
"x": 679,
"y": 729
},
"6ec110c3-d09e-440a-b163-df9223921d48": {
"x": 679,
"y": 766
},
"a0a8611c-4964-4272-8562-f0cd8dd1dfda": {
"x": 679,
"y": 803
},
"4957ab9f-fb70-4aa5-af44-c9d3ee629d14": {
"x": 746,
"y": 904
},
"28ff6d52-b197-426e-b96a-25c8c111a372": {
"x": 745,
"y": 941
},
"f523292c-f158-4c25-ad3f-7a48f4114162": {
"x": 651,
"y": 1034
},
"476711ea-d353-4c16-b1d9-b74c360ec0eb": {
"x": 651,
"y": 1073
},
"7b8ba4b1-d202-450a-8df5-14831321e70a": {
"x": 649,
"y": 1108
},
"26932e9e-5cee-4b4a-bfd3-b050a053bf16": {
"x": 651,
"y": 1145
},
"f271aa9b-6fe7-4df3-84e3-eb3efbf16e7f": {
"x": 651,
"y": 1181
},
"884453d1-6e67-4d19-b724-67ea371b18e7": {
"x": 651,
"y": 1218
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -83,
"y": -17
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 997,
"y": 852
},
"f4668380-9bfa-404a-83bc-2108fd4b1d71": {
"x": 318,
"y": 393
},
"a557bc7e-2494-4912-a9a1-7828b70e50da": {
"x": 30,
"y": 413
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -41,
"y": -8
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1073,
"y": 573
},
"d1660410-9584-4007-99ab-852d1e8dda16": {
"x": 28,
"y": 347
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1630,
"y": 1104
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -1,
"y": -10
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -66,
"y": -75
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1574,
"y": 970
},
"03d92dde-c707-4d65-ad77-1b5377435db6": {
"x": 31,
"y": 581
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1306,
"y": 356
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -37,
"y": -89
},
"b1630d35-2472-49c5-9c2e-b6e5ec94ebf5": {
"x": 29,
"y": 178
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -94,
"y": 7
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -38,
"y": -14
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 802,
"y": 466
},
"509a3a44-d6e7-4399-bb57-e250779e4860": {
"x": 370,
"y": 43
},
"9a8e1b4a-2044-4080-9772-3869f7b155bc": {
"x": 29,
"y": 233
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1075,
"y": 749
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -95,
"y": -22
},
"51793d19-30d5-4d71-af95-10b704a503aa": {
"x": 30,
"y": 373
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 855,
"y": 611
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -85,
"y": -24
},
"f978a075-d216-4955-ba58-e74ade0115ee": {
"x": 29,
"y": 329
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1290,
"y": 2517
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -18,
"y": -48
},
"9a074818-af1d-4ded-9700-c3e473ed2d00": {
"x": 32,
"y": 1304
},
"98621823-182d-46c1-8ce2-d8e8d8f8de0f": {
"x": 742,
"y": 25
},
"521e8615-72bd-43a6-986c-7550f5de8efd": {
"x": 867,
"y": 58
},
"6f049807-2bc3-4d77-b7e0-175f562da9a5": {
"x": 867,
"y": 90
},
"692e072a-d8b2-4521-8107-26e6934c56d0": {
"x": 866,
"y": 123
},
"48e788c5-ab9a-49f9-b344-bb7465f0eda8": {
"x": 431,
"y": 156
},
"d1ecbf4d-3a98-4d65-83ee-3f4a649c9989": {
"x": 431,
"y": 194
},
"bae4e171-2be8-46cd-9711-9b81c9c5c9f6": {
"x": 432,
"y": 308
},
"f73d1f03-ec70-4a3a-9339-3795ccbbded2": {
"x": 436,
"y": 425
},
"d6e0e552-bb65-4d27-ae8e-cf839197af88": {
"x": 430,
"y": 460
},
"14192ab8-2347-4b69-b5cf-14edfb6adc5b": {
"x": 577,
"y": 228
},
"e7d45ee9-2c69-4e36-a0ec-d62496d4b3d2": {
"x": 577,
"y": 260
},
"df2ac5bd-7acb-4ee7-8d54-c9575436bed0": {
"x": 577,
"y": 292
},
"f0dcc186-3f16-491f-872b-f1293f4e72e3": {
"x": 577,
"y": 324
},
"3a15f53f-456c-487f-abf3-e26e7b0eaf16": {
"x": 577,
"y": 356
},
"f7a81d3b-2f70-43e4-a805-eae5cf0c1480": {
"x": 577,
"y": 388
},
"e4813955-38d4-4e88-a038-8c373544c179": {
"x": 433,
"y": 588
},
"ef59cb6c-8bba-4752-ae4c-7cc41b183426": {
"x": 443,
"y": 723
},
"6352e715-2520-4fe2-9056-cd9d2b197ca1": {
"x": 444,
"y": 758
},
"d952dc98-af6d-4590-a466-83a775cb675d": {
"x": 445,
"y": 839
},
"0f1bdc8c-bc5e-41b8-ae0d-ccedd15aef70": {
"x": 443,
"y": 924
},
"262a97b2-f4d7-45d2-88e7-f59be8039f80": {
"x": 446,
"y": 959
},
"af6d4ee7-cf98-420d-80a2-e27e2558e0f9": {
"x": 444,
"y": 996
},
"da7decd6-9af2-4b18-965c-2a34ca9a2f32": {
"x": 446,
"y": 1034
},
"54ac69a1-1d00-430a-8085-fd984829b74f": {
"x": 447,
"y": 1072
},
"90887064-9fc0-4274-b309-64ac747c88f7": {
"x": 444,
"y": 1124
},
"e7c131f2-fd98-4dce-987f-b3601218c2fb": {
"x": 447,
"y": 1231
},
"d01cc02f-df12-44d6-8a8c-67a1185adbdd": {
"x": 499,
"y": 1338
},
"9e5a8774-01f6-4356-af33-3f8ed4ab93bb": {
"x": 499,
"y": 1377
},
"7a294df2-afd9-4a31-9a85-717e9878ac3d": {
"x": 501,
"y": 1411
},
"84ffe016-12cc-4eb0-91a7-33ffbcc9ad2d": {
"x": 503,
"y": 1541
},
"4492e183-8155-464d-b8e8-d86a7de54b64": {
"x": 500,
"y": 1675
},
"3b0df268-f4f3-4e44-90d3-72be141ab51c": {
"x": 499,
"y": 1709
},
"7fee93cc-40ba-46d9-8cfb-11ac21e273d9": {
"x": 496,
"y": 1745
},
"cbf05e7e-66d5-41e3-afc1-bfc50641ee3b": {
"x": 498,
"y": 1794
},
"e109bbeb-2c3c-4007-ac28-c398d383d425": {
"x": 188,
"y": 2476
}
}
{
"8501db5f-3424-4671-9385-82f1f1385ef3": {
"x": 29,
"y": 307
},
"e334a39c-8263-42a4-af57-648307bcab58": {
"x": 124,
"y": 119
},
"4016983e-5f2e-442c-8bcc-94153857175f": {
"x": 282,
"y": 91
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1055,
"y": 535
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -12,
"y": 5
},
"c0eb4a6e-0f77-4796-b5f2-843d55c201da": {
"x": 126,
"y": 463
},
"2c047256-0c0f-427e-907d-d98b08d5b6b9": {
"x": 282,
"y": 187
},
"f187414d-4c98-4de8-a73a-392197f70e11": {
"x": 448,
"y": 27
},
"1557c250-f130-4810-81dd-f400d113a0d4": {
"x": 448,
"y": 58
},
"c9699b05-3a33-460f-a56d-84ee759bf485": {
"x": 448,
"y": 90
},
"e91be1c6-1344-4e17-8668-3d376660cf9c": {
"x": 449,
"y": 122
},
"95d55216-d5ce-4d69-8ed6-b294b2471d4f": {
"x": 449,
"y": 154
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -76,
"y": 1
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 592,
"y": 597
},
"38bf5ecc-8b9f-4205-845c-66c02158fc44": {
"x": 82,
"y": 83
},
"115e1f98-456d-4811-bb69-02b5b6b70f5a": {
"x": 79,
"y": 392
},
"4c26725c-443e-4113-aeec-447278e801cf": {
"x": 237,
"y": 28
},
"bf1ba585-872b-4b17-bcfc-3b7cddde1c8b": {
"x": 205,
"y": 233
},
"16ee704d-17d7-4d78-8d2b-75fa928ab8d7": {
"x": 204,
"y": 297
},
"8620d349-9b7a-4edc-898d-364dc28597ab": {
"x": 205,
"y": 392
},
"db88e808-9654-4f23-8c45-60743923179f": {
"x": 205,
"y": 520
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 609.9737100000001,
"y": 492.39054000000004
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -36.74078000000009,
"y": -11.087770000000063
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -60,
"y": -6
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1082,
"y": 1060
},
"8dafa45b-963d-4217-bd04-34b4554edad3": {
"x": 30,
"y": 558
}
}
{
"0cd0af81-0004-4c0a-86cb-49fea6912c5a": {
"x": 167,
"y": 465
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -65,
"y": 3
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 578,
"y": 533
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -76,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1116,
"y": 616
},
"e321d17b-ebe5-4950-a49f-3543640e6f2d": {
"x": 29,
"y": 209
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -55,
"y": 0
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 993,
"y": 639
},
"60d6e893-d72c-4d4e-ae00-2c6c9bf924d1": {
"x": 29,
"y": 477
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -55,
"y": 4
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 748,
"y": 664
},
"f955703a-200f-4711-9cd4-ae15d6ddc488": {
"x": 29,
"y": 353
},
"cf84b50e-d1b0-4104-a621-b02fd848d08f": {
"x": 325,
"y": 207
},
"a224423a-2b3a-4dc0-b310-8a693a0d0b2a": {
"x": 491,
"y": 416
},
"9627cc5a-2390-4f03-90d8-a30490235cfd": {
"x": 491,
"y": 448
},
"ea67fbdb-d031-497c-b840-61f682b11a77": {
"x": 492,
"y": 544
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -31,
"y": -51.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -45,
"y": -30
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 625.9506765432099,
"y": 518.930449382716
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -39,
"y": -42
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 895,
"y": 617
},
"c497dd91-a3b2-4b19-8acb-83fc13c8e12b": {
"x": 31,
"y": 300
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -75,
"y": -47
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 903,
"y": 1154
},
"b49b7e29-bdce-4790-9750-a973917976d9": {
"x": 29,
"y": 371
},
"5cab2e29-bdb1-41a4-a704-5bb9d2f43831": {
"x": 109,
"y": 123
},
"d5b97f15-0cc7-4cbc-a24f-551b15985f3b": {
"x": 260,
"y": 348
},
"6b0a98da-ac1c-4b00-8e65-e315c1ced5b9": {
"x": 128,
"y": 753
},
"403a1435-fcb9-411c-be56-5a4a43ed0a85": {
"x": 204,
"y": 74
},
"0abec679-2e41-47aa-b6af-44eb96ebe72f": {
"x": 202,
"y": 185
},
"28ce3e2c-69c2-43f1-9d61-2dc12f169c1e": {
"x": 243,
"y": 480
},
"61379bf2-eef7-42b4-b612-28f3f29e28b5": {
"x": 241,
"y": 687
},
"75468f53-fb44-4ac0-a093-d76a9b7c2863": {
"x": 241,
"y": 814
},
"2405931e-7853-473a-8baf-c1d2053e1f2e": {
"x": 244,
"y": 926
},
"39a64d58-fe79-4f30-ba14-9dfb716a22cb": {
"x": 242,
"y": 1073
},
"6d6b7e11-47d4-4bfa-8b62-1c306f6edf6e": {
"x": 301,
"y": 25
},
"5c5745d3-10bd-4e2f-b050-1699a3681703": {
"x": 302,
"y": 59
},
"af12a3a8-f698-4e66-8143-6f83fef9108b": {
"x": 302,
"y": 104
},
"de980570-92e2-4899-b48b-ec9f68231d24": {
"x": 275,
"y": 155
},
"045d5d2f-170d-4c9b-a02b-6baa01b1b2bb": {
"x": 275,
"y": 186
},
"76380912-31d3-4092-846b-255371648cf1": {
"x": 274.67211088087356,
"y": 218.6625547499563
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -97,
"y": -77
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 2289,
"y": 1307
},
"094e0a12-967a-41b8-bbf0-c84ddbc95339": {
"x": 559,
"y": 829
},
"72cfad8f-9f8b-4b7b-8019-27d902afc647": {
"x": 1757,
"y": 650
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -41,
"y": -12
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1131,
"y": 560
},
"b062b015-d626-4fdb-99be-a08b13ef5f8e": {
"x": 28,
"y": 291
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": -75,
"y": -56.5
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 821,
"y": 595
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -28,
"y": -3
},
"0403d24b-7ce9-4f0a-8b52-2b13709f9838": {
"x": 29.587197231833915,
"y": 339.0256055363322
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"shape": "text",
"x": -73,
"y": -7
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"shape": "text",
"x": 1457,
"y": 856
},
"46c9d677-ad3e-461d-8ced-92153d91c002": {
"x": 31,
"y": 293
},
"4de607e5-1b99-43a2-a85b-9acdefd0daed": {
"x": 166,
"y": 91
},
"d13356dd-dd74-49da-bebb-9750ec217e17": {
"x": 166,
"y": 459
},
"921f048f-0706-47eb-ae3b-606175728d87": {
"x": 462,
"y": 441
},
"d71fcd19-4fde-4cb0-b797-29f797945ad7": {
"x": 462,
"y": 732
},
"537be67f-f544-49dc-97cb-ef30b47acb44": {
"x": 680,
"y": 299
},
"6bba34be-d5f7-4a73-aad6-07509d0cfd75": {
"x": 680,
"y": 571
},
"7a784256-8523-4901-acec-f1b314ffe6db": {
"x": 1167,
"y": 185
},
"5a8c1c38-4199-4f7f-8fa8-f37d38bed64a": {
"x": 1168,
"y": 234
},
"9189a618-24b8-4400-8ad4-e5da70a641b5": {
"x": 1167,
"y": 298
},
"d0765b04-d377-40cd-ae46-73ae8c864231": {
"x": 1167,
"y": 377
},
"3c7fd3db-e11c-4a70-95be-04ceb18ac33d": {
"x": 1226,
"y": 442
},
"85637721-723b-4fa1-be0e-385100de8a8d": {
"x": 1226,
"y": 474
},
"789116c4-9bea-4452-a0f2-500aed4289dd": {
"x": 1225,
"y": 506
},
"6c10fad0-7407-47e3-8fed-ae2835d02974": {
"x": 1182,
"y": 538
},
"8a4c0aff-8075-4bc2-b585-f3476924bcfb": {
"x": 1182,
"y": 570
},
"992dffa1-2a28-4bff-91fb-03765319a64b": {
"x": 1163,
"y": 602
},
"3e429d4b-b023-4708-aaab-daab0fd66ce4": {
"x": 1163,
"y": 634
},
"702eba76-8602-4665-b106-f30ab1275171": {
"x": 1162,
"y": 666
},
"266ebd5c-b95a-411c-bcbc-ff619dcb00a9": {
"x": 1163,
"y": 698
},
"92f9648d-4c12-487c-9149-d8a270a1bff5": {
"x": 983,
"y": 802
}
}
{
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 602,
"y": 419
},
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -39,
"y": -1
},
"4eda8a66-d6aa-44ac-bdd7-c2266349e8b2": {
"x": 29,
"y": 221
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -42,
"y": -27
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 827,
"y": 415
},
"91ff8f46-f4f9-40e6-a734-948590b7984e": {
"x": 29,
"y": 143
}
}
{
"7d34e804-3710-4749-8258-cae48367295f": {
"x": 78,
"y": 3.5
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -20,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 713,
"y": 760
},
"dd23b058-21d5-4741-bdcf-928b24d7c4d3": {
"x": 29,
"y": 369
},
"758999ac-9322-474c-9095-6afefe0b4dbe": {
"x": 138,
"y": 65
},
"93bc689f-eb94-4af8-bc3a-12a5a9bcd999": {
"x": 389,
"y": 208
},
"185236fd-4a44-42b2-949e-5a9092371b0e": {
"x": 390,
"y": 245
},
"bbead88f-24bc-4c9c-91fc-4de19939a310": {
"x": 390,
"y": 281
},
"2b0c2a5e-bfbc-4f8a-a847-e4fbdf30171f": {
"x": 166,
"y": 444
},
"2e372313-4d8b-473e-a91f-31ec68a6a07f": {
"x": 396,
"y": 609
},
"767d2416-0bbd-45b1-a845-a2d3b50872de": {
"x": 397,
"y": 685
},
"01ce6ef0-446f-437f-b482-f5d22e3c382a": {
"x": 395,
"y": 721
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -69,
"y": -29
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 849,
"y": 613
},
"d715c301-7742-4101-b7ae-8e0c3ed297a3": {
"x": 139,
"y": 107
},
"bd887a66-d3c5-4acf-be55-a5777e1c22e0": {
"x": 205,
"y": 320
},
"de1288bd-8f7d-4aaf-9bd9-cf071fbfbcd7": {
"x": 137,
"y": 540
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -31,
"y": 6
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 878,
"y": 526
},
"9c217a5e-6bc2-47ca-ad77-e1d974b3f594": {
"x": 28,
"y": 263
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -110,
"y": -12
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1035,
"y": 1353
},
"5de961f6-eede-405a-94e0-535665ad895a": {
"x": 29,
"y": 753
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -98,
"y": -37
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1089.5299346289517,
"y": 989.1670174410972
},
"8af97193-c87e-446f-b3f1-ab1b93ebadda": {
"x": 493.0783860844252,
"y": 482.8937587503696
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -73,
"y": -34
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 777,
"y": 393
},
"4a356790-3a7f-4d2f-bd2c-9db909bc7d2a": {
"x": 29,
"y": 175
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -4.58429104200027,
"y": -10.774288201999804
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 1032.3220131759,
"y": 704.0437570063002
}
}
{
"4b564d50-c32c-409b-92af-7d13b577ac34": {
"x": -63,
"y": -22
},
"c2810940-6d03-43c8-a7ae-241e8b70616d": {
"x": 892,
"y": 729
},
"88ac0dbc-e3a3-47bc-ad79-e621603efdb9": {
"x": 29,
"y": 367
},
"20a34797-7aca-4ec7-93bc-14ad4310bf4e": {
"x": 105,
"y": 75
},
"b3d43d95-563c-494f-9788-ce980d1f9f00": {
"x": 247,
"y": 57
},
"562b4c29-0952-476f-a80c-4a88dff2e2f5": {
"x": 247,
"y": 137
},
"d2d08450-d1c9-4259-838c-5c692b0c4570": {
"x": 383,
"y": 345
},
"40fc6c84-da69-4970-b5d5-9d243d5a0194": {
"x": 520,
"y": 233
},
"4ed1ba6f-f782-4e50-92f1-31fb45f25378": {
"x": 520,
"y": 409
},
"25ede4fa-17aa-4a8d-bce1-c45751ebc215": {
"x": 115,
"y": 591
}
}
\define width() calc(100% - 15px)
<div class="tmap-mobile-editor">
</div>
<div class="tmap-desktop-editor">
<$tiddlymap
class="tmap-sidebar-map-editor"
editor="advanced"
height="380px"
object-id="main_editor"
click-to-use="false">
</$tiddlymap>
</div>
\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline
body .tc-page-container-wrapper.tc-modal-displayed{-webkit-filter:inherit;-moz-filter:inherit;filter:inherit}body .tc-modal-wrapper{z-index:1010}body .tc-modal-wrapper .tc-modal-header svg{vertical-align:sub}body .tc-modal-wrapper .tc-modal-header h3{line-height:10px}body .tc-modal-wrapper .tc-modal-body{min-height:250px;max-height:70vh;padding-top:0px;padding-bottom:0px;overflow:auto}body .tc-modal-wrapper .tc-modal-footer{padding:8px}body .tc-modal-wrapper .tc-modal-footer .tmap-dialog-button{font-weight:bold}body .tc-modal-wrapper .tc-modal-footer .tmap-hidden-close-button{display:none}table.tmap-table tr:nth-child(odd),.tc-modal-body table.tmap-config-table tr:nth-child(odd){background-color:#F0F0F0}table.tmap-table tr:nth-child(even),.tc-modal-body table.tmap-config-table tr:nth-child(even){background-color:#FFFFFF}.tmap-save-canvas-preview{text-align:center}.tmap-save-canvas-preview img{background-color:white;border:1px solid lightgray;max-width:100%;max-height:100px}.tmap-list-separator{display:block;background-color:#efefef;margin:10px 0 5px 0;cursor:default;border-bottom:1px dotted gray;font-weight:bold;font-size:0.8em}.tmap-unicode-icon{width:1em;display:inline-block;text-align:center;color:black}html .tmap-link{color:#5778D8}html .tmap-link:hover{color:white;background:#5778D8}html .tmap-small-list,html .tmap-smaller-list,html .tmap-very-small-list{overflow:auto;min-height:2em;max-height:9em;display:block}html .tmap-smaller-list{max-height:7em}html .tmap-very-small-list{max-height:5empx}html .tc-tiddler-controls button.tmap-active-button svg{fill:#888888}html #tmap-node-filter-dialog #tmap-filter-tips{font-size:0.8em}html #tmap-node-filter-dialog textarea{height:100px;max-height:300px;overflow:auto;width:100%;font-size:11px;font-family:"Courier New", Courier, monospace}@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}){.tc-sidebar-scrollable .tmap-desktop-editor .tmap-widget:not(.tmap-fullscreen){display:none}}@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}){.tc-sidebar-scrollable .tmap-mobile-editor{display:none}.tc-modal-wrapper{z-index:1010}.tc-modal-wrapper .tc-modal{boder:1px solid #999999;left:calc(50% - 400px);width:802px}.tc-modal-wrapper .tc-modal-body{left:calc(50% - 400px);width:800px}}@-o-keyframes fadein-keyframes{0%{opacity:0}100%{opacity:1}}@-moz-keyframes fadein-keyframes{0%{opacity:0}100%{opacity:1}}@-webkit-keyframes fadein-keyframes{0%{opacity:0}100%{opacity:1}}@keyframes flash fadein-keyframes{0%{opacity:0}100%{opacity:1}}@-o-keyframes fadeout-keyframes{0%{opacity:1}100%{opacity:0}}@-moz-keyframes fadeout-keyframes{0%{opacity:1}100%{opacity:0}}@-webkit-keyframes fadeout-keyframes{0%{opacity:1}100%{opacity:0}}@keyframes flash fadeout-keyframes{0%{opacity:1}100%{opacity:0}}.tmap-config-widget{background:#F0F0F0;padding:5px;margin:5px 0;box-sizing:border-box;display:block}.tmap-config-widget .vis-network{display:none}.tmap-config-widget .vis-configuration-wrapper{width:100%}.tmap-config-widget .vis-configuration-wrapper .vis-config-rangeinput{height:inherit;margin-left:4px}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item{width:100%;height:inherit;background:none;padding-left:0px;left:0}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item.tmap-vis-config-item-active .vis-config-label::after{content:"(inherited)";position:absolute;display:inline-block;margin-left:10px;-o-animation:fadein-keyframes 1s;-moz-animation:fadein-keyframes 1s;-webkit-animation:fadein-keyframes 1s;animation:fadein-keyframes 1s;content:"✔";color:green;font-weight:bold;font-size:15px}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item button.tmap-config-item-reset{vertical-align:top;margin-left:5px}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-range{width:150px}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-range::-moz-range-track{width:150px !important}.tmap-config-widget .vis-configuration-wrapper .vis-configuration.vis-config-item .vis-config-label{width:150px}.tmap-config-widget::after{clear:both;content:"";display:block}.tmap-flash-message{border:1px solid lightgray;padding:5px;margin:6px 0;display:block;color:#333333}.tmap-flash-message.tmap-info,.tmap-flash-message.tmap-plain,.tmap-flash-message.tmap-neutral{background:#F0F0F0}.tmap-flash-message.tmap-info::before,.tmap-flash-message.tmap-plain::before,.tmap-flash-message.tmap-neutral::before{content:"i";display:inline-block;border-radius:50%;width:0.8em;border:2px solid;font-family:serif;text-align:center;height:0.8em;font-weight:bold;line-height:0.8em;vertical-align:text-top;margin-right:2px}.tmap-flash-message.tmap-valid,.tmap-flash-message.tmap-success{background:#D1EED4}.tmap-flash-message.tmap-valid::before,.tmap-flash-message.tmap-success::before{content:"i";display:inline-block;border-radius:50%;width:0.8em;border:2px solid;font-family:serif;text-align:center;height:0.8em;font-weight:bold;line-height:0.8em;vertical-align:text-top;margin-right:2px}.tmap-flash-message.tmap-warning{background:#e4d7c6}.tmap-flash-message.tmap-warning::before{content:"";display:inline-block;background:url(<<tmap "datauri" "$:/core/images/warning" "">>);display:inline-block;width:1em;height:1em;background-size:100% 100%;vertical-align:text-top;margin-right:2px}.tmap-flash-message.tmap-malformed,.tmap-flash-message.tmap-invalid,.tmap-flash-message.tmap-danger{background:#EED5D1}.tmap-flash-message.tmap-malformed::before,.tmap-flash-message.tmap-invalid::before,.tmap-flash-message.tmap-danger::before{content:"";display:inline-block;background:url(<<tmap "datauri" "$:/core/images/warning" "">>);display:inline-block;width:1em;height:1em;background-size:100% 100%;vertical-align:text-top;margin-right:2px}.tmap-widget{background:#FFFFFF;width:auto;position:relative;border:1px dotted lightgray;padding:2px;color:#666;display:block}.tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active){cursor:pointer}.tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active) .vis-navigation{display:none}.tmap-widget.tmap-click-to-use:not(.tmap-fullscreen) .vis-network:not(.vis-active):hover:before{color:gray;background:white;content:"Click to use";font-size:14px;font-weight:bold;right:calc(50% - 65px - 5px);position:absolute;text-align:center;top:calc(50% - 14px - 5px);width:130px;z-index:2000;padding:5px;pointer-events:none;background-color:rgba(255,255,255,0.3)}.tmap-widget .tmap-loading-bar{display:none;position:absolute;text-align:center;font-size:2em;padding:10px;top:50%;background:rgba(245,245,245,0.5);z-index:1;width:250px;margin:auto;left:0px;right:0px}.tmap-widget .tmap-vis-graph{height:calc(100% - 35px)}.tmap-widget.tmap-no-buttons .vis-navigation{display:none}.tmap-widget.tmap-advanced-editor .tmap-topbar{background:#d6d9d8}.tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-active-button{color:#488DCD}.tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-active-button svg{fill:#488DCD}.tmap-widget.tmap-advanced-editor .tmap-topbar .tmap-unicode-button{font-size:1.5em;vertical-align:bottom}.tmap-widget .tmap-menu-bar{width:100%}.tmap-widget .tmap-topbar{background:#F5F5F5;border-bottom:1px solid lightgray;padding:3px 5px;height:35px;position:relative}.tmap-widget .tmap-topbar .tmap-view-label{width:100%;text-align:center;font-weight:bold;padding-top:3px}.tmap-widget .tmap-topbar .tmap-focus-button{position:absolute;right:10px;top:6px}.tmap-widget .tmap-topbar .tmap-focus-button input{width:140px}.tmap-widget .tmap-topbar .tmap-search-dropdown{position:relative}.tmap-widget .tmap-topbar .tmap-search-dropdown .tc-drop-down{position:absolute;z-index:999;right:0px}.tmap-widget .tmap-topbar [hidden]{display:none}.tmap-widget .tmap-topbar select{width:30%;max-width:200px;word-wrap:initial;padding:0px}.tmap-widget .tmap-topbar button{line-height:inherit;color:#666}.tmap-widget .tmap-topbar>*{display:inline-block}.tmap-widget .tmap-topbar .tmap-separator:after{color:#bdbdbd;margin:3px;content:" | "}.tmap-widget .tmap-topbar .tc-edit-add-tag .tc-add-tag-name input{width:70%}.tmap-widget .tmap-topbar .tc-sidebar-header{text-shadow:none}.tmap-widget .tmap-topbar .tc-edit-tags{border:none;padding:inherit;box-shadow:none}.tmap-widget.tmap-static-mode .tmap-topbar{height:initial}.tmap-widget.tmap-static-mode .tmap-vis-graph{text-align:center;cursor:not-allowed}.tmap-widget.tmap-static-mode .tmap-vis-graph:not(.tmap-graph-placeholder):hover::before{box-shadow:10px 10px 5px lightgray;color:gray;background:white;content:"Static";font-size:18px;font-weight:bold;left:50%;margin-left:-100px;position:absolute;text-align:center;top:50px;width:200px;z-index:2000;padding:5px;pointer-events:none}.tmap-widget.tmap-static-mode .tmap-vis-graph.tmap-graph-placeholder::before{content:"No Preview";display:block;text-align:center;padding:20px}.tmap-widget .tc-drop-down{min-width:170px;max-width:210px;padding:5px;margin:5px 0}.tmap-widget .tc-drop-down button,.tmap-widget .tc-drop-down a{padding:0 2px;text-decoration:none}.tmap-widget .tc-drop-down button:hover,.tmap-widget .tc-drop-down a:hover{color:#ffffff}.tmap-widget .tc-drop-down button svg,.tmap-widget .tc-drop-down a svg{vertical-align:middle}.tmap-widget .tc-block-dropdown{width:370px;min-width:370px;padding:5px;margin:15px 0 0 -370px}.tmap-widget .tc-block-dropdown a{display:inline;padding:0px}.tmap-widget.tmap-plain-design{border:none;padding:0px}.tmap-widget.tmap-plain-design .tmap-topbar{display:none}.tc-sidebar-scrollable .tmap-widget{position:absolute;min-height:350px}.tmap-widget div.vis-network .vis-edit-mode{height:26px}.tmap-widget div.vis-network .vis-manipulation{height:34px;border-top:1px solid #d6d9d8;background:rgba(245,245,245,0.7)}.tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled{right:15px}.tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled.tmap-fullscreen-button{bottom:90px;background-image:url(<<tmap "datauri" "$:/plugins/felixhayashi/tiddlymap/media/fullscreen.png" "">>)}.tmap-widget div.vis-network .vis-navigation .vis-button.tmap-button-enabled.tmap-halfscreen-button{bottom:130px;background-image:url(<<tmap "datauri" "$:/plugins/felixhayashi/tiddlymap/media/halfscreen.png" "">>)}.tmap-widget div.vis-network .vis-navigation .vis-button:hover{box-shadow:0 0 3px 3px rgba(75,75,75,0.3)}.tmap-widget div.vis-network .vis-navigation .vis-button:hover:before{background:beige;display:block;color:black;padding:3px;position:relative;border:1px solid lightgray;width:80px;left:-100px;top:-50px;z-index:1000;text-align:center}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-up:before{left:30px;content:"Scroll up"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-down:before{left:30px;content:"Scroll down"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-right:before{left:30px;content:"Scroll right"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-left:before{left:30px;content:"Scroll left"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomOut:before{content:"Zoom out"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomIn:before{content:"Zoom in"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.vis-zoomExtends:before{content:"Fit whole graph"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.tmap-fullscreen-button:before{content:"Toggle Fullscreen"}.tmap-widget div.vis-network .vis-navigation .vis-button:hover.tmap-halfscreen-button:before{content:"Toggle Halfscreen"}.tc-dropzone>.tc-story-river .tmap-widget .vis-navigation .tmap-halfscreen-button{display:none}.tc-dropzone>.tc-story-river .tmap-widget:not(.tmap-fullscreen) .vis-navigation .vis-button{display:none}.tc-dropzone>.tc-story-river .tmap-widget:hover .vis-navigation .vis-button.tmap-fullscreen-button{display:block}.tc-dropzone>.tc-story-river .tmap-widget:hover .vis-navigation .vis-button.vis-zoomExtends{display:block}body.tmap-has-fullscreen-widget{overflow:hidden}body.tmap-has-fullscreen-widget .tmap-widget.tmap-fullscreen{background:white !important;height:100% !important;width:100% !important;position:fixed !important;top:0 !important;right:0 !important;bottom:0 !important;left:0 !important;z-index:999 !important;border:none !important;margin:0 !important}body.tmap-has-fullscreen-widget .tc-dropzone>*.tmap-has-fullscreen-widget{background:white !important;height:100% !important;width:100% !important;position:fixed !important;top:0 !important;right:0 !important;bottom:0 !important;left:0 !important;z-index:999 !important;border:none !important;margin:0 !important}body.tmap-has-fullscreen-widget .tc-dropzone>*:not(.tmap-has-fullscreen-widget){display:none}body.tmap-has-halfscreen-widget .tmap-widget.tmap-halfscreen{background:white !important;height:100% !important;width:100% !important;position:fixed !important;top:0 !important;right:0 !important;bottom:0 !important;left:0 !important;z-index:999 !important;border:none !important;margin:0 !important;position:absolute !important;border-left:1px solid lightgray !important}.tc-modal-footer .tmap-hidden-close-button{display:none}.tmap-modal-content{position:relative;padding:1em 0;padding-top:0}.tmap-modal-content table tr td{vertical-align:top}.tmap-modal-content :not(pre)>code{padding:1px;font-size:0.9em;position:relative;top:-1px}.tmap-modal-content :not(pre)>code{color:#666}.tmap-modal-content .tc-tab-set .tc-tab-content{margin-top:0.5em}.tmap-modal-content .tc-image-button{font-size:14px}.tmap-modal-content fieldset{margin:0px}.tmap-modal-content fieldset legend{font-weight:bold}.tc-modal-body .tmap-modal-editor>p{margin:0px}.tc-modal-body .tmap-modal-editor .tmap-template-select select{width:50%}.tc-modal-body .tmap-modal-editor .tc-tiddler-frame{margin:auto;width:auto}.tc-modal-body .tmap-modal-editor .tc-tiddler-frame .tc-tiddler-controls{display:none}.tc-modal-body .tmap-modal-editor .tc-tiddler-frame .tc-tiddler-title{display:none}.tc-modal-body table{margin:6px 0;border:none;width:100%}.tc-modal-body table td,.tc-modal-body table th{border:1px solid lightgray}.tc-modal-body table.tmap-key-value-table th{width:30%;background-color:#F0F0F0}.tc-modal-body table.tmap-config-table.tmap-large-input tr td:last-child{width:20%}.tc-modal-body table.tmap-config-table.tmap-small-input tr td:last-child{width:60%}.tc-modal-body table.tmap-config-table tr td{border:none;vertical-align:top;padding:5px}.tc-modal-body table.tmap-config-table tr td:first-child{width:15%}.tc-modal-body table.tmap-config-table tr td:last-child{width:40%}.tc-modal-body table.tmap-config-table tr td input:not([type=radio]),.tc-modal-body table.tmap-config-table tr td textarea,.tc-modal-body table.tmap-config-table tr td select{width:100%;word-wrap:normal}.tc-modal-body table.tmap-config-table tr td textarea{height:100%}.tc-modal-body table.tmap-config-table tr td .tmap-no-stretch input,.tc-modal-body table.tmap-config-table tr td .tmap-no-stretch textarea,.tc-modal-body table.tmap-config-table tr td .tmap-no-stretch select{width:auto}.tc-modal-body table.tmap-config-table tr td div.tmap-button-wrapper{text-align:center}.tc-modal-body table.tmap-config-table tr td .tmap-note{margin-top:0.5em}.tc-modal-body table.tmap-config-table tr td .tmap-description{font-style:italic}#tmap-element-type-manager>div:first-child{height:50vh;float:left;width:21%;background:linear-gradient(90deg, #f5f5f5 0%, #fff 50%)}#tmap-element-type-manager>div:first-child .tmap-searchbar{padding:1em 0 0 0}#tmap-element-type-manager>div:first-child .tmap-searchbar input{width:calc(100% - 36px)}#tmap-element-type-manager>div:first-child .tmap-searchbar button{width:30px;float:right}#tmap-element-type-manager>div:first-child ul{height:calc(100% - 65px);overflow:auto;margin-top:1em;padding:0}#tmap-element-type-manager>div:first-child ul.no-bullets li{list-style:none}#tmap-element-type-manager>div:first-child ul li{white-space:nowrap}#tmap-element-type-manager>div:first-child ul li .tmap-ranking{width:30px;display:inline-block}#tmap-element-type-manager>div:last-child{height:100%;width:calc(79% - 15px);float:right}#tmap-element-type-manager>div:last-child .tc-tab-set .tc-tab-content{overflow:auto;height:50vh}.tmap-manage-node-types .tmap-edge-type-specific{display:none !important}.tmap-manage-edge-types .tmap-node-type-specific{display:none !important}.tmap-modal-fullscreen-editor .tc-tab-content p{margin:1em 0}.tmap-has-pending-template{background-color:#C1EDC4}#tmap-search-table td{border:none;padding-left:0px}#tmap-search-table td:first-child{width:30px}#tmap-search-table b{display:inline-block;width:40px;text-align:right}#tmap-search-table ul{padding-left:20px;margin:1em 0 0 0}#tmap-search-table ul li{list-style:inherit}#tmap-search-table ul button{text-align:left}button.tmap-go-back{margin-bottom:1em;font-weight:bold}.tmap-badges>span,.tmap-badge{background:gray;font-size:11px;color:white;padding:3px 4px;display:inline-block;font-weight:bold;border-radius:2px;line-height:1em;cursor:help}.tmap-badges>span.tmap-red-flag,.tmap-badge.tmap-red-flag{background:red}.tmap-popup{display:none;position:absolute;opacity:0;transition:opacity 0.3s ease}.tmap-popup.tmap-popup-active{opacity:1}.tmap-tooltip{box-shadow:3px 3px 10px rgba(0,0,0,0.2);min-width:100px;min-height:50px;max-width:<<tmap option config.sys.popups.width>>;max-height:<<tmap option config.sys.popups.height>>;padding:5px;resize:both;overflow:auto;cursor:auto;font-family:verdana;font-size:14px;line-height:20px;background-color:#f5f4ed;border:1px solid #808074;-moz-border-radius:3px;-webkit-border-radius:3px;border-radius:3px}.tmap-tooltip[style*="height"]{max-height:none !important}.tmap-tooltip[style*="width"]{max-width:none !important}.tmap-quick-connect{font-size:0.7em}.tmap-quick-connect p{padding-left:0;padding-right:0}.tmap-quick-connect .tc-drop-down{padding:5px;width:250px;white-space:normal;line-height:1em;position:absolute;z-index:1000;right:50px;background:linear-gradient(45deg, #f5f5f5 0%, #fff 50%, #f5f5f5 100%)}.tmap-quick-connect .tc-drop-down:first-child{padding-top:0;margin-top:0}.tmap-quick-connect .tc-drop-down .title{margin:1.5em 0 0.5em 0;font-weight:bold;color:gray}.tmap-quick-connect .tc-drop-down select{width:80px;word-wrap:initial}.tmap-quick-connect .tc-drop-down table{width:100%;border:none;margin:0.5em 0}.tmap-quick-connect .tc-drop-down table td,.tmap-quick-connect .tc-drop-down table th{padding:3px 3px 3px 0;vertical-align:middle;font-weight:normal;border:none}.tmap-quick-connect .tc-drop-down table td table,.tmap-quick-connect .tc-drop-down table th table{margin:0}.tmap-quick-connect .tc-drop-down .tmap-quick-connect-search-bar,.tmap-quick-connect .tc-drop-down .tmap-quick-connect-existing-bar{background:#F1F1F1;margin-top:0;border-bottom:1px solid #D5D5D5;border-top:1px solid #D5D5D5}.tmap-quick-connect .tc-drop-down table.tmap-quick-connect-search-bar td,.tmap-quick-connect .tc-drop-down th{padding:5px}.tmap-quick-connect .tc-drop-down .tmap-quick-connect-existing-bar{padding:5px}.tmap-quick-connect .tc-drop-down .tmap-connection-table th,.tmap-quick-connect .tc-drop-down .tmap-connection-table td{text-align:left;padding:1px 1px 1px 0}.tmap-quick-connect .tc-drop-down .tmap-connection-table button{width:20px;padding:0px}.tmap-quick-connect .tc-drop-down .tmap-scroll-table{height:120px;overflow:auto;display:block}.tmap-quick-connect .tc-drop-down hr{border:0;height:0;border-top:1px solid rgba(0,0,0,0.1);border-bottom:1px solid rgba(255,255,255,0.3)}.tmap-quick-connect .tc-drop-down a{display:inline;padding:0;color:#5778d8;background:transparent}.tmap-quick-connect .tc-drop-down a:hover{background:transparent;color:#5778d8;text-decoration:underline}.tmap-quick-connect .tc-drop-down button{display:inline-block;padding:0px 3px;text-align:center;color:#333333;line-height:1.0}.tmap-quick-connect .tc-drop-down button:hover{color:#ffffff}.tmap-quick-connect .tc-drop-down button svg{fill:inherit}.tmap-quick-connect .tc-drop-down button svg:hover{fill:#ffffff}
\rules except wikilink
\define showEdgeField() show-$(curEdgeId)$
<!-- === View Switcher ========================================== -->
<div class="tmap-menu-bar">
View:
<$reveal type="match" text="false" default=<<isViewBound>> >
<$select
tiddler=<<viewHolder>>
field="text"
default=<<viewLabel>> >
<$list filter=<<tmap "option" "selector.allViewsByLabel">> >
<option value={{!!title}}>
<$view tiddler={{!!title}} field="title" />
</option>
</$list>
</$select>
</$reveal>
<$reveal type="match" text="true" default=<<isViewBound>> >
<b><<viewLabel>></b>
</$reveal>
<!-- === Menu =================================================== -->
<$button
popup=<<qualify "$:/temp/menu">>
tooltip="Open the Menu">{{$:/core/images/menu-button}}
</$button>
<$reveal type="popup" position="below" state=<<qualify "$:/temp/menu">> >
<div class="tc-drop-down">
<a href="http://tiddlymap.org#Documentation" target="_blank">
{{$:/core/images/info-button}} Open online help
</a>
<$button class="tc-btn-invisible" message="tmap:tm-create-view">
{{$:/core/images/new-button}} Create new view
</$button>
<$button class="tc-btn-invisible" message="tmap:tm-generate-widget">
{{$:/core/images/permalink-button}} Grab widget code
</$button>
<div class="tmap-list-separator">Global configurations:</div>
<$button class="tc-btn-invisible" message="tmap:tm-configure-system">
{{$:/core/images/options-button}} Configure TiddlyMap
</$button>
<$button class="tc-btn-invisible" message="tmap:tm-manage-edge-types">
<span class="tmap-unicode-icon">◭</span> Manage edge-types
</$button>
<$button class="tc-btn-invisible" message="tmap:tm-manage-node-types">
<span class="tmap-unicode-icon">▢</span> Manage node-types
</$button>
<div class="tmap-view-actions">
<div class="tmap-list-separator">Actions for this view:</div>
<$button class="tc-btn-invisible" message="tmap:tm-edit-view">
{{$:/core/images/options-button}} Configure view
</$button>
<$button class="tc-btn-invisible" message="tmap:tm-rename-view">
{{$:/core/images/tag-button}} Rename view
</$button>
<$button class="tc-btn-invisible" message="tmap:tm-delete-view">
{{$:/core/images/delete-button}} Delete view
</$button>
</div>
</div>
</$reveal>
<!-- === Neighbourhood menu ===================================== -->
<$reveal
type="match"
text="true"
default=<<tmap "option"
"config.sys.editorMenuBar.showNeighScopeButton">>>
<$button
class=<<neighScopeBtnClass>>
tooltip="Change the neighbourhood scope"
popup=<<qualify "$:/temp/neighScope">>>
☀
</$button>
</$reveal>
<$reveal type="popup" position="below" state=<<qualify "$:/temp/neighScope">> >
<div class="tc-drop-down">
<div class="tmap-list-separator">Neighbourhood scope</div>
<$button class="tc-btn-invisible">None
<$action-setfield
$tiddler=<<viewRoot>>
config.neighbourhood_scope="" />
</$button>
<$list filter="[[1|1 step distance]]
[[2|2 step distance]]
[[3|3 step distance]]
[[4|4 step distance]]
[[5|5 step distance]]">
<$button class="tc-btn-invisible">
<<tmap "splitAndSelect" "|" "1">>
<$action-setfield
$tiddler=<<viewRoot>>
config.neighbourhood_scope=<<tmap "splitAndSelect" "|" "0">> />
</$button>
</$list>
<$button class="tc-btn-invisible">No limit
<$action-setfield
$tiddler=<<viewRoot>>
config.neighbourhood_scope="100" />
</$button>
<div class="tmap-list-separator">Neighbourhood traversal</div>
<$radio field="config.neighbourhood_directions" value="in"> Incoming</$radio><br />
<$radio field="config.neighbourhood_directions" value="out"> Outgoing</$radio><br />
<$radio field="config.neighbourhood_directions" value=""> Both</$radio>
<div class="tmap-list-separator">Other</div>
<$checkbox field="config.show_inter_neighbour_edges"
checked="true" unchecked="false"> Inter-neighbour edges</$checkbox>
</div>
</$reveal>
<!-- === Export menu ============================================ -->
<$reveal
type="match"
text="true"
default=<<tmap "option" "config.sys.editorMenuBar.showScreenshotButton">>>
<$button tooltip="Open the map-export menu" popup=<<qualify "$:/temp/mapExport">>>
{{$:/core/images/download-button}}
</$button>
</$reveal>
<$reveal type="popup" position="below" state=<<qualify "$:/temp/mapExport">>>
<div class="tc-drop-down">
<$button
class="tc-btn-invisible"
tooltip="Export the graph and all its elements
in form of a JSON file">
{{$:/core/images/permalink-button}} Save as JSON file
<$action-sendmessage
$message="tmap:tm-download-graph"
view=<<viewLabel>> />
</$button>
<$button
class="tc-btn-invisible"
tooltip="Create a png image to download or save it
as image or view-placeholder in your wiki">
{{$:/core/images/palette}} Save as png image
<$action-sendmessage $message="tmap:tm-save-canvas" />
</$button>
</div>
</$reveal>
<!-- === Raster menu ============================================ -->
<$reveal
type="match"
text="true"
default=<<tmap "option" "config.sys.editorMenuBar.showRasterMenuButton">>>
<$button
tooltip="Change the raster of your map"
class=<<rasterMenuBtnClass>>
popup=<<qualify "$:/temp/rasterMenu">>>
⩩
</$button>
</$reveal>
<$reveal type="popup" position="below" state=<<qualify "$:/temp/rasterMenu">>>
<div class="tc-drop-down">
<$button class="tc-btn-invisible">Disable raster
<$action-setfield
$tiddler=<<viewRoot>>
config.raster="" />
</$button>
<div class="tmap-list-separator">Raster sizes</div>
<$list filter="[[50|50px]]
[[75|75px]]
[[100|100px]]
[[150|150px]]">
<$button class="tc-btn-invisible">
<<tmap "splitAndSelect" "|" "1">>
<$action-setfield
$tiddler=<<viewRoot>>
config.raster=<<tmap "splitAndSelect" "|" "0">> />
</$button>
</$list>
</div>
</$reveal>
<!-- Background map -->
<a style="padding-left:10px; padding-right:5px">Path:</a><$list filter="[has[isview]]+[title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]+[addprefix[$:/plugins/felixhayashi/tiddlymap/graph/views/]]"><$edit-text class="bck_img_field" tiddler={{!!title}} field="config.background_image"/></$list>
</div>
\define filter() [list[$:/temp/tmap/nodes/$(viewLabel)$]search:title{$:/temp/tmap/bar/search}!title[bottom-right]!title[top-left]]
\define concat(str) $str$
\define state() $(widgetPopupsPath)$/focus
<!-- Save as image button -->
<div class="tmap-focus-button" style={{$:/DefaultValues/map_save_image_button}}>
<$reveal type="match" state=<<state>> text="">
<$list filter="[title{!!title}]">
<a href={{!!config.background_image}} download={{!!last_name}} title="Download this map as an image" style={{$:/DefaultValues/map_save_image_button!!shift_button}}><!-- Hide in map editor --><$list filter="[title<state>]"><$reveal type="nomatch" state="!!title" text="$:/temp/tmap/state/popup/main_editor/focus"><i class="far fa-arrow-alt-circle-down clickbutton" style="transform: scale(0.95)"></i></$reveal></$list></a>
</$list>
</$reveal>
</div>
<!-- Search for definitions button -->
<div class="tmap-focus-button" style={{$:/DefaultValues/map_search_button}}>
<$reveal type="match" state=<<state>> text="">
<$button
tooltip="Look for definitions"
class=<<tv-config-toolbar-class>>><i class="fab fa-sistrix clickbutton"></i>
<$action-setfield $tiddler="$:/temp/tmap/bar/search" text="" />
<$action-setfield $tiddler=<<state>> text="1" />
</$button>
</$reveal>
<$reveal type="nomatch" state=<<state>> text="">
<$button
tooltip="Close popup"
class=<<tv-config-toolbar-class>>><i class="fab fa-sistrix clickbutton"></i>
<$action-setfield $tiddler=<<state>> text="" />
</$button>
<div class="tmap-search-dropdown">
<div class="tc-drop-down">
<$edit-text
focus="true"
tiddler="$:/temp/tmap/bar/search"
field="text"
type="text"
tag="input"
default="" />
<small><$count filter=<<filter>> /> results</small>
<hr />
<div class="tmap-very-small-list">
<$list filter=<<filter>>
variable="item"
emptyMessage="//No results//">
<$button
class="tc-btn-invisible"
message="tmap:tm-focus-node"
param=<<item>>>
<$view tiddler=<<item>> field="title" />
</$button>
</$list>
</div>
</div>
</div>
</$reveal>
</div>
{
"originalVersion": "0.13.4+9665",
"dataStructureState": "0.11.0",
"showWelcomeMessage": false
}
{
"tiddlers": {
"$:/plugins/felixhayashi/topstoryview/config.js": {
"text": "/*\\\n\ntitle: $:/plugins/felixhayashi/topstoryview/config.js\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\n\\*/\n(function(){\"use strict\";exports.config={classNames:{storyRiver:\"tc-story-river\",backDrop:\"story-backdrop\",tiddlerFrame:\"tc-tiddler-frame\",tiddlerTitle:\"tc-title\"},references:{userConfig:\"$:/config/topStoryView\",focussedTiddlerStore:\"$:/temp/focussedTiddler\",refreshTrigger:\"$:/temp/focussedTiddler/refresh\"},checkbackTime:$tw.utils.getAnimationDuration()}})();",
"title": "$:/plugins/felixhayashi/topstoryview/config.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/felixhayashi/topstoryview/layout": {
"text": "html .tc-story-river:after {\n content: \"\";\n display: block; }\n",
"title": "$:/plugins/felixhayashi/topstoryview/layout",
"type": "text/vnd.tiddlywiki",
"tags": [
"$:/tags/Stylesheet"
]
},
"$:/plugins/felixhayashi/topstoryview/Configuration": {
"title": "$:/plugins/felixhayashi/topstoryview/Configuration",
"text": "Please see the [[GitHub page|https://github.com/felixhayashi/TW5-TopStoryView]] for more information on the options.\n\nSave and reload the wiki to activate changes.\n\n<table>\n <tr>\n <th align=\"left\">Scroll offset:</th>\n <td><$edit-text tiddler=\"$:/config/topStoryView\" field=\"scroll-offset\" tag=\"input\" default=\"150px\" /></td>\n </tr>\n</table>"
},
"$:/plugins/felixhayashi/topstoryview/License": {
"title": "$:/plugins/felixhayashi/topstoryview/License",
"text": "This code is released under the BSD license. For the exact terms visit:\n\nhttps://github.com/felixhayashi/TW5-TopStoryView/blob/master/LICENSE"
},
"$:/plugins/felixhayashi/topstoryview/Readme": {
"title": "$:/plugins/felixhayashi/topstoryview/Readme",
"text": "Please visit the [[GitHub page|https://github.com/felixhayashi/TW5-TopStoryView]] for more information."
},
"$:/plugins/felixhayashi/topstoryview/top.js": {
"text": "/*\\\ntitle: $:/plugins/felixhayashi/topstoryview/top.js\ntype: application/javascript\nmodule-type: storyview\n\nViews the story as a linear sequence\n\n@preserve\n\n\\*/\n(function(){\"use strict\";var t=require(\"$:/plugins/felixhayashi/topstoryview/config.js\").config;var e=\"cubic-bezier(0.645, 0.045, 0.355, 1)\";var i=function(e){this.listWidget=e;this.pageScroller=new $tw.utils.PageScroller;this.pageScroller.scrollIntoView=this.scrollIntoView;this.pageScroller.storyRiverDomNode=document.getElementsByClassName(t.classNames.storyRiver)[0];var i=$tw.wiki.getTiddler(t.references.userConfig);var o=i?i.fields:{};$tw.hooks.addHook(\"th-opening-default-tiddlers-list\",this.hookOpenDefaultTiddlers);var r=parseInt(o[\"scroll-offset\"]);this.pageScroller.scrollOffset=isNaN(r)?71:r;this.recalculateBottomSpace()};i.prototype.refreshStart=function(t,e){};i.prototype.refreshEnd=function(t,e){};i.prototype.hookOpenDefaultTiddlers=function(t){return t};i.prototype.navigateTo=function(t){var e=this.listWidget.findListItem(0,t.title);if(e===undefined)return;var i=this.listWidget.children[e];var o=i.findFirstDomNode();if(!(o instanceof Element))return;this.pageScroller.scrollIntoView(o)};i.prototype.insert=function(t){if(!t)return;var e=t.findFirstDomNode();if(!(e instanceof Element))return;this.startInsertAnimation(e,function(){this.recalculateBottomSpace()}.bind(this))};i.prototype.remove=function(t){if(!t)return;var e=t.findFirstDomNode();if(!(e instanceof Element)){t.removeChildDomNodes();return}var i=this.getLastFrame()===e;this.startRemoveAnimation(t,e,function(){t.removeChildDomNodes();this.recalculateBottomSpace();if(i){this.pageScroller.scrollIntoView(this.getLastFrame())}}.bind(this))};i.prototype.getLastFrame=function(){var t=this.listWidget.children[this.listWidget.children.length-1];return t?t.findFirstDomNode():null};i.prototype.recalculateBottomSpace=function(){var t=this.pageScroller.storyRiverDomNode;if(this.getLastFrame()){var e=this.getLastFrame().getBoundingClientRect();var i=window.innerHeight;if(e.height<i){t.style[\"paddingBottom\"]=i-e.height+\"px\";return}}t.style[\"paddingBottom\"]=\"\"};i.prototype.scrollIntoView=function(t){if(this.preventNextScrollAttempt){this.preventNextScrollAttempt=false}if(!t)return;var e=$tw.utils.getAnimationDuration();this.cancelScroll();this.startTime=Date.now();var i=$tw.utils.getScrollPosition();var o=t.getBoundingClientRect(),r={left:o.left+i.x,top:o.top+i.y,width:o.width,height:o.height};var n=function(t,e,i,o){if(t<=i){return t}else if(e<o&&i<t+e-o){return t+e-o}else if(i<t){return t}else{return i}},s=n(r.left,r.width,i.x,window.innerWidth),a=r.top-this.scrollOffset;if(s!==i.x||a!==i.y){var l=this,c;c=function(){var t;if(e<=0){t=1}else{t=(Date.now()-l.startTime)/e}if(t>=1){l.cancelScroll();t=1}t=$tw.utils.slowInSlowOut(t);window.scrollTo(i.x+(s-i.x)*t,i.y+(a-i.y)*t);if(t<1){l.idRequestFrame=l.requestAnimationFrame.call(window,c)}};c()}};i.prototype.startInsertAnimation=function(t,i){var o=$tw.utils.getAnimationDuration();var r=window.getComputedStyle(t),n=parseInt(r.marginBottom,10),s=parseInt(r.marginTop,10),a=t.offsetHeight+s;setTimeout(function(){$tw.utils.setStyle(t,[{transition:\"none\"},{marginBottom:\"\"}]);i()},o);$tw.utils.setStyle(t,[{transition:\"none\"},{marginBottom:-a+\"px\"},{opacity:\"0.0\"}]);$tw.utils.forceLayout(t);$tw.utils.setStyle(t,[{transition:\"opacity \"+o+\"ms \"+e+\", \"+\"margin-bottom \"+o+\"ms \"+e},{marginBottom:n+\"px\"},{opacity:\"1.0\"}])};i.prototype.startRemoveAnimation=function(t,i,o){var r=$tw.utils.getAnimationDuration();var n=i.offsetWidth,s=window.getComputedStyle(i),a=parseInt(s.marginBottom,10),l=parseInt(s.marginTop,10),c=i.offsetHeight+l;setTimeout(o,r);$tw.utils.setStyle(i,[{transition:\"none\"},{transform:\"translateX(0px)\"},{marginBottom:a+\"px\"},{opacity:\"1.0\"}]);$tw.utils.forceLayout(i);$tw.utils.setStyle(i,[{transition:$tw.utils.roundTripPropertyName(\"transform\")+\" \"+r+\"ms \"+e+\", \"+\"opacity \"+r+\"ms \"+e+\", \"+\"margin-bottom \"+r+\"ms \"+e},{transform:\"translateX(-\"+n+\"px)\"},{marginBottom:-c+\"px\"},{opacity:\"0.0\"}])};exports.top=i})();",
"title": "$:/plugins/felixhayashi/topstoryview/top.js",
"type": "application/javascript",
"module-type": "storyview"
}
}
}
{
"tiddlers": {
"$:/plugins/felixhayashi/vis/img/network/acceptDeleteIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/acceptDeleteIcon.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/addNodeIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/addNodeIcon.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/backIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/backIcon.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/connectIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/connectIcon.png",
"type": "image/png",
"text": "iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAACXBIWXMAAAsTAAALEwEAmpwYAAAKT2lDQ1BQaG90b3Nob3AgSUNDIHByb2ZpbGUAAHjanVNnVFPpFj333vRCS4iAlEtvUhUIIFJCi4AUkSYqIQkQSoghodkVUcERRUUEG8igiAOOjoCMFVEsDIoK2AfkIaKOg6OIisr74Xuja9a89+bN/rXXPues852zzwfACAyWSDNRNYAMqUIeEeCDx8TG4eQuQIEKJHAAEAizZCFz/SMBAPh+PDwrIsAHvgABeNMLCADATZvAMByH/w/qQplcAYCEAcB0kThLCIAUAEB6jkKmAEBGAYCdmCZTAKAEAGDLY2LjAFAtAGAnf+bTAICd+Jl7AQBblCEVAaCRACATZYhEAGg7AKzPVopFAFgwABRmS8Q5ANgtADBJV2ZIALC3AMDOEAuyAAgMADBRiIUpAAR7AGDIIyN4AISZABRG8lc88SuuEOcqAAB4mbI8uSQ5RYFbCC1xB1dXLh4ozkkXKxQ2YQJhmkAuwnmZGTKBNA/g88wAAKCRFRHgg/P9eM4Ors7ONo62Dl8t6r8G/yJiYuP+5c+rcEAAAOF0ftH+LC+zGoA7BoBt/qIl7gRoXgugdfeLZrIPQLUAoOnaV/Nw+H48PEWhkLnZ2eXk5NhKxEJbYcpXff5nwl/AV/1s+X48/Pf14L7iJIEyXYFHBPjgwsz0TKUcz5IJhGLc5o9H/LcL//wd0yLESWK5WCoU41EScY5EmozzMqUiiUKSKcUl0v9k4t8s+wM+3zUAsGo+AXuRLahdYwP2SycQWHTA4vcAAPK7b8HUKAgDgGiD4c93/+8//UegJQCAZkmScQAAXkQkLlTKsz/HCAAARKCBKrBBG/TBGCzABhzBBdzBC/xgNoRCJMTCQhBCCmSAHHJgKayCQiiGzbAdKmAv1EAdNMBRaIaTcA4uwlW4Dj1wD/phCJ7BKLyBCQRByAgTYSHaiAFiilgjjggXmYX4IcFIBBKLJCDJiBRRIkuRNUgxUopUIFVIHfI9cgI5h1xGupE7yAAygvyGvEcxlIGyUT3UDLVDuag3GoRGogvQZHQxmo8WoJvQcrQaPYw2oefQq2gP2o8+Q8cwwOgYBzPEbDAuxsNCsTgsCZNjy7EirAyrxhqwVqwDu4n1Y8+xdwQSgUXACTYEd0IgYR5BSFhMWE7YSKggHCQ0EdoJNwkDhFHCJyKTqEu0JroR+cQYYjIxh1hILCPWEo8TLxB7iEPENyQSiUMyJ7mQAkmxpFTSEtJG0m5SI+ksqZs0SBojk8naZGuyBzmULCAryIXkneTD5DPkG+Qh8lsKnWJAcaT4U+IoUspqShnlEOU05QZlmDJBVaOaUt2ooVQRNY9aQq2htlKvUYeoEzR1mjnNgxZJS6WtopXTGmgXaPdpr+h0uhHdlR5Ol9BX0svpR+iX6AP0dwwNhhWDx4hnKBmbGAcYZxl3GK+YTKYZ04sZx1QwNzHrmOeZD5lvVVgqtip8FZHKCpVKlSaVGyovVKmqpqreqgtV81XLVI+pXlN9rkZVM1PjqQnUlqtVqp1Q61MbU2epO6iHqmeob1Q/pH5Z/YkGWcNMw09DpFGgsV/jvMYgC2MZs3gsIWsNq4Z1gTXEJrHN2Xx2KruY/R27iz2qqaE5QzNKM1ezUvOUZj8H45hx+Jx0TgnnKKeX836K3hTvKeIpG6Y0TLkxZVxrqpaXllirSKtRq0frvTau7aedpr1Fu1n7gQ5Bx0onXCdHZ4/OBZ3nU9lT3acKpxZNPTr1ri6qa6UbobtEd79up+6Ynr5egJ5Mb6feeb3n+hx9L/1U/W36p/VHDFgGswwkBtsMzhg8xTVxbzwdL8fb8VFDXcNAQ6VhlWGX4YSRudE8o9VGjUYPjGnGXOMk423GbcajJgYmISZLTepN7ppSTbmmKaY7TDtMx83MzaLN1pk1mz0x1zLnm+eb15vft2BaeFostqi2uGVJsuRaplnutrxuhVo5WaVYVVpds0atna0l1rutu6cRp7lOk06rntZnw7Dxtsm2qbcZsOXYBtuutm22fWFnYhdnt8Wuw+6TvZN9un2N/T0HDYfZDqsdWh1+c7RyFDpWOt6azpzuP33F9JbpL2dYzxDP2DPjthPLKcRpnVOb00dnF2e5c4PziIuJS4LLLpc+Lpsbxt3IveRKdPVxXeF60vWdm7Obwu2o26/uNu5p7ofcn8w0nymeWTNz0MPIQ+BR5dE/C5+VMGvfrH5PQ0+BZ7XnIy9jL5FXrdewt6V3qvdh7xc+9j5yn+M+4zw33jLeWV/MN8C3yLfLT8Nvnl+F30N/I/9k/3r/0QCngCUBZwOJgUGBWwL7+Hp8Ib+OPzrbZfay2e1BjKC5QRVBj4KtguXBrSFoyOyQrSH355jOkc5pDoVQfujW0Adh5mGLw34MJ4WHhVeGP45wiFga0TGXNXfR3ENz30T6RJZE3ptnMU85ry1KNSo+qi5qPNo3ujS6P8YuZlnM1VidWElsSxw5LiquNm5svt/87fOH4p3iC+N7F5gvyF1weaHOwvSFpxapLhIsOpZATIhOOJTwQRAqqBaMJfITdyWOCnnCHcJnIi/RNtGI2ENcKh5O8kgqTXqS7JG8NXkkxTOlLOW5hCepkLxMDUzdmzqeFpp2IG0yPTq9MYOSkZBxQqohTZO2Z+pn5mZ2y6xlhbL+xW6Lty8elQfJa7OQrAVZLQq2QqboVFoo1yoHsmdlV2a/zYnKOZarnivN7cyzytuQN5zvn//tEsIS4ZK2pYZLVy0dWOa9rGo5sjxxedsK4xUFK4ZWBqw8uIq2Km3VT6vtV5eufr0mek1rgV7ByoLBtQFr6wtVCuWFfevc1+1dT1gvWd+1YfqGnRs+FYmKrhTbF5cVf9go3HjlG4dvyr+Z3JS0qavEuWTPZtJm6ebeLZ5bDpaql+aXDm4N2dq0Dd9WtO319kXbL5fNKNu7g7ZDuaO/PLi8ZafJzs07P1SkVPRU+lQ27tLdtWHX+G7R7ht7vPY07NXbW7z3/T7JvttVAVVN1WbVZftJ+7P3P66Jqun4lvttXa1ObXHtxwPSA/0HIw6217nU1R3SPVRSj9Yr60cOxx++/p3vdy0NNg1VjZzG4iNwRHnk6fcJ3/ceDTradox7rOEH0x92HWcdL2pCmvKaRptTmvtbYlu6T8w+0dbq3nr8R9sfD5w0PFl5SvNUyWna6YLTk2fyz4ydlZ19fi753GDborZ752PO32oPb++6EHTh0kX/i+c7vDvOXPK4dPKy2+UTV7hXmq86X23qdOo8/pPTT8e7nLuarrlca7nuer21e2b36RueN87d9L158Rb/1tWeOT3dvfN6b/fF9/XfFt1+cif9zsu72Xcn7q28T7xf9EDtQdlD3YfVP1v+3Njv3H9qwHeg89HcR/cGhYPP/pH1jw9DBY+Zj8uGDYbrnjg+OTniP3L96fynQ89kzyaeF/6i/suuFxYvfvjV69fO0ZjRoZfyl5O/bXyl/erA6xmv28bCxh6+yXgzMV70VvvtwXfcdx3vo98PT+R8IH8o/2j5sfVT0Kf7kxmTk/8EA5jz/GMzLdsAAEEOaVRYdFhNTDpjb20uYWRvYmUueG1wAAAAAAA8P3hwYWNrZXQgYmVnaW49Iu+7vyIgaWQ9Ilc1TTBNcENlaGlIenJlU3pOVGN6a2M5ZCI/Pgo8eDp4bXBtZXRhIHhtbG5zOng9ImFkb2JlOm5zOm1ldGEvIiB4OnhtcHRrPSJBZG9iZSBYTVAgQ29yZSA1LjUtYzAyMSA3OS4xNTQ5MTEsIDIwMTMvMTAvMjktMTE6NDc6MTYgICAgICAgICI+CiAgIDxyZGY6UkRGIHhtbG5zOnJkZj0iaHR0cDovL3d3dy53My5vcmcvMTk5OS8wMi8yMi1yZGYtc3ludGF4LW5zIyI+CiAgICAgIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PSIiCiAgICAgICAgICAgIHhtbG5zOnhtcD0iaHR0cDovL25zLmFkb2JlLmNvbS94YXAvMS4wLyIKICAgICAgICAgICAgeG1sbnM6ZGM9Imh0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvIgogICAgICAgICAgICB4bWxuczp4bXBNTT0iaHR0cDovL25zLmFkb2JlLmNvbS94YXAvMS4wL21tLyIKICAgICAgICAgICAgeG1sbnM6c3RFdnQ9Imh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC9zVHlwZS9SZXNvdXJjZUV2ZW50IyIKICAgICAgICAgICAgeG1sbnM6c3RSZWY9Imh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC9zVHlwZS9SZXNvdXJjZVJlZiMiCiAgICAgICAgICAgIHhtbG5zOnBob3Rvc2hvcD0iaHR0cDovL25zLmFkb2JlLmNvbS9waG90b3Nob3AvMS4wLyIKICAgICAgICAgICAgeG1sbnM6dGlmZj0iaHR0cDovL25zLmFkb2JlLmNvbS90aWZmLzEuMC8iCiAgICAgICAgICAgIHhtbG5zOmV4aWY9Imh0dHA6Ly9ucy5hZG9iZS5jb20vZXhpZi8xLjAvIj4KICAgICAgICAgPHhtcDpDcmVhdG9yVG9vbD5BZG9iZSBQaG90b3Nob3AgQ0MgKFdpbmRvd3MpPC94bXA6Q3JlYXRvclRvb2w+CiAgICAgICAgIDx4bXA6Q3JlYXRlRGF0ZT4yMDE0LTAxLTIyVDE5OjI0OjUxKzAxOjAwPC94bXA6Q3JlYXRlRGF0ZT4KICAgICAgICAgPHhtcDpNZXRhZGF0YURhdGU+MjAxNC0wMi0wNFQxNDozODo1NyswMTowMDwveG1wOk1ldGFkYXRhRGF0ZT4KICAgICAgICAgPHhtcDpNb2RpZnlEYXRlPjIwMTQtMDItMDRUMTQ6Mzg6NTcrMDE6MDA8L3htcDpNb2RpZnlEYXRlPgogICAgICAgICA8ZGM6Zm9ybWF0PmltYWdlL3BuZzwvZGM6Zm9ybWF0PgogICAgICAgICA8eG1wTU06SW5zdGFuY2VJRD54bXAuaWlkOjlmYjUwMDU0LWE3ODEtMWQ0OC05ZTllLTU2ZWQ5YzhlYjdjNjwveG1wTU06SW5zdGFuY2VJRD4KICAgICAgICAgPHhtcE1NOkRvY3VtZW50SUQ+eG1wLmRpZDpFQTc2MkY5Njc0ODNFMzExOTQ4QkQxM0UyQkU3OTlBMTwveG1wTU06RG9jdW1lbnRJRD4KICAgICAgICAgPHhtcE1NOk9yaWdpbmFsRG9jdW1lbnRJRD54bXAuZGlkOjczQjYyQUFEOTE4M0UzMTE5NDhCRDEzRTJCRTc5OUExPC94bXBNTTpPcmlnaW5hbERvY3VtZW50SUQ+CiAgICAgICAgIDx4bXBNTTpIaXN0b3J5PgogICAgICAgICAgICA8cmRmOlNlcT4KICAgICAgICAgICAgICAgPHJkZjpsaSByZGY6cGFyc2VUeXBlPSJSZXNvdXJjZSI+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDphY3Rpb24+Y3JlYXRlZDwvc3RFdnQ6YWN0aW9uPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6aW5zdGFuY2VJRD54bXAuaWlkOjczQjYyQUFEOTE4M0UzMTE5NDhCRDEzRTJCRTc5OUExPC9zdEV2dDppbnN0YW5jZUlEPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6d2hlbj4yMDE0LTAxLTIyVDE5OjI0OjUxKzAxOjAwPC9zdEV2dDp3aGVuPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6c29mdHdhcmVBZ2VudD5BZG9iZSBQaG90b3Nob3AgQ1M2IChXaW5kb3dzKTwvc3RFdnQ6c29mdHdhcmVBZ2VudD4KICAgICAgICAgICAgICAgPC9yZGY6bGk+CiAgICAgICAgICAgICAgIDxyZGY6bGkgcmRmOnBhcnNlVHlwZT0iUmVzb3VyY2UiPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6YWN0aW9uPnNhdmVkPC9zdEV2dDphY3Rpb24+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDppbnN0YW5jZUlEPnhtcC5paWQ6RUE2MEEyNEUxOTg0RTMxMUFEQUZFRkU2RUMzMzNFMDM8L3N0RXZ0Omluc3RhbmNlSUQ+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDp3aGVuPjIwMTQtMDEtMjNUMTk6MTg6MDcrMDE6MDA8L3N0RXZ0OndoZW4+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDpzb2Z0d2FyZUFnZW50PkFkb2JlIFBob3Rvc2hvcCBDUzYgKFdpbmRvd3MpPC9zdEV2dDpzb2Z0d2FyZUFnZW50PgogICAgICAgICAgICAgICAgICA8c3RFdnQ6Y2hhbmdlZD4vPC9zdEV2dDpjaGFuZ2VkPgogICAgICAgICAgICAgICA8L3JkZjpsaT4KICAgICAgICAgICAgICAgPHJkZjpsaSByZGY6cGFyc2VUeXBlPSJSZXNvdXJjZSI+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDphY3Rpb24+c2F2ZWQ8L3N0RXZ0OmFjdGlvbj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0Omluc3RhbmNlSUQ+eG1wLmlpZDo3ZWRhMjI0MC0yYTQxLTNlNDQtYWM2My1iNzNiYTE5OWI3Y2E8L3N0RXZ0Omluc3RhbmNlSUQ+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDp3aGVuPjIwMTQtMDItMDRUMTQ6Mzg6NTcrMDE6MDA8L3N0RXZ0OndoZW4+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDpzb2Z0d2FyZUFnZW50PkFkb2JlIFBob3Rvc2hvcCBDQyAoV2luZG93cyk8L3N0RXZ0OnNvZnR3YXJlQWdlbnQ+CiAgICAgICAgICAgICAgICAgIDxzdEV2dDpjaGFuZ2VkPi88L3N0RXZ0OmNoYW5nZWQ+CiAgICAgICAgICAgICAgIDwvcmRmOmxpPgogICAgICAgICAgICAgICA8cmRmOmxpIHJkZjpwYXJzZVR5cGU9IlJlc291cmNlIj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OmFjdGlvbj5jb252ZXJ0ZWQ8L3N0RXZ0OmFjdGlvbj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OnBhcmFtZXRlcnM+ZnJvbSBhcHBsaWNhdGlvbi92bmQuYWRvYmUucGhvdG9zaG9wIHRvIGltYWdlL3BuZzwvc3RFdnQ6cGFyYW1ldGVycz4KICAgICAgICAgICAgICAgPC9yZGY6bGk+CiAgICAgICAgICAgICAgIDxyZGY6bGkgcmRmOnBhcnNlVHlwZT0iUmVzb3VyY2UiPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6YWN0aW9uPmRlcml2ZWQ8L3N0RXZ0OmFjdGlvbj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OnBhcmFtZXRlcnM+Y29udmVydGVkIGZyb20gYXBwbGljYXRpb24vdm5kLmFkb2JlLnBob3Rvc2hvcCB0byBpbWFnZS9wbmc8L3N0RXZ0OnBhcmFtZXRlcnM+CiAgICAgICAgICAgICAgIDwvcmRmOmxpPgogICAgICAgICAgICAgICA8cmRmOmxpIHJkZjpwYXJzZVR5cGU9IlJlc291cmNlIj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OmFjdGlvbj5zYXZlZDwvc3RFdnQ6YWN0aW9uPgogICAgICAgICAgICAgICAgICA8c3RFdnQ6aW5zdGFuY2VJRD54bXAuaWlkOjlmYjUwMDU0LWE3ODEtMWQ0OC05ZTllLTU2ZWQ5YzhlYjdjNjwvc3RFdnQ6aW5zdGFuY2VJRD4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OndoZW4+MjAxNC0wMi0wNFQxNDozODo1NyswMTowMDwvc3RFdnQ6d2hlbj4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OnNvZnR3YXJlQWdlbnQ+QWRvYmUgUGhvdG9zaG9wIENDIChXaW5kb3dzKTwvc3RFdnQ6c29mdHdhcmVBZ2VudD4KICAgICAgICAgICAgICAgICAgPHN0RXZ0OmNoYW5nZWQ+Lzwvc3RFdnQ6Y2hhbmdlZD4KICAgICAgICAgICAgICAgPC9yZGY6bGk+CiAgICAgICAgICAgIDwvcmRmOlNlcT4KICAgICAgICAgPC94bXBNTTpIaXN0b3J5PgogICAgICAgICA8eG1wTU06RGVyaXZlZEZyb20gcmRmOnBhcnNlVHlwZT0iUmVzb3VyY2UiPgogICAgICAgICAgICA8c3RSZWY6aW5zdGFuY2VJRD54bXAuaWlkOjdlZGEyMjQwLTJhNDEtM2U0NC1hYzYzLWI3M2JhMTk5YjdjYTwvc3RSZWY6aW5zdGFuY2VJRD4KICAgICAgICAgICAgPHN0UmVmOmRvY3VtZW50SUQ+eG1wLmRpZDpFQTc2MkY5Njc0ODNFMzExOTQ4QkQxM0UyQkU3OTlBMTwvc3RSZWY6ZG9jdW1lbnRJRD4KICAgICAgICAgICAgPHN0UmVmOm9yaWdpbmFsRG9jdW1lbnRJRD54bXAuZGlkOjczQjYyQUFEOTE4M0UzMTE5NDhCRDEzRTJCRTc5OUExPC9zdFJlZjpvcmlnaW5hbERvY3VtZW50SUQ+CiAgICAgICAgIDwveG1wTU06RGVyaXZlZEZyb20+CiAgICAgICAgIDxwaG90b3Nob3A6Q29sb3JNb2RlPjM8L3Bob3Rvc2hvcDpDb2xvck1vZGU+CiAgICAgICAgIDxwaG90b3Nob3A6SUNDUHJvZmlsZT5zUkdCIElFQzYxOTY2LTIuMTwvcGhvdG9zaG9wOklDQ1Byb2ZpbGU+CiAgICAgICAgIDx0aWZmOk9yaWVudGF0aW9uPjE8L3RpZmY6T3JpZW50YXRpb24+CiAgICAgICAgIDx0aWZmOlhSZXNvbHV0aW9uPjcyMDA5MC8xMDAwMDwvdGlmZjpYUmVzb2x1dGlvbj4KICAgICAgICAgPHRpZmY6WVJlc29sdXRpb24+NzIwMDkwLzEwMDAwPC90aWZmOllSZXNvbHV0aW9uPgogICAgICAgICA8dGlmZjpSZXNvbHV0aW9uVW5pdD4yPC90aWZmOlJlc29sdXRpb25Vbml0PgogICAgICAgICA8ZXhpZjpDb2xvclNwYWNlPjE8L2V4aWY6Q29sb3JTcGFjZT4KICAgICAgICAgPGV4aWY6UGl4ZWxYRGltZW5zaW9uPjI0PC9leGlmOlBpeGVsWERpbWVuc2lvbj4KICAgICAgICAgPGV4aWY6UGl4ZWxZRGltZW5zaW9uPjI0PC9leGlmOlBpeGVsWURpbWVuc2lvbj4KICAgICAgPC9yZGY6RGVzY3JpcHRpb24+CiAgIDwvcmRmOlJERj4KPC94OnhtcG1ldGE+CiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgCjw/eHBhY2tldCBlbmQ9InciPz4ubxs+AAAAIGNIUk0AAHolAACAgwAA+f8AAIDpAAB1MAAA6mAAADqYAAAXb5JfxUYAAAUtSURBVHjajJZ/bNT1Gcdfz/P53PV6B4W7VltLqdAaplIOiMOoyxxJCSs/Gv/yB4gzJroAosmmDklwkYWR0bQsdmkykoojTpcsWYLxD/lRZdMQkTHRtkLZRqG0tIVe7662vTu43n32x/VKZ/jh89cn38/zvN7P5/l88zwf2blzJz6fDwARQUSm1n8s31CM0/VAnbNmsUPuAsDpgEO+Bg4C7//iyv5hvmMiQiqVQpqamvB6vVNwEeG1JZtCBrYi/MrkAwDNgjhwAlbzICBLA0rDb0+/839C6XQaaWxspLCw8Dp86cbNmqVFJQddE6KzdjZ9D89g+B6fSyCOcyn1nxil+O9xKg5HqWFSHGXLjrP7W/ICqVQK2bNnDz6fDxFh65KNvxbHDhF4rJj2bXPo+IGfcW5h5xL4f99P+FCEMIAob75x9t0dAMlkElNXV4e1lteXbNqiQoMaeOFOjrdU868SD2luYyEP6dUh+sYmSHeOU6GO5Z8VLx5+NNZxIpPJ5AS2L3upROCoCvz8Lo7vnkf77cAHhpiz/zIL9vWz8L8p/NvupmM0Q7pjnAoLqz8tDrc8MnQqYVUVhVdF4LEg7b+rvDn8wDDlH0WoPpukLJImSBaMwjcJqmwWts2jPZLG/8kwYVFeVdXXZcFf4yVDc2cNKfBFmD9X+0ncCP58F48eG+Feo2CAUkvs4dl0V/uJvdXLiiV+ut++n7YLSfxPfMMG54ChzB3WIesVWB2i82bw1AR6fJR7C4VsfYiv6u/k3A9nEgP4zXke8DiYHyAOMK+QxPIgnZ9GqSHr1itQJ8DK2fTerDQ+S/bHRXQJaHSCwNIZ2Xh+7+S3VAmwNMBA/tuPZtErgKquUmdMWIFlRURvdamRNEXGwIWrlP47pTMzLiunxghGMwTLvcTWlHAp77s4QNSrYMQtss6ZMgWqCm5cHoDHO1nbk6K8zEN8+3zatv2Hn1b59EqJZdxmYUERg9P9KwpIiAOTdWUWBXuLzB/vZG3P1Un4PNp2d1MbmyD45TWCxuCsQm0x56bHGHFYEZwxok7toAA9Sfw3hCcoL/NOwi9QO5wmWO1j4JEgZxTkodmcWRGkf3pcX0r8xoAaBixKu4U5/xwndM+0tpAvS6mP+PZK2nb1UBvPEKwKMLDvPj4ESGc55lGy303sdJKQdZB2rkMdctAB/4gzN+/Q2ENNd4LyUi/xN+bTtquX2thk5nk4wI3gAF+OMNcA1nFQDfK+BY5GqbkwWabTY5QZhXWlnNx1ntrY1Rz87fuvw29m/Sn8J+PUGAFj5T19baA1IspuBZp7cx1x4SwG1cEf+lgRSROs8jGwb+Ht4QB/GSSsAhYano39LWIBxNEIbP14hPDuiyS2VtJuHXQlKKvxM/jiXDq/D/xPlwifGMkJZB2NIoKpr69nxeiZxLHicFSFVWfGqBidIP3LSjrWltD94CyufF/4kQgPuVz2Lz93+dDRa9eu5QQ8Hg8/iXee+Dy4CKMs7xqn4nwKz9IirhQqmVuB42m8ey+x7LMoD6iAON782eChhqmRuXfvXgKBAKqKqtI0/8nNKrQI4BVYXkzHgzPpC88gWuHL/caXrhLoGiN0apSKr0ZZRBZM7q2w5ZnLR1oAnHOMjY0hra2tFBQUYIyZmstvVT1Z6eDlAuEVq7merxmwueNPDXy9PvybjKP5mctHLk4/XTKZRJqbm/H7/VNw1VyEMYbW4FN3WNWnnchKoy5sHeVGBRX6VWi3ymFx7r11Ix8MTX/y5C2RSPC/AQB61erowbpqSwAAAABJRU5ErkJggg=="
},
"$:/plugins/felixhayashi/vis/img/network/cross.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/cross.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/cross2.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/cross2.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/deleteIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/deleteIcon.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/downArrow.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/downArrow.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/editIcon.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/editIcon.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/leftArrow.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/leftArrow.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/minus.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/minus.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/plus.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/plus.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/rightArrow.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/rightArrow.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/upArrow.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/upArrow.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/network/zoomExtends.png": {
"title": "$:/plugins/felixhayashi/vis/img/network/zoomExtends.png",
"type": "image/png",
"text": "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"
},
"$:/plugins/felixhayashi/vis/img/timeline/delete.png": {
"title": "$:/plugins/felixhayashi/vis/img/timeline/delete.png",
"type": "image/png",
"text": "iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAAABGdBTUEAALGPC/xhBQAAAAFzUkdCAK7OHOkAAAAgY0hSTQAAeiYAAICEAAD6AAAAgOgAAHUwAADqYAAAOpgAABdwnLpRPAAAAAZiS0dEAP8A/wD/oL2nkwAAAAlwSFlzAAALEwAACxMBAJqcGAAAAAl2cEFnAAAAEAAAABAAXMatwwAAAVRJREFUOMt108FKG1EUBuBvYtxbRGwxiGBXeYCW0mVpDSKVvIJ0F/syJsFdn6GCkaZ9gro3G1u0ILQgheJKRUwXPQNnxvTAcGf+c/7/nPvfO8UEKLCFJg5w31aNqGtgG3c4xLSZyP0QgI8Tpu0quQhyPwTgsBnkAVYD3MM9DibVId5GbiW+B+VIt7hJha1IdhPWDayVsBvcFtHlDYZ4mgou8D7e+zXyN/TwuUhjbkSXLHIZ61KNvItxaYyayBDrZsf36DyGdilQE+ngA57UyD+xg08luTSxHvP/wRuRewDm7uV9WJ4hsBy5rczJJm7G/tcS6VesjxN2Hj4c5S10Qj2Tz/AunrOEr0VtB+Z6vMJ+zfkfcVQjnMbRvcRC5B/hBU4auMbVjBFHCRsFdp6wK1yXHjz37xItRuejfFQ1nwb4HXVfs4nPYsQv0p+oKlLgNf7gGP4Cw4ZUK7kMJVEAAAAZdEVYdENvbW1lbnQAQ3JlYXRlZCB3aXRoIEdJTVBXgQ4XAAAAJXRFWHRkYXRlOmNyZWF0ZQAyMDExLTA1LTE2VDExOjM1OjIxKzAyOjAw9uVF5gAAACV0RVh0ZGF0ZTptb2RpZnkAMjAxMS0wNS0xNlQxMTozNToyMSswMjowMIe4/VoAAAAASUVORK5CYII="
},
"$:/plugins/felixhayashi/vis/readme": {
"title": "$:/plugins/felixhayashi/vis/readme",
"text": "! TW5-Vis.js\n\nA tiddlywiki plugin for the vis.js library.\n\n---\n\n! Notes on Copyright\n\n!! TiddlyWiki\n\nCreated by Jeremy Ruston, (jeremy [at] jermolene [dot] com)\n\nCopyright © Jeremy Ruston 2004-2007 Copyright © UnaMesa Association 2007-2014\n\nPublished under the following [licenses](https://github.com/Jermolene/TiddlyWiki5/tree/master/licenses):\n\n1. BSD 3-clause \"New\" or \"Revised\" License (including any right to adopt any future version of a license if permitted)\n2. Creative Commons Attribution 3.0 (including any right to adopt any future version of a license if permitted)\n\n!! The **vis.js** library\n\nCopyright (c) 2014 [Almende B.V.](https://github.com/almende/vis)\n\nPublished under the following licenses:\n\n1. Apache License Version 2.0, January 2004 http://www.apache.org/licenses/\n2. MIT License (MIT)\n"
},
"$:/plugins/felixhayashi/vis/vis.css": {
"title": "$:/plugins/felixhayashi/vis/vis.css",
"type": "text/vnd.tiddlywiki",
"tags": "$:/tags/Stylesheet",
"text": "\\rules except list\n\n\\define datauri(title)\n<$macrocall $name=\"makedatauri\" type={{$title$!!type}} text={{$title$}}/>\n\\end\n\n.vis .overlay{position:absolute;top:0;left:0;width:100%;height:100%;z-index:10}.vis-active{box-shadow:0 0 10px #86d5f8}.vis [class*=\"span\"]{min-height:0;width:auto}div.vis-configuration{position:relative;display:block;float:left;font-size:12px}div.vis-configuration-wrapper{display:block;width:700px}div.vis-configuration-wrapper::after{clear:both;content:\"\";display:block}div.vis-configuration.vis-config-option-container{display:block;width:495px;background-color:#fff;border:2px solid #f7f8fa;border-radius:4px;margin-top:20px;left:10px;padding-left:5px}div.vis-configuration.vis-config-button{display:block;width:495px;height:25px;vertical-align:middle;line-height:25px;background-color:#f7f8fa;border:2px solid #ceced0;border-radius:4px;margin-top:20px;left:10px;padding-left:5px;cursor:pointer;margin-bottom:30px}div.vis-configuration.vis-config-button.hover{background-color:#4588e6;border:2px solid #214373;color:#fff}div.vis-configuration.vis-config-item{display:block;float:left;width:495px;height:25px;vertical-align:middle;line-height:25px}div.vis-configuration.vis-config-item.vis-config-s2{left:10px;background-color:#f7f8fa;padding-left:5px;border-radius:3px}div.vis-configuration.vis-config-item.vis-config-s3{left:20px;background-color:#e4e9f0;padding-left:5px;border-radius:3px}div.vis-configuration.vis-config-item.vis-config-s4{left:30px;background-color:#cfd8e6;padding-left:5px;border-radius:3px}div.vis-configuration.vis-config-header{font-size:18px;font-weight:bold}div.vis-configuration.vis-config-label{width:120px;height:25px;line-height:25px}div.vis-configuration.vis-config-label.vis-config-s3{width:110px}div.vis-configuration.vis-config-label.vis-config-s4{width:100px}div.vis-configuration.vis-config-colorBlock{top:1px;width:30px;height:19px;border:1px solid #444;border-radius:2px;padding:0;margin:0;cursor:pointer}input.vis-configuration.vis-config-checkbox{left:-5px}input.vis-configuration.vis-config-rangeinput{position:relative;top:-5px;width:60px;padding:1px;margin:0;pointer-events:none}input.vis-configuration.vis-config-range{-webkit-appearance:none;border:0 solid white;background-color:rgba(0,0,0,0);width:300px;height:20px}input.vis-configuration.vis-config-range::-webkit-slider-runnable-track{width:300px;height:5px;background:#dedede;background:-moz-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#dedede),color-stop(99%,#c8c8c8));background:-webkit-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-o-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-ms-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:linear-gradient(to bottom,#dedede 0,#c8c8c8 99%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#dedede',endColorstr='#c8c8c8',GradientType=0);border:1px solid #999;box-shadow:#aaa 0 0 3px 0;border-radius:3px}input.vis-configuration.vis-config-range::-webkit-slider-thumb{-webkit-appearance:none;border:1px solid #14334b;height:17px;width:17px;border-radius:50%;background:#3876c2;background:-moz-linear-gradient(top,#3876c2 0,#385380 100%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#3876c2),color-stop(100%,#385380));background:-webkit-linear-gradient(top,#3876c2 0,#385380 100%);background:-o-linear-gradient(top,#3876c2 0,#385380 100%);background:-ms-linear-gradient(top,#3876c2 0,#385380 100%);background:linear-gradient(to bottom,#3876c2 0,#385380 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#3876c2',endColorstr='#385380',GradientType=0);box-shadow:#111927 0 0 1px 0;margin-top:-7px}input.vis-configuration.vis-config-range:focus{outline:0}input.vis-configuration.vis-config-range:focus::-webkit-slider-runnable-track{background:#9d9d9d;background:-moz-linear-gradient(top,#9d9d9d 0,#c8c8c8 99%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#9d9d9d),color-stop(99%,#c8c8c8));background:-webkit-linear-gradient(top,#9d9d9d 0,#c8c8c8 99%);background:-o-linear-gradient(top,#9d9d9d 0,#c8c8c8 99%);background:-ms-linear-gradient(top,#9d9d9d 0,#c8c8c8 99%);background:linear-gradient(to bottom,#9d9d9d 0,#c8c8c8 99%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#9d9d9d',endColorstr='#c8c8c8',GradientType=0)}input.vis-configuration.vis-config-range::-moz-range-track{width:300px;height:10px;background:#dedede;background:-moz-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#dedede),color-stop(99%,#c8c8c8));background:-webkit-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-o-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:-ms-linear-gradient(top,#dedede 0,#c8c8c8 99%);background:linear-gradient(to bottom,#dedede 0,#c8c8c8 99%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#dedede',endColorstr='#c8c8c8',GradientType=0);border:1px solid #999;box-shadow:#aaa 0 0 3px 0;border-radius:3px}input.vis-configuration.vis-config-range::-moz-range-thumb{border:0;height:16px;width:16px;border-radius:50%;background:#385380}input.vis-configuration.vis-config-range:-moz-focusring{outline:1px solid white;outline-offset:-1px}input.vis-configuration.vis-config-range::-ms-track{width:300px;height:5px;background:transparent;border-color:transparent;border-width:6px 0;color:transparent}input.vis-configuration.vis-config-range::-ms-fill-lower{background:#777;border-radius:10px}input.vis-configuration.vis-config-range::-ms-fill-upper{background:#ddd;border-radius:10px}input.vis-configuration.vis-config-range::-ms-thumb{border:0;height:16px;width:16px;border-radius:50%;background:#385380}input.vis-configuration.vis-config-range:focus::-ms-fill-lower{background:#888}input.vis-configuration.vis-config-range:focus::-ms-fill-upper{background:#ccc}.vis-configuration-popup{position:absolute;background:rgba(57,76,89,0.85);border:2px solid #f2faff;line-height:30px;height:30px;width:150px;text-align:center;color:#fff;font-size:14px;border-radius:4px;-webkit-transition:opacity .3s ease-in-out;-moz-transition:opacity .3s ease-in-out;transition:opacity .3s ease-in-out}.vis-configuration-popup:after,.vis-configuration-popup:before{left:100%;top:50%;border:solid transparent;content:\" \";height:0;width:0;position:absolute;pointer-events:none}.vis-configuration-popup:after{border-color:rgba(136,183,213,0);border-left-color:rgba(57,76,89,0.85);border-width:8px;margin-top:-8px}.vis-configuration-popup:before{border-color:rgba(194,225,245,0);border-left-color:#f2faff;border-width:12px;margin-top:-12px}div.vis-tooltip{position:absolute;visibility:hidden;padding:5px;white-space:nowrap;font-family:verdana;font-size:14px;color:#000;background-color:#f5f4ed;-moz-border-radius:3px;-webkit-border-radius:3px;border-radius:3px;border:1px solid #808074;box-shadow:3px 3px 10px rgba(0,0,0,0.2);pointer-events:none;z-index:5}div.vis-color-picker{position:absolute;top:0;left:30px;margin-top:-140px;margin-left:30px;width:310px;height:444px;z-index:1;padding:10px;border-radius:15px;background-color:#fff;display:none;box-shadow:rgba(0,0,0,0.5) 0 0 10px 0}div.vis-color-picker div.vis-arrow{position:absolute;top:147px;left:5px}div.vis-color-picker div.vis-arrow::after,div.vis-color-picker div.vis-arrow::before{right:100%;top:50%;border:solid transparent;content:\" \";height:0;width:0;position:absolute;pointer-events:none}div.vis-color-picker div.vis-arrow:after{border-color:rgba(255,255,255,0);border-right-color:#fff;border-width:30px;margin-top:-30px}div.vis-color-picker div.vis-color{position:absolute;width:289px;height:289px;cursor:pointer}div.vis-color-picker div.vis-brightness{position:absolute;top:313px}div.vis-color-picker div.vis-opacity{position:absolute;top:350px}div.vis-color-picker div.vis-selector{position:absolute;top:137px;left:137px;width:15px;height:15px;border-radius:15px;border:1px solid #fff;background:#4c4c4c;background:-moz-linear-gradient(top,#4c4c4c 0,#595959 12%,#666 25%,#474747 39%,#2c2c2c 50%,#000 51%,#111 60%,#2b2b2b 76%,#1c1c1c 91%,#131313 100%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#4c4c4c),color-stop(12%,#595959),color-stop(25%,#666),color-stop(39%,#474747),color-stop(50%,#2c2c2c),color-stop(51%,#000),color-stop(60%,#111),color-stop(76%,#2b2b2b),color-stop(91%,#1c1c1c),color-stop(100%,#131313));background:-webkit-linear-gradient(top,#4c4c4c 0,#595959 12%,#666 25%,#474747 39%,#2c2c2c 50%,#000 51%,#111 60%,#2b2b2b 76%,#1c1c1c 91%,#131313 100%);background:-o-linear-gradient(top,#4c4c4c 0,#595959 12%,#666 25%,#474747 39%,#2c2c2c 50%,#000 51%,#111 60%,#2b2b2b 76%,#1c1c1c 91%,#131313 100%);background:-ms-linear-gradient(top,#4c4c4c 0,#595959 12%,#666 25%,#474747 39%,#2c2c2c 50%,#000 51%,#111 60%,#2b2b2b 76%,#1c1c1c 91%,#131313 100%);background:linear-gradient(to bottom,#4c4c4c 0,#595959 12%,#666 25%,#474747 39%,#2c2c2c 50%,#000 51%,#111 60%,#2b2b2b 76%,#1c1c1c 91%,#131313 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#4c4c4c',endColorstr='#131313',GradientType=0)}div.vis-color-picker div.vis-new-color{position:absolute;width:140px;height:20px;border:1px solid rgba(0,0,0,0.1);border-radius:5px;top:380px;left:159px;text-align:right;padding-right:2px;font-size:10px;color:rgba(0,0,0,0.4);vertical-align:middle;line-height:20px}div.vis-color-picker div.vis-initial-color{position:absolute;width:140px;height:20px;border:1px solid rgba(0,0,0,0.1);border-radius:5px;top:380px;left:10px;text-align:left;padding-left:2px;font-size:10px;color:rgba(0,0,0,0.4);vertical-align:middle;line-height:20px}div.vis-color-picker div.vis-label{position:absolute;width:300px;left:10px}div.vis-color-picker div.vis-label.vis-brightness{top:300px}div.vis-color-picker div.vis-label.vis-opacity{top:338px}div.vis-color-picker div.vis-button{position:absolute;width:68px;height:25px;border-radius:10px;vertical-align:middle;text-align:center;line-height:25px;top:410px;border:2px solid #d9d9d9;background-color:#f7f7f7;cursor:pointer}div.vis-color-picker div.vis-button.vis-cancel{left:5px}div.vis-color-picker div.vis-button.vis-load{left:82px}div.vis-color-picker div.vis-button.vis-apply{left:159px}div.vis-color-picker div.vis-button.vis-save{left:236px}div.vis-color-picker input.vis-range{width:290px;height:20px}div.vis-network div.vis-manipulation{box-sizing:content-box;border-width:0;border-bottom:1px;border-style:solid;border-color:#d6d9d8;background:#fff;background:-moz-linear-gradient(top,#fff 0,#fcfcfc 48%,#fafafa 50%,#fcfcfc 100%);background:-webkit-gradient(linear,left top,left bottom,color-stop(0,#fff),color-stop(48%,#fcfcfc),color-stop(50%,#fafafa),color-stop(100%,#fcfcfc));background:-webkit-linear-gradient(top,#fff 0,#fcfcfc 48%,#fafafa 50%,#fcfcfc 100%);background:-o-linear-gradient(top,#fff 0,#fcfcfc 48%,#fafafa 50%,#fcfcfc 100%);background:-ms-linear-gradient(top,#fff 0,#fcfcfc 48%,#fafafa 50%,#fcfcfc 100%);background:linear-gradient(to bottom,#fff 0,#fcfcfc 48%,#fafafa 50%,#fcfcfc 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff',endColorstr='#fcfcfc',GradientType=0);padding-top:4px;position:absolute;left:0;top:0;width:100%;height:28px}div.vis-network div.vis-edit-mode{position:absolute;left:0;top:5px;height:30px}div.vis-network div.vis-close{position:absolute;right:0;top:0;width:30px;height:30px;background-position:20px 3px;background-repeat:no-repeat;background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/cross.png\">>);cursor:pointer;-webkit-touch-callout:none;-webkit-user-select:none;-khtml-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}div.vis-network div.vis-close:hover{opacity:.6}div.vis-network div.vis-manipulation div.vis-button,div.vis-network div.vis-edit-mode div.vis-button{float:left;font-family:verdana;font-size:12px;-moz-border-radius:15px;border-radius:15px;display:inline-block;background-position:0 0;background-repeat:no-repeat;height:24px;margin-left:10px;cursor:pointer;padding:0 8px 0 8px;-webkit-touch-callout:none;-webkit-user-select:none;-khtml-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}div.vis-network div.vis-manipulation div.vis-button:hover{box-shadow:1px 1px 8px rgba(0,0,0,0.20)}div.vis-network div.vis-manipulation div.vis-button:active{box-shadow:1px 1px 8px rgba(0,0,0,0.50)}div.vis-network div.vis-manipulation div.vis-button.vis-back{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/backIcon.png\">>)}div.vis-network div.vis-manipulation div.vis-button.vis-none:hover{box-shadow:1px 1px 8px rgba(0,0,0,0.0);cursor:default}div.vis-network div.vis-manipulation div.vis-button.vis-none:active{box-shadow:1px 1px 8px rgba(0,0,0,0.0)}div.vis-network div.vis-manipulation div.vis-button.vis-none{padding:0}div.vis-network div.vis-manipulation div.notification{margin:2px;font-weight:bold}div.vis-network div.vis-manipulation div.vis-button.vis-add{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/addNodeIcon.png\">>)}div.vis-network div.vis-manipulation div.vis-button.vis-edit,div.vis-network div.vis-edit-mode div.vis-button.vis-edit{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/editIcon.png\">>)}div.vis-network div.vis-edit-mode div.vis-button.vis-edit.vis-edit-mode{background-color:#fcfcfc;border:1px solid #ccc}div.vis-network div.vis-manipulation div.vis-button.vis-connect{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/connectIcon.png\">>)}div.vis-network div.vis-manipulation div.vis-button.vis-delete{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/deleteIcon.png\">>)}div.vis-network div.vis-manipulation div.vis-label,div.vis-network div.vis-edit-mode div.vis-label{margin:0 0 0 23px;line-height:25px}div.vis-network div.vis-manipulation div.vis-separator-line{float:left;display:inline-block;width:1px;height:21px;background-color:#bdbdbd;margin:0 7px 0 15px}div.vis-network div.vis-navigation div.vis-button{width:34px;height:34px;-moz-border-radius:17px;border-radius:17px;position:absolute;display:inline-block;background-position:2px 2px;background-repeat:no-repeat;cursor:pointer;-webkit-touch-callout:none;-webkit-user-select:none;-khtml-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}div.vis-network div.vis-navigation div.vis-button:hover{box-shadow:0 0 3px 3px rgba(56,207,21,0.30)}div.vis-network div.vis-navigation div.vis-button:active{box-shadow:0 0 1px 3px rgba(56,207,21,0.95)}div.vis-network div.vis-navigation div.vis-button.vis-up{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/upArrow.png\">>);bottom:50px;left:55px}div.vis-network div.vis-navigation div.vis-button.vis-down{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/downArrow.png\">>);bottom:10px;left:55px}div.vis-network div.vis-navigation div.vis-button.vis-left{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/leftArrow.png\">>);bottom:10px;left:15px}div.vis-network div.vis-navigation div.vis-button.vis-right{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/rightArrow.png\">>);bottom:10px;left:95px}div.vis-network div.vis-navigation div.vis-button.vis-zoomIn{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/plus.png\">>);bottom:10px;right:15px}div.vis-network div.vis-navigation div.vis-button.vis-zoomOut{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/minus.png\">>);bottom:10px;right:55px}div.vis-network div.vis-navigation div.vis-button.vis-zoomExtends{background-image:url(<<datauri \"$:/plugins/felixhayashi/vis/img/network/zoomExtends.png\">>);bottom:50px;right:15px}.vis-current-time{background-color:#ff7f6e;width:2px;z-index:1;pointer-events:none}.vis-rolling-mode-btn{height:40px;width:40px;position:absolute;top:7px;right:20px;border-radius:50%;font-size:28px;cursor:pointer;opacity:.8;color:white;font-weight:bold;text-align:center;background:#3876c2}.vis-rolling-mode-btn:before{content:\"\\26F6\"}.vis-rolling-mode-btn:hover{opacity:1}.vis-custom-time{background-color:#6e94ff;width:2px;cursor:move;z-index:1}.vis-panel.vis-background.vis-horizontal .vis-grid.vis-horizontal{position:absolute;width:100%;height:0;border-bottom:1px solid}.vis-panel.vis-background.vis-horizontal .vis-grid.vis-minor{border-color:#e5e5e5}.vis-panel.vis-background.vis-horizontal .vis-grid.vis-major{border-color:#bfbfbf}.vis-data-axis .vis-y-axis.vis-major{width:100%;position:absolute;color:#4d4d4d;white-space:nowrap}.vis-data-axis .vis-y-axis.vis-major.vis-measure{padding:0;margin:0;border:0;visibility:hidden;width:auto}.vis-data-axis .vis-y-axis.vis-minor{position:absolute;width:100%;color:#bebebe;white-space:nowrap}.vis-data-axis .vis-y-axis.vis-minor.vis-measure{padding:0;margin:0;border:0;visibility:hidden;width:auto}.vis-data-axis .vis-y-axis.vis-title{position:absolute;color:#4d4d4d;white-space:nowrap;bottom:20px;text-align:center}.vis-data-axis .vis-y-axis.vis-title.vis-measure{padding:0;margin:0;visibility:hidden;width:auto}.vis-data-axis .vis-y-axis.vis-title.vis-left{bottom:0;-webkit-transform-origin:left top;-moz-transform-origin:left top;-ms-transform-origin:left top;-o-transform-origin:left top;transform-origin:left bottom;-webkit-transform:rotate(-90deg);-moz-transform:rotate(-90deg);-ms-transform:rotate(-90deg);-o-transform:rotate(-90deg);transform:rotate(-90deg)}.vis-data-axis .vis-y-axis.vis-title.vis-right{bottom:0;-webkit-transform-origin:right bottom;-moz-transform-origin:right bottom;-ms-transform-origin:right bottom;-o-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate(90deg);-moz-transform:rotate(90deg);-ms-transform:rotate(90deg);-o-transform:rotate(90deg);transform:rotate(90deg)}.vis-legend{background-color:rgba(247,252,255,0.65);padding:5px;border:1px solid #b3b3b3;box-shadow:2px 2px 10px rgba(154,154,154,0.55)}.vis-legend-text{white-space:nowrap;display:inline-block}.vis-item{position:absolute;color:#1a1a1a;border-color:#97b0f8;border-width:1px;background-color:#d5ddf6;display:inline-block;z-index:1}.vis-item.vis-selected{border-color:#ffc200;background-color:#fff785;z-index:2}.vis-editable.vis-selected{cursor:move}.vis-item.vis-point.vis-selected{background-color:#fff785}.vis-item.vis-box{text-align:center;border-style:solid;border-radius:2px}.vis-item.vis-point{background:0}.vis-item.vis-dot{position:absolute;padding:0;border-width:4px;border-style:solid;border-radius:4px}.vis-item.vis-range{border-style:solid;border-radius:2px;box-sizing:border-box}.vis-item.vis-background{border:0;background-color:rgba(213,221,246,0.4);box-sizing:border-box;padding:0;margin:0}.vis-item .vis-item-overflow{position:relative;width:100%;height:100%;padding:0;margin:0;overflow:hidden}.vis-item-visible-frame{white-space:nowrap}.vis-item.vis-range .vis-item-content{position:relative;display:inline-block}.vis-item.vis-background .vis-item-content{position:absolute;display:inline-block}.vis-item.vis-line{padding:0;position:absolute;width:0;border-left-width:1px;border-left-style:solid}.vis-item .vis-item-content{white-space:nowrap;box-sizing:border-box;padding:5px}.vis-item .vis-onUpdateTime-tooltip{position:absolute;background:#4f81bd;color:white;width:200px;text-align:center;white-space:nowrap;padding:5px;border-radius:1px;transition:.4s;-o-transition:.4s;-moz-transition:.4s;-webkit-transition:.4s}.vis-item .vis-delete,.vis-item .vis-delete-rtl{position:absolute;top:0;width:24px;height:24px;box-sizing:border-box;padding:0 5px;cursor:pointer;-webkit-transition:background .2s linear;-moz-transition:background .2s linear;-ms-transition:background .2s linear;-o-transition:background .2s linear;transition:background .2s linear}.vis-item .vis-delete{right:-24px}.vis-item .vis-delete-rtl{left:-24px}.vis-item .vis-delete:after,.vis-item .vis-delete-rtl:after{content:\"\\00D7\";color:red;font-family:arial,sans-serif;font-size:22px;font-weight:bold;-webkit-transition:color .2s linear;-moz-transition:color .2s linear;-ms-transition:color .2s linear;-o-transition:color .2s linear;transition:color .2s linear}.vis-item .vis-delete:hover,.vis-item .vis-delete-rtl:hover{background:red}.vis-item .vis-delete:hover:after,.vis-item .vis-delete-rtl:hover:after{color:white}.vis-item .vis-drag-center{position:absolute;width:100%;height:100%;top:0;left:0;cursor:move}.vis-item.vis-range .vis-drag-left{position:absolute;width:24px;max-width:20%;min-width:2px;height:100%;top:0;left:-4px;cursor:w-resize}.vis-item.vis-range .vis-drag-right{position:absolute;width:24px;max-width:20%;min-width:2px;height:100%;top:0;right:-4px;cursor:e-resize}.vis-range.vis-item.vis-readonly .vis-drag-left,.vis-range.vis-item.vis-readonly .vis-drag-right{cursor:auto}.vis-itemset{position:relative;padding:0;margin:0;box-sizing:border-box}.vis-itemset .vis-background,.vis-itemset .vis-foreground{position:absolute;width:100%;height:100%;overflow:visible}.vis-axis{position:absolute;width:100%;height:0;left:0;z-index:1}.vis-foreground .vis-group{position:relative;box-sizing:border-box;border-bottom:1px solid #bfbfbf}.vis-foreground .vis-group:last-child{border-bottom:0}.vis-nesting-group{cursor:pointer}.vis-nested-group{background:#f5f5f5}.vis-label.vis-nesting-group.expanded:before{content:\"\\25BC\"}.vis-label.vis-nesting-group.collapsed-rtl:before{content:\"\\25C0\"}.vis-label.vis-nesting-group.collapsed:before{content:\"\\25B6\"}.vis-overlay{position:absolute;top:0;left:0;width:100%;height:100%;z-index:10}.vis-labelset{position:relative;overflow:hidden;box-sizing:border-box}.vis-labelset .vis-label{position:relative;left:0;top:0;width:100%;color:#4d4d4d;box-sizing:border-box}.vis-labelset .vis-label{border-bottom:1px solid #bfbfbf}.vis-labelset .vis-label.draggable{cursor:pointer}.vis-labelset .vis-label:last-child{border-bottom:0}.vis-labelset .vis-label .vis-inner{display:inline-block;padding:5px}.vis-labelset .vis-label .vis-inner.vis-hidden{padding:0}.vis-panel{position:absolute;padding:0;margin:0;box-sizing:border-box}.vis-panel.vis-center,.vis-panel.vis-left,.vis-panel.vis-right,.vis-panel.vis-top,.vis-panel.vis-bottom{border:1px #bfbfbf}.vis-panel.vis-center,.vis-panel.vis-left,.vis-panel.vis-right{border-top-style:solid;border-bottom-style:solid;overflow:hidden}.vis-left.vis-panel.vis-vertical-scroll,.vis-right.vis-panel.vis-vertical-scroll{height:100%;overflow-x:hidden;overflow-y:scroll}.vis-left.vis-panel.vis-vertical-scroll{direction:rtl}.vis-left.vis-panel.vis-vertical-scroll .vis-content{direction:ltr}.vis-right.vis-panel.vis-vertical-scroll{direction:ltr}.vis-right.vis-panel.vis-vertical-scroll .vis-content{direction:rtl}.vis-panel.vis-center,.vis-panel.vis-top,.vis-panel.vis-bottom{border-left-style:solid;border-right-style:solid}.vis-background{overflow:hidden}.vis-panel>.vis-content{position:relative}.vis-panel .vis-shadow{position:absolute;width:100%;height:1px;box-shadow:0 0 10px rgba(0,0,0,0.8)}.vis-panel .vis-shadow.vis-top{top:-1px;left:0}.vis-panel .vis-shadow.vis-bottom{bottom:-1px;left:0}.vis-graph-group0{fill:#4f81bd;fill-opacity:0;stroke-width:2px;stroke:#4f81bd}.vis-graph-group1{fill:#f79646;fill-opacity:0;stroke-width:2px;stroke:#f79646}.vis-graph-group2{fill:#8c51cf;fill-opacity:0;stroke-width:2px;stroke:#8c51cf}.vis-graph-group3{fill:#75c841;fill-opacity:0;stroke-width:2px;stroke:#75c841}.vis-graph-group4{fill:#ff0100;fill-opacity:0;stroke-width:2px;stroke:#ff0100}.vis-graph-group5{fill:#37d8e6;fill-opacity:0;stroke-width:2px;stroke:#37d8e6}.vis-graph-group6{fill:#042662;fill-opacity:0;stroke-width:2px;stroke:#042662}.vis-graph-group7{fill:#00ff26;fill-opacity:0;stroke-width:2px;stroke:#00ff26}.vis-graph-group8{fill:#f0f;fill-opacity:0;stroke-width:2px;stroke:#f0f}.vis-graph-group9{fill:#8f3938;fill-opacity:0;stroke-width:2px;stroke:#8f3938}.vis-timeline .vis-fill{fill-opacity:.1;stroke:none}.vis-timeline .vis-bar{fill-opacity:.5;stroke-width:1px}.vis-timeline .vis-point{stroke-width:2px;fill-opacity:1.0}.vis-timeline .vis-legend-background{stroke-width:1px;fill-opacity:.9;fill:#fff;stroke:#c2c2c2}.vis-timeline .vis-outline{stroke-width:1px;fill-opacity:1;fill:#fff;stroke:#e5e5e5}.vis-timeline .vis-icon-fill{fill-opacity:.3;stroke:none}.vis-time-axis{position:relative;overflow:hidden}.vis-time-axis.vis-foreground{top:0;left:0;width:100%}.vis-time-axis.vis-background{position:absolute;top:0;left:0;width:100%;height:100%}.vis-time-axis .vis-text{position:absolute;color:#4d4d4d;padding:3px;overflow:hidden;box-sizing:border-box;white-space:nowrap}.vis-time-axis .vis-text.vis-measure{position:absolute;padding-left:0;padding-right:0;margin-left:0;margin-right:0;visibility:hidden}.vis-time-axis .vis-grid.vis-vertical{position:absolute;border-left:1px solid}.vis-time-axis .vis-grid.vis-vertical-rtl{position:absolute;border-right:1px solid}.vis-time-axis .vis-grid.vis-minor{border-color:#e5e5e5}.vis-time-axis .vis-grid.vis-major{border-color:#bfbfbf}.vis-timeline{position:relative;border:1px solid #bfbfbf;overflow:hidden;padding:0;margin:0;box-sizing:border-box}"
},
"$:/plugins/felixhayashi/vis/vis.js": {
"text": "/*\\\ntitle: $:/plugins/felixhayashi/vis/vis.js\ntype: application/javascript\nmodule-type: library\n\n@preserve\n\\*/\n\n/*** TO AVOID STRANGE LIB ERRORS FROM BUBBLING UP *****************/\n\nif($tw.boot.tasks.trapErrors) {\n\n var defaultHandler = window.onerror;\n window.onerror = function(errorMsg, url, lineNumber) {\n \n if(errorMsg.indexOf(\"NS_ERROR_NOT_AVAILABLE\") !== -1\n && url == \"$:/plugins/felixhayashi/vis/vis.js\") {\n \n var text = \"Strange firefox related vis.js error (see #125)\";\n console.error(text, arguments);\n \n } else if(errorMsg.indexOf(\"Permission denied to access property\") !== -1) {\n \n var text = \"Strange firefox related vis.js error (see #163)\";\n console.error(text, arguments);\n \n } else if(defaultHandler) {\n \n defaultHandler.apply(this, arguments);\n \n }\n \n }\n \n}\n\n/******************************************************************/\n\n/**\n * vis.js\n * https://github.com/almende/vis\n *\n * A dynamic, browser-based visualization library.\n *\n * @version 4.19.1\n * @date 2017-03-19\n *\n * @license\n * Copyright (C) 2011-2017 Almende B.V, http://almende.com\n *\n * Vis.js is dual licensed under both\n *\n * * The Apache 2.0 License\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * and\n *\n * * The MIT License\n * http://opensource.org/licenses/MIT\n *\n * Vis.js may be distributed under either license.\n */\n\"use strict\";(function webpackUniversalModuleDefinition(root,factory){if(typeof exports===\"object\"&&typeof module===\"object\")module.exports=factory();else if(typeof define===\"function\"&&define.amd)define([],factory);else if(typeof exports===\"object\")exports[\"vis\"]=factory();else root[\"vis\"]=factory()})(this,function(){return function(modules){var installedModules={};function __webpack_require__(moduleId){if(installedModules[moduleId])return installedModules[moduleId].exports;var module=installedModules[moduleId]={exports:{},id:moduleId,loaded:false};modules[moduleId].call(module.exports,module,module.exports,__webpack_require__);module.loaded=true;return module.exports}__webpack_require__.m=modules;__webpack_require__.c=installedModules;__webpack_require__.p=\"\";return __webpack_require__(0)}([function(module,exports,__webpack_require__){\"use strict\";var util=__webpack_require__(1);util.extend(exports,__webpack_require__(87));util.extend(exports,__webpack_require__(116));util.extend(exports,__webpack_require__(158))},function(module,exports,__webpack_require__){\"use strict\";var _getIterator2=__webpack_require__(2);var _getIterator3=_interopRequireDefault(_getIterator2);var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var moment=__webpack_require__(82);var uuid=__webpack_require__(86);exports.isNumber=function(object){return object instanceof Number||typeof object==\"number\"};exports.recursiveDOMDelete=function(DOMobject){if(DOMobject){while(DOMobject.hasChildNodes()===true){exports.recursiveDOMDelete(DOMobject.firstChild);DOMobject.removeChild(DOMobject.firstChild)}}};exports.giveRange=function(min,max,total,value){if(max==min){return.5}else{var scale=1/(max-min);return Math.max(0,(value-min)*scale)}};exports.isString=function(object){return object instanceof String||typeof object==\"string\"};exports.isDate=function(object){if(object instanceof Date){return true}else if(exports.isString(object)){var match=ASPDateRegex.exec(object);if(match){return true}else if(!isNaN(Date.parse(object))){return true}}return false};exports.randomUUID=function(){return uuid.v4()};exports.assignAllKeys=function(obj,value){for(var prop in obj){if(obj.hasOwnProperty(prop)){if((0,_typeof3[\"default\"])(obj[prop])!==\"object\"){obj[prop]=value}}}};exports.fillIfDefined=function(a,b){var allowDeletion=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;for(var prop in a){if(b[prop]!==undefined){if((0,_typeof3[\"default\"])(b[prop])!==\"object\"){if((b[prop]===undefined||b[prop]===null)&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}else{if((0,_typeof3[\"default\"])(a[prop])===\"object\"){exports.fillIfDefined(a[prop],b[prop],allowDeletion)}}}}};exports.protoExtend=function(a,b){for(var i=1;i<arguments.length;i++){var other=arguments[i];for(var prop in other){a[prop]=other[prop]}}return a};exports.extend=function(a,b){for(var i=1;i<arguments.length;i++){var other=arguments[i];for(var prop in other){if(other.hasOwnProperty(prop)){a[prop]=other[prop]}}}return a};exports.selectiveExtend=function(props,a,b){if(!Array.isArray(props)){throw new Error(\"Array with property names expected as first argument\")}for(var i=2;i<arguments.length;i++){var other=arguments[i];for(var p=0;p<props.length;p++){var prop=props[p];if(other.hasOwnProperty(prop)){a[prop]=other[prop]}}}return a};exports.selectiveDeepExtend=function(props,a,b){var allowDeletion=arguments.length>3&&arguments[3]!==undefined?arguments[3]:false;if(Array.isArray(b)){throw new TypeError(\"Arrays are not supported by deepExtend\")}for(var i=2;i<arguments.length;i++){var other=arguments[i];for(var p=0;p<props.length;p++){var prop=props[p];if(other.hasOwnProperty(prop)){if(b[prop]&&b[prop].constructor===Object){if(a[prop]===undefined){a[prop]={}}if(a[prop].constructor===Object){exports.deepExtend(a[prop],b[prop],false,allowDeletion)}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}else if(Array.isArray(b[prop])){throw new TypeError(\"Arrays are not supported by deepExtend\")}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}}}return a};exports.selectiveNotDeepExtend=function(props,a,b){var allowDeletion=arguments.length>3&&arguments[3]!==undefined?arguments[3]:false;if(Array.isArray(b)){throw new TypeError(\"Arrays are not supported by deepExtend\")}for(var prop in b){if(b.hasOwnProperty(prop)){if(props.indexOf(prop)==-1){if(b[prop]&&b[prop].constructor===Object){if(a[prop]===undefined){a[prop]={}}if(a[prop].constructor===Object){exports.deepExtend(a[prop],b[prop])}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}else if(Array.isArray(b[prop])){a[prop]=[];for(var i=0;i<b[prop].length;i++){a[prop].push(b[prop][i])}}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}}}return a};exports.deepExtend=function(a,b,protoExtend,allowDeletion){for(var prop in b){if(b.hasOwnProperty(prop)||protoExtend===true){if(b[prop]&&b[prop].constructor===Object){if(a[prop]===undefined){a[prop]={}}if(a[prop].constructor===Object){exports.deepExtend(a[prop],b[prop],protoExtend)}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}else if(Array.isArray(b[prop])){a[prop]=[];for(var i=0;i<b[prop].length;i++){a[prop].push(b[prop][i])}}else{if(b[prop]===null&&a[prop]!==undefined&&allowDeletion===true){delete a[prop]}else{a[prop]=b[prop]}}}}return a};exports.equalArray=function(a,b){if(a.length!=b.length)return false;for(var i=0,len=a.length;i<len;i++){if(a[i]!=b[i])return false}return true};exports.convert=function(object,type){var match;if(object===undefined){return undefined}if(object===null){return null}if(!type){return object}if(!(typeof type===\"string\")&&!(type instanceof String)){throw new Error(\"Type must be a string\")}switch(type){case\"boolean\":case\"Boolean\":return Boolean(object);case\"number\":case\"Number\":if(exports.isString(object)&&!isNaN(Date.parse(object))){return moment(object).valueOf()}else{return Number(object.valueOf())}case\"string\":case\"String\":return String(object);case\"Date\":if(exports.isNumber(object)){return new Date(object)}if(object instanceof Date){return new Date(object.valueOf())}else if(moment.isMoment(object)){return new Date(object.valueOf())}if(exports.isString(object)){match=ASPDateRegex.exec(object);if(match){return new Date(Number(match[1]))}else{return moment(new Date(object)).toDate()}}else{throw new Error(\"Cannot convert object of type \"+exports.getType(object)+\" to type Date\")}case\"Moment\":if(exports.isNumber(object)){return moment(object)}if(object instanceof Date){return moment(object.valueOf())}else if(moment.isMoment(object)){return moment(object)}if(exports.isString(object)){match=ASPDateRegex.exec(object);if(match){return moment(Number(match[1]))}else{return moment(object)}}else{throw new Error(\"Cannot convert object of type \"+exports.getType(object)+\" to type Date\")}case\"ISODate\":if(exports.isNumber(object)){return new Date(object)}else if(object instanceof Date){return object.toISOString()}else if(moment.isMoment(object)){return object.toDate().toISOString()}else if(exports.isString(object)){match=ASPDateRegex.exec(object);if(match){return new Date(Number(match[1])).toISOString()}else{return moment(object).format()}}else{throw new Error(\"Cannot convert object of type \"+exports.getType(object)+\" to type ISODate\")}case\"ASPDate\":if(exports.isNumber(object)){return\"/Date(\"+object+\")/\"}else if(object instanceof Date){return\"/Date(\"+object.valueOf()+\")/\"}else if(exports.isString(object)){match=ASPDateRegex.exec(object);var value;if(match){value=new Date(Number(match[1])).valueOf()}else{value=new Date(object).valueOf()}return\"/Date(\"+value+\")/\"}else{throw new Error(\"Cannot convert object of type \"+exports.getType(object)+\" to type ASPDate\")}default:throw new Error('Unknown type \"'+type+'\"')}};var ASPDateRegex=/^\\/?Date\\((\\-?\\d+)/i;exports.getType=function(object){var type=typeof object===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(object);if(type==\"object\"){if(object===null){return\"null\"}if(object instanceof Boolean){return\"Boolean\"}if(object instanceof Number){return\"Number\"}if(object instanceof String){return\"String\"}if(Array.isArray(object)){return\"Array\"}if(object instanceof Date){return\"Date\"}return\"Object\"}else if(type==\"number\"){return\"Number\"}else if(type==\"boolean\"){return\"Boolean\"}else if(type==\"string\"){return\"String\"}else if(type===undefined){return\"undefined\"}return type};exports.copyAndExtendArray=function(arr,newValue){var newArr=[];for(var i=0;i<arr.length;i++){newArr.push(arr[i])}newArr.push(newValue);return newArr};exports.copyArray=function(arr){var newArr=[];for(var i=0;i<arr.length;i++){newArr.push(arr[i])}return newArr};exports.getAbsoluteLeft=function(elem){return elem.getBoundingClientRect().left};exports.getAbsoluteRight=function(elem){return elem.getBoundingClientRect().right};exports.getAbsoluteTop=function(elem){return elem.getBoundingClientRect().top};exports.addClassName=function(elem,className){var classes=elem.className.split(\" \");if(classes.indexOf(className)==-1){classes.push(className);elem.className=classes.join(\" \")}};exports.removeClassName=function(elem,className){var classes=elem.className.split(\" \");var index=classes.indexOf(className);if(index!=-1){classes.splice(index,1);elem.className=classes.join(\" \")}};exports.forEach=function(object,callback){var i,len;if(Array.isArray(object)){for(i=0,len=object.length;i<len;i++){callback(object[i],i,object)}}else{for(i in object){if(object.hasOwnProperty(i)){callback(object[i],i,object)}}}};exports.toArray=function(object){var array=[];for(var prop in object){if(object.hasOwnProperty(prop))array.push(object[prop])}return array};exports.updateProperty=function(object,key,value){if(object[key]!==value){object[key]=value;return true}else{return false}};exports.throttle=function(fn){var scheduled=false;return function throttled(){if(!scheduled){scheduled=true;requestAnimationFrame(function(){scheduled=false;fn()})}}};exports.addEventListener=function(element,action,listener,useCapture){if(element.addEventListener){if(useCapture===undefined)useCapture=false;if(action===\"mousewheel\"&&navigator.userAgent.indexOf(\"Firefox\")>=0){action=\"DOMMouseScroll\"}element.addEventListener(action,listener,useCapture)}else{element.attachEvent(\"on\"+action,listener)}};exports.removeEventListener=function(element,action,listener,useCapture){if(element.removeEventListener){if(useCapture===undefined)useCapture=false;if(action===\"mousewheel\"&&navigator.userAgent.indexOf(\"Firefox\")>=0){action=\"DOMMouseScroll\"}element.removeEventListener(action,listener,useCapture)}else{element.detachEvent(\"on\"+action,listener)}};exports.preventDefault=function(event){if(!event)event=window.event;if(event.preventDefault){event.preventDefault()}else{event.returnValue=false}};exports.getTarget=function(event){if(!event){event=window.event}var target;if(event.target){target=event.target}else if(event.srcElement){target=event.srcElement}if(target.nodeType!=undefined&&target.nodeType==3){target=target.parentNode}return target};exports.hasParent=function(element,parent){var e=element;while(e){if(e===parent){return true}e=e.parentNode}return false};exports.option={};exports.option.asBoolean=function(value,defaultValue){if(typeof value==\"function\"){value=value()}if(value!=null){return value!=false}return defaultValue||null};exports.option.asNumber=function(value,defaultValue){if(typeof value==\"function\"){value=value()}if(value!=null){return Number(value)||defaultValue||null}return defaultValue||null};exports.option.asString=function(value,defaultValue){if(typeof value==\"function\"){value=value()}if(value!=null){return String(value)}return defaultValue||null};exports.option.asSize=function(value,defaultValue){if(typeof value==\"function\"){value=value()}if(exports.isString(value)){return value}else if(exports.isNumber(value)){return value+\"px\"}else{return defaultValue||null}};exports.option.asElement=function(value,defaultValue){if(typeof value==\"function\"){value=value()}return value||defaultValue||null};exports.hexToRGB=function(hex){var shorthandRegex=/^#?([a-f\\d])([a-f\\d])([a-f\\d])$/i;hex=hex.replace(shorthandRegex,function(m,r,g,b){return r+r+g+g+b+b});var result=/^#?([a-f\\d]{2})([a-f\\d]{2})([a-f\\d]{2})$/i.exec(hex);return result?{r:parseInt(result[1],16),g:parseInt(result[2],16),b:parseInt(result[3],16)}:null};exports.overrideOpacity=function(color,opacity){if(color.indexOf(\"rgba\")!=-1){return color}else if(color.indexOf(\"rgb\")!=-1){var rgb=color.substr(color.indexOf(\"(\")+1).replace(\")\",\"\").split(\",\");return\"rgba(\"+rgb[0]+\",\"+rgb[1]+\",\"+rgb[2]+\",\"+opacity+\")\"}else{var rgb=exports.hexToRGB(color);if(rgb==null){return color}else{return\"rgba(\"+rgb.r+\",\"+rgb.g+\",\"+rgb.b+\",\"+opacity+\")\"}}};exports.RGBToHex=function(red,green,blue){return\"#\"+((1<<24)+(red<<16)+(green<<8)+blue).toString(16).slice(1)};exports.parseColor=function(color){var c;if(exports.isString(color)===true){if(exports.isValidRGB(color)===true){var rgb=color.substr(4).substr(0,color.length-5).split(\",\").map(function(value){return parseInt(value)});color=exports.RGBToHex(rgb[0],rgb[1],rgb[2])}if(exports.isValidHex(color)===true){var hsv=exports.hexToHSV(color);var lighterColorHSV={h:hsv.h,s:hsv.s*.8,v:Math.min(1,hsv.v*1.02)};var darkerColorHSV={h:hsv.h,s:Math.min(1,hsv.s*1.25),v:hsv.v*.8};var darkerColorHex=exports.HSVToHex(darkerColorHSV.h,darkerColorHSV.s,darkerColorHSV.v);var lighterColorHex=exports.HSVToHex(lighterColorHSV.h,lighterColorHSV.s,lighterColorHSV.v);c={background:color,border:darkerColorHex,highlight:{background:lighterColorHex,border:darkerColorHex},hover:{background:lighterColorHex,border:darkerColorHex}}}else{c={background:color,border:color,highlight:{background:color,border:color},hover:{background:color,border:color}}}}else{c={};c.background=color.background||undefined;c.border=color.border||undefined;if(exports.isString(color.highlight)){c.highlight={border:color.highlight,background:color.highlight}}else{c.highlight={};c.highlight.background=color.highlight&&color.highlight.background||undefined;c.highlight.border=color.highlight&&color.highlight.border||undefined}if(exports.isString(color.hover)){c.hover={border:color.hover,background:color.hover}}else{c.hover={};c.hover.background=color.hover&&color.hover.background||undefined;c.hover.border=color.hover&&color.hover.border||undefined}}return c};exports.RGBToHSV=function(red,green,blue){red=red/255;green=green/255;blue=blue/255;var minRGB=Math.min(red,Math.min(green,blue));var maxRGB=Math.max(red,Math.max(green,blue));if(minRGB==maxRGB){return{h:0,s:0,v:minRGB}}var d=red==minRGB?green-blue:blue==minRGB?red-green:blue-red;var h=red==minRGB?3:blue==minRGB?1:5;var hue=60*(h-d/(maxRGB-minRGB))/360;var saturation=(maxRGB-minRGB)/maxRGB;var value=maxRGB;return{h:hue,s:saturation,v:value}};var cssUtil={split:function split(cssText){var styles={};cssText.split(\";\").forEach(function(style){if(style.trim()!=\"\"){var parts=style.split(\":\");var key=parts[0].trim();var value=parts[1].trim();styles[key]=value}});return styles},join:function join(styles){return(0,_keys2[\"default\"])(styles).map(function(key){return key+\": \"+styles[key]}).join(\"; \")}};exports.addCssText=function(element,cssText){var currentStyles=cssUtil.split(element.style.cssText);var newStyles=cssUtil.split(cssText);var styles=exports.extend(currentStyles,newStyles);element.style.cssText=cssUtil.join(styles)};exports.removeCssText=function(element,cssText){var styles=cssUtil.split(element.style.cssText);var removeStyles=cssUtil.split(cssText);for(var key in removeStyles){if(removeStyles.hasOwnProperty(key)){delete styles[key]}}element.style.cssText=cssUtil.join(styles)};exports.HSVToRGB=function(h,s,v){var r,g,b;var i=Math.floor(h*6);var f=h*6-i;var p=v*(1-s);var q=v*(1-f*s);var t=v*(1-(1-f)*s);switch(i%6){case 0:r=v,g=t,b=p;break;case 1:r=q,g=v,b=p;break;case 2:r=p,g=v,b=t;break;case 3:r=p,g=q,b=v;break;case 4:r=t,g=p,b=v;break;case 5:r=v,g=p,b=q;break}return{r:Math.floor(r*255),g:Math.floor(g*255),b:Math.floor(b*255)}};exports.HSVToHex=function(h,s,v){var rgb=exports.HSVToRGB(h,s,v);return exports.RGBToHex(rgb.r,rgb.g,rgb.b)};exports.hexToHSV=function(hex){var rgb=exports.hexToRGB(hex);return exports.RGBToHSV(rgb.r,rgb.g,rgb.b)};exports.isValidHex=function(hex){var isOk=/(^#[0-9A-F]{6}$)|(^#[0-9A-F]{3}$)/i.test(hex);return isOk};exports.isValidRGB=function(rgb){rgb=rgb.replace(\" \",\"\");var isOk=/rgb\\((\\d{1,3}),(\\d{1,3}),(\\d{1,3})\\)/i.test(rgb);return isOk};exports.isValidRGBA=function(rgba){rgba=rgba.replace(\" \",\"\");var isOk=/rgba\\((\\d{1,3}),(\\d{1,3}),(\\d{1,3}),(.{1,3})\\)/i.test(rgba);return isOk};exports.selectiveBridgeObject=function(fields,referenceObject){if((typeof referenceObject===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(referenceObject))==\"object\"){var objectTo=(0,_create2[\"default\"])(referenceObject);for(var i=0;i<fields.length;i++){if(referenceObject.hasOwnProperty(fields[i])){if((0,_typeof3[\"default\"])(referenceObject[fields[i]])==\"object\"){objectTo[fields[i]]=exports.bridgeObject(referenceObject[fields[i]])}}}return objectTo}else{return null}};exports.bridgeObject=function(referenceObject){if((typeof referenceObject===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(referenceObject))==\"object\"){var objectTo=(0,_create2[\"default\"])(referenceObject);for(var i in referenceObject){if(referenceObject.hasOwnProperty(i)){if((0,_typeof3[\"default\"])(referenceObject[i])==\"object\"){objectTo[i]=exports.bridgeObject(referenceObject[i])}}}return objectTo}else{return null}};exports.insertSort=function(a,compare){for(var i=0;i<a.length;i++){var k=a[i];for(var j=i;j>0&&compare(k,a[j-1])<0;j--){a[j]=a[j-1]}a[j]=k}return a};exports.mergeOptions=function(mergeTarget,options,option){var allowDeletion=arguments.length>3&&arguments[3]!==undefined?arguments[3]:false;var globalOptions=arguments.length>4&&arguments[4]!==undefined?arguments[4]:{};if(options[option]===null){mergeTarget[option]=(0,_create2[\"default\"])(globalOptions[option])}else{if(options[option]!==undefined){if(typeof options[option]===\"boolean\"){mergeTarget[option].enabled=options[option]}else{if(options[option].enabled===undefined){mergeTarget[option].enabled=true}for(var prop in options[option]){if(options[option].hasOwnProperty(prop)){mergeTarget[option][prop]=options[option][prop]}}}}}};exports.binarySearchCustom=function(orderedItems,comparator,field,field2){var maxIterations=1e4;var iteration=0;var low=0;var high=orderedItems.length-1;while(low<=high&&iteration<maxIterations){var middle=Math.floor((low+high)/2);var item=orderedItems[middle];var value=field2===undefined?item[field]:item[field][field2];var searchResult=comparator(value);if(searchResult==0){return middle}else if(searchResult==-1){low=middle+1}else{high=middle-1}iteration++}return-1};exports.binarySearchValue=function(orderedItems,target,field,sidePreference,comparator){var maxIterations=1e4;var iteration=0;var low=0;var high=orderedItems.length-1;var prevValue,value,nextValue,middle;var comparator=comparator!=undefined?comparator:function(a,b){return a==b?0:a<b?-1:1};while(low<=high&&iteration<maxIterations){middle=Math.floor(.5*(high+low));prevValue=orderedItems[Math.max(0,middle-1)][field];value=orderedItems[middle][field];nextValue=orderedItems[Math.min(orderedItems.length-1,middle+1)][field];if(comparator(value,target)==0){return middle}else if(comparator(prevValue,target)<0&&comparator(value,target)>0){return sidePreference==\"before\"?Math.max(0,middle-1):middle}else if(comparator(value,target)<0&&comparator(nextValue,target)>0){return sidePreference==\"before\"?middle:Math.min(orderedItems.length-1,middle+1)}else{if(comparator(value,target)<0){low=middle+1}else{high=middle-1}}iteration++}return-1};exports.easingFunctions={linear:function linear(t){return t},easeInQuad:function easeInQuad(t){return t*t},easeOutQuad:function easeOutQuad(t){return t*(2-t)},easeInOutQuad:function easeInOutQuad(t){return t<.5?2*t*t:-1+(4-2*t)*t},easeInCubic:function easeInCubic(t){return t*t*t},easeOutCubic:function easeOutCubic(t){return--t*t*t+1},easeInOutCubic:function easeInOutCubic(t){return t<.5?4*t*t*t:(t-1)*(2*t-2)*(2*t-2)+1},easeInQuart:function easeInQuart(t){return t*t*t*t},easeOutQuart:function easeOutQuart(t){return 1- --t*t*t*t},easeInOutQuart:function easeInOutQuart(t){return t<.5?8*t*t*t*t:1-8*--t*t*t*t},easeInQuint:function easeInQuint(t){return t*t*t*t*t},easeOutQuint:function easeOutQuint(t){return 1+--t*t*t*t*t},easeInOutQuint:function easeInOutQuint(t){return t<.5?16*t*t*t*t*t:1+16*--t*t*t*t*t}};exports.getScrollBarWidth=function(){var inner=document.createElement(\"p\");inner.style.width=\"100%\";inner.style.height=\"200px\";var outer=document.createElement(\"div\");outer.style.position=\"absolute\";outer.style.top=\"0px\";outer.style.left=\"0px\";outer.style.visibility=\"hidden\";outer.style.width=\"200px\";outer.style.height=\"150px\";outer.style.overflow=\"hidden\";outer.appendChild(inner);document.body.appendChild(outer);var w1=inner.offsetWidth;outer.style.overflow=\"scroll\";var w2=inner.offsetWidth;if(w1==w2)w2=outer.clientWidth;document.body.removeChild(outer);return w1-w2};exports.topMost=function(pile,accessors){var candidate=void 0;if(!Array.isArray(accessors)){accessors=[accessors]}var _iteratorNormalCompletion=true;var _didIteratorError=false;var _iteratorError=undefined;try{for(var _iterator=(0,_getIterator3[\"default\"])(pile),_step;!(_iteratorNormalCompletion=(_step=_iterator.next()).done);_iteratorNormalCompletion=true){var member=_step.value;if(member){candidate=member[accessors[0]];for(var i=1;i<accessors.length;i++){if(candidate){candidate=candidate[accessors[i]]}else{continue}}if(typeof candidate!=\"undefined\"){break}}}}catch(err){_didIteratorError=true;_iteratorError=err}finally{try{if(!_iteratorNormalCompletion&&_iterator[\"return\"]){_iterator[\"return\"]()}}finally{if(_didIteratorError){throw _iteratorError}}}return candidate}},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(3),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(4);__webpack_require__(50);module.exports=__webpack_require__(52)},function(module,exports,__webpack_require__){__webpack_require__(5);var global=__webpack_require__(16),hide=__webpack_require__(20),Iterators=__webpack_require__(8),TO_STRING_TAG=__webpack_require__(47)(\"toStringTag\");for(var collections=[\"NodeList\",\"DOMTokenList\",\"MediaList\",\"StyleSheetList\",\"CSSRuleList\"],i=0;i<5;i++){var NAME=collections[i],Collection=global[NAME],proto=Collection&&Collection.prototype;if(proto&&!proto[TO_STRING_TAG])hide(proto,TO_STRING_TAG,NAME);Iterators[NAME]=Iterators.Array}},function(module,exports,__webpack_require__){\"use strict\";var addToUnscopables=__webpack_require__(6),step=__webpack_require__(7),Iterators=__webpack_require__(8),toIObject=__webpack_require__(9);module.exports=__webpack_require__(13)(Array,\"Array\",function(iterated,kind){this._t=toIObject(iterated);this._i=0;this._k=kind},function(){var O=this._t,kind=this._k,index=this._i++;if(!O||index>=O.length){this._t=undefined;return step(1)}if(kind==\"keys\")return step(0,index);if(kind==\"values\")return step(0,O[index]);return step(0,[index,O[index]])},\"values\");Iterators.Arguments=Iterators.Array;addToUnscopables(\"keys\");addToUnscopables(\"values\");addToUnscopables(\"entries\")},function(module,exports){module.exports=function(){}},function(module,exports){module.exports=function(done,value){return{value:value,done:!!done}}},function(module,exports){module.exports={}},function(module,exports,__webpack_require__){var IObject=__webpack_require__(10),defined=__webpack_require__(12);module.exports=function(it){return IObject(defined(it))}},function(module,exports,__webpack_require__){var cof=__webpack_require__(11);module.exports=Object(\"z\").propertyIsEnumerable(0)?Object:function(it){return cof(it)==\"String\"?it.split(\"\"):Object(it)}},function(module,exports){var toString={}.toString;module.exports=function(it){return toString.call(it).slice(8,-1)}},function(module,exports){module.exports=function(it){if(it==undefined)throw TypeError(\"Can't call method on \"+it);return it}},function(module,exports,__webpack_require__){\"use strict\";var LIBRARY=__webpack_require__(14),$export=__webpack_require__(15),redefine=__webpack_require__(30),hide=__webpack_require__(20),has=__webpack_require__(31),Iterators=__webpack_require__(8),$iterCreate=__webpack_require__(32),setToStringTag=__webpack_require__(46),getPrototypeOf=__webpack_require__(48),ITERATOR=__webpack_require__(47)(\"iterator\"),BUGGY=!([].keys&&\"next\"in[].keys()),FF_ITERATOR=\"@@iterator\",KEYS=\"keys\",VALUES=\"values\";var returnThis=function(){return this};module.exports=function(Base,NAME,Constructor,next,DEFAULT,IS_SET,FORCED){$iterCreate(Constructor,NAME,next);var getMethod=function(kind){if(!BUGGY&&kind in proto)return proto[kind];switch(kind){case KEYS:return function keys(){return new Constructor(this,kind)};case VALUES:return function values(){return new Constructor(this,kind)}}return function entries(){return new Constructor(this,kind)}};var TAG=NAME+\" Iterator\",DEF_VALUES=DEFAULT==VALUES,VALUES_BUG=false,proto=Base.prototype,$native=proto[ITERATOR]||proto[FF_ITERATOR]||DEFAULT&&proto[DEFAULT],$default=$native||getMethod(DEFAULT),$entries=DEFAULT?!DEF_VALUES?$default:getMethod(\"entries\"):undefined,$anyNative=NAME==\"Array\"?proto.entries||$native:$native,methods,key,IteratorPrototype;if($anyNative){IteratorPrototype=getPrototypeOf($anyNative.call(new Base));if(IteratorPrototype!==Object.prototype){setToStringTag(IteratorPrototype,TAG,true);if(!LIBRARY&&!has(IteratorPrototype,ITERATOR))hide(IteratorPrototype,ITERATOR,returnThis)}}if(DEF_VALUES&&$native&&$native.name!==VALUES){VALUES_BUG=true;$default=function values(){return $native.call(this)}}if((!LIBRARY||FORCED)&&(BUGGY||VALUES_BUG||!proto[ITERATOR])){hide(proto,ITERATOR,$default)}Iterators[NAME]=$default;Iterators[TAG]=returnThis;if(DEFAULT){methods={values:DEF_VALUES?$default:getMethod(VALUES),keys:IS_SET?$default:getMethod(KEYS),entries:$entries};if(FORCED)for(key in methods){if(!(key in proto))redefine(proto,key,methods[key])}else $export($export.P+$export.F*(BUGGY||VALUES_BUG),NAME,methods)}return methods}},function(module,exports){module.exports=true},function(module,exports,__webpack_require__){var global=__webpack_require__(16),core=__webpack_require__(17),ctx=__webpack_require__(18),hide=__webpack_require__(20),PROTOTYPE=\"prototype\";var $export=function(type,name,source){var IS_FORCED=type&$export.F,IS_GLOBAL=type&$export.G,IS_STATIC=type&$export.S,IS_PROTO=type&$export.P,IS_BIND=type&$export.B,IS_WRAP=type&$export.W,exports=IS_GLOBAL?core:core[name]||(core[name]={}),expProto=exports[PROTOTYPE],target=IS_GLOBAL?global:IS_STATIC?global[name]:(global[name]||{})[PROTOTYPE],key,own,out;if(IS_GLOBAL)source=name;for(key in source){own=!IS_FORCED&&target&&target[key]!==undefined;if(own&&key in exports)continue;out=own?target[key]:source[key];exports[key]=IS_GLOBAL&&typeof target[key]!=\"function\"?source[key]:IS_BIND&&own?ctx(out,global):IS_WRAP&&target[key]==out?function(C){var F=function(a,b,c){if(this instanceof C){switch(arguments.length){case 0:return new C;case 1:return new C(a);case 2:return new C(a,b)}return new C(a,b,c)}return C.apply(this,arguments)};F[PROTOTYPE]=C[PROTOTYPE];return F}(out):IS_PROTO&&typeof out==\"function\"?ctx(Function.call,out):out;if(IS_PROTO){(exports.virtual||(exports.virtual={}))[key]=out;if(type&$export.R&&expProto&&!expProto[key])hide(expProto,key,out)}}};$export.F=1;$export.G=2;$export.S=4;$export.P=8;$export.B=16;$export.W=32;$export.U=64;$export.R=128;module.exports=$export},function(module,exports){var global=module.exports=typeof window!=\"undefined\"&&window.Math==Math?window:typeof self!=\"undefined\"&&self.Math==Math?self:Function(\"return this\")();if(typeof __g==\"number\")__g=global},function(module,exports){var core=module.exports={version:\"2.4.0\"};if(typeof __e==\"number\")__e=core},function(module,exports,__webpack_require__){var aFunction=__webpack_require__(19);module.exports=function(fn,that,length){aFunction(fn);if(that===undefined)return fn;switch(length){case 1:return function(a){return fn.call(that,a)};case 2:return function(a,b){return fn.call(that,a,b)};case 3:return function(a,b,c){return fn.call(that,a,b,c)}}return function(){return fn.apply(that,arguments)}}},function(module,exports){module.exports=function(it){if(typeof it!=\"function\")throw TypeError(it+\" is not a function!\");return it}},function(module,exports,__webpack_require__){var dP=__webpack_require__(21),createDesc=__webpack_require__(29);module.exports=__webpack_require__(25)?function(object,key,value){return dP.f(object,key,createDesc(1,value))}:function(object,key,value){object[key]=value;return object}},function(module,exports,__webpack_require__){var anObject=__webpack_require__(22),IE8_DOM_DEFINE=__webpack_require__(24),toPrimitive=__webpack_require__(28),dP=Object.defineProperty;exports.f=__webpack_require__(25)?Object.defineProperty:function defineProperty(O,P,Attributes){anObject(O);P=toPrimitive(P,true);anObject(Attributes);if(IE8_DOM_DEFINE)try{return dP(O,P,Attributes)}catch(e){}if(\"get\"in Attributes||\"set\"in Attributes)throw TypeError(\"Accessors not supported!\");if(\"value\"in Attributes)O[P]=Attributes.value;return O}},function(module,exports,__webpack_require__){var isObject=__webpack_require__(23);module.exports=function(it){if(!isObject(it))throw TypeError(it+\" is not an object!\");return it}},function(module,exports){module.exports=function(it){return typeof it===\"object\"?it!==null:typeof it===\"function\"}},function(module,exports,__webpack_require__){module.exports=!__webpack_require__(25)&&!__webpack_require__(26)(function(){return Object.defineProperty(__webpack_require__(27)(\"div\"),\"a\",{get:function(){return 7}}).a!=7})},function(module,exports,__webpack_require__){module.exports=!__webpack_require__(26)(function(){return Object.defineProperty({},\"a\",{get:function(){return 7}}).a!=7})},function(module,exports){module.exports=function(exec){try{return!!exec()}catch(e){return true}}},function(module,exports,__webpack_require__){var isObject=__webpack_require__(23),document=__webpack_require__(16).document,is=isObject(document)&&isObject(document.createElement);module.exports=function(it){return is?document.createElement(it):{}}},function(module,exports,__webpack_require__){var isObject=__webpack_require__(23);module.exports=function(it,S){if(!isObject(it))return it;var fn,val;if(S&&typeof(fn=it.toString)==\"function\"&&!isObject(val=fn.call(it)))return val;if(typeof(fn=it.valueOf)==\"function\"&&!isObject(val=fn.call(it)))return val;if(!S&&typeof(fn=it.toString)==\"function\"&&!isObject(val=fn.call(it)))return val;throw TypeError(\"Can't convert object to primitive value\")}},function(module,exports){module.exports=function(bitmap,value){return{enumerable:!(bitmap&1),configurable:!(bitmap&2),writable:!(bitmap&4),value:value}}},function(module,exports,__webpack_require__){module.exports=__webpack_require__(20)},function(module,exports){var hasOwnProperty={}.hasOwnProperty;module.exports=function(it,key){return hasOwnProperty.call(it,key)}},function(module,exports,__webpack_require__){\"use strict\";var create=__webpack_require__(33),descriptor=__webpack_require__(29),setToStringTag=__webpack_require__(46),IteratorPrototype={};__webpack_require__(20)(IteratorPrototype,__webpack_require__(47)(\"iterator\"),function(){return this});module.exports=function(Constructor,NAME,next){Constructor.prototype=create(IteratorPrototype,{next:descriptor(1,next)});setToStringTag(Constructor,NAME+\" Iterator\")}},function(module,exports,__webpack_require__){var anObject=__webpack_require__(22),dPs=__webpack_require__(34),enumBugKeys=__webpack_require__(44),IE_PROTO=__webpack_require__(41)(\"IE_PROTO\"),Empty=function(){},PROTOTYPE=\"prototype\";var createDict=function(){var iframe=__webpack_require__(27)(\"iframe\"),i=enumBugKeys.length,lt=\"<\",gt=\">\",iframeDocument;iframe.style.display=\"none\";__webpack_require__(45).appendChild(iframe);iframe.src=\"javascript:\";iframeDocument=iframe.contentWindow.document;iframeDocument.open();iframeDocument.write(lt+\"script\"+gt+\"document.F=Object\"+lt+\"/script\"+gt);iframeDocument.close();createDict=iframeDocument.F;while(i--)delete createDict[PROTOTYPE][enumBugKeys[i]];return createDict()};module.exports=Object.create||function create(O,Properties){var result;if(O!==null){Empty[PROTOTYPE]=anObject(O);result=new Empty;Empty[PROTOTYPE]=null;result[IE_PROTO]=O}else result=createDict();return Properties===undefined?result:dPs(result,Properties)}},function(module,exports,__webpack_require__){var dP=__webpack_require__(21),anObject=__webpack_require__(22),getKeys=__webpack_require__(35);module.exports=__webpack_require__(25)?Object.defineProperties:function defineProperties(O,Properties){anObject(O);var keys=getKeys(Properties),length=keys.length,i=0,P;while(length>i)dP.f(O,P=keys[i++],Properties[P]);return O}},function(module,exports,__webpack_require__){var $keys=__webpack_require__(36),enumBugKeys=__webpack_require__(44);module.exports=Object.keys||function keys(O){return $keys(O,enumBugKeys)}},function(module,exports,__webpack_require__){var has=__webpack_require__(31),toIObject=__webpack_require__(9),arrayIndexOf=__webpack_require__(37)(false),IE_PROTO=__webpack_require__(41)(\"IE_PROTO\");module.exports=function(object,names){var O=toIObject(object),i=0,result=[],key;for(key in O)if(key!=IE_PROTO)has(O,key)&&result.push(key);while(names.length>i)if(has(O,key=names[i++])){~arrayIndexOf(result,key)||result.push(key)}return result}},function(module,exports,__webpack_require__){var toIObject=__webpack_require__(9),toLength=__webpack_require__(38),toIndex=__webpack_require__(40);module.exports=function(IS_INCLUDES){return function($this,el,fromIndex){var O=toIObject($this),length=toLength(O.length),index=toIndex(fromIndex,length),value;if(IS_INCLUDES&&el!=el)while(length>index){value=O[index++];if(value!=value)return true}else for(;length>index;index++)if(IS_INCLUDES||index in O){if(O[index]===el)return IS_INCLUDES||index||0}return!IS_INCLUDES&&-1}}},function(module,exports,__webpack_require__){var toInteger=__webpack_require__(39),min=Math.min;module.exports=function(it){return it>0?min(toInteger(it),9007199254740991):0}},function(module,exports){var ceil=Math.ceil,floor=Math.floor;module.exports=function(it){return isNaN(it=+it)?0:(it>0?floor:ceil)(it)}},function(module,exports,__webpack_require__){var toInteger=__webpack_require__(39),max=Math.max,min=Math.min;module.exports=function(index,length){index=toInteger(index);return index<0?max(index+length,0):min(index,length)}},function(module,exports,__webpack_require__){var shared=__webpack_require__(42)(\"keys\"),uid=__webpack_require__(43);module.exports=function(key){return shared[key]||(shared[key]=uid(key))}},function(module,exports,__webpack_require__){var global=__webpack_require__(16),SHARED=\"__core-js_shared__\",store=global[SHARED]||(global[SHARED]={});module.exports=function(key){return store[key]||(store[key]={})}},function(module,exports){var id=0,px=Math.random();module.exports=function(key){return\"Symbol(\".concat(key===undefined?\"\":key,\")_\",(++id+px).toString(36))}},function(module,exports){module.exports=\"constructor,hasOwnProperty,isPrototypeOf,propertyIsEnumerable,toLocaleString,toString,valueOf\".split(\",\")},function(module,exports,__webpack_require__){module.exports=__webpack_require__(16).document&&document.documentElement},function(module,exports,__webpack_require__){var def=__webpack_require__(21).f,has=__webpack_require__(31),TAG=__webpack_require__(47)(\"toStringTag\");module.exports=function(it,tag,stat){if(it&&!has(it=stat?it:it.prototype,TAG))def(it,TAG,{configurable:true,value:tag})}},function(module,exports,__webpack_require__){var store=__webpack_require__(42)(\"wks\"),uid=__webpack_require__(43),Symbol=__webpack_require__(16).Symbol,USE_SYMBOL=typeof Symbol==\"function\";var $exports=module.exports=function(name){return store[name]||(store[name]=USE_SYMBOL&&Symbol[name]||(USE_SYMBOL?Symbol:uid)(\"Symbol.\"+name))};$exports.store=store},function(module,exports,__webpack_require__){var has=__webpack_require__(31),toObject=__webpack_require__(49),IE_PROTO=__webpack_require__(41)(\"IE_PROTO\"),ObjectProto=Object.prototype;module.exports=Object.getPrototypeOf||function(O){O=toObject(O);if(has(O,IE_PROTO))return O[IE_PROTO];if(typeof O.constructor==\"function\"&&O instanceof O.constructor){return O.constructor.prototype}return O instanceof Object?ObjectProto:null}},function(module,exports,__webpack_require__){var defined=__webpack_require__(12);module.exports=function(it){return Object(defined(it))}},function(module,exports,__webpack_require__){\"use strict\";var $at=__webpack_require__(51)(true);__webpack_require__(13)(String,\"String\",function(iterated){this._t=String(iterated);this._i=0},function(){var O=this._t,index=this._i,point;if(index>=O.length)return{value:undefined,done:true};point=$at(O,index);this._i+=point.length;return{value:point,done:false}})},function(module,exports,__webpack_require__){var toInteger=__webpack_require__(39),defined=__webpack_require__(12);module.exports=function(TO_STRING){return function(that,pos){var s=String(defined(that)),i=toInteger(pos),l=s.length,a,b;if(i<0||i>=l)return TO_STRING?\"\":undefined;a=s.charCodeAt(i);return a<55296||a>56319||i+1===l||(b=s.charCodeAt(i+1))<56320||b>57343?TO_STRING?s.charAt(i):a:TO_STRING?s.slice(i,i+2):(a-55296<<10)+(b-56320)+65536}}},function(module,exports,__webpack_require__){var anObject=__webpack_require__(22),get=__webpack_require__(53);module.exports=__webpack_require__(17).getIterator=function(it){var iterFn=get(it);if(typeof iterFn!=\"function\")throw TypeError(it+\" is not iterable!\");return anObject(iterFn.call(it))}},function(module,exports,__webpack_require__){var classof=__webpack_require__(54),ITERATOR=__webpack_require__(47)(\"iterator\"),Iterators=__webpack_require__(8);module.exports=__webpack_require__(17).getIteratorMethod=function(it){if(it!=undefined)return it[ITERATOR]||it[\"@@iterator\"]||Iterators[classof(it)]}},function(module,exports,__webpack_require__){var cof=__webpack_require__(11),TAG=__webpack_require__(47)(\"toStringTag\"),ARG=cof(function(){return arguments}())==\"Arguments\";var tryGet=function(it,key){try{return it[key]}catch(e){}};module.exports=function(it){var O,T,B;return it===undefined?\"Undefined\":it===null?\"Null\":typeof(T=tryGet(O=Object(it),TAG))==\"string\"?T:ARG?cof(O):(B=cof(O))==\"Object\"&&typeof O.callee==\"function\"?\"Arguments\":B}},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(56),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(57);var $Object=__webpack_require__(17).Object;module.exports=function create(P,D){return $Object.create(P,D)}},function(module,exports,__webpack_require__){var $export=__webpack_require__(15);$export($export.S,\"Object\",{create:__webpack_require__(33)})},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(59),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(60);module.exports=__webpack_require__(17).Object.keys},function(module,exports,__webpack_require__){var toObject=__webpack_require__(49),$keys=__webpack_require__(35);__webpack_require__(61)(\"keys\",function(){return function keys(it){return $keys(toObject(it))}})},function(module,exports,__webpack_require__){var $export=__webpack_require__(15),core=__webpack_require__(17),fails=__webpack_require__(26);module.exports=function(KEY,exec){var fn=(core.Object||{})[KEY]||Object[KEY],exp={};exp[KEY]=exec(fn);$export($export.S+$export.F*fails(function(){fn(1)}),\"Object\",exp)}},function(module,exports,__webpack_require__){\"use strict\";exports.__esModule=true;var _iterator=__webpack_require__(63);var _iterator2=_interopRequireDefault(_iterator);var _symbol=__webpack_require__(66);var _symbol2=_interopRequireDefault(_symbol);var _typeof=typeof _symbol2.default===\"function\"&&typeof _iterator2.default===\"symbol\"?function(obj){return typeof obj}:function(obj){return obj&&typeof _symbol2.default===\"function\"&&obj.constructor===_symbol2.default&&obj!==_symbol2.default.prototype?\"symbol\":typeof obj};function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}exports.default=typeof _symbol2.default===\"function\"&&_typeof(_iterator2.default)===\"symbol\"?function(obj){return typeof obj===\"undefined\"?\"undefined\":_typeof(obj)}:function(obj){return obj&&typeof _symbol2.default===\"function\"&&obj.constructor===_symbol2.default&&obj!==_symbol2.default.prototype?\"symbol\":typeof obj===\"undefined\"?\"undefined\":_typeof(obj)}},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(64),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(50);__webpack_require__(4);module.exports=__webpack_require__(65).f(\"iterator\")},function(module,exports,__webpack_require__){exports.f=__webpack_require__(47)},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(67),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(68);__webpack_require__(79);__webpack_require__(80);__webpack_require__(81);module.exports=__webpack_require__(17).Symbol},function(module,exports,__webpack_require__){\"use strict\";var global=__webpack_require__(16),has=__webpack_require__(31),DESCRIPTORS=__webpack_require__(25),$export=__webpack_require__(15),redefine=__webpack_require__(30),META=__webpack_require__(69).KEY,$fails=__webpack_require__(26),shared=__webpack_require__(42),setToStringTag=__webpack_require__(46),uid=__webpack_require__(43),wks=__webpack_require__(47),wksExt=__webpack_require__(65),wksDefine=__webpack_require__(70),keyOf=__webpack_require__(71),enumKeys=__webpack_require__(72),isArray=__webpack_require__(75),anObject=__webpack_require__(22),toIObject=__webpack_require__(9),toPrimitive=__webpack_require__(28),createDesc=__webpack_require__(29),_create=__webpack_require__(33),gOPNExt=__webpack_require__(76),$GOPD=__webpack_require__(78),$DP=__webpack_require__(21),$keys=__webpack_require__(35),gOPD=$GOPD.f,dP=$DP.f,gOPN=gOPNExt.f,$Symbol=global.Symbol,$JSON=global.JSON,_stringify=$JSON&&$JSON.stringify,PROTOTYPE=\"prototype\",HIDDEN=wks(\"_hidden\"),TO_PRIMITIVE=wks(\"toPrimitive\"),isEnum={}.propertyIsEnumerable,SymbolRegistry=shared(\"symbol-registry\"),AllSymbols=shared(\"symbols\"),OPSymbols=shared(\"op-symbols\"),ObjectProto=Object[PROTOTYPE],USE_NATIVE=typeof $Symbol==\"function\",QObject=global.QObject;var setter=!QObject||!QObject[PROTOTYPE]||!QObject[PROTOTYPE].findChild;var setSymbolDesc=DESCRIPTORS&&$fails(function(){return _create(dP({},\"a\",{get:function(){return dP(this,\"a\",{value:7}).a}})).a!=7})?function(it,key,D){var protoDesc=gOPD(ObjectProto,key);if(protoDesc)delete ObjectProto[key];dP(it,key,D);if(protoDesc&&it!==ObjectProto)dP(ObjectProto,key,protoDesc)}:dP;var wrap=function(tag){var sym=AllSymbols[tag]=_create($Symbol[PROTOTYPE]);sym._k=tag;return sym};var isSymbol=USE_NATIVE&&typeof $Symbol.iterator==\"symbol\"?function(it){return typeof it==\"symbol\"}:function(it){return it instanceof $Symbol};var $defineProperty=function defineProperty(it,key,D){if(it===ObjectProto)$defineProperty(OPSymbols,key,D);anObject(it);key=toPrimitive(key,true);anObject(D);if(has(AllSymbols,key)){if(!D.enumerable){if(!has(it,HIDDEN))dP(it,HIDDEN,createDesc(1,{}));it[HIDDEN][key]=true}else{if(has(it,HIDDEN)&&it[HIDDEN][key])it[HIDDEN][key]=false;D=_create(D,{enumerable:createDesc(0,false)})}return setSymbolDesc(it,key,D)}return dP(it,key,D)};var $defineProperties=function defineProperties(it,P){anObject(it);var keys=enumKeys(P=toIObject(P)),i=0,l=keys.length,key;while(l>i)$defineProperty(it,key=keys[i++],P[key]);return it};var $create=function create(it,P){return P===undefined?_create(it):$defineProperties(_create(it),P)};var $propertyIsEnumerable=function propertyIsEnumerable(key){var E=isEnum.call(this,key=toPrimitive(key,true));if(this===ObjectProto&&has(AllSymbols,key)&&!has(OPSymbols,key))return false;return E||!has(this,key)||!has(AllSymbols,key)||has(this,HIDDEN)&&this[HIDDEN][key]?E:true};var $getOwnPropertyDescriptor=function getOwnPropertyDescriptor(it,key){it=toIObject(it);key=toPrimitive(key,true);if(it===ObjectProto&&has(AllSymbols,key)&&!has(OPSymbols,key))return;var D=gOPD(it,key);if(D&&has(AllSymbols,key)&&!(has(it,HIDDEN)&&it[HIDDEN][key]))D.enumerable=true;return D};var $getOwnPropertyNames=function getOwnPropertyNames(it){var names=gOPN(toIObject(it)),result=[],i=0,key;while(names.length>i){if(!has(AllSymbols,key=names[i++])&&key!=HIDDEN&&key!=META)result.push(key)}return result};var $getOwnPropertySymbols=function getOwnPropertySymbols(it){var IS_OP=it===ObjectProto,names=gOPN(IS_OP?OPSymbols:toIObject(it)),result=[],i=0,key;while(names.length>i){if(has(AllSymbols,key=names[i++])&&(IS_OP?has(ObjectProto,key):true))result.push(AllSymbols[key])}return result};if(!USE_NATIVE){$Symbol=function Symbol(){if(this instanceof $Symbol)throw TypeError(\"Symbol is not a constructor!\");var tag=uid(arguments.length>0?arguments[0]:undefined);var $set=function(value){if(this===ObjectProto)$set.call(OPSymbols,value);if(has(this,HIDDEN)&&has(this[HIDDEN],tag))this[HIDDEN][tag]=false;setSymbolDesc(this,tag,createDesc(1,value))};if(DESCRIPTORS&&setter)setSymbolDesc(ObjectProto,tag,{configurable:true,set:$set});return wrap(tag)};redefine($Symbol[PROTOTYPE],\"toString\",function toString(){return this._k});$GOPD.f=$getOwnPropertyDescriptor;$DP.f=$defineProperty;__webpack_require__(77).f=gOPNExt.f=$getOwnPropertyNames;__webpack_require__(74).f=$propertyIsEnumerable;__webpack_require__(73).f=$getOwnPropertySymbols;if(DESCRIPTORS&&!__webpack_require__(14)){redefine(ObjectProto,\"propertyIsEnumerable\",$propertyIsEnumerable,true)}wksExt.f=function(name){return wrap(wks(name))}}$export($export.G+$export.W+$export.F*!USE_NATIVE,{Symbol:$Symbol});for(var symbols=\"hasInstance,isConcatSpreadable,iterator,match,replace,search,species,split,toPrimitive,toStringTag,unscopables\".split(\",\"),i=0;symbols.length>i;)wks(symbols[i++]);for(var symbols=$keys(wks.store),i=0;symbols.length>i;)wksDefine(symbols[i++]);$export($export.S+$export.F*!USE_NATIVE,\"Symbol\",{for:function(key){return has(SymbolRegistry,key+=\"\")?SymbolRegistry[key]:SymbolRegistry[key]=$Symbol(key)},keyFor:function keyFor(key){if(isSymbol(key))return keyOf(SymbolRegistry,key);throw TypeError(key+\" is not a symbol!\")},useSetter:function(){setter=true},useSimple:function(){setter=false}});$export($export.S+$export.F*!USE_NATIVE,\"Object\",{create:$create,defineProperty:$defineProperty,defineProperties:$defineProperties,getOwnPropertyDescriptor:$getOwnPropertyDescriptor,getOwnPropertyNames:$getOwnPropertyNames,getOwnPropertySymbols:$getOwnPropertySymbols});$JSON&&$export($export.S+$export.F*(!USE_NATIVE||$fails(function(){var S=$Symbol();return _stringify([S])!=\"[null]\"||_stringify({a:S})!=\"{}\"||_stringify(Object(S))!=\"{}\"})),\"JSON\",{stringify:function stringify(it){if(it===undefined||isSymbol(it))return;var args=[it],i=1,replacer,$replacer;while(arguments.length>i)args.push(arguments[i++]);replacer=args[1];if(typeof replacer==\"function\")$replacer=replacer;if($replacer||!isArray(replacer))replacer=function(key,value){if($replacer)value=$replacer.call(this,key,value);if(!isSymbol(value))return value};args[1]=replacer;return _stringify.apply($JSON,args)}});$Symbol[PROTOTYPE][TO_PRIMITIVE]||__webpack_require__(20)($Symbol[PROTOTYPE],TO_PRIMITIVE,$Symbol[PROTOTYPE].valueOf);setToStringTag($Symbol,\"Symbol\");setToStringTag(Math,\"Math\",true);setToStringTag(global.JSON,\"JSON\",true)},function(module,exports,__webpack_require__){var META=__webpack_require__(43)(\"meta\"),isObject=__webpack_require__(23),has=__webpack_require__(31),setDesc=__webpack_require__(21).f,id=0;var isExtensible=Object.isExtensible||function(){return true};var FREEZE=!__webpack_require__(26)(function(){return isExtensible(Object.preventExtensions({}))});var setMeta=function(it){setDesc(it,META,{value:{i:\"O\"+ ++id,w:{}}})};var fastKey=function(it,create){if(!isObject(it))return typeof it==\"symbol\"?it:(typeof it==\"string\"?\"S\":\"P\")+it;if(!has(it,META)){if(!isExtensible(it))return\"F\";if(!create)return\"E\";setMeta(it)}return it[META].i};var getWeak=function(it,create){if(!has(it,META)){if(!isExtensible(it))return true;if(!create)return false;setMeta(it)}return it[META].w};var onFreeze=function(it){if(FREEZE&&meta.NEED&&isExtensible(it)&&!has(it,META))setMeta(it);return it};var meta=module.exports={KEY:META,NEED:false,fastKey:fastKey,getWeak:getWeak,onFreeze:onFreeze}},function(module,exports,__webpack_require__){var global=__webpack_require__(16),core=__webpack_require__(17),LIBRARY=__webpack_require__(14),wksExt=__webpack_require__(65),defineProperty=__webpack_require__(21).f;module.exports=function(name){var $Symbol=core.Symbol||(core.Symbol=LIBRARY?{}:global.Symbol||{});if(name.charAt(0)!=\"_\"&&!(name in $Symbol))defineProperty($Symbol,name,{value:wksExt.f(name)})}},function(module,exports,__webpack_require__){var getKeys=__webpack_require__(35),toIObject=__webpack_require__(9);module.exports=function(object,el){var O=toIObject(object),keys=getKeys(O),length=keys.length,index=0,key;while(length>index)if(O[key=keys[index++]]===el)return key}},function(module,exports,__webpack_require__){var getKeys=__webpack_require__(35),gOPS=__webpack_require__(73),pIE=__webpack_require__(74);module.exports=function(it){var result=getKeys(it),getSymbols=gOPS.f;if(getSymbols){var symbols=getSymbols(it),isEnum=pIE.f,i=0,key;while(symbols.length>i)if(isEnum.call(it,key=symbols[i++]))result.push(key)}return result}},function(module,exports){exports.f=Object.getOwnPropertySymbols},function(module,exports){exports.f={}.propertyIsEnumerable},function(module,exports,__webpack_require__){var cof=__webpack_require__(11);module.exports=Array.isArray||function isArray(arg){return cof(arg)==\"Array\"}},function(module,exports,__webpack_require__){var toIObject=__webpack_require__(9),gOPN=__webpack_require__(77).f,toString={}.toString;var windowNames=typeof window==\"object\"&&window&&Object.getOwnPropertyNames?Object.getOwnPropertyNames(window):[];var getWindowNames=function(it){try{return gOPN(it)}catch(e){return windowNames.slice()}};module.exports.f=function getOwnPropertyNames(it){return windowNames&&toString.call(it)==\"[object Window]\"?getWindowNames(it):gOPN(toIObject(it))}},function(module,exports,__webpack_require__){var $keys=__webpack_require__(36),hiddenKeys=__webpack_require__(44).concat(\"length\",\"prototype\");exports.f=Object.getOwnPropertyNames||function getOwnPropertyNames(O){return $keys(O,hiddenKeys)}},function(module,exports,__webpack_require__){var pIE=__webpack_require__(74),createDesc=__webpack_require__(29),toIObject=__webpack_require__(9),toPrimitive=__webpack_require__(28),has=__webpack_require__(31),IE8_DOM_DEFINE=__webpack_require__(24),gOPD=Object.getOwnPropertyDescriptor;exports.f=__webpack_require__(25)?gOPD:function getOwnPropertyDescriptor(O,P){O=toIObject(O);P=toPrimitive(P,true);if(IE8_DOM_DEFINE)try{return gOPD(O,P)}catch(e){}if(has(O,P))return createDesc(!pIE.f.call(O,P),O[P])}},function(module,exports){},function(module,exports,__webpack_require__){__webpack_require__(70)(\"asyncIterator\")},function(module,exports,__webpack_require__){__webpack_require__(70)(\"observable\")},function(module,exports,__webpack_require__){\"use strict\";module.exports=typeof window!==\"undefined\"&&window[\"moment\"]||__webpack_require__(83)},function(module,exports,__webpack_require__){(function(module){(function(global,factory){true?module.exports=factory():typeof define===\"function\"&&define.amd?define(factory):global.moment=factory()})(this,function(){\"use strict\";var hookCallback;function hooks(){return hookCallback.apply(null,arguments)}function setHookCallback(callback){hookCallback=callback}function isArray(input){return input instanceof Array||Object.prototype.toString.call(input)===\"[object Array]\"}function isObject(input){return input!=null&&Object.prototype.toString.call(input)===\"[object Object]\"}function isObjectEmpty(obj){var k;for(k in obj){return false}return true}function isUndefined(input){return input===void 0}function isNumber(input){return typeof input===\"number\"||Object.prototype.toString.call(input)===\"[object Number]\"}function isDate(input){return input instanceof Date||Object.prototype.toString.call(input)===\"[object Date]\"}function map(arr,fn){var res=[],i;for(i=0;i<arr.length;++i){res.push(fn(arr[i],i))}return res}function hasOwnProp(a,b){return Object.prototype.hasOwnProperty.call(a,b)}function extend(a,b){for(var i in b){if(hasOwnProp(b,i)){a[i]=b[i]}}if(hasOwnProp(b,\"toString\")){a.toString=b.toString}if(hasOwnProp(b,\"valueOf\")){a.valueOf=b.valueOf}return a}function createUTC(input,format,locale,strict){return createLocalOrUTC(input,format,locale,strict,true).utc()}function defaultParsingFlags(){return{empty:false,unusedTokens:[],unusedInput:[],overflow:-2,charsLeftOver:0,nullInput:false,invalidMonth:null,invalidFormat:false,userInvalidated:false,iso:false,parsedDateParts:[],meridiem:null,rfc2822:false,weekdayMismatch:false}}function getParsingFlags(m){if(m._pf==null){m._pf=defaultParsingFlags()}return m._pf}var some;if(Array.prototype.some){some=Array.prototype.some}else{some=function(fun){var t=Object(this);var len=t.length>>>0;for(var i=0;i<len;i++){if(i in t&&fun.call(this,t[i],i,t)){return true}}return false}}var some$1=some;function isValid(m){if(m._isValid==null){var flags=getParsingFlags(m);var parsedParts=some$1.call(flags.parsedDateParts,function(i){return i!=null});var isNowValid=!isNaN(m._d.getTime())&&flags.overflow<0&&!flags.empty&&!flags.invalidMonth&&!flags.invalidWeekday&&!flags.nullInput&&!flags.invalidFormat&&!flags.userInvalidated&&(!flags.meridiem||flags.meridiem&&parsedParts);if(m._strict){isNowValid=isNowValid&&flags.charsLeftOver===0&&flags.unusedTokens.length===0&&flags.bigHour===undefined}if(Object.isFrozen==null||!Object.isFrozen(m)){m._isValid=isNowValid}else{return isNowValid}}return m._isValid}function createInvalid(flags){var m=createUTC(NaN);if(flags!=null){extend(getParsingFlags(m),flags)}else{getParsingFlags(m).userInvalidated=true}return m}var momentProperties=hooks.momentProperties=[];function copyConfig(to,from){var i,prop,val;if(!isUndefined(from._isAMomentObject)){to._isAMomentObject=from._isAMomentObject}if(!isUndefined(from._i)){to._i=from._i}if(!isUndefined(from._f)){to._f=from._f}if(!isUndefined(from._l)){to._l=from._l}if(!isUndefined(from._strict)){to._strict=from._strict}if(!isUndefined(from._tzm)){to._tzm=from._tzm}if(!isUndefined(from._isUTC)){to._isUTC=from._isUTC}if(!isUndefined(from._offset)){to._offset=from._offset}if(!isUndefined(from._pf)){to._pf=getParsingFlags(from)}if(!isUndefined(from._locale)){to._locale=from._locale}if(momentProperties.length>0){for(i=0;i<momentProperties.length;i++){prop=momentProperties[i];val=from[prop];if(!isUndefined(val)){to[prop]=val}}}return to}var updateInProgress=false;function Moment(config){copyConfig(this,config);this._d=new Date(config._d!=null?config._d.getTime():NaN);if(!this.isValid()){this._d=new Date(NaN)}if(updateInProgress===false){updateInProgress=true;hooks.updateOffset(this);updateInProgress=false}}function isMoment(obj){return obj instanceof Moment||obj!=null&&obj._isAMomentObject!=null}function absFloor(number){if(number<0){return Math.ceil(number)||0}else{return Math.floor(number)}}function toInt(argumentForCoercion){var coercedNumber=+argumentForCoercion,value=0;if(coercedNumber!==0&&isFinite(coercedNumber)){value=absFloor(coercedNumber)}return value}function compareArrays(array1,array2,dontConvert){var len=Math.min(array1.length,array2.length),lengthDiff=Math.abs(array1.length-array2.length),diffs=0,i;for(i=0;i<len;i++){if(dontConvert&&array1[i]!==array2[i]||!dontConvert&&toInt(array1[i])!==toInt(array2[i])){diffs++}}return diffs+lengthDiff}function warn(msg){if(hooks.suppressDeprecationWarnings===false&&typeof console!==\"undefined\"&&console.warn){console.warn(\"Deprecation warning: \"+msg)}}function deprecate(msg,fn){var firstTime=true;return extend(function(){if(hooks.deprecationHandler!=null){hooks.deprecationHandler(null,msg)}if(firstTime){var args=[];var arg;for(var i=0;i<arguments.length;i++){arg=\"\";if(typeof arguments[i]===\"object\"){arg+=\"\\n[\"+i+\"] \";for(var key in arguments[0]){arg+=key+\": \"+arguments[0][key]+\", \"}arg=arg.slice(0,-2)}else{arg=arguments[i]}args.push(arg)}warn(msg+\"\\nArguments: \"+Array.prototype.slice.call(args).join(\"\")+\"\\n\"+(new Error).stack);firstTime=false}return fn.apply(this,arguments)},fn)}var deprecations={};function deprecateSimple(name,msg){if(hooks.deprecationHandler!=null){hooks.deprecationHandler(name,msg)}if(!deprecations[name]){warn(msg);deprecations[name]=true}}hooks.suppressDeprecationWarnings=false;hooks.deprecationHandler=null;function isFunction(input){return input instanceof Function||Object.prototype.toString.call(input)===\"[object Function]\"}function set(config){var prop,i;for(i in config){prop=config[i];if(isFunction(prop)){this[i]=prop}else{this[\"_\"+i]=prop}}this._config=config;this._dayOfMonthOrdinalParseLenient=new RegExp((this._dayOfMonthOrdinalParse.source||this._ordinalParse.source)+\"|\"+/\\d{1,2}/.source)}function mergeConfigs(parentConfig,childConfig){var res=extend({},parentConfig),prop;for(prop in childConfig){if(hasOwnProp(childConfig,prop)){if(isObject(parentConfig[prop])&&isObject(childConfig[prop])){res[prop]={};extend(res[prop],parentConfig[prop]);extend(res[prop],childConfig[prop])}else if(childConfig[prop]!=null){res[prop]=childConfig[prop]}else{delete res[prop]}}}for(prop in parentConfig){if(hasOwnProp(parentConfig,prop)&&!hasOwnProp(childConfig,prop)&&isObject(parentConfig[prop])){res[prop]=extend({},res[prop])}}return res}function Locale(config){if(config!=null){this.set(config)}}var keys;if(Object.keys){keys=Object.keys}else{keys=function(obj){var i,res=[];for(i in obj){if(hasOwnProp(obj,i)){res.push(i)}}return res}}var keys$1=keys;var defaultCalendar={sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"};function calendar(key,mom,now){var output=this._calendar[key]||this._calendar[\"sameElse\"];return isFunction(output)?output.call(mom,now):output}var defaultLongDateFormat={LTS:\"h:mm:ss A\",LT:\"h:mm A\",L:\"MM/DD/YYYY\",LL:\"MMMM D, YYYY\",LLL:\"MMMM D, YYYY h:mm A\",LLLL:\"dddd, MMMM D, YYYY h:mm A\"};function longDateFormat(key){var format=this._longDateFormat[key],formatUpper=this._longDateFormat[key.toUpperCase()];if(format||!formatUpper){return format}this._longDateFormat[key]=formatUpper.replace(/MMMM|MM|DD|dddd/g,function(val){return val.slice(1)});return this._longDateFormat[key]}var defaultInvalidDate=\"Invalid date\";function invalidDate(){return this._invalidDate}var defaultOrdinal=\"%d\";var defaultDayOfMonthOrdinalParse=/\\d{1,2}/;function ordinal(number){return this._ordinal.replace(\"%d\",number)}var defaultRelativeTime={future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",ss:\"%d seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"};function relativeTime(number,withoutSuffix,string,isFuture){var output=this._relativeTime[string];return isFunction(output)?output(number,withoutSuffix,string,isFuture):output.replace(/%d/i,number)}function pastFuture(diff,output){var format=this._relativeTime[diff>0?\"future\":\"past\"];return isFunction(format)?format(output):format.replace(/%s/i,output)}var aliases={};function addUnitAlias(unit,shorthand){var lowerCase=unit.toLowerCase();aliases[lowerCase]=aliases[lowerCase+\"s\"]=aliases[shorthand]=unit}function normalizeUnits(units){return typeof units===\"string\"?aliases[units]||aliases[units.toLowerCase()]:undefined}function normalizeObjectUnits(inputObject){var normalizedInput={},normalizedProp,prop;for(prop in inputObject){if(hasOwnProp(inputObject,prop)){normalizedProp=normalizeUnits(prop);if(normalizedProp){normalizedInput[normalizedProp]=inputObject[prop]}}}return normalizedInput}var priorities={};function addUnitPriority(unit,priority){priorities[unit]=priority}function getPrioritizedUnits(unitsObj){var units=[];for(var u in unitsObj){units.push({unit:u,priority:priorities[u]})}units.sort(function(a,b){return a.priority-b.priority});return units}function makeGetSet(unit,keepTime){return function(value){if(value!=null){set$1(this,unit,value);hooks.updateOffset(this,keepTime);return this}else{return get(this,unit)}}}function get(mom,unit){return mom.isValid()?mom._d[\"get\"+(mom._isUTC?\"UTC\":\"\")+unit]():NaN}function set$1(mom,unit,value){if(mom.isValid()){mom._d[\"set\"+(mom._isUTC?\"UTC\":\"\")+unit](value)}}function stringGet(units){units=normalizeUnits(units);if(isFunction(this[units])){return this[units]()}return this}function stringSet(units,value){if(typeof units===\"object\"){units=normalizeObjectUnits(units);var prioritized=getPrioritizedUnits(units);for(var i=0;i<prioritized.length;i++){this[prioritized[i].unit](units[prioritized[i].unit])}}else{units=normalizeUnits(units);if(isFunction(this[units])){return this[units](value)}}return this}function zeroFill(number,targetLength,forceSign){var absNumber=\"\"+Math.abs(number),zerosToFill=targetLength-absNumber.length,sign=number>=0;return(sign?forceSign?\"+\":\"\":\"-\")+Math.pow(10,Math.max(0,zerosToFill)).toString().substr(1)+absNumber}var formattingTokens=/(\\[[^\\[]*\\])|(\\\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g;var localFormattingTokens=/(\\[[^\\[]*\\])|(\\\\)?(LTS|LT|LL?L?L?|l{1,4})/g;var formatFunctions={};var formatTokenFunctions={};function addFormatToken(token,padded,ordinal,callback){var func=callback;if(typeof callback===\"string\"){func=function(){return this[callback]()}}if(token){formatTokenFunctions[token]=func}if(padded){formatTokenFunctions[padded[0]]=function(){return zeroFill(func.apply(this,arguments),padded[1],padded[2])}}if(ordinal){formatTokenFunctions[ordinal]=function(){return this.localeData().ordinal(func.apply(this,arguments),token)}}}function removeFormattingTokens(input){if(input.match(/\\[[\\s\\S]/)){return input.replace(/^\\[|\\]$/g,\"\")}return input.replace(/\\\\/g,\"\")}function makeFormatFunction(format){var array=format.match(formattingTokens),i,length;for(i=0,length=array.length;i<length;i++){if(formatTokenFunctions[array[i]]){array[i]=formatTokenFunctions[array[i]]}else{array[i]=removeFormattingTokens(array[i])}}return function(mom){var output=\"\",i;for(i=0;i<length;i++){output+=isFunction(array[i])?array[i].call(mom,format):array[i]}return output}}function formatMoment(m,format){if(!m.isValid()){return m.localeData().invalidDate()}format=expandFormat(format,m.localeData());formatFunctions[format]=formatFunctions[format]||makeFormatFunction(format);return formatFunctions[format](m)}function expandFormat(format,locale){var i=5;function replaceLongDateFormatTokens(input){return locale.longDateFormat(input)||input}localFormattingTokens.lastIndex=0;while(i>=0&&localFormattingTokens.test(format)){format=format.replace(localFormattingTokens,replaceLongDateFormatTokens);localFormattingTokens.lastIndex=0;i-=1}return format}var match1=/\\d/;var match2=/\\d\\d/;var match3=/\\d{3}/;var match4=/\\d{4}/;var match6=/[+-]?\\d{6}/;var match1to2=/\\d\\d?/;var match3to4=/\\d\\d\\d\\d?/;var match5to6=/\\d\\d\\d\\d\\d\\d?/;var match1to3=/\\d{1,3}/;var match1to4=/\\d{1,4}/;var match1to6=/[+-]?\\d{1,6}/;var matchUnsigned=/\\d+/;var matchSigned=/[+-]?\\d+/;var matchOffset=/Z|[+-]\\d\\d:?\\d\\d/gi;var matchShortOffset=/Z|[+-]\\d\\d(?::?\\d\\d)?/gi;var matchTimestamp=/[+-]?\\d+(\\.\\d{1,3})?/;var matchWord=/[0-9]*['a-z\\u00A0-\\u05FF\\u0700-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFEF]+|[\\u0600-\\u06FF\\/]+(\\s*?[\\u0600-\\u06FF]+){1,2}/i;var regexes={};function addRegexToken(token,regex,strictRegex){regexes[token]=isFunction(regex)?regex:function(isStrict,localeData){return isStrict&&strictRegex?strictRegex:regex}}function getParseRegexForToken(token,config){if(!hasOwnProp(regexes,token)){return new RegExp(unescapeFormat(token))}return regexes[token](config._strict,config._locale)}function unescapeFormat(s){return regexEscape(s.replace(\"\\\\\",\"\").replace(/\\\\(\\[)|\\\\(\\])|\\[([^\\]\\[]*)\\]|\\\\(.)/g,function(matched,p1,p2,p3,p4){return p1||p2||p3||p4}))}function regexEscape(s){return s.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g,\"\\\\$&\")}var tokens={};function addParseToken(token,callback){var i,func=callback;if(typeof token===\"string\"){token=[token]}if(isNumber(callback)){func=function(input,array){array[callback]=toInt(input)}}for(i=0;i<token.length;i++){tokens[token[i]]=func}}function addWeekParseToken(token,callback){addParseToken(token,function(input,array,config,token){config._w=config._w||{};callback(input,config._w,config,token)})}function addTimeToArrayFromToken(token,input,config){if(input!=null&&hasOwnProp(tokens,token)){tokens[token](input,config._a,config,token)}}var YEAR=0;var MONTH=1;var DATE=2;var HOUR=3;var MINUTE=4;var SECOND=5;var MILLISECOND=6;var WEEK=7;var WEEKDAY=8;var indexOf;if(Array.prototype.indexOf){indexOf=Array.prototype.indexOf}else{indexOf=function(o){var i;for(i=0;i<this.length;++i){if(this[i]===o){return i}}return-1}}var indexOf$1=indexOf;function daysInMonth(year,month){return new Date(Date.UTC(year,month+1,0)).getUTCDate()}addFormatToken(\"M\",[\"MM\",2],\"Mo\",function(){return this.month()+1});addFormatToken(\"MMM\",0,0,function(format){return this.localeData().monthsShort(this,format)});addFormatToken(\"MMMM\",0,0,function(format){return this.localeData().months(this,format)});addUnitAlias(\"month\",\"M\");addUnitPriority(\"month\",8);addRegexToken(\"M\",match1to2);addRegexToken(\"MM\",match1to2,match2);addRegexToken(\"MMM\",function(isStrict,locale){return locale.monthsShortRegex(isStrict)});addRegexToken(\"MMMM\",function(isStrict,locale){return locale.monthsRegex(isStrict)});addParseToken([\"M\",\"MM\"],function(input,array){array[MONTH]=toInt(input)-1});addParseToken([\"MMM\",\"MMMM\"],function(input,array,config,token){var month=config._locale.monthsParse(input,token,config._strict);if(month!=null){array[MONTH]=month}else{getParsingFlags(config).invalidMonth=input}});var MONTHS_IN_FORMAT=/D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?/;var defaultLocaleMonths=\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\");function localeMonths(m,format){if(!m){return isArray(this._months)?this._months:this._months[\"standalone\"]}return isArray(this._months)?this._months[m.month()]:this._months[(this._months.isFormat||MONTHS_IN_FORMAT).test(format)?\"format\":\"standalone\"][m.month()]}var defaultLocaleMonthsShort=\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\");function localeMonthsShort(m,format){if(!m){return isArray(this._monthsShort)?this._monthsShort:this._monthsShort[\"standalone\"]}return isArray(this._monthsShort)?this._monthsShort[m.month()]:this._monthsShort[MONTHS_IN_FORMAT.test(format)?\"format\":\"standalone\"][m.month()]}function handleStrictParse(monthName,format,strict){var i,ii,mom,llc=monthName.toLocaleLowerCase();if(!this._monthsParse){this._monthsParse=[];this._longMonthsParse=[];this._shortMonthsParse=[];for(i=0;i<12;++i){mom=createUTC([2e3,i]);this._shortMonthsParse[i]=this.monthsShort(mom,\"\").toLocaleLowerCase();this._longMonthsParse[i]=this.months(mom,\"\").toLocaleLowerCase()}}if(strict){if(format===\"MMM\"){ii=indexOf$1.call(this._shortMonthsParse,llc);return ii!==-1?ii:null}else{ii=indexOf$1.call(this._longMonthsParse,llc);return ii!==-1?ii:null}}else{if(format===\"MMM\"){ii=indexOf$1.call(this._shortMonthsParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._longMonthsParse,llc);return ii!==-1?ii:null}else{ii=indexOf$1.call(this._longMonthsParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._shortMonthsParse,llc);return ii!==-1?ii:null}}}function localeMonthsParse(monthName,format,strict){var i,mom,regex;if(this._monthsParseExact){return handleStrictParse.call(this,monthName,format,strict)}if(!this._monthsParse){this._monthsParse=[];this._longMonthsParse=[];this._shortMonthsParse=[]}for(i=0;i<12;i++){mom=createUTC([2e3,i]);if(strict&&!this._longMonthsParse[i]){this._longMonthsParse[i]=new RegExp(\"^\"+this.months(mom,\"\").replace(\".\",\"\")+\"$\",\"i\");this._shortMonthsParse[i]=new RegExp(\"^\"+this.monthsShort(mom,\"\").replace(\".\",\"\")+\"$\",\"i\")}if(!strict&&!this._monthsParse[i]){regex=\"^\"+this.months(mom,\"\")+\"|^\"+this.monthsShort(mom,\"\");this._monthsParse[i]=new RegExp(regex.replace(\".\",\"\"),\"i\")}if(strict&&format===\"MMMM\"&&this._longMonthsParse[i].test(monthName)){return i}else if(strict&&format===\"MMM\"&&this._shortMonthsParse[i].test(monthName)){return i}else if(!strict&&this._monthsParse[i].test(monthName)){return i}}}function setMonth(mom,value){var dayOfMonth;if(!mom.isValid()){return mom}if(typeof value===\"string\"){if(/^\\d+$/.test(value)){value=toInt(value)}else{value=mom.localeData().monthsParse(value);if(!isNumber(value)){return mom}}}dayOfMonth=Math.min(mom.date(),daysInMonth(mom.year(),value));mom._d[\"set\"+(mom._isUTC?\"UTC\":\"\")+\"Month\"](value,dayOfMonth);return mom}function getSetMonth(value){if(value!=null){setMonth(this,value);hooks.updateOffset(this,true);return this}else{return get(this,\"Month\")}}function getDaysInMonth(){return daysInMonth(this.year(),this.month())}var defaultMonthsShortRegex=matchWord;function monthsShortRegex(isStrict){if(this._monthsParseExact){if(!hasOwnProp(this,\"_monthsRegex\")){computeMonthsParse.call(this)}if(isStrict){return this._monthsShortStrictRegex}else{return this._monthsShortRegex}}else{if(!hasOwnProp(this,\"_monthsShortRegex\")){this._monthsShortRegex=defaultMonthsShortRegex}return this._monthsShortStrictRegex&&isStrict?this._monthsShortStrictRegex:this._monthsShortRegex}}var defaultMonthsRegex=matchWord;function monthsRegex(isStrict){if(this._monthsParseExact){if(!hasOwnProp(this,\"_monthsRegex\")){computeMonthsParse.call(this)}if(isStrict){return this._monthsStrictRegex}else{return this._monthsRegex}}else{if(!hasOwnProp(this,\"_monthsRegex\")){this._monthsRegex=defaultMonthsRegex}return this._monthsStrictRegex&&isStrict?this._monthsStrictRegex:this._monthsRegex}}function computeMonthsParse(){function cmpLenRev(a,b){return b.length-a.length}var shortPieces=[],longPieces=[],mixedPieces=[],i,mom;for(i=0;i<12;i++){mom=createUTC([2e3,i]);shortPieces.push(this.monthsShort(mom,\"\"));longPieces.push(this.months(mom,\"\"));mixedPieces.push(this.months(mom,\"\"));mixedPieces.push(this.monthsShort(mom,\"\"))}shortPieces.sort(cmpLenRev);longPieces.sort(cmpLenRev);mixedPieces.sort(cmpLenRev);for(i=0;i<12;i++){shortPieces[i]=regexEscape(shortPieces[i]);longPieces[i]=regexEscape(longPieces[i])}for(i=0;i<24;i++){mixedPieces[i]=regexEscape(mixedPieces[i])}this._monthsRegex=new RegExp(\"^(\"+mixedPieces.join(\"|\")+\")\",\"i\");this._monthsShortRegex=this._monthsRegex;this._monthsStrictRegex=new RegExp(\"^(\"+longPieces.join(\"|\")+\")\",\"i\");this._monthsShortStrictRegex=new RegExp(\"^(\"+shortPieces.join(\"|\")+\")\",\"i\")}addFormatToken(\"Y\",0,0,function(){var y=this.year();return y<=9999?\"\"+y:\"+\"+y});addFormatToken(0,[\"YY\",2],0,function(){return this.year()%100});addFormatToken(0,[\"YYYY\",4],0,\"year\");addFormatToken(0,[\"YYYYY\",5],0,\"year\");addFormatToken(0,[\"YYYYYY\",6,true],0,\"year\");addUnitAlias(\"year\",\"y\");addUnitPriority(\"year\",1);addRegexToken(\"Y\",matchSigned);addRegexToken(\"YY\",match1to2,match2);addRegexToken(\"YYYY\",match1to4,match4);addRegexToken(\"YYYYY\",match1to6,match6);addRegexToken(\"YYYYYY\",match1to6,match6);addParseToken([\"YYYYY\",\"YYYYYY\"],YEAR);addParseToken(\"YYYY\",function(input,array){array[YEAR]=input.length===2?hooks.parseTwoDigitYear(input):toInt(input)});addParseToken(\"YY\",function(input,array){array[YEAR]=hooks.parseTwoDigitYear(input)});addParseToken(\"Y\",function(input,array){array[YEAR]=parseInt(input,10)});function daysInYear(year){return isLeapYear(year)?366:365}function isLeapYear(year){return year%4===0&&year%100!==0||year%400===0}hooks.parseTwoDigitYear=function(input){return toInt(input)+(toInt(input)>68?1900:2e3)};var getSetYear=makeGetSet(\"FullYear\",true);function getIsLeapYear(){return isLeapYear(this.year())}function createDate(y,m,d,h,M,s,ms){var date=new Date(y,m,d,h,M,s,ms);if(y<100&&y>=0&&isFinite(date.getFullYear())){date.setFullYear(y)}return date}function createUTCDate(y){var date=new Date(Date.UTC.apply(null,arguments));if(y<100&&y>=0&&isFinite(date.getUTCFullYear())){date.setUTCFullYear(y)}return date}function firstWeekOffset(year,dow,doy){var fwd=7+dow-doy,fwdlw=(7+createUTCDate(year,0,fwd).getUTCDay()-dow)%7;return-fwdlw+fwd-1}function dayOfYearFromWeeks(year,week,weekday,dow,doy){var localWeekday=(7+weekday-dow)%7,weekOffset=firstWeekOffset(year,dow,doy),dayOfYear=1+7*(week-1)+localWeekday+weekOffset,resYear,resDayOfYear;if(dayOfYear<=0){resYear=year-1;resDayOfYear=daysInYear(resYear)+dayOfYear}else if(dayOfYear>daysInYear(year)){resYear=year+1;resDayOfYear=dayOfYear-daysInYear(year)}else{resYear=year;resDayOfYear=dayOfYear}return{year:resYear,dayOfYear:resDayOfYear}}function weekOfYear(mom,dow,doy){var weekOffset=firstWeekOffset(mom.year(),dow,doy),week=Math.floor((mom.dayOfYear()-weekOffset-1)/7)+1,resWeek,resYear;if(week<1){resYear=mom.year()-1;resWeek=week+weeksInYear(resYear,dow,doy)}else if(week>weeksInYear(mom.year(),dow,doy)){resWeek=week-weeksInYear(mom.year(),dow,doy);resYear=mom.year()+1}else{resYear=mom.year();resWeek=week}return{week:resWeek,year:resYear}}function weeksInYear(year,dow,doy){var weekOffset=firstWeekOffset(year,dow,doy),weekOffsetNext=firstWeekOffset(year+1,dow,doy);return(daysInYear(year)-weekOffset+weekOffsetNext)/7}addFormatToken(\"w\",[\"ww\",2],\"wo\",\"week\");addFormatToken(\"W\",[\"WW\",2],\"Wo\",\"isoWeek\");addUnitAlias(\"week\",\"w\");addUnitAlias(\"isoWeek\",\"W\");addUnitPriority(\"week\",5);addUnitPriority(\"isoWeek\",5);addRegexToken(\"w\",match1to2);addRegexToken(\"ww\",match1to2,match2);addRegexToken(\"W\",match1to2);addRegexToken(\"WW\",match1to2,match2);addWeekParseToken([\"w\",\"ww\",\"W\",\"WW\"],function(input,week,config,token){week[token.substr(0,1)]=toInt(input)});function localeWeek(mom){return weekOfYear(mom,this._week.dow,this._week.doy).week}var defaultLocaleWeek={dow:0,doy:6};function localeFirstDayOfWeek(){return this._week.dow}function localeFirstDayOfYear(){return this._week.doy}function getSetWeek(input){var week=this.localeData().week(this);return input==null?week:this.add((input-week)*7,\"d\")}function getSetISOWeek(input){var week=weekOfYear(this,1,4).week;return input==null?week:this.add((input-week)*7,\"d\")}addFormatToken(\"d\",0,\"do\",\"day\");addFormatToken(\"dd\",0,0,function(format){return this.localeData().weekdaysMin(this,format)});addFormatToken(\"ddd\",0,0,function(format){return this.localeData().weekdaysShort(this,format)});addFormatToken(\"dddd\",0,0,function(format){return this.localeData().weekdays(this,format)});addFormatToken(\"e\",0,0,\"weekday\");addFormatToken(\"E\",0,0,\"isoWeekday\");addUnitAlias(\"day\",\"d\");addUnitAlias(\"weekday\",\"e\");addUnitAlias(\"isoWeekday\",\"E\");addUnitPriority(\"day\",11);addUnitPriority(\"weekday\",11);addUnitPriority(\"isoWeekday\",11);addRegexToken(\"d\",match1to2);addRegexToken(\"e\",match1to2);addRegexToken(\"E\",match1to2);addRegexToken(\"dd\",function(isStrict,locale){return locale.weekdaysMinRegex(isStrict)});addRegexToken(\"ddd\",function(isStrict,locale){return locale.weekdaysShortRegex(isStrict)});addRegexToken(\"dddd\",function(isStrict,locale){return locale.weekdaysRegex(isStrict)});addWeekParseToken([\"dd\",\"ddd\",\"dddd\"],function(input,week,config,token){var weekday=config._locale.weekdaysParse(input,token,config._strict);if(weekday!=null){week.d=weekday}else{getParsingFlags(config).invalidWeekday=input}});addWeekParseToken([\"d\",\"e\",\"E\"],function(input,week,config,token){week[token]=toInt(input)});function parseWeekday(input,locale){if(typeof input!==\"string\"){return input}if(!isNaN(input)){return parseInt(input,10)}input=locale.weekdaysParse(input);if(typeof input===\"number\"){return input}return null}function parseIsoWeekday(input,locale){if(typeof input===\"string\"){return locale.weekdaysParse(input)%7||7}return isNaN(input)?null:input}var defaultLocaleWeekdays=\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\");function localeWeekdays(m,format){if(!m){return isArray(this._weekdays)?this._weekdays:this._weekdays[\"standalone\"]}return isArray(this._weekdays)?this._weekdays[m.day()]:this._weekdays[this._weekdays.isFormat.test(format)?\"format\":\"standalone\"][m.day()]}var defaultLocaleWeekdaysShort=\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\");function localeWeekdaysShort(m){return m?this._weekdaysShort[m.day()]:this._weekdaysShort}var defaultLocaleWeekdaysMin=\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\");function localeWeekdaysMin(m){return m?this._weekdaysMin[m.day()]:this._weekdaysMin}function handleStrictParse$1(weekdayName,format,strict){var i,ii,mom,llc=weekdayName.toLocaleLowerCase();if(!this._weekdaysParse){this._weekdaysParse=[];this._shortWeekdaysParse=[];this._minWeekdaysParse=[];for(i=0;i<7;++i){mom=createUTC([2e3,1]).day(i);this._minWeekdaysParse[i]=this.weekdaysMin(mom,\"\").toLocaleLowerCase();this._shortWeekdaysParse[i]=this.weekdaysShort(mom,\"\").toLocaleLowerCase();this._weekdaysParse[i]=this.weekdays(mom,\"\").toLocaleLowerCase()}}if(strict){if(format===\"dddd\"){ii=indexOf$1.call(this._weekdaysParse,llc);return ii!==-1?ii:null}else if(format===\"ddd\"){ii=indexOf$1.call(this._shortWeekdaysParse,llc);return ii!==-1?ii:null}else{ii=indexOf$1.call(this._minWeekdaysParse,llc);return ii!==-1?ii:null}}else{if(format===\"dddd\"){ii=indexOf$1.call(this._weekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._shortWeekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._minWeekdaysParse,llc);return ii!==-1?ii:null}else if(format===\"ddd\"){ii=indexOf$1.call(this._shortWeekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._weekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._minWeekdaysParse,llc);return ii!==-1?ii:null}else{ii=indexOf$1.call(this._minWeekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._weekdaysParse,llc);if(ii!==-1){return ii}ii=indexOf$1.call(this._shortWeekdaysParse,llc);return ii!==-1?ii:null}}}function localeWeekdaysParse(weekdayName,format,strict){var i,mom,regex;if(this._weekdaysParseExact){return handleStrictParse$1.call(this,weekdayName,format,strict)}if(!this._weekdaysParse){this._weekdaysParse=[];this._minWeekdaysParse=[];this._shortWeekdaysParse=[];this._fullWeekdaysParse=[]}for(i=0;i<7;i++){mom=createUTC([2e3,1]).day(i);if(strict&&!this._fullWeekdaysParse[i]){this._fullWeekdaysParse[i]=new RegExp(\"^\"+this.weekdays(mom,\"\").replace(\".\",\".?\")+\"$\",\"i\");this._shortWeekdaysParse[i]=new RegExp(\"^\"+this.weekdaysShort(mom,\"\").replace(\".\",\".?\")+\"$\",\"i\");this._minWeekdaysParse[i]=new RegExp(\"^\"+this.weekdaysMin(mom,\"\").replace(\".\",\".?\")+\"$\",\"i\")}if(!this._weekdaysParse[i]){regex=\"^\"+this.weekdays(mom,\"\")+\"|^\"+this.weekdaysShort(mom,\"\")+\"|^\"+this.weekdaysMin(mom,\"\");this._weekdaysParse[i]=new RegExp(regex.replace(\".\",\"\"),\"i\")}if(strict&&format===\"dddd\"&&this._fullWeekdaysParse[i].test(weekdayName)){return i}else if(strict&&format===\"ddd\"&&this._shortWeekdaysParse[i].test(weekdayName)){return i}else if(strict&&format===\"dd\"&&this._minWeekdaysParse[i].test(weekdayName)){return i}else if(!strict&&this._weekdaysParse[i].test(weekdayName)){return i}}}function getSetDayOfWeek(input){if(!this.isValid()){return input!=null?this:NaN}var day=this._isUTC?this._d.getUTCDay():this._d.getDay();if(input!=null){input=parseWeekday(input,this.localeData());return this.add(input-day,\"d\")}else{return day}}function getSetLocaleDayOfWeek(input){if(!this.isValid()){return input!=null?this:NaN}var weekday=(this.day()+7-this.localeData()._week.dow)%7;return input==null?weekday:this.add(input-weekday,\"d\")}function getSetISODayOfWeek(input){if(!this.isValid()){return input!=null?this:NaN}if(input!=null){var weekday=parseIsoWeekday(input,this.localeData());return this.day(this.day()%7?weekday:weekday-7)}else{return this.day()||7}}var defaultWeekdaysRegex=matchWord;function weekdaysRegex(isStrict){if(this._weekdaysParseExact){if(!hasOwnProp(this,\"_weekdaysRegex\")){computeWeekdaysParse.call(this)}if(isStrict){return this._weekdaysStrictRegex}else{return this._weekdaysRegex}}else{if(!hasOwnProp(this,\"_weekdaysRegex\")){this._weekdaysRegex=defaultWeekdaysRegex}return this._weekdaysStrictRegex&&isStrict?this._weekdaysStrictRegex:this._weekdaysRegex}}var defaultWeekdaysShortRegex=matchWord;function weekdaysShortRegex(isStrict){if(this._weekdaysParseExact){if(!hasOwnProp(this,\"_weekdaysRegex\")){computeWeekdaysParse.call(this)}if(isStrict){return this._weekdaysShortStrictRegex}else{return this._weekdaysShortRegex}}else{if(!hasOwnProp(this,\"_weekdaysShortRegex\")){this._weekdaysShortRegex=defaultWeekdaysShortRegex}return this._weekdaysShortStrictRegex&&isStrict?this._weekdaysShortStrictRegex:this._weekdaysShortRegex}}var defaultWeekdaysMinRegex=matchWord;function weekdaysMinRegex(isStrict){if(this._weekdaysParseExact){if(!hasOwnProp(this,\"_weekdaysRegex\")){computeWeekdaysParse.call(this)}if(isStrict){return this._weekdaysMinStrictRegex}else{return this._weekdaysMinRegex}}else{if(!hasOwnProp(this,\"_weekdaysMinRegex\")){this._weekdaysMinRegex=defaultWeekdaysMinRegex}return this._weekdaysMinStrictRegex&&isStrict?this._weekdaysMinStrictRegex:this._weekdaysMinRegex}}function computeWeekdaysParse(){function cmpLenRev(a,b){return b.length-a.length}var minPieces=[],shortPieces=[],longPieces=[],mixedPieces=[],i,mom,minp,shortp,longp;for(i=0;i<7;i++){mom=createUTC([2e3,1]).day(i);minp=this.weekdaysMin(mom,\"\");shortp=this.weekdaysShort(mom,\"\");longp=this.weekdays(mom,\"\");minPieces.push(minp);shortPieces.push(shortp);longPieces.push(longp);mixedPieces.push(minp);mixedPieces.push(shortp);mixedPieces.push(longp)}minPieces.sort(cmpLenRev);shortPieces.sort(cmpLenRev);longPieces.sort(cmpLenRev);mixedPieces.sort(cmpLenRev);for(i=0;i<7;i++){shortPieces[i]=regexEscape(shortPieces[i]);longPieces[i]=regexEscape(longPieces[i]);mixedPieces[i]=regexEscape(mixedPieces[i])}this._weekdaysRegex=new RegExp(\"^(\"+mixedPieces.join(\"|\")+\")\",\"i\");this._weekdaysShortRegex=this._weekdaysRegex;this._weekdaysMinRegex=this._weekdaysRegex;this._weekdaysStrictRegex=new RegExp(\"^(\"+longPieces.join(\"|\")+\")\",\"i\");this._weekdaysShortStrictRegex=new RegExp(\"^(\"+shortPieces.join(\"|\")+\")\",\"i\");this._weekdaysMinStrictRegex=new RegExp(\"^(\"+minPieces.join(\"|\")+\")\",\"i\")}function hFormat(){return this.hours()%12||12}function kFormat(){return this.hours()||24}addFormatToken(\"H\",[\"HH\",2],0,\"hour\");addFormatToken(\"h\",[\"hh\",2],0,hFormat);addFormatToken(\"k\",[\"kk\",2],0,kFormat);addFormatToken(\"hmm\",0,0,function(){return\"\"+hFormat.apply(this)+zeroFill(this.minutes(),2)});addFormatToken(\"hmmss\",0,0,function(){return\"\"+hFormat.apply(this)+zeroFill(this.minutes(),2)+zeroFill(this.seconds(),2)});addFormatToken(\"Hmm\",0,0,function(){return\"\"+this.hours()+zeroFill(this.minutes(),2)});addFormatToken(\"Hmmss\",0,0,function(){return\"\"+this.hours()+zeroFill(this.minutes(),2)+zeroFill(this.seconds(),2)});function meridiem(token,lowercase){addFormatToken(token,0,0,function(){return this.localeData().meridiem(this.hours(),this.minutes(),lowercase)})}meridiem(\"a\",true);meridiem(\"A\",false);addUnitAlias(\"hour\",\"h\");addUnitPriority(\"hour\",13);function matchMeridiem(isStrict,locale){return locale._meridiemParse}addRegexToken(\"a\",matchMeridiem);addRegexToken(\"A\",matchMeridiem);addRegexToken(\"H\",match1to2);addRegexToken(\"h\",match1to2);addRegexToken(\"k\",match1to2);addRegexToken(\"HH\",match1to2,match2);addRegexToken(\"hh\",match1to2,match2);addRegexToken(\"kk\",match1to2,match2);addRegexToken(\"hmm\",match3to4);addRegexToken(\"hmmss\",match5to6);addRegexToken(\"Hmm\",match3to4);addRegexToken(\"Hmmss\",match5to6);addParseToken([\"H\",\"HH\"],HOUR);addParseToken([\"k\",\"kk\"],function(input,array,config){var kInput=toInt(input);array[HOUR]=kInput===24?0:kInput});addParseToken([\"a\",\"A\"],function(input,array,config){config._isPm=config._locale.isPM(input);config._meridiem=input});addParseToken([\"h\",\"hh\"],function(input,array,config){array[HOUR]=toInt(input);getParsingFlags(config).bigHour=true});addParseToken(\"hmm\",function(input,array,config){var pos=input.length-2;array[HOUR]=toInt(input.substr(0,pos));array[MINUTE]=toInt(input.substr(pos));getParsingFlags(config).bigHour=true});addParseToken(\"hmmss\",function(input,array,config){var pos1=input.length-4;var pos2=input.length-2;array[HOUR]=toInt(input.substr(0,pos1));array[MINUTE]=toInt(input.substr(pos1,2));array[SECOND]=toInt(input.substr(pos2));getParsingFlags(config).bigHour=true});addParseToken(\"Hmm\",function(input,array,config){var pos=input.length-2;array[HOUR]=toInt(input.substr(0,pos));array[MINUTE]=toInt(input.substr(pos))});addParseToken(\"Hmmss\",function(input,array,config){var pos1=input.length-4;var pos2=input.length-2;array[HOUR]=toInt(input.substr(0,pos1));array[MINUTE]=toInt(input.substr(pos1,2));array[SECOND]=toInt(input.substr(pos2))});function localeIsPM(input){return(input+\"\").toLowerCase().charAt(0)===\"p\"}var defaultLocaleMeridiemParse=/[ap]\\.?m?\\.?/i;function localeMeridiem(hours,minutes,isLower){if(hours>11){return isLower?\"pm\":\"PM\"}else{return isLower?\"am\":\"AM\"}}var getSetHour=makeGetSet(\"Hours\",true);var baseConfig={calendar:defaultCalendar,longDateFormat:defaultLongDateFormat,invalidDate:defaultInvalidDate,ordinal:defaultOrdinal,dayOfMonthOrdinalParse:defaultDayOfMonthOrdinalParse,relativeTime:defaultRelativeTime,months:defaultLocaleMonths,monthsShort:defaultLocaleMonthsShort,week:defaultLocaleWeek,weekdays:defaultLocaleWeekdays,weekdaysMin:defaultLocaleWeekdaysMin,weekdaysShort:defaultLocaleWeekdaysShort,meridiemParse:defaultLocaleMeridiemParse};var locales={};var localeFamilies={};var globalLocale;function normalizeLocale(key){return key?key.toLowerCase().replace(\"_\",\"-\"):key}function chooseLocale(names){var i=0,j,next,locale,split;while(i<names.length){split=normalizeLocale(names[i]).split(\"-\");j=split.length;next=normalizeLocale(names[i+1]);next=next?next.split(\"-\"):null;while(j>0){locale=loadLocale(split.slice(0,j).join(\"-\"));if(locale){return locale}if(next&&next.length>=j&&compareArrays(split,next,true)>=j-1){break}j--}i++}return null}function loadLocale(name){var oldLocale=null;if(!locales[name]&&typeof module!==\"undefined\"&&module&&module.exports){try{oldLocale=globalLocale._abbr;!function webpackMissingModule(){var e=new Error('Cannot find module \"./locale\"');e.code=\"MODULE_NOT_FOUND\";throw e}();getSetGlobalLocale(oldLocale)}catch(e){}}return locales[name]}function getSetGlobalLocale(key,values){var data;if(key){if(isUndefined(values)){data=getLocale(key)}else{data=defineLocale(key,values)}if(data){globalLocale=data}}return globalLocale._abbr}function defineLocale(name,config){if(config!==null){var parentConfig=baseConfig;config.abbr=name;if(locales[name]!=null){deprecateSimple(\"defineLocaleOverride\",\"use moment.updateLocale(localeName, config) to change \"+\"an existing locale. moment.defineLocale(localeName, \"+\"config) should only be used for creating a new locale \"+\"See http://momentjs.com/guides/#/warnings/define-locale/ for more info.\");parentConfig=locales[name]._config}else if(config.parentLocale!=null){if(locales[config.parentLocale]!=null){parentConfig=locales[config.parentLocale]._config}else{if(!localeFamilies[config.parentLocale]){localeFamilies[config.parentLocale]=[]}localeFamilies[config.parentLocale].push({name:name,config:config});return null}}locales[name]=new Locale(mergeConfigs(parentConfig,config));if(localeFamilies[name]){localeFamilies[name].forEach(function(x){defineLocale(x.name,x.config)})}getSetGlobalLocale(name);return locales[name]}else{delete locales[name];return null}}function updateLocale(name,config){if(config!=null){var locale,parentConfig=baseConfig;if(locales[name]!=null){parentConfig=locales[name]._config}config=mergeConfigs(parentConfig,config);locale=new Locale(config);locale.parentLocale=locales[name];locales[name]=locale;getSetGlobalLocale(name)}else{if(locales[name]!=null){if(locales[name].parentLocale!=null){locales[name]=locales[name].parentLocale}else if(locales[name]!=null){delete locales[name]}}}return locales[name]}function getLocale(key){var locale;if(key&&key._locale&&key._locale._abbr){key=key._locale._abbr}if(!key){return globalLocale}if(!isArray(key)){locale=loadLocale(key);if(locale){return locale}key=[key]}return chooseLocale(key)}function listLocales(){return keys$1(locales)}function checkOverflow(m){var overflow;var a=m._a;if(a&&getParsingFlags(m).overflow===-2){overflow=a[MONTH]<0||a[MONTH]>11?MONTH:a[DATE]<1||a[DATE]>daysInMonth(a[YEAR],a[MONTH])?DATE:a[HOUR]<0||a[HOUR]>24||a[HOUR]===24&&(a[MINUTE]!==0||a[SECOND]!==0||a[MILLISECOND]!==0)?HOUR:a[MINUTE]<0||a[MINUTE]>59?MINUTE:a[SECOND]<0||a[SECOND]>59?SECOND:a[MILLISECOND]<0||a[MILLISECOND]>999?MILLISECOND:-1;if(getParsingFlags(m)._overflowDayOfYear&&(overflow<YEAR||overflow>DATE)){overflow=DATE}if(getParsingFlags(m)._overflowWeeks&&overflow===-1){overflow=WEEK}if(getParsingFlags(m)._overflowWeekday&&overflow===-1){overflow=WEEKDAY}getParsingFlags(m).overflow=overflow}return m}var extendedIsoRegex=/^\\s*((?:[+-]\\d{6}|\\d{4})-(?:\\d\\d-\\d\\d|W\\d\\d-\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?::\\d\\d(?::\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;var basicIsoRegex=/^\\s*((?:[+-]\\d{6}|\\d{4})(?:\\d\\d\\d\\d|W\\d\\d\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?:\\d\\d(?:\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;var tzRegex=/Z|[+-]\\d\\d(?::?\\d\\d)?/;var isoDates=[[\"YYYYYY-MM-DD\",/[+-]\\d{6}-\\d\\d-\\d\\d/],[\"YYYY-MM-DD\",/\\d{4}-\\d\\d-\\d\\d/],[\"GGGG-[W]WW-E\",/\\d{4}-W\\d\\d-\\d/],[\"GGGG-[W]WW\",/\\d{4}-W\\d\\d/,false],[\"YYYY-DDD\",/\\d{4}-\\d{3}/],[\"YYYY-MM\",/\\d{4}-\\d\\d/,false],[\"YYYYYYMMDD\",/[+-]\\d{10}/],[\"YYYYMMDD\",/\\d{8}/],[\"GGGG[W]WWE\",/\\d{4}W\\d{3}/],[\"GGGG[W]WW\",/\\d{4}W\\d{2}/,false],[\"YYYYDDD\",/\\d{7}/]];var isoTimes=[[\"HH:mm:ss.SSSS\",/\\d\\d:\\d\\d:\\d\\d\\.\\d+/],[\"HH:mm:ss,SSSS\",/\\d\\d:\\d\\d:\\d\\d,\\d+/],[\"HH:mm:ss\",/\\d\\d:\\d\\d:\\d\\d/],[\"HH:mm\",/\\d\\d:\\d\\d/],[\"HHmmss.SSSS\",/\\d\\d\\d\\d\\d\\d\\.\\d+/],[\"HHmmss,SSSS\",/\\d\\d\\d\\d\\d\\d,\\d+/],[\"HHmmss\",/\\d\\d\\d\\d\\d\\d/],[\"HHmm\",/\\d\\d\\d\\d/],[\"HH\",/\\d\\d/]];var aspNetJsonRegex=/^\\/?Date\\((\\-?\\d+)/i;function configFromISO(config){var i,l,string=config._i,match=extendedIsoRegex.exec(string)||basicIsoRegex.exec(string),allowTime,dateFormat,timeFormat,tzFormat;if(match){getParsingFlags(config).iso=true;for(i=0,l=isoDates.length;i<l;i++){if(isoDates[i][1].exec(match[1])){dateFormat=isoDates[i][0];allowTime=isoDates[i][2]!==false;break}}if(dateFormat==null){config._isValid=false;return}if(match[3]){for(i=0,l=isoTimes.length;i<l;i++){if(isoTimes[i][1].exec(match[3])){timeFormat=(match[2]||\" \")+isoTimes[i][0];break}}if(timeFormat==null){config._isValid=false;return}}if(!allowTime&&timeFormat!=null){config._isValid=false;return}if(match[4]){if(tzRegex.exec(match[4])){tzFormat=\"Z\"}else{config._isValid=false;return}}config._f=dateFormat+(timeFormat||\"\")+(tzFormat||\"\");configFromStringAndFormat(config)}else{config._isValid=false}}var basicRfcRegex=/^((?:Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\\s)?(\\d?\\d\\s(?:Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\\s(?:\\d\\d)?\\d\\d\\s)(\\d\\d:\\d\\d)(\\:\\d\\d)?(\\s(?:UT|GMT|[ECMP][SD]T|[A-IK-Za-ik-z]|[+-]\\d{4}))$/;function configFromRFC2822(config){var string,match,dayFormat,dateFormat,timeFormat,tzFormat;var timezones={\" GMT\":\" +0000\",\" EDT\":\" -0400\",\" EST\":\" -0500\",\" CDT\":\" -0500\",\" CST\":\" -0600\",\" MDT\":\" -0600\",\" MST\":\" -0700\",\" PDT\":\" -0700\",\" PST\":\" -0800\"};var military=\"YXWVUTSRQPONZABCDEFGHIKLM\";var timezone,timezoneIndex;string=config._i.replace(/\\([^\\)]*\\)|[\\n\\t]/g,\" \").replace(/(\\s\\s+)/g,\" \").replace(/^\\s|\\s$/g,\"\");match=basicRfcRegex.exec(string);if(match){dayFormat=match[1]?\"ddd\"+(match[1].length===5?\", \":\" \"):\"\";dateFormat=\"D MMM \"+(match[2].length>10?\"YYYY \":\"YY \");timeFormat=\"HH:mm\"+(match[4]?\":ss\":\"\");if(match[1]){var momentDate=new Date(match[2]);var momentDay=[\"Sun\",\"Mon\",\"Tue\",\"Wed\",\"Thu\",\"Fri\",\"Sat\"][momentDate.getDay()];if(match[1].substr(0,3)!==momentDay){getParsingFlags(config).weekdayMismatch=true;config._isValid=false;return}}switch(match[5].length){case 2:if(timezoneIndex===0){timezone=\" +0000\"}else{timezoneIndex=military.indexOf(match[5][1].toUpperCase())-12;timezone=(timezoneIndex<0?\" -\":\" +\")+(\"\"+timezoneIndex).replace(/^-?/,\"0\").match(/..$/)[0]+\"00\"}break;case 4:timezone=timezones[match[5]];break;default:timezone=timezones[\" GMT\"]}match[5]=timezone;config._i=match.splice(1).join(\"\");tzFormat=\" ZZ\";config._f=dayFormat+dateFormat+timeFormat+tzFormat;configFromStringAndFormat(config);getParsingFlags(config).rfc2822=true}else{config._isValid=false}}function configFromString(config){var matched=aspNetJsonRegex.exec(config._i);if(matched!==null){config._d=new Date(+matched[1]);return}configFromISO(config);if(config._isValid===false){delete config._isValid}else{return}configFromRFC2822(config);if(config._isValid===false){delete config._isValid}else{return}hooks.createFromInputFallback(config)}hooks.createFromInputFallback=deprecate(\"value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), \"+\"which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are \"+\"discouraged and will be removed in an upcoming major release. Please refer to \"+\"http://momentjs.com/guides/#/warnings/js-date/ for more info.\",function(config){config._d=new Date(config._i+(config._useUTC?\" UTC\":\"\"))});function defaults(a,b,c){if(a!=null){return a}if(b!=null){return b}return c}function currentDateArray(config){var nowValue=new Date(hooks.now());if(config._useUTC){return[nowValue.getUTCFullYear(),nowValue.getUTCMonth(),nowValue.getUTCDate()]}return[nowValue.getFullYear(),nowValue.getMonth(),nowValue.getDate()]}function configFromArray(config){var i,date,input=[],currentDate,yearToUse;if(config._d){return}currentDate=currentDateArray(config);if(config._w&&config._a[DATE]==null&&config._a[MONTH]==null){dayOfYearFromWeekInfo(config)}if(config._dayOfYear!=null){yearToUse=defaults(config._a[YEAR],currentDate[YEAR]);if(config._dayOfYear>daysInYear(yearToUse)||config._dayOfYear===0){getParsingFlags(config)._overflowDayOfYear=true}date=createUTCDate(yearToUse,0,config._dayOfYear);config._a[MONTH]=date.getUTCMonth();config._a[DATE]=date.getUTCDate()}for(i=0;i<3&&config._a[i]==null;++i){config._a[i]=input[i]=currentDate[i]}for(;i<7;i++){config._a[i]=input[i]=config._a[i]==null?i===2?1:0:config._a[i]}if(config._a[HOUR]===24&&config._a[MINUTE]===0&&config._a[SECOND]===0&&config._a[MILLISECOND]===0){config._nextDay=true;config._a[HOUR]=0}config._d=(config._useUTC?createUTCDate:createDate).apply(null,input);if(config._tzm!=null){config._d.setUTCMinutes(config._d.getUTCMinutes()-config._tzm)}if(config._nextDay){config._a[HOUR]=24}}function dayOfYearFromWeekInfo(config){var w,weekYear,week,weekday,dow,doy,temp,weekdayOverflow;w=config._w;if(w.GG!=null||w.W!=null||w.E!=null){dow=1;doy=4;weekYear=defaults(w.GG,config._a[YEAR],weekOfYear(createLocal(),1,4).year);week=defaults(w.W,1);weekday=defaults(w.E,1);if(weekday<1||weekday>7){weekdayOverflow=true}}else{dow=config._locale._week.dow;doy=config._locale._week.doy;var curWeek=weekOfYear(createLocal(),dow,doy);weekYear=defaults(w.gg,config._a[YEAR],curWeek.year);week=defaults(w.w,curWeek.week);if(w.d!=null){weekday=w.d;if(weekday<0||weekday>6){weekdayOverflow=true}}else if(w.e!=null){weekday=w.e+dow;if(w.e<0||w.e>6){weekdayOverflow=true}}else{weekday=dow}}if(week<1||week>weeksInYear(weekYear,dow,doy)){getParsingFlags(config)._overflowWeeks=true}else if(weekdayOverflow!=null){getParsingFlags(config)._overflowWeekday=true}else{temp=dayOfYearFromWeeks(weekYear,week,weekday,dow,doy);config._a[YEAR]=temp.year;config._dayOfYear=temp.dayOfYear}}hooks.ISO_8601=function(){};hooks.RFC_2822=function(){};function configFromStringAndFormat(config){if(config._f===hooks.ISO_8601){configFromISO(config);return}if(config._f===hooks.RFC_2822){configFromRFC2822(config);return}config._a=[];getParsingFlags(config).empty=true;var string=\"\"+config._i,i,parsedInput,tokens,token,skipped,stringLength=string.length,totalParsedInputLength=0;tokens=expandFormat(config._f,config._locale).match(formattingTokens)||[];for(i=0;i<tokens.length;i++){token=tokens[i];parsedInput=(string.match(getParseRegexForToken(token,config))||[])[0];if(parsedInput){skipped=string.substr(0,string.indexOf(parsedInput));if(skipped.length>0){getParsingFlags(config).unusedInput.push(skipped)}string=string.slice(string.indexOf(parsedInput)+parsedInput.length);totalParsedInputLength+=parsedInput.length}if(formatTokenFunctions[token]){if(parsedInput){getParsingFlags(config).empty=false}else{getParsingFlags(config).unusedTokens.push(token)}addTimeToArrayFromToken(token,parsedInput,config)}else if(config._strict&&!parsedInput){getParsingFlags(config).unusedTokens.push(token)}}getParsingFlags(config).charsLeftOver=stringLength-totalParsedInputLength;if(string.length>0){getParsingFlags(config).unusedInput.push(string)}if(config._a[HOUR]<=12&&getParsingFlags(config).bigHour===true&&config._a[HOUR]>0){getParsingFlags(config).bigHour=undefined}getParsingFlags(config).parsedDateParts=config._a.slice(0);getParsingFlags(config).meridiem=config._meridiem;config._a[HOUR]=meridiemFixWrap(config._locale,config._a[HOUR],config._meridiem);configFromArray(config);checkOverflow(config)}function meridiemFixWrap(locale,hour,meridiem){var isPm;if(meridiem==null){return hour}if(locale.meridiemHour!=null){return locale.meridiemHour(hour,meridiem)}else if(locale.isPM!=null){isPm=locale.isPM(meridiem);if(isPm&&hour<12){hour+=12}if(!isPm&&hour===12){hour=0}return hour}else{return hour}}function configFromStringAndArray(config){var tempConfig,bestMoment,scoreToBeat,i,currentScore;if(config._f.length===0){getParsingFlags(config).invalidFormat=true;config._d=new Date(NaN);return}for(i=0;i<config._f.length;i++){currentScore=0;tempConfig=copyConfig({},config);if(config._useUTC!=null){tempConfig._useUTC=config._useUTC}tempConfig._f=config._f[i];configFromStringAndFormat(tempConfig);if(!isValid(tempConfig)){continue}currentScore+=getParsingFlags(tempConfig).charsLeftOver;currentScore+=getParsingFlags(tempConfig).unusedTokens.length*10;getParsingFlags(tempConfig).score=currentScore;if(scoreToBeat==null||currentScore<scoreToBeat){scoreToBeat=currentScore;bestMoment=tempConfig}}extend(config,bestMoment||tempConfig)}function configFromObject(config){if(config._d){return}var i=normalizeObjectUnits(config._i);config._a=map([i.year,i.month,i.day||i.date,i.hour,i.minute,i.second,i.millisecond],function(obj){return obj&&parseInt(obj,10)});configFromArray(config)}function createFromConfig(config){var res=new Moment(checkOverflow(prepareConfig(config)));if(res._nextDay){res.add(1,\"d\");res._nextDay=undefined}return res}function prepareConfig(config){var input=config._i,format=config._f;config._locale=config._locale||getLocale(config._l);if(input===null||format===undefined&&input===\"\"){return createInvalid({nullInput:true})}if(typeof input===\"string\"){config._i=input=config._locale.preparse(input)}if(isMoment(input)){return new Moment(checkOverflow(input))}else if(isDate(input)){config._d=input}else if(isArray(format)){configFromStringAndArray(config)}else if(format){configFromStringAndFormat(config)}else{configFromInput(config)}if(!isValid(config)){config._d=null}return config}function configFromInput(config){var input=config._i;if(isUndefined(input)){config._d=new Date(hooks.now())}else if(isDate(input)){config._d=new Date(input.valueOf())}else if(typeof input===\"string\"){configFromString(config)}else if(isArray(input)){config._a=map(input.slice(0),function(obj){return parseInt(obj,10)});configFromArray(config)}else if(isObject(input)){configFromObject(config)}else if(isNumber(input)){config._d=new Date(input)}else{hooks.createFromInputFallback(config)}}function createLocalOrUTC(input,format,locale,strict,isUTC){var c={};if(locale===true||locale===false){strict=locale;locale=undefined}if(isObject(input)&&isObjectEmpty(input)||isArray(input)&&input.length===0){input=undefined}c._isAMomentObject=true;c._useUTC=c._isUTC=isUTC;c._l=locale;c._i=input;c._f=format;c._strict=strict;return createFromConfig(c)}function createLocal(input,format,locale,strict){return createLocalOrUTC(input,format,locale,strict,false)}var prototypeMin=deprecate(\"moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/\",function(){var other=createLocal.apply(null,arguments);if(this.isValid()&&other.isValid()){return other<this?this:other}else{return createInvalid()}});var prototypeMax=deprecate(\"moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/\",function(){var other=createLocal.apply(null,arguments);if(this.isValid()&&other.isValid()){return other>this?this:other}else{return createInvalid()}});function pickBy(fn,moments){var res,i;if(moments.length===1&&isArray(moments[0])){moments=moments[0]}if(!moments.length){return createLocal()}res=moments[0];for(i=1;i<moments.length;++i){if(!moments[i].isValid()||moments[i][fn](res)){res=moments[i]}}return res}function min(){var args=[].slice.call(arguments,0);return pickBy(\"isBefore\",args)}function max(){var args=[].slice.call(arguments,0);return pickBy(\"isAfter\",args)}var now=function(){return Date.now?Date.now():+new Date};var ordering=[\"year\",\"quarter\",\"month\",\"week\",\"day\",\"hour\",\"minute\",\"second\",\"millisecond\"];function isDurationValid(m){for(var key in m){if(!(ordering.indexOf(key)!==-1&&(m[key]==null||!isNaN(m[key])))){return false}}var unitHasDecimal=false;for(var i=0;i<ordering.length;++i){if(m[ordering[i]]){if(unitHasDecimal){return false}if(parseFloat(m[ordering[i]])!==toInt(m[ordering[i]])){unitHasDecimal=true}}}return true}function isValid$1(){return this._isValid}function createInvalid$1(){return createDuration(NaN)}function Duration(duration){var normalizedInput=normalizeObjectUnits(duration),years=normalizedInput.year||0,quarters=normalizedInput.quarter||0,months=normalizedInput.month||0,weeks=normalizedInput.week||0,days=normalizedInput.day||0,hours=normalizedInput.hour||0,minutes=normalizedInput.minute||0,seconds=normalizedInput.second||0,milliseconds=normalizedInput.millisecond||0;this._isValid=isDurationValid(normalizedInput);this._milliseconds=+milliseconds+seconds*1e3+minutes*6e4+hours*1e3*60*60;this._days=+days+weeks*7;this._months=+months+quarters*3+years*12;this._data={};this._locale=getLocale();this._bubble()}function isDuration(obj){return obj instanceof Duration}function absRound(number){if(number<0){return Math.round(-1*number)*-1}else{return Math.round(number)}}function offset(token,separator){addFormatToken(token,0,0,function(){var offset=this.utcOffset();var sign=\"+\";if(offset<0){offset=-offset;sign=\"-\"}return sign+zeroFill(~~(offset/60),2)+separator+zeroFill(~~offset%60,2)})}offset(\"Z\",\":\");offset(\"ZZ\",\"\");addRegexToken(\"Z\",matchShortOffset);addRegexToken(\"ZZ\",matchShortOffset);addParseToken([\"Z\",\"ZZ\"],function(input,array,config){config._useUTC=true;config._tzm=offsetFromString(matchShortOffset,input)});var chunkOffset=/([\\+\\-]|\\d\\d)/gi;function offsetFromString(matcher,string){var matches=(string||\"\").match(matcher);if(matches===null){return null}var chunk=matches[matches.length-1]||[];var parts=(chunk+\"\").match(chunkOffset)||[\"-\",0,0];var minutes=+(parts[1]*60)+toInt(parts[2]);return minutes===0?0:parts[0]===\"+\"?minutes:-minutes}function cloneWithOffset(input,model){var res,diff;if(model._isUTC){res=model.clone();diff=(isMoment(input)||isDate(input)?input.valueOf():createLocal(input).valueOf())-res.valueOf();res._d.setTime(res._d.valueOf()+diff);hooks.updateOffset(res,false);return res}else{return createLocal(input).local()}}function getDateOffset(m){return-Math.round(m._d.getTimezoneOffset()/15)*15}hooks.updateOffset=function(){};function getSetOffset(input,keepLocalTime,keepMinutes){var offset=this._offset||0,localAdjust;if(!this.isValid()){return input!=null?this:NaN}if(input!=null){if(typeof input===\"string\"){input=offsetFromString(matchShortOffset,input);if(input===null){return this}}else if(Math.abs(input)<16&&!keepMinutes){input=input*60}if(!this._isUTC&&keepLocalTime){localAdjust=getDateOffset(this)}this._offset=input;this._isUTC=true;if(localAdjust!=null){this.add(localAdjust,\"m\")}if(offset!==input){if(!keepLocalTime||this._changeInProgress){addSubtract(this,createDuration(input-offset,\"m\"),1,false)}else if(!this._changeInProgress){this._changeInProgress=true;hooks.updateOffset(this,true);this._changeInProgress=null}}return this}else{return this._isUTC?offset:getDateOffset(this)}}function getSetZone(input,keepLocalTime){if(input!=null){if(typeof input!==\"string\"){input=-input}this.utcOffset(input,keepLocalTime);return this}else{return-this.utcOffset()}}function setOffsetToUTC(keepLocalTime){return this.utcOffset(0,keepLocalTime)}function setOffsetToLocal(keepLocalTime){if(this._isUTC){this.utcOffset(0,keepLocalTime);this._isUTC=false;if(keepLocalTime){this.subtract(getDateOffset(this),\"m\")}}return this}function setOffsetToParsedOffset(){if(this._tzm!=null){this.utcOffset(this._tzm,false,true)}else if(typeof this._i===\"string\"){var tZone=offsetFromString(matchOffset,this._i);if(tZone!=null){this.utcOffset(tZone)}else{this.utcOffset(0,true)}}return this}function hasAlignedHourOffset(input){if(!this.isValid()){return false}input=input?createLocal(input).utcOffset():0;return(this.utcOffset()-input)%60===0}function isDaylightSavingTime(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()}function isDaylightSavingTimeShifted(){if(!isUndefined(this._isDSTShifted)){return this._isDSTShifted}var c={};copyConfig(c,this);c=prepareConfig(c);if(c._a){var other=c._isUTC?createUTC(c._a):createLocal(c._a);this._isDSTShifted=this.isValid()&&compareArrays(c._a,other.toArray())>0}else{this._isDSTShifted=false}return this._isDSTShifted}function isLocal(){return this.isValid()?!this._isUTC:false}function isUtcOffset(){return this.isValid()?this._isUTC:false}function isUtc(){return this.isValid()?this._isUTC&&this._offset===0:false}var aspNetRegex=/^(\\-)?(?:(\\d*)[. ])?(\\d+)\\:(\\d+)(?:\\:(\\d+)(\\.\\d*)?)?$/;var isoRegex=/^(-)?P(?:(-?[0-9,.]*)Y)?(?:(-?[0-9,.]*)M)?(?:(-?[0-9,.]*)W)?(?:(-?[0-9,.]*)D)?(?:T(?:(-?[0-9,.]*)H)?(?:(-?[0-9,.]*)M)?(?:(-?[0-9,.]*)S)?)?$/;function createDuration(input,key){var duration=input,match=null,sign,ret,diffRes;if(isDuration(input)){duration={ms:input._milliseconds,d:input._days,M:input._months}}else if(isNumber(input)){duration={};if(key){duration[key]=input}else{duration.milliseconds=input}}else if(!!(match=aspNetRegex.exec(input))){sign=match[1]===\"-\"?-1:1;duration={y:0,d:toInt(match[DATE])*sign,h:toInt(match[HOUR])*sign,m:toInt(match[MINUTE])*sign,s:toInt(match[SECOND])*sign,ms:toInt(absRound(match[MILLISECOND]*1e3))*sign}}else if(!!(match=isoRegex.exec(input))){sign=match[1]===\"-\"?-1:1;duration={y:parseIso(match[2],sign),M:parseIso(match[3],sign),w:parseIso(match[4],sign),d:parseIso(match[5],sign),h:parseIso(match[6],sign),m:parseIso(match[7],sign),s:parseIso(match[8],sign)}}else if(duration==null){duration={}}else if(typeof duration===\"object\"&&(\"from\"in duration||\"to\"in duration)){diffRes=momentsDifference(createLocal(duration.from),createLocal(duration.to));duration={};duration.ms=diffRes.milliseconds;duration.M=diffRes.months}ret=new Duration(duration);if(isDuration(input)&&hasOwnProp(input,\"_locale\")){ret._locale=input._locale}return ret}createDuration.fn=Duration.prototype;createDuration.invalid=createInvalid$1;function parseIso(inp,sign){var res=inp&&parseFloat(inp.replace(\",\",\".\"));return(isNaN(res)?0:res)*sign}function positiveMomentsDifference(base,other){var res={milliseconds:0,months:0};res.months=other.month()-base.month()+(other.year()-base.year())*12;if(base.clone().add(res.months,\"M\").isAfter(other)){--res.months}res.milliseconds=+other-+base.clone().add(res.months,\"M\");return res}function momentsDifference(base,other){var res;if(!(base.isValid()&&other.isValid())){return{milliseconds:0,months:0}}other=cloneWithOffset(other,base);if(base.isBefore(other)){res=positiveMomentsDifference(base,other)}else{res=positiveMomentsDifference(other,base);res.milliseconds=-res.milliseconds;res.months=-res.months}return res}function createAdder(direction,name){return function(val,period){var dur,tmp;if(period!==null&&!isNaN(+period)){deprecateSimple(name,\"moment().\"+name+\"(period, number) is deprecated. Please use moment().\"+name+\"(number, period). \"+\"See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info.\");tmp=val;val=period;period=tmp}val=typeof val===\"string\"?+val:val;dur=createDuration(val,period);addSubtract(this,dur,direction);return this}}function addSubtract(mom,duration,isAdding,updateOffset){var milliseconds=duration._milliseconds,days=absRound(duration._days),months=absRound(duration._months);if(!mom.isValid()){return}updateOffset=updateOffset==null?true:updateOffset;if(milliseconds){mom._d.setTime(mom._d.valueOf()+milliseconds*isAdding)}if(days){set$1(mom,\"Date\",get(mom,\"Date\")+days*isAdding)}if(months){setMonth(mom,get(mom,\"Month\")+months*isAdding)}if(updateOffset){hooks.updateOffset(mom,days||months)}}var add=createAdder(1,\"add\");var subtract=createAdder(-1,\"subtract\");function getCalendarFormat(myMoment,now){var diff=myMoment.diff(now,\"days\",true);return diff<-6?\"sameElse\":diff<-1?\"lastWeek\":diff<0?\"lastDay\":diff<1?\"sameDay\":diff<2?\"nextDay\":diff<7?\"nextWeek\":\"sameElse\"}function calendar$1(time,formats){var now=time||createLocal(),sod=cloneWithOffset(now,this).startOf(\"day\"),format=hooks.calendarFormat(this,sod)||\"sameElse\";var output=formats&&(isFunction(formats[format])?formats[format].call(this,now):formats[format]);return this.format(output||this.localeData().calendar(format,this,createLocal(now)))}function clone(){return new Moment(this)}function isAfter(input,units){var localInput=isMoment(input)?input:createLocal(input);if(!(this.isValid()&&localInput.isValid())){return false}units=normalizeUnits(!isUndefined(units)?units:\"millisecond\");if(units===\"millisecond\"){return this.valueOf()>localInput.valueOf()}else{return localInput.valueOf()<this.clone().startOf(units).valueOf()}}function isBefore(input,units){var localInput=isMoment(input)?input:createLocal(input);if(!(this.isValid()&&localInput.isValid())){return false}units=normalizeUnits(!isUndefined(units)?units:\"millisecond\");if(units===\"millisecond\"){return this.valueOf()<localInput.valueOf()}else{return this.clone().endOf(units).valueOf()<localInput.valueOf()}}function isBetween(from,to,units,inclusivity){inclusivity=inclusivity||\"()\";return(inclusivity[0]===\"(\"?this.isAfter(from,units):!this.isBefore(from,units))&&(inclusivity[1]===\")\"?this.isBefore(to,units):!this.isAfter(to,units))}function isSame(input,units){var localInput=isMoment(input)?input:createLocal(input),inputMs;if(!(this.isValid()&&localInput.isValid())){return false}units=normalizeUnits(units||\"millisecond\");if(units===\"millisecond\"){return this.valueOf()===localInput.valueOf()}else{inputMs=localInput.valueOf();return this.clone().startOf(units).valueOf()<=inputMs&&inputMs<=this.clone().endOf(units).valueOf()}}function isSameOrAfter(input,units){return this.isSame(input,units)||this.isAfter(input,units)}function isSameOrBefore(input,units){return this.isSame(input,units)||this.isBefore(input,units)}function diff(input,units,asFloat){var that,zoneDelta,delta,output;if(!this.isValid()){return NaN}that=cloneWithOffset(input,this);if(!that.isValid()){return NaN}zoneDelta=(that.utcOffset()-this.utcOffset())*6e4;units=normalizeUnits(units);if(units===\"year\"||units===\"month\"||units===\"quarter\"){output=monthDiff(this,that);if(units===\"quarter\"){output=output/3}else if(units===\"year\"){output=output/12}}else{delta=this-that;output=units===\"second\"?delta/1e3:units===\"minute\"?delta/6e4:units===\"hour\"?delta/36e5:units===\"day\"?(delta-zoneDelta)/864e5:units===\"week\"?(delta-zoneDelta)/6048e5:delta}return asFloat?output:absFloor(output)}function monthDiff(a,b){var wholeMonthDiff=(b.year()-a.year())*12+(b.month()-a.month()),anchor=a.clone().add(wholeMonthDiff,\"months\"),anchor2,adjust;if(b-anchor<0){anchor2=a.clone().add(wholeMonthDiff-1,\"months\");adjust=(b-anchor)/(anchor-anchor2)}else{anchor2=a.clone().add(wholeMonthDiff+1,\"months\");adjust=(b-anchor)/(anchor2-anchor)}return-(wholeMonthDiff+adjust)||0}hooks.defaultFormat=\"YYYY-MM-DDTHH:mm:ssZ\";hooks.defaultFormatUtc=\"YYYY-MM-DDTHH:mm:ss[Z]\";function toString(){return this.clone().locale(\"en\").format(\"ddd MMM DD YYYY HH:mm:ss [GMT]ZZ\")}function toISOString(){if(!this.isValid()){return null}var m=this.clone().utc();if(m.year()<0||m.year()>9999){return formatMoment(m,\"YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]\")}if(isFunction(Date.prototype.toISOString)){return this.toDate().toISOString()}return formatMoment(m,\"YYYY-MM-DD[T]HH:mm:ss.SSS[Z]\")}function inspect(){if(!this.isValid()){return\"moment.invalid(/* \"+this._i+\" */)\"}var func=\"moment\";var zone=\"\";if(!this.isLocal()){func=this.utcOffset()===0?\"moment.utc\":\"moment.parseZone\";zone=\"Z\"}var prefix=\"[\"+func+'(\"]';var year=0<=this.year()&&this.year()<=9999?\"YYYY\":\"YYYYYY\";var datetime=\"-MM-DD[T]HH:mm:ss.SSS\";var suffix=zone+'[\")]';return this.format(prefix+year+datetime+suffix)}function format(inputString){if(!inputString){inputString=this.isUtc()?hooks.defaultFormatUtc:hooks.defaultFormat}var output=formatMoment(this,inputString);return this.localeData().postformat(output)}function from(time,withoutSuffix){if(this.isValid()&&(isMoment(time)&&time.isValid()||createLocal(time).isValid())){return createDuration({to:this,from:time}).locale(this.locale()).humanize(!withoutSuffix)}else{return this.localeData().invalidDate()}}function fromNow(withoutSuffix){return this.from(createLocal(),withoutSuffix)}function to(time,withoutSuffix){if(this.isValid()&&(isMoment(time)&&time.isValid()||createLocal(time).isValid())){return createDuration({from:this,to:time}).locale(this.locale()).humanize(!withoutSuffix)}else{return this.localeData().invalidDate()}}function toNow(withoutSuffix){return this.to(createLocal(),withoutSuffix)}function locale(key){var newLocaleData;if(key===undefined){return this._locale._abbr}else{newLocaleData=getLocale(key);if(newLocaleData!=null){this._locale=newLocaleData}return this}}var lang=deprecate(\"moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.\",function(key){if(key===undefined){return this.localeData()}else{return this.locale(key)}});function localeData(){return this._locale}function startOf(units){units=normalizeUnits(units);switch(units){case\"year\":this.month(0);case\"quarter\":case\"month\":this.date(1);case\"week\":case\"isoWeek\":case\"day\":case\"date\":this.hours(0);case\"hour\":this.minutes(0);case\"minute\":this.seconds(0);case\"second\":this.milliseconds(0)}if(units===\"week\"){this.weekday(0)}if(units===\"isoWeek\"){this.isoWeekday(1)}if(units===\"quarter\"){this.month(Math.floor(this.month()/3)*3)}return this}function endOf(units){units=normalizeUnits(units);if(units===undefined||units===\"millisecond\"){return this}if(units===\"date\"){units=\"day\"}return this.startOf(units).add(1,units===\"isoWeek\"?\"week\":units).subtract(1,\"ms\")}function valueOf(){return this._d.valueOf()-(this._offset||0)*6e4}function unix(){return Math.floor(this.valueOf()/1e3)}function toDate(){return new Date(this.valueOf())}function toArray(){var m=this;return[m.year(),m.month(),m.date(),m.hour(),m.minute(),m.second(),m.millisecond()]}function toObject(){var m=this;return{years:m.year(),months:m.month(),date:m.date(),hours:m.hours(),minutes:m.minutes(),seconds:m.seconds(),milliseconds:m.milliseconds()}}function toJSON(){return this.isValid()?this.toISOString():null}function isValid$2(){return isValid(this)}function parsingFlags(){return extend({},getParsingFlags(this))}function invalidAt(){return getParsingFlags(this).overflow}function creationData(){return{input:this._i,format:this._f,locale:this._locale,isUTC:this._isUTC,strict:this._strict}}addFormatToken(0,[\"gg\",2],0,function(){return this.weekYear()%100});addFormatToken(0,[\"GG\",2],0,function(){return this.isoWeekYear()%100});function addWeekYearFormatToken(token,getter){addFormatToken(0,[token,token.length],0,getter)}addWeekYearFormatToken(\"gggg\",\"weekYear\");addWeekYearFormatToken(\"ggggg\",\"weekYear\");addWeekYearFormatToken(\"GGGG\",\"isoWeekYear\");addWeekYearFormatToken(\"GGGGG\",\"isoWeekYear\");addUnitAlias(\"weekYear\",\"gg\");addUnitAlias(\"isoWeekYear\",\"GG\");addUnitPriority(\"weekYear\",1);addUnitPriority(\"isoWeekYear\",1);addRegexToken(\"G\",matchSigned);addRegexToken(\"g\",matchSigned);addRegexToken(\"GG\",match1to2,match2);addRegexToken(\"gg\",match1to2,match2);addRegexToken(\"GGGG\",match1to4,match4);addRegexToken(\"gggg\",match1to4,match4);addRegexToken(\"GGGGG\",match1to6,match6);addRegexToken(\"ggggg\",match1to6,match6);addWeekParseToken([\"gggg\",\"ggggg\",\"GGGG\",\"GGGGG\"],function(input,week,config,token){week[token.substr(0,2)]=toInt(input)});addWeekParseToken([\"gg\",\"GG\"],function(input,week,config,token){week[token]=hooks.parseTwoDigitYear(input)});function getSetWeekYear(input){return getSetWeekYearHelper.call(this,input,this.week(),this.weekday(),this.localeData()._week.dow,this.localeData()._week.doy)}function getSetISOWeekYear(input){return getSetWeekYearHelper.call(this,input,this.isoWeek(),this.isoWeekday(),1,4)}function getISOWeeksInYear(){return weeksInYear(this.year(),1,4)}function getWeeksInYear(){var weekInfo=this.localeData()._week;return weeksInYear(this.year(),weekInfo.dow,weekInfo.doy)}function getSetWeekYearHelper(input,week,weekday,dow,doy){var weeksTarget;if(input==null){return weekOfYear(this,dow,doy).year}else{weeksTarget=weeksInYear(input,dow,doy);if(week>weeksTarget){week=weeksTarget}return setWeekAll.call(this,input,week,weekday,dow,doy)}}function setWeekAll(weekYear,week,weekday,dow,doy){var dayOfYearData=dayOfYearFromWeeks(weekYear,week,weekday,dow,doy),date=createUTCDate(dayOfYearData.year,0,dayOfYearData.dayOfYear);this.year(date.getUTCFullYear());this.month(date.getUTCMonth());this.date(date.getUTCDate());return this}addFormatToken(\"Q\",0,\"Qo\",\"quarter\");addUnitAlias(\"quarter\",\"Q\");addUnitPriority(\"quarter\",7);addRegexToken(\"Q\",match1);addParseToken(\"Q\",function(input,array){array[MONTH]=(toInt(input)-1)*3});function getSetQuarter(input){return input==null?Math.ceil((this.month()+1)/3):this.month((input-1)*3+this.month()%3)}addFormatToken(\"D\",[\"DD\",2],\"Do\",\"date\");addUnitAlias(\"date\",\"D\");addUnitPriority(\"date\",9);addRegexToken(\"D\",match1to2);addRegexToken(\"DD\",match1to2,match2);addRegexToken(\"Do\",function(isStrict,locale){return isStrict?locale._dayOfMonthOrdinalParse||locale._ordinalParse:locale._dayOfMonthOrdinalParseLenient});addParseToken([\"D\",\"DD\"],DATE);addParseToken(\"Do\",function(input,array){array[DATE]=toInt(input.match(match1to2)[0],10)});var getSetDayOfMonth=makeGetSet(\"Date\",true);addFormatToken(\"DDD\",[\"DDDD\",3],\"DDDo\",\"dayOfYear\");addUnitAlias(\"dayOfYear\",\"DDD\");addUnitPriority(\"dayOfYear\",4);addRegexToken(\"DDD\",match1to3);addRegexToken(\"DDDD\",match3);addParseToken([\"DDD\",\"DDDD\"],function(input,array,config){config._dayOfYear=toInt(input)});function getSetDayOfYear(input){var dayOfYear=Math.round((this.clone().startOf(\"day\")-this.clone().startOf(\"year\"))/864e5)+1;return input==null?dayOfYear:this.add(input-dayOfYear,\"d\")}addFormatToken(\"m\",[\"mm\",2],0,\"minute\");addUnitAlias(\"minute\",\"m\");addUnitPriority(\"minute\",14);addRegexToken(\"m\",match1to2);addRegexToken(\"mm\",match1to2,match2);addParseToken([\"m\",\"mm\"],MINUTE);var getSetMinute=makeGetSet(\"Minutes\",false);addFormatToken(\"s\",[\"ss\",2],0,\"second\");addUnitAlias(\"second\",\"s\");addUnitPriority(\"second\",15);addRegexToken(\"s\",match1to2);addRegexToken(\"ss\",match1to2,match2);addParseToken([\"s\",\"ss\"],SECOND);var getSetSecond=makeGetSet(\"Seconds\",false);addFormatToken(\"S\",0,0,function(){return~~(this.millisecond()/100)});addFormatToken(0,[\"SS\",2],0,function(){return~~(this.millisecond()/10)});addFormatToken(0,[\"SSS\",3],0,\"millisecond\");addFormatToken(0,[\"SSSS\",4],0,function(){return this.millisecond()*10});addFormatToken(0,[\"SSSSS\",5],0,function(){return this.millisecond()*100});addFormatToken(0,[\"SSSSSS\",6],0,function(){return this.millisecond()*1e3});addFormatToken(0,[\"SSSSSSS\",7],0,function(){return this.millisecond()*1e4});addFormatToken(0,[\"SSSSSSSS\",8],0,function(){return this.millisecond()*1e5});addFormatToken(0,[\"SSSSSSSSS\",9],0,function(){return this.millisecond()*1e6});addUnitAlias(\"millisecond\",\"ms\");addUnitPriority(\"millisecond\",16);addRegexToken(\"S\",match1to3,match1);addRegexToken(\"SS\",match1to3,match2);addRegexToken(\"SSS\",match1to3,match3);var token;for(token=\"SSSS\";token.length<=9;token+=\"S\"){addRegexToken(token,matchUnsigned)}function parseMs(input,array){array[MILLISECOND]=toInt((\"0.\"+input)*1e3)}for(token=\"S\";token.length<=9;token+=\"S\"){addParseToken(token,parseMs)}var getSetMillisecond=makeGetSet(\"Milliseconds\",false);addFormatToken(\"z\",0,0,\"zoneAbbr\");addFormatToken(\"zz\",0,0,\"zoneName\");function getZoneAbbr(){return this._isUTC?\"UTC\":\"\"}function getZoneName(){return this._isUTC?\"Coordinated Universal Time\":\"\"}var proto=Moment.prototype;proto.add=add;proto.calendar=calendar$1;proto.clone=clone;proto.diff=diff;proto.endOf=endOf;proto.format=format;proto.from=from;proto.fromNow=fromNow;proto.to=to;proto.toNow=toNow;proto.get=stringGet;proto.invalidAt=invalidAt;proto.isAfter=isAfter;proto.isBefore=isBefore;proto.isBetween=isBetween;proto.isSame=isSame;proto.isSameOrAfter=isSameOrAfter;proto.isSameOrBefore=isSameOrBefore;proto.isValid=isValid$2;proto.lang=lang;proto.locale=locale;proto.localeData=localeData;proto.max=prototypeMax;proto.min=prototypeMin;proto.parsingFlags=parsingFlags;proto.set=stringSet;proto.startOf=startOf;proto.subtract=subtract;proto.toArray=toArray;proto.toObject=toObject;proto.toDate=toDate;proto.toISOString=toISOString;proto.inspect=inspect;proto.toJSON=toJSON;proto.toString=toString;proto.unix=unix;proto.valueOf=valueOf;proto.creationData=creationData;proto.year=getSetYear;proto.isLeapYear=getIsLeapYear;proto.weekYear=getSetWeekYear;proto.isoWeekYear=getSetISOWeekYear;proto.quarter=proto.quarters=getSetQuarter;proto.month=getSetMonth;proto.daysInMonth=getDaysInMonth;proto.week=proto.weeks=getSetWeek;proto.isoWeek=proto.isoWeeks=getSetISOWeek;proto.weeksInYear=getWeeksInYear;proto.isoWeeksInYear=getISOWeeksInYear;proto.date=getSetDayOfMonth;proto.day=proto.days=getSetDayOfWeek;proto.weekday=getSetLocaleDayOfWeek;proto.isoWeekday=getSetISODayOfWeek;proto.dayOfYear=getSetDayOfYear;proto.hour=proto.hours=getSetHour;proto.minute=proto.minutes=getSetMinute;proto.second=proto.seconds=getSetSecond;proto.millisecond=proto.milliseconds=getSetMillisecond;proto.utcOffset=getSetOffset;proto.utc=setOffsetToUTC;proto.local=setOffsetToLocal;proto.parseZone=setOffsetToParsedOffset;proto.hasAlignedHourOffset=hasAlignedHourOffset;proto.isDST=isDaylightSavingTime;proto.isLocal=isLocal;proto.isUtcOffset=isUtcOffset;proto.isUtc=isUtc;proto.isUTC=isUtc;proto.zoneAbbr=getZoneAbbr;proto.zoneName=getZoneName;proto.dates=deprecate(\"dates accessor is deprecated. Use date instead.\",getSetDayOfMonth);proto.months=deprecate(\"months accessor is deprecated. Use month instead\",getSetMonth);proto.years=deprecate(\"years accessor is deprecated. Use year instead\",getSetYear);proto.zone=deprecate(\"moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/\",getSetZone);proto.isDSTShifted=deprecate(\"isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information\",isDaylightSavingTimeShifted);function createUnix(input){return createLocal(input*1e3)}function createInZone(){return createLocal.apply(null,arguments).parseZone()}function preParsePostFormat(string){return string}var proto$1=Locale.prototype;proto$1.calendar=calendar;proto$1.longDateFormat=longDateFormat;proto$1.invalidDate=invalidDate;proto$1.ordinal=ordinal;proto$1.preparse=preParsePostFormat;proto$1.postformat=preParsePostFormat;proto$1.relativeTime=relativeTime;proto$1.pastFuture=pastFuture;proto$1.set=set;proto$1.months=localeMonths;proto$1.monthsShort=localeMonthsShort;proto$1.monthsParse=localeMonthsParse;proto$1.monthsRegex=monthsRegex;proto$1.monthsShortRegex=monthsShortRegex;proto$1.week=localeWeek;proto$1.firstDayOfYear=localeFirstDayOfYear;proto$1.firstDayOfWeek=localeFirstDayOfWeek;proto$1.weekdays=localeWeekdays;proto$1.weekdaysMin=localeWeekdaysMin;proto$1.weekdaysShort=localeWeekdaysShort;proto$1.weekdaysParse=localeWeekdaysParse;proto$1.weekdaysRegex=weekdaysRegex;proto$1.weekdaysShortRegex=weekdaysShortRegex;proto$1.weekdaysMinRegex=weekdaysMinRegex;proto$1.isPM=localeIsPM;proto$1.meridiem=localeMeridiem;function get$1(format,index,field,setter){var locale=getLocale();var utc=createUTC().set(setter,index);return locale[field](utc,format)}function listMonthsImpl(format,index,field){if(isNumber(format)){index=format;format=undefined}format=format||\"\";if(index!=null){return get$1(format,index,field,\"month\")}var i;var out=[];for(i=0;i<12;i++){out[i]=get$1(format,i,field,\"month\")}return out}function listWeekdaysImpl(localeSorted,format,index,field){if(typeof localeSorted===\"boolean\"){if(isNumber(format)){index=format;format=undefined}format=format||\"\"}else{format=localeSorted;index=format;localeSorted=false;if(isNumber(format)){index=format;format=undefined}format=format||\"\"}var locale=getLocale(),shift=localeSorted?locale._week.dow:0;if(index!=null){return get$1(format,(index+shift)%7,field,\"day\")}var i;var out=[];for(i=0;i<7;i++){out[i]=get$1(format,(i+shift)%7,field,\"day\")}return out}function listMonths(format,index){return listMonthsImpl(format,index,\"months\")}function listMonthsShort(format,index){return listMonthsImpl(format,index,\"monthsShort\")}function listWeekdays(localeSorted,format,index){return listWeekdaysImpl(localeSorted,format,index,\"weekdays\")}function listWeekdaysShort(localeSorted,format,index){return listWeekdaysImpl(localeSorted,format,index,\"weekdaysShort\")}function listWeekdaysMin(localeSorted,format,index){return listWeekdaysImpl(localeSorted,format,index,\"weekdaysMin\")}getSetGlobalLocale(\"en\",{dayOfMonthOrdinalParse:/\\d{1,2}(th|st|nd|rd)/,ordinal:function(number){var b=number%10,output=toInt(number%100/10)===1?\"th\":b===1?\"st\":b===2?\"nd\":b===3?\"rd\":\"th\";return number+output}});hooks.lang=deprecate(\"moment.lang is deprecated. Use moment.locale instead.\",getSetGlobalLocale);hooks.langData=deprecate(\"moment.langData is deprecated. Use moment.localeData instead.\",getLocale);var mathAbs=Math.abs;function abs(){var data=this._data;this._milliseconds=mathAbs(this._milliseconds);this._days=mathAbs(this._days);this._months=mathAbs(this._months);data.milliseconds=mathAbs(data.milliseconds);data.seconds=mathAbs(data.seconds);data.minutes=mathAbs(data.minutes);data.hours=mathAbs(data.hours);data.months=mathAbs(data.months);data.years=mathAbs(data.years);return this}function addSubtract$1(duration,input,value,direction){var other=createDuration(input,value);duration._milliseconds+=direction*other._milliseconds;duration._days+=direction*other._days;duration._months+=direction*other._months;return duration._bubble()}function add$1(input,value){return addSubtract$1(this,input,value,1)}function subtract$1(input,value){return addSubtract$1(this,input,value,-1)}function absCeil(number){if(number<0){return Math.floor(number)}else{return Math.ceil(number)}}function bubble(){var milliseconds=this._milliseconds;var days=this._days;var months=this._months;var data=this._data;var seconds,minutes,hours,years,monthsFromDays;if(!(milliseconds>=0&&days>=0&&months>=0||milliseconds<=0&&days<=0&&months<=0)){milliseconds+=absCeil(monthsToDays(months)+days)*864e5;days=0;months=0}data.milliseconds=milliseconds%1e3;seconds=absFloor(milliseconds/1e3);data.seconds=seconds%60;minutes=absFloor(seconds/60);data.minutes=minutes%60;hours=absFloor(minutes/60);data.hours=hours%24;days+=absFloor(hours/24);monthsFromDays=absFloor(daysToMonths(days));months+=monthsFromDays;days-=absCeil(monthsToDays(monthsFromDays));years=absFloor(months/12);months%=12;data.days=days;data.months=months;data.years=years;return this}function daysToMonths(days){return days*4800/146097}function monthsToDays(months){return months*146097/4800}function as(units){if(!this.isValid()){return NaN}var days;var months;var milliseconds=this._milliseconds;units=normalizeUnits(units);if(units===\"month\"||units===\"year\"){days=this._days+milliseconds/864e5;months=this._months+daysToMonths(days);return units===\"month\"?months:months/12}else{days=this._days+Math.round(monthsToDays(this._months));switch(units){case\"week\":return days/7+milliseconds/6048e5;case\"day\":return days+milliseconds/864e5;case\"hour\":return days*24+milliseconds/36e5;case\"minute\":return days*1440+milliseconds/6e4;case\"second\":return days*86400+milliseconds/1e3;case\"millisecond\":return Math.floor(days*864e5)+milliseconds;default:throw new Error(\"Unknown unit \"+units)}}}function valueOf$1(){if(!this.isValid()){return NaN}return this._milliseconds+this._days*864e5+this._months%12*2592e6+toInt(this._months/12)*31536e6}function makeAs(alias){return function(){return this.as(alias)}}var asMilliseconds=makeAs(\"ms\");var asSeconds=makeAs(\"s\");var asMinutes=makeAs(\"m\");var asHours=makeAs(\"h\");var asDays=makeAs(\"d\");var asWeeks=makeAs(\"w\");var asMonths=makeAs(\"M\");var asYears=makeAs(\"y\");function get$2(units){units=normalizeUnits(units);return this.isValid()?this[units+\"s\"]():NaN}function makeGetter(name){return function(){return this.isValid()?this._data[name]:NaN}}var milliseconds=makeGetter(\"milliseconds\");var seconds=makeGetter(\"seconds\");var minutes=makeGetter(\"minutes\");var hours=makeGetter(\"hours\");var days=makeGetter(\"days\");var months=makeGetter(\"months\");var years=makeGetter(\"years\");function weeks(){return absFloor(this.days()/7)}var round=Math.round;var thresholds={ss:44,s:45,m:45,h:22,d:26,M:11};function substituteTimeAgo(string,number,withoutSuffix,isFuture,locale){return locale.relativeTime(number||1,!!withoutSuffix,string,isFuture)}function relativeTime$1(posNegDuration,withoutSuffix,locale){var duration=createDuration(posNegDuration).abs();var seconds=round(duration.as(\"s\"));var minutes=round(duration.as(\"m\"));var hours=round(duration.as(\"h\"));var days=round(duration.as(\"d\"));var months=round(duration.as(\"M\"));var years=round(duration.as(\"y\"));var a=seconds<=thresholds.ss&&[\"s\",seconds]||seconds<thresholds.s&&[\"ss\",seconds]||minutes<=1&&[\"m\"]||minutes<thresholds.m&&[\"mm\",minutes]||hours<=1&&[\"h\"]||hours<thresholds.h&&[\"hh\",hours]||days<=1&&[\"d\"]||days<thresholds.d&&[\"dd\",days]||months<=1&&[\"M\"]||months<thresholds.M&&[\"MM\",months]||years<=1&&[\"y\"]||[\"yy\",years];a[2]=withoutSuffix;a[3]=+posNegDuration>0;a[4]=locale;return substituteTimeAgo.apply(null,a)}function getSetRelativeTimeRounding(roundingFunction){if(roundingFunction===undefined){return round}if(typeof roundingFunction===\"function\"){round=roundingFunction;return true}return false}function getSetRelativeTimeThreshold(threshold,limit){if(thresholds[threshold]===undefined){return false}if(limit===undefined){return thresholds[threshold]}thresholds[threshold]=limit;if(threshold===\"s\"){thresholds.ss=limit-1}return true}function humanize(withSuffix){if(!this.isValid()){return this.localeData().invalidDate()}var locale=this.localeData();var output=relativeTime$1(this,!withSuffix,locale);if(withSuffix){output=locale.pastFuture(+this,output)}return locale.postformat(output)}var abs$1=Math.abs;function toISOString$1(){if(!this.isValid()){return this.localeData().invalidDate()}var seconds=abs$1(this._milliseconds)/1e3;var days=abs$1(this._days);var months=abs$1(this._months);var minutes,hours,years;minutes=absFloor(seconds/60);hours=absFloor(minutes/60);seconds%=60;minutes%=60;years=absFloor(months/12);months%=12;var Y=years;var M=months;var D=days;var h=hours;var m=minutes;var s=seconds;var total=this.asSeconds();if(!total){return\"P0D\"}return(total<0?\"-\":\"\")+\"P\"+(Y?Y+\"Y\":\"\")+(M?M+\"M\":\"\")+(D?D+\"D\":\"\")+(h||m||s?\"T\":\"\")+(h?h+\"H\":\"\")+(m?m+\"M\":\"\")+(s?s+\"S\":\"\")}var proto$2=Duration.prototype;proto$2.isValid=isValid$1;proto$2.abs=abs;proto$2.add=add$1;proto$2.subtract=subtract$1;proto$2.as=as;proto$2.asMilliseconds=asMilliseconds;proto$2.asSeconds=asSeconds;proto$2.asMinutes=asMinutes;proto$2.asHours=asHours;proto$2.asDays=asDays;proto$2.asWeeks=asWeeks;proto$2.asMonths=asMonths;proto$2.asYears=asYears;proto$2.valueOf=valueOf$1;proto$2._bubble=bubble;proto$2.get=get$2;proto$2.milliseconds=milliseconds;proto$2.seconds=seconds;proto$2.minutes=minutes;proto$2.hours=hours;proto$2.days=days;proto$2.weeks=weeks;proto$2.months=months;proto$2.years=years;proto$2.humanize=humanize;proto$2.toISOString=toISOString$1;proto$2.toString=toISOString$1;proto$2.toJSON=toISOString$1;proto$2.locale=locale;proto$2.localeData=localeData;proto$2.toIsoString=deprecate(\"toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)\",toISOString$1);proto$2.lang=lang;addFormatToken(\"X\",0,0,\"unix\");addFormatToken(\"x\",0,0,\"valueOf\");addRegexToken(\"x\",matchSigned);addRegexToken(\"X\",matchTimestamp);addParseToken(\"X\",function(input,array,config){config._d=new Date(parseFloat(input,10)*1e3)});addParseToken(\"x\",function(input,array,config){config._d=new Date(toInt(input))});hooks.version=\"2.18.0\";setHookCallback(createLocal);hooks.fn=proto;hooks.min=min;hooks.max=max;hooks.now=now;hooks.utc=createUTC;hooks.unix=createUnix;hooks.months=listMonths;hooks.isDate=isDate;hooks.locale=getSetGlobalLocale;hooks.invalid=createInvalid;hooks.duration=createDuration;hooks.isMoment=isMoment;hooks.weekdays=listWeekdays;hooks.parseZone=createInZone;hooks.localeData=getLocale;hooks.isDuration=isDuration;hooks.monthsShort=listMonthsShort;hooks.weekdaysMin=listWeekdaysMin;hooks.defineLocale=defineLocale;hooks.updateLocale=updateLocale;hooks.locales=listLocales;hooks.weekdaysShort=listWeekdaysShort;hooks.normalizeUnits=normalizeUnits;hooks.relativeTimeRounding=getSetRelativeTimeRounding;hooks.relativeTimeThreshold=getSetRelativeTimeThreshold;hooks.calendarFormat=getCalendarFormat;hooks.prototype=proto;return hooks})}).call(exports,__webpack_require__(84)(module))},function(module,exports){module.exports=function(module){if(!module.webpackPolyfill){module.deprecate=function(){};module.paths=[];module.children=[];module.webpackPolyfill=1}return module}},function(module,exports){function webpackContext(req){throw new Error(\"Cannot find module '\"+req+\"'.\")}webpackContext.keys=function(){return[]};webpackContext.resolve=webpackContext;module.exports=webpackContext;webpackContext.id=85},function(module,exports){(function(global){\"use strict\";var _rng;var globalVar=typeof window!==\"undefined\"?window:typeof global!==\"undefined\"?global:null;if(globalVar&&globalVar.crypto&&crypto.getRandomValues){var _rnds8=new Uint8Array(16);_rng=function whatwgRNG(){crypto.getRandomValues(_rnds8);return _rnds8}}if(!_rng){var _rnds=new Array(16);_rng=function _rng(){for(var i=0,r;i<16;i++){if((i&3)===0)r=Math.random()*4294967296;_rnds[i]=r>>>((i&3)<<3)&255}return _rnds}}var _byteToHex=[];var _hexToByte={};for(var i=0;i<256;i++){_byteToHex[i]=(i+256).toString(16).substr(1);_hexToByte[_byteToHex[i]]=i}function parse(s,buf,offset){var i=buf&&offset||0,ii=0;buf=buf||[];s.toLowerCase().replace(/[0-9a-f]{2}/g,function(oct){if(ii<16){buf[i+ii++]=_hexToByte[oct]}});while(ii<16){buf[i+ii++]=0}return buf}function unparse(buf,offset){var i=offset||0,bth=_byteToHex;return bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]+\"-\"+bth[buf[i++]]+bth[buf[i++]]+\"-\"+bth[buf[i++]]+bth[buf[i++]]+\"-\"+bth[buf[i++]]+bth[buf[i++]]+\"-\"+bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]+bth[buf[i++]]}var _seedBytes=_rng();var _nodeId=[_seedBytes[0]|1,_seedBytes[1],_seedBytes[2],_seedBytes[3],_seedBytes[4],_seedBytes[5]];var _clockseq=(_seedBytes[6]<<8|_seedBytes[7])&16383;var _lastMSecs=0,_lastNSecs=0;function v1(options,buf,offset){var i=buf&&offset||0;var b=buf||[];options=options||{};var clockseq=options.clockseq!==undefined?options.clockseq:_clockseq;var msecs=options.msecs!==undefined?options.msecs:(new Date).getTime();var nsecs=options.nsecs!==undefined?options.nsecs:_lastNSecs+1;var dt=msecs-_lastMSecs+(nsecs-_lastNSecs)/1e4;if(dt<0&&options.clockseq===undefined){clockseq=clockseq+1&16383}if((dt<0||msecs>_lastMSecs)&&options.nsecs===undefined){nsecs=0}if(nsecs>=1e4){throw new Error(\"uuid.v1(): Can't create more than 10M uuids/sec\")}_lastMSecs=msecs;_lastNSecs=nsecs;_clockseq=clockseq;msecs+=122192928e5;var tl=((msecs&268435455)*1e4+nsecs)%4294967296;b[i++]=tl>>>24&255;b[i++]=tl>>>16&255;b[i++]=tl>>>8&255;b[i++]=tl&255;var tmh=msecs/4294967296*1e4&268435455;b[i++]=tmh>>>8&255;b[i++]=tmh&255;b[i++]=tmh>>>24&15|16;b[i++]=tmh>>>16&255;b[i++]=clockseq>>>8|128;b[i++]=clockseq&255;var node=options.node||_nodeId;for(var n=0;n<6;n++){b[i+n]=node[n]}return buf?buf:unparse(b)}function v4(options,buf,offset){var i=buf&&offset||0;if(typeof options==\"string\"){buf=options==\"binary\"?new Array(16):null;options=null}options=options||{};var rnds=options.random||(options.rng||_rng)();rnds[6]=rnds[6]&15|64;rnds[8]=rnds[8]&63|128;if(buf){for(var ii=0;ii<16;ii++){buf[i+ii]=rnds[ii]}}return buf||unparse(rnds)}var uuid=v4;uuid.v1=v1;uuid.v4=v4;uuid.parse=parse;uuid.unparse=unparse;module.exports=uuid}).call(exports,function(){return this}())},function(module,exports,__webpack_require__){\"use strict\";exports.util=__webpack_require__(1);exports.DOMutil=__webpack_require__(88);exports.DataSet=__webpack_require__(89);exports.DataView=__webpack_require__(93);exports.Queue=__webpack_require__(92);exports.Graph3d=__webpack_require__(94);exports.graph3d={Camera:__webpack_require__(102),Filter:__webpack_require__(107),Point2d:__webpack_require__(101),Point3d:__webpack_require__(100),Slider:__webpack_require__(108),StepNumber:__webpack_require__(109)};exports.moment=__webpack_require__(82);exports.Hammer=__webpack_require__(112);exports.keycharm=__webpack_require__(115)},function(module,exports){\"use strict\";exports.prepareElements=function(JSONcontainer){for(var elementType in JSONcontainer){if(JSONcontainer.hasOwnProperty(elementType)){JSONcontainer[elementType].redundant=JSONcontainer[elementType].used;JSONcontainer[elementType].used=[]}}};exports.cleanupElements=function(JSONcontainer){for(var elementType in JSONcontainer){if(JSONcontainer.hasOwnProperty(elementType)){if(JSONcontainer[elementType].redundant){for(var i=0;i<JSONcontainer[elementType].redundant.length;i++){JSONcontainer[elementType].redundant[i].parentNode.removeChild(JSONcontainer[elementType].redundant[i])}JSONcontainer[elementType].redundant=[]}}}};exports.resetElements=function(JSONcontainer){exports.prepareElements(JSONcontainer);exports.cleanupElements(JSONcontainer);exports.prepareElements(JSONcontainer)};exports.getSVGElement=function(elementType,JSONcontainer,svgContainer){var element;if(JSONcontainer.hasOwnProperty(elementType)){if(JSONcontainer[elementType].redundant.length>0){element=JSONcontainer[elementType].redundant[0];JSONcontainer[elementType].redundant.shift()}else{element=document.createElementNS(\"http://www.w3.org/2000/svg\",elementType);svgContainer.appendChild(element)}}else{element=document.createElementNS(\"http://www.w3.org/2000/svg\",elementType);JSONcontainer[elementType]={used:[],redundant:[]};svgContainer.appendChild(element)}JSONcontainer[elementType].used.push(element);return element};exports.getDOMElement=function(elementType,JSONcontainer,DOMContainer,insertBefore){var element;if(JSONcontainer.hasOwnProperty(elementType)){if(JSONcontainer[elementType].redundant.length>0){element=JSONcontainer[elementType].redundant[0];JSONcontainer[elementType].redundant.shift()}else{element=document.createElement(elementType);if(insertBefore!==undefined){DOMContainer.insertBefore(element,insertBefore)}else{DOMContainer.appendChild(element)}}}else{element=document.createElement(elementType);JSONcontainer[elementType]={used:[],redundant:[]};if(insertBefore!==undefined){DOMContainer.insertBefore(element,insertBefore)}else{DOMContainer.appendChild(element)}}JSONcontainer[elementType].used.push(element);return element};exports.drawPoint=function(x,y,groupTemplate,JSONcontainer,svgContainer,labelObj){var point;if(groupTemplate.style==\"circle\"){point=exports.getSVGElement(\"circle\",JSONcontainer,svgContainer);point.setAttributeNS(null,\"cx\",x);point.setAttributeNS(null,\"cy\",y);point.setAttributeNS(null,\"r\",.5*groupTemplate.size)}else{point=exports.getSVGElement(\"rect\",JSONcontainer,svgContainer);point.setAttributeNS(null,\"x\",x-.5*groupTemplate.size);point.setAttributeNS(null,\"y\",y-.5*groupTemplate.size);point.setAttributeNS(null,\"width\",groupTemplate.size);point.setAttributeNS(null,\"height\",groupTemplate.size)}if(groupTemplate.styles!==undefined){point.setAttributeNS(null,\"style\",groupTemplate.styles)}point.setAttributeNS(null,\"class\",groupTemplate.className+\" vis-point\");if(labelObj){var label=exports.getSVGElement(\"text\",JSONcontainer,svgContainer);if(labelObj.xOffset){x=x+labelObj.xOffset}if(labelObj.yOffset){y=y+labelObj.yOffset}if(labelObj.content){label.textContent=labelObj.content}if(labelObj.className){label.setAttributeNS(null,\"class\",labelObj.className+\" vis-label\")}label.setAttributeNS(null,\"x\",x);label.setAttributeNS(null,\"y\",y)}return point};exports.drawBar=function(x,y,width,height,className,JSONcontainer,svgContainer,style){if(height!=0){if(height<0){height*=-1;y-=height}var rect=exports.getSVGElement(\"rect\",JSONcontainer,svgContainer);rect.setAttributeNS(null,\"x\",x-.5*width);rect.setAttributeNS(null,\"y\",y);rect.setAttributeNS(null,\"width\",width);rect.setAttributeNS(null,\"height\",height);rect.setAttributeNS(null,\"class\",className);if(style){rect.setAttributeNS(null,\"style\",style)}}}},function(module,exports,__webpack_require__){\"use strict\";var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Queue=__webpack_require__(92);function DataSet(data,options){if(data&&!Array.isArray(data)){options=data;data=null}this._options=options||{};this._data={};this.length=0;this._fieldId=this._options.fieldId||\"id\";this._type={};if(this._options.type){var fields=(0,_keys2[\"default\"])(this._options.type);for(var i=0,len=fields.length;i<len;i++){var field=fields[i];var value=this._options.type[field];if(value==\"Date\"||value==\"ISODate\"||value==\"ASPDate\"){this._type[field]=\"Date\"}else{this._type[field]=value}}}if(this._options.convert){throw new Error('Option \"convert\" is deprecated. Use \"type\" instead.')}this._subscribers={};if(data){this.add(data)}this.setOptions(options)}DataSet.prototype.setOptions=function(options){if(options&&options.queue!==undefined){if(options.queue===false){if(this._queue){this._queue.destroy();delete this._queue}}else{if(!this._queue){this._queue=Queue.extend(this,{replace:[\"add\",\"update\",\"remove\"]})}if((0,_typeof3[\"default\"])(options.queue)===\"object\"){this._queue.setOptions(options.queue)}}}};DataSet.prototype.on=function(event,callback){var subscribers=this._subscribers[event];if(!subscribers){subscribers=[];this._subscribers[event]=subscribers}subscribers.push({callback:callback})};DataSet.prototype.subscribe=function(){throw new Error(\"DataSet.subscribe is deprecated. Use DataSet.on instead.\")};DataSet.prototype.off=function(event,callback){var subscribers=this._subscribers[event];if(subscribers){this._subscribers[event]=subscribers.filter(function(listener){return listener.callback!=callback})}};DataSet.prototype.unsubscribe=function(){throw new Error(\"DataSet.unsubscribe is deprecated. Use DataSet.off instead.\")};DataSet.prototype._trigger=function(event,params,senderId){if(event==\"*\"){throw new Error(\"Cannot trigger event *\")}var subscribers=[];if(event in this._subscribers){subscribers=subscribers.concat(this._subscribers[event])}if(\"*\"in this._subscribers){subscribers=subscribers.concat(this._subscribers[\"*\"])}for(var i=0,len=subscribers.length;i<len;i++){var subscriber=subscribers[i];if(subscriber.callback){subscriber.callback(event,params,senderId||null)}}};DataSet.prototype.add=function(data,senderId){var addedIds=[],id,me=this;if(Array.isArray(data)){for(var i=0,len=data.length;i<len;i++){id=me._addItem(data[i]);addedIds.push(id)}}else if(data&&(typeof data===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(data))===\"object\"){id=me._addItem(data);addedIds.push(id)}else{throw new Error(\"Unknown dataType\")}if(addedIds.length){this._trigger(\"add\",{items:addedIds},senderId)}return addedIds};DataSet.prototype.update=function(data,senderId){var addedIds=[];var updatedIds=[];var oldData=[];var updatedData=[];var me=this;var fieldId=me._fieldId;var addOrUpdate=function addOrUpdate(item){var id=item[fieldId];if(me._data[id]){var oldItem=util.extend({},me._data[id]);id=me._updateItem(item);updatedIds.push(id);updatedData.push(item);oldData.push(oldItem)}else{id=me._addItem(item);addedIds.push(id)}};if(Array.isArray(data)){for(var i=0,len=data.length;i<len;i++){if(data[i]&&(0,_typeof3[\"default\"])(data[i])===\"object\"){addOrUpdate(data[i])}else{console.warn(\"Ignoring input item, which is not an object at index \"+i)}}}else if(data&&(typeof data===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(data))===\"object\"){addOrUpdate(data)}else{throw new Error(\"Unknown dataType\")}if(addedIds.length){this._trigger(\"add\",{items:addedIds},senderId)}if(updatedIds.length){var props={items:updatedIds,oldData:oldData,data:updatedData};this._trigger(\"update\",props,senderId)}return addedIds.concat(updatedIds)};DataSet.prototype.get=function(args){var me=this;var id,ids,options;var firstType=util.getType(arguments[0]);if(firstType==\"String\"||firstType==\"Number\"){id=arguments[0];options=arguments[1]}else if(firstType==\"Array\"){ids=arguments[0];options=arguments[1]}else{options=arguments[0]}var returnType;if(options&&options.returnType){var allowedValues=[\"Array\",\"Object\"];returnType=allowedValues.indexOf(options.returnType)==-1?\"Array\":options.returnType}else{returnType=\"Array\"}var type=options&&options.type||this._options.type;var filter=options&&options.filter;var items=[],item,itemIds,itemId,i,len;if(id!=undefined){item=me._getItem(id,type);if(item&&filter&&!filter(item)){item=null}}else if(ids!=undefined){for(i=0,len=ids.length;i<len;i++){item=me._getItem(ids[i],type);if(!filter||filter(item)){items.push(item)}}}else{itemIds=(0,_keys2[\"default\"])(this._data);for(i=0,len=itemIds.length;i<len;i++){itemId=itemIds[i];item=me._getItem(itemId,type);if(!filter||filter(item)){items.push(item)}}}if(options&&options.order&&id==undefined){this._sort(items,options.order)}if(options&&options.fields){var fields=options.fields;if(id!=undefined){item=this._filterFields(item,fields)}else{for(i=0,len=items.length;i<len;i++){items[i]=this._filterFields(items[i],fields)}}}if(returnType==\"Object\"){var result={},resultant;for(i=0,len=items.length;i<len;i++){resultant=items[i];result[resultant.id]=resultant}return result}else{if(id!=undefined){return item}else{return items}}};DataSet.prototype.getIds=function(options){var data=this._data,filter=options&&options.filter,order=options&&options.order,type=options&&options.type||this._options.type,itemIds=(0,_keys2[\"default\"])(data),i,len,id,item,items,ids=[];if(filter){if(order){items=[];for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];item=this._getItem(id,type);if(filter(item)){items.push(item)}}this._sort(items,order);for(i=0,len=items.length;i<len;i++){ids.push(items[i][this._fieldId])}}else{for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];item=this._getItem(id,type);if(filter(item)){ids.push(item[this._fieldId])}}}}else{if(order){items=[];for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];items.push(data[id])}this._sort(items,order);for(i=0,len=items.length;i<len;i++){ids.push(items[i][this._fieldId])}}else{for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];item=data[id];ids.push(item[this._fieldId])}}}return ids};DataSet.prototype.getDataSet=function(){return this};DataSet.prototype.forEach=function(callback,options){var filter=options&&options.filter,type=options&&options.type||this._options.type,data=this._data,itemIds=(0,_keys2[\"default\"])(data),i,len,item,id;if(options&&options.order){var items=this.get(options);for(i=0,len=items.length;i<len;i++){item=items[i];id=item[this._fieldId];callback(item,id)}}else{for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];item=this._getItem(id,type);if(!filter||filter(item)){callback(item,id)}}}};DataSet.prototype.map=function(callback,options){var filter=options&&options.filter,type=options&&options.type||this._options.type,mappedItems=[],data=this._data,itemIds=(0,_keys2[\"default\"])(data),i,len,id,item;for(i=0,len=itemIds.length;i<len;i++){id=itemIds[i];item=this._getItem(id,type);if(!filter||filter(item)){mappedItems.push(callback(item,id))}}if(options&&options.order){this._sort(mappedItems,options.order)}return mappedItems};DataSet.prototype._filterFields=function(item,fields){if(!item){return item}var filteredItem={},itemFields=(0,_keys2[\"default\"])(item),len=itemFields.length,i,field;if(Array.isArray(fields)){for(i=0;i<len;i++){field=itemFields[i];if(fields.indexOf(field)!=-1){filteredItem[field]=item[field]}}}else{for(i=0;i<len;i++){field=itemFields[i];if(fields.hasOwnProperty(field)){filteredItem[fields[field]]=item[field]}}}return filteredItem};DataSet.prototype._sort=function(items,order){if(util.isString(order)){var name=order;items.sort(function(a,b){var av=a[name];var bv=b[name];return av>bv?1:av<bv?-1:0})}else if(typeof order===\"function\"){items.sort(order)}else{throw new TypeError(\"Order must be a function or a string\")}};DataSet.prototype.remove=function(id,senderId){var removedIds=[],removedItems=[],ids=[],i,len,itemId,item;ids=Array.isArray(id)?id:[id];for(i=0,len=ids.length;i<len;i++){item=this._remove(ids[i]);if(item){itemId=item[this._fieldId];if(itemId!=undefined){removedIds.push(itemId);removedItems.push(item)}}}if(removedIds.length){this._trigger(\"remove\",{items:removedIds,oldData:removedItems},senderId)}return removedIds};DataSet.prototype._remove=function(id){var item,ident;if(util.isNumber(id)||util.isString(id)){ident=id}else if(id&&(typeof id===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(id))===\"object\"){ident=id[this._fieldId]}if(ident!==undefined&&this._data[ident]){item=this._data[ident];delete this._data[ident];this.length--;return item}return null};DataSet.prototype.clear=function(senderId){var i,len;var ids=(0,_keys2[\"default\"])(this._data);var items=[];for(i=0,len=ids.length;i<len;i++){items.push(this._data[ids[i]])}this._data={};this.length=0;this._trigger(\"remove\",{items:ids,oldData:items},senderId);return ids};DataSet.prototype.max=function(field){var data=this._data,itemIds=(0,_keys2[\"default\"])(data),max=null,maxField=null,i,len;for(i=0,len=itemIds.length;i<len;i++){var id=itemIds[i];var item=data[id];var itemField=item[field];if(itemField!=null&&(!max||itemField>maxField)){max=item;maxField=itemField}}return max};DataSet.prototype.min=function(field){var data=this._data,itemIds=(0,_keys2[\"default\"])(data),min=null,minField=null,i,len;for(i=0,len=itemIds.length;i<len;i++){var id=itemIds[i];var item=data[id];var itemField=item[field];if(itemField!=null&&(!min||itemField<minField)){min=item;minField=itemField}}return min};DataSet.prototype.distinct=function(field){var data=this._data;var itemIds=(0,_keys2[\"default\"])(data);var values=[];var fieldType=this._options.type&&this._options.type[field]||null;var count=0;var i,j,len;for(i=0,len=itemIds.length;i<len;i++){var id=itemIds[i];var item=data[id];var value=item[field];var exists=false;for(j=0;j<count;j++){if(values[j]==value){exists=true;break}}if(!exists&&value!==undefined){values[count]=value;count++}}if(fieldType){for(i=0,len=values.length;i<len;i++){values[i]=util.convert(values[i],fieldType)}}return values};DataSet.prototype._addItem=function(item){var id=item[this._fieldId];if(id!=undefined){if(this._data[id]){throw new Error(\"Cannot add item: item with id \"+id+\" already exists\")}}else{id=util.randomUUID();item[this._fieldId]=id}var d={},fields=(0,_keys2[\"default\"])(item),i,len;for(i=0,len=fields.length;i<len;i++){var field=fields[i];var fieldType=this._type[field];d[field]=util.convert(item[field],fieldType)}this._data[id]=d;this.length++;return id};DataSet.prototype._getItem=function(id,types){var field,value,i,len;var raw=this._data[id];if(!raw){return null}var converted={},fields=(0,_keys2[\"default\"])(raw);if(types){for(i=0,len=fields.length;i<len;i++){field=fields[i];value=raw[field];converted[field]=util.convert(value,types[field])}}else{for(i=0,len=fields.length;i<len;i++){field=fields[i];value=raw[field];converted[field]=value}}if(!converted[this._fieldId]){converted[this._fieldId]=raw.id}return converted};DataSet.prototype._updateItem=function(item){var id=item[this._fieldId];if(id==undefined){throw new Error(\"Cannot update item: item has no id (item: \"+(0,_stringify2[\"default\"])(item)+\")\")}var d=this._data[id];if(!d){throw new Error(\"Cannot update item: no item with id \"+id+\" found\")}var fields=(0,_keys2[\"default\"])(item);for(var i=0,len=fields.length;i<len;i++){var field=fields[i];var fieldType=this._type[field];d[field]=util.convert(item[field],fieldType)}return id};module.exports=DataSet},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(91),__esModule:true}},function(module,exports,__webpack_require__){var core=__webpack_require__(17),$JSON=core.JSON||(core.JSON={stringify:JSON.stringify});module.exports=function stringify(it){return $JSON.stringify.apply($JSON,arguments)}},function(module,exports){\"use strict\";function Queue(options){this.delay=null;this.max=Infinity;this._queue=[];this._timeout=null;this._extended=null;this.setOptions(options)}Queue.prototype.setOptions=function(options){if(options&&typeof options.delay!==\"undefined\"){this.delay=options.delay}if(options&&typeof options.max!==\"undefined\"){this.max=options.max}this._flushIfNeeded()};Queue.extend=function(object,options){var queue=new Queue(options);if(object.flush!==undefined){throw new Error(\"Target object already has a property flush\")}object.flush=function(){queue.flush()};var methods=[{name:\"flush\",original:undefined}];if(options&&options.replace){for(var i=0;i<options.replace.length;i++){var name=options.replace[i];methods.push({name:name,original:object[name]});queue.replace(object,name)}}queue._extended={object:object,methods:methods};return queue};Queue.prototype.destroy=function(){this.flush();if(this._extended){var object=this._extended.object;var methods=this._extended.methods;for(var i=0;i<methods.length;i++){var method=methods[i];if(method.original){object[method.name]=method.original}else{delete object[method.name]}}this._extended=null}};Queue.prototype.replace=function(object,method){var me=this;var original=object[method];if(!original){throw new Error(\"Method \"+method+\" undefined\")}object[method]=function(){var args=[];for(var i=0;i<arguments.length;i++){args[i]=arguments[i]}me.queue({args:args,fn:original,context:this})}};Queue.prototype.queue=function(entry){if(typeof entry===\"function\"){this._queue.push({fn:entry})}else{this._queue.push(entry)}this._flushIfNeeded()};Queue.prototype._flushIfNeeded=function(){if(this._queue.length>this.max){this.flush()}clearTimeout(this._timeout);if(this.queue.length>0&&typeof this.delay===\"number\"){var me=this;this._timeout=setTimeout(function(){me.flush()},this.delay)}};Queue.prototype.flush=function(){while(this._queue.length>0){var entry=this._queue.shift();entry.fn.apply(entry.context||entry.fn,entry.args||[])}};module.exports=Queue},function(module,exports,__webpack_require__){\"use strict\";var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DataSet=__webpack_require__(89);function DataView(data,options){this._data=null;this._ids={};this.length=0;this._options=options||{};this._fieldId=\"id\";this._subscribers={};var me=this;this.listener=function(){me._onEvent.apply(me,arguments)};this.setData(data)}DataView.prototype.setData=function(data){var ids,id,i,len,items;if(this._data){if(this._data.off){this._data.off(\"*\",this.listener)}ids=this._data.getIds({filter:this._options&&this._options.filter});items=[];for(i=0,len=ids.length;i<len;i++){items.push(this._data._data[ids[i]])}this._ids={};this.length=0;this._trigger(\"remove\",{items:ids,oldData:items})}this._data=data;if(this._data){this._fieldId=this._options.fieldId||this._data&&this._data.options&&this._data.options.fieldId||\"id\";ids=this._data.getIds({filter:this._options&&this._options.filter});for(i=0,len=ids.length;i<len;i++){id=ids[i];this._ids[id]=true}this.length=ids.length;this._trigger(\"add\",{items:ids});if(this._data.on){this._data.on(\"*\",this.listener)}}};DataView.prototype.refresh=function(){var id,i,len;var ids=this._data.getIds({filter:this._options&&this._options.filter}),oldIds=(0,_keys2[\"default\"])(this._ids),newIds={},addedIds=[],removedIds=[],removedItems=[];for(i=0,len=ids.length;i<len;i++){id=ids[i];newIds[id]=true;if(!this._ids[id]){addedIds.push(id);this._ids[id]=true}}for(i=0,len=oldIds.length;i<len;i++){id=oldIds[i];if(!newIds[id]){removedIds.push(id);removedItems.push(this._data._data[id]);delete this._ids[id]}}this.length+=addedIds.length-removedIds.length;if(addedIds.length){this._trigger(\"add\",{items:addedIds})}if(removedIds.length){this._trigger(\"remove\",{items:removedIds,oldData:removedItems})}};DataView.prototype.get=function(args){var me=this;var ids,options,data;var firstType=util.getType(arguments[0]);if(firstType==\"String\"||firstType==\"Number\"||firstType==\"Array\"){ids=arguments[0];options=arguments[1];data=arguments[2]}else{options=arguments[0];data=arguments[1]}var viewOptions=util.extend({},this._options,options);if(this._options.filter&&options&&options.filter){viewOptions.filter=function(item){return me._options.filter(item)&&options.filter(item)}}var getArguments=[];if(ids!=undefined){getArguments.push(ids)}getArguments.push(viewOptions);getArguments.push(data);return this._data&&this._data.get.apply(this._data,getArguments)};DataView.prototype.getIds=function(options){var ids;if(this._data){var defaultFilter=this._options.filter;var filter;if(options&&options.filter){if(defaultFilter){filter=function filter(item){return defaultFilter(item)&&options.filter(item)}}else{filter=options.filter}}else{filter=defaultFilter}ids=this._data.getIds({filter:filter,order:options&&options.order})}else{ids=[]}return ids};DataView.prototype.map=function(callback,options){var mappedItems=[];if(this._data){var defaultFilter=this._options.filter;var filter;if(options&&options.filter){if(defaultFilter){filter=function filter(item){return defaultFilter(item)&&options.filter(item)}}else{filter=options.filter}}else{filter=defaultFilter}mappedItems=this._data.map(callback,{filter:filter,order:options&&options.order})}else{mappedItems=[]}return mappedItems};DataView.prototype.getDataSet=function(){var dataSet=this;while(dataSet instanceof DataView){dataSet=dataSet._data}return dataSet||null};DataView.prototype._onEvent=function(event,params,senderId){var i,len,id,item;var ids=params&¶ms.items;var addedIds=[],updatedIds=[],removedIds=[],oldItems=[],updatedItems=[],removedItems=[];if(ids&&this._data){switch(event){case\"add\":for(i=0,len=ids.length;i<len;i++){id=ids[i];item=this.get(id);if(item){this._ids[id]=true;addedIds.push(id)}}break;case\"update\":for(i=0,len=ids.length;i<len;i++){id=ids[i];item=this.get(id);if(item){if(this._ids[id]){updatedIds.push(id);updatedItems.push(params.data[i]);oldItems.push(params.oldData[i])}else{this._ids[id]=true;addedIds.push(id)}}else{if(this._ids[id]){delete this._ids[id];removedIds.push(id);removedItems.push(params.oldData[i])}else{}}}break;case\"remove\":for(i=0,len=ids.length;i<len;i++){id=ids[i];if(this._ids[id]){delete this._ids[id];removedIds.push(id);removedItems.push(params.oldData[i])}}break}this.length+=addedIds.length-removedIds.length;if(addedIds.length){this._trigger(\"add\",{items:addedIds},senderId)}if(updatedIds.length){this._trigger(\"update\",{items:updatedIds,oldData:oldItems,data:updatedItems},senderId)}if(removedIds.length){this._trigger(\"remove\",{items:removedIds,oldData:removedItems},senderId)}}};DataView.prototype.on=DataSet.prototype.on;DataView.prototype.off=DataSet.prototype.off;DataView.prototype._trigger=DataSet.prototype._trigger;DataView.prototype.subscribe=DataView.prototype.on;DataView.prototype.unsubscribe=DataView.prototype.off;module.exports=DataView},function(module,exports,__webpack_require__){\"use strict\";var _assign=__webpack_require__(95);var _assign2=_interopRequireDefault(_assign);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Emitter=__webpack_require__(99);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var util=__webpack_require__(1);var Point3d=__webpack_require__(100);var Point2d=__webpack_require__(101);var Camera=__webpack_require__(102);var Filter=__webpack_require__(107);var Slider=__webpack_require__(108);var StepNumber=__webpack_require__(109);var Range=__webpack_require__(110);var Settings=__webpack_require__(111);Graph3d.STYLE=Settings.STYLE;var autoByDefault=undefined;var DEFAULTS={width:\"400px\",height:\"400px\",filterLabel:\"time\",legendLabel:\"value\",xLabel:\"x\",yLabel:\"y\",zLabel:\"z\",xValueLabel:function xValueLabel(v){return v},yValueLabel:function yValueLabel(v){return v},zValueLabel:function zValueLabel(v){return v},showXAxis:true,showYAxis:true,showZAxis:true,showGrid:true,showPerspective:true,showShadow:false,keepAspectRatio:true,verticalRatio:.5,dotSizeRatio:.02,showAnimationControls:autoByDefault,animationInterval:1e3,animationPreload:false,animationAutoStart:autoByDefault,axisColor:\"#4D4D4D\",gridColor:\"#D3D3D3\",xCenter:\"55%\",yCenter:\"50%\",style:Graph3d.STYLE.DOT,tooltip:false,tooltipStyle:{content:{padding:\"10px\",border:\"1px solid #4d4d4d\",color:\"#1a1a1a\",background:\"rgba(255,255,255,0.7)\",borderRadius:\"2px\",boxShadow:\"5px 5px 10px rgba(128,128,128,0.5)\"},line:{height:\"40px\",width:\"0\",borderLeft:\"1px solid #4d4d4d\"},dot:{height:\"0\",width:\"0\",border:\"5px solid #4d4d4d\",borderRadius:\"5px\"}},showLegend:autoByDefault,backgroundColor:autoByDefault,dataColor:{fill:\"#7DC1FF\",stroke:\"#3267D2\",strokeWidth:1},cameraPosition:{horizontal:1,vertical:.5,distance:1.7},xBarWidth:autoByDefault,yBarWidth:autoByDefault,valueMin:autoByDefault,valueMax:autoByDefault,xMin:autoByDefault,xMax:autoByDefault,xStep:autoByDefault,yMin:autoByDefault,yMax:autoByDefault,yStep:autoByDefault,zMin:autoByDefault,zMax:autoByDefault,zStep:autoByDefault};function Graph3d(container,data,options){if(!(this instanceof Graph3d)){throw new SyntaxError(\"Constructor must be called with the new operator\")}this.containerElement=container;this.dataTable=null;this.dataPoints=null;this.create();Settings.setDefaults(DEFAULTS,this);this.colX=undefined;this.colY=undefined;this.colZ=undefined;this.colValue=undefined;this.colFilter=undefined;this.setOptions(options);if(data){this.setData(data)}}Emitter(Graph3d.prototype);Graph3d.prototype._setScale=function(){this.scale=new Point3d(1/this.xRange.range(),1/this.yRange.range(),1/this.zRange.range());if(this.keepAspectRatio){if(this.scale.x<this.scale.y){this.scale.y=this.scale.x}else{this.scale.x=this.scale.y}}this.scale.z*=this.verticalRatio;if(this.valueRange!==undefined){this.scale.value=1/this.valueRange.range()}var xCenter=this.xRange.center()*this.scale.x;var yCenter=this.yRange.center()*this.scale.y;var zCenter=this.zRange.center()*this.scale.z;this.camera.setArmLocation(xCenter,yCenter,zCenter)};Graph3d.prototype._convert3Dto2D=function(point3d){var translation=this._convertPointToTranslation(point3d);return this._convertTranslationToScreen(translation)};Graph3d.prototype._convertPointToTranslation=function(point3d){var cameraLocation=this.camera.getCameraLocation(),cameraRotation=this.camera.getCameraRotation(),ax=point3d.x*this.scale.x,ay=point3d.y*this.scale.y,az=point3d.z*this.scale.z,cx=cameraLocation.x,cy=cameraLocation.y,cz=cameraLocation.z,sinTx=Math.sin(cameraRotation.x),cosTx=Math.cos(cameraRotation.x),sinTy=Math.sin(cameraRotation.y),cosTy=Math.cos(cameraRotation.y),sinTz=Math.sin(cameraRotation.z),cosTz=Math.cos(cameraRotation.z),dx=cosTy*(sinTz*(ay-cy)+cosTz*(ax-cx))-sinTy*(az-cz),dy=sinTx*(cosTy*(az-cz)+sinTy*(sinTz*(ay-cy)+cosTz*(ax-cx)))+cosTx*(cosTz*(ay-cy)-sinTz*(ax-cx)),dz=cosTx*(cosTy*(az-cz)+sinTy*(sinTz*(ay-cy)+cosTz*(ax-cx)))-sinTx*(cosTz*(ay-cy)-sinTz*(ax-cx));return new Point3d(dx,dy,dz)};Graph3d.prototype._convertTranslationToScreen=function(translation){var ex=this.eye.x,ey=this.eye.y,ez=this.eye.z,dx=translation.x,dy=translation.y,dz=translation.z;var bx;var by;if(this.showPerspective){bx=(dx-ex)*(ez/dz);by=(dy-ey)*(ez/dz)}else{bx=dx*-(ez/this.camera.getArmLength());by=dy*-(ez/this.camera.getArmLength())}return new Point2d(this.currentXCenter+bx*this.frame.canvas.clientWidth,this.currentYCenter-by*this.frame.canvas.clientWidth)};Graph3d.prototype._calcTranslations=function(points,sort){if(sort===undefined){sort=true}for(var i=0;i<points.length;i++){var point=points[i];point.trans=this._convertPointToTranslation(point.point);point.screen=this._convertTranslationToScreen(point.trans);var transBottom=this._convertPointToTranslation(point.bottom);point.dist=this.showPerspective?transBottom.length():-transBottom.z}if(!sort){return}var sortDepth=function sortDepth(a,b){return b.dist-a.dist};points.sort(sortDepth)};Graph3d.prototype.getNumberOfRows=function(data){return data.length};Graph3d.prototype.getNumberOfColumns=function(data){var counter=0;for(var column in data[0]){if(data[0].hasOwnProperty(column)){counter++}}return counter};Graph3d.prototype.getDistinctValues=function(data,column){var distinctValues=[];for(var i=0;i<data.length;i++){if(distinctValues.indexOf(data[i][column])==-1){distinctValues.push(data[i][column])}}return distinctValues.sort(function(a,b){return a-b})};Graph3d.prototype.getSmallestDifference=function(data,column){var values=this.getDistinctValues(data,column);var diffs=[];var smallest_diff=null;for(var i=1;i<values.length;i++){var diff=values[i]-values[i-1];if(smallest_diff==null||smallest_diff>diff){smallest_diff=diff}}return smallest_diff};Graph3d.prototype.getColumnRange=function(data,column){var range=new Range;for(var i=0;i<data.length;i++){var item=data[i][column];range.adjust(item)}return range};Graph3d.prototype._checkValueField=function(data){var hasValueField=this.style===Graph3d.STYLE.BARCOLOR||this.style===Graph3d.STYLE.BARSIZE||this.style===Graph3d.STYLE.DOTCOLOR||this.style===Graph3d.STYLE.DOTSIZE;if(!hasValueField){return}if(this.colValue===undefined){throw new Error(\"Expected data to have \"+\" field 'style' \"+\" for graph style '\"+this.style+\"'\")}if(data[0][this.colValue]===undefined){throw new Error(\"Expected data to have \"+\" field '\"+this.colValue+\"' \"+\" for graph style '\"+this.style+\"'\")}};Graph3d.prototype._setRangeDefaults=function(range,defaultMin,defaultMax){if(defaultMin!==undefined){range.min=defaultMin}if(defaultMax!==undefined){range.max=defaultMax}if(range.max<=range.min)range.max=range.min+1};Graph3d.prototype._dataInitialize=function(rawData,style){var me=this;if(this.dataSet){this.dataSet.off(\"*\",this._onChange)}if(rawData===undefined)return;if(Array.isArray(rawData)){rawData=new DataSet(rawData)}var data;if(rawData instanceof DataSet||rawData instanceof DataView){data=rawData.get()}else{throw new Error(\"Array, DataSet, or DataView expected\")}if(data.length==0)return;this.dataSet=rawData;this.dataTable=data;this._onChange=function(){me.setData(me.dataSet)};this.dataSet.on(\"*\",this._onChange);this.colX=\"x\";this.colY=\"y\";this.colZ=\"z\";var withBars=this.style==Graph3d.STYLE.BAR||this.style==Graph3d.STYLE.BARCOLOR||this.style==Graph3d.STYLE.BARSIZE;if(withBars){if(this.defaultXBarWidth!==undefined){this.xBarWidth=this.defaultXBarWidth}else{this.xBarWidth=this.getSmallestDifference(data,this.colX)||1}if(this.defaultYBarWidth!==undefined){this.yBarWidth=this.defaultYBarWidth}else{this.yBarWidth=this.getSmallestDifference(data,this.colY)||1}}var NUMSTEPS=5;var xRange=this.getColumnRange(data,this.colX);if(withBars){xRange.expand(this.xBarWidth/2)}this._setRangeDefaults(xRange,this.defaultXMin,this.defaultXMax);this.xRange=xRange;this.xStep=this.defaultXStep!==undefined?this.defaultXStep:xRange.range()/NUMSTEPS;var yRange=this.getColumnRange(data,this.colY);if(withBars){yRange.expand(this.yBarWidth/2)}this._setRangeDefaults(yRange,this.defaultYMin,this.defaultYMax);this.yRange=yRange;this.yStep=this.defaultYStep!==undefined?this.defaultYStep:yRange.range()/NUMSTEPS;var zRange=this.getColumnRange(data,this.colZ);this._setRangeDefaults(zRange,this.defaultZMin,this.defaultZMax);this.zRange=zRange;this.zStep=this.defaultZStep!==undefined?this.defaultZStep:zRange.range()/NUMSTEPS;if(data[0].hasOwnProperty(\"style\")){this.colValue=\"style\";var valueRange=this.getColumnRange(data,this.colValue);this._setRangeDefaults(valueRange,this.defaultValueMin,this.defaultValueMax);this.valueRange=valueRange}if(data[0].hasOwnProperty(\"filter\")){this.colFilter=\"filter\";if(this.dataFilter===undefined){this.dataFilter=new Filter(rawData,this.colFilter,this);this.dataFilter.setOnLoadCallback(function(){me.redraw()})}}this._setScale()};Graph3d.prototype._getDataPoints=function(data){var x,y,i,z,obj,point;var dataPoints=[];if(this.style===Graph3d.STYLE.GRID||this.style===Graph3d.STYLE.SURFACE){var dataX=[];var dataY=[];for(i=0;i<this.getNumberOfRows(data);i++){x=data[i][this.colX]||0;y=data[i][this.colY]||0;if(dataX.indexOf(x)===-1){dataX.push(x)}if(dataY.indexOf(y)===-1){dataY.push(y)}}var sortNumber=function sortNumber(a,b){return a-b};dataX.sort(sortNumber);dataY.sort(sortNumber);var dataMatrix=[];for(i=0;i<data.length;i++){x=data[i][this.colX]||0;y=data[i][this.colY]||0;z=data[i][this.colZ]||0;var xIndex=dataX.indexOf(x);var yIndex=dataY.indexOf(y);if(dataMatrix[xIndex]===undefined){dataMatrix[xIndex]=[]}var point3d=new Point3d;point3d.x=x;point3d.y=y;point3d.z=z;point3d.data=data[i];obj={};obj.point=point3d;obj.trans=undefined;obj.screen=undefined;obj.bottom=new Point3d(x,y,this.zRange.min);dataMatrix[xIndex][yIndex]=obj;dataPoints.push(obj)}for(x=0;x<dataMatrix.length;x++){for(y=0;y<dataMatrix[x].length;y++){if(dataMatrix[x][y]){dataMatrix[x][y].pointRight=x<dataMatrix.length-1?dataMatrix[x+1][y]:undefined;dataMatrix[x][y].pointTop=y<dataMatrix[x].length-1?dataMatrix[x][y+1]:undefined;dataMatrix[x][y].pointCross=x<dataMatrix.length-1&&y<dataMatrix[x].length-1?dataMatrix[x+1][y+1]:undefined}}}}else{this._checkValueField(data);for(i=0;i<data.length;i++){point=new Point3d;point.x=data[i][this.colX]||0;point.y=data[i][this.colY]||0;point.z=data[i][this.colZ]||0;point.data=data[i];if(this.colValue!==undefined){point.value=data[i][this.colValue]||0}obj={};obj.point=point;obj.bottom=new Point3d(point.x,point.y,this.zRange.min);obj.trans=undefined;obj.screen=undefined;if(this.style===Graph3d.STYLE.LINE){if(i>0){dataPoints[i-1].pointNext=obj}}dataPoints.push(obj)}}return dataPoints};Graph3d.prototype.create=function(){while(this.containerElement.hasChildNodes()){this.containerElement.removeChild(this.containerElement.firstChild)}this.frame=document.createElement(\"div\");this.frame.style.position=\"relative\";this.frame.style.overflow=\"hidden\";this.frame.canvas=document.createElement(\"canvas\");this.frame.canvas.style.position=\"relative\";this.frame.appendChild(this.frame.canvas);{var noCanvas=document.createElement(\"DIV\");noCanvas.style.color=\"red\";noCanvas.style.fontWeight=\"bold\";noCanvas.style.padding=\"10px\";noCanvas.innerHTML=\"Error: your browser does not support HTML canvas\";this.frame.canvas.appendChild(noCanvas)}this.frame.filter=document.createElement(\"div\");this.frame.filter.style.position=\"absolute\";this.frame.filter.style.bottom=\"0px\";this.frame.filter.style.left=\"0px\";this.frame.filter.style.width=\"100%\";this.frame.appendChild(this.frame.filter);var me=this;var onmousedown=function onmousedown(event){me._onMouseDown(event)};var ontouchstart=function ontouchstart(event){me._onTouchStart(event)};var onmousewheel=function onmousewheel(event){me._onWheel(event)};var ontooltip=function ontooltip(event){me._onTooltip(event)};var onclick=function onclick(event){me._onClick(event)};util.addEventListener(this.frame.canvas,\"mousedown\",onmousedown);util.addEventListener(this.frame.canvas,\"touchstart\",ontouchstart);util.addEventListener(this.frame.canvas,\"mousewheel\",onmousewheel);util.addEventListener(this.frame.canvas,\"mousemove\",ontooltip);util.addEventListener(this.frame.canvas,\"click\",onclick);this.containerElement.appendChild(this.frame)};Graph3d.prototype._setSize=function(width,height){this.frame.style.width=width;this.frame.style.height=height;this._resizeCanvas()};Graph3d.prototype._resizeCanvas=function(){this.frame.canvas.style.width=\"100%\";this.frame.canvas.style.height=\"100%\";this.frame.canvas.width=this.frame.canvas.clientWidth;this.frame.canvas.height=this.frame.canvas.clientHeight;this.frame.filter.style.width=this.frame.canvas.clientWidth-2*10+\"px\"};Graph3d.prototype.animationStart=function(){if(!this.frame.filter||!this.frame.filter.slider)throw new Error(\"No animation available\");this.frame.filter.slider.play()};Graph3d.prototype.animationStop=function(){if(!this.frame.filter||!this.frame.filter.slider)return;this.frame.filter.slider.stop()};Graph3d.prototype._resizeCenter=function(){if(this.xCenter.charAt(this.xCenter.length-1)===\"%\"){this.currentXCenter=parseFloat(this.xCenter)/100*this.frame.canvas.clientWidth}else{this.currentXCenter=parseFloat(this.xCenter)}if(this.yCenter.charAt(this.yCenter.length-1)===\"%\"){this.currentYCenter=parseFloat(this.yCenter)/100*(this.frame.canvas.clientHeight-this.frame.filter.clientHeight)}else{this.currentYCenter=parseFloat(this.yCenter)}};Graph3d.prototype.getCameraPosition=function(){var pos=this.camera.getArmRotation();pos.distance=this.camera.getArmLength();return pos};Graph3d.prototype._readData=function(data){this._dataInitialize(data,this.style);if(this.dataFilter){this.dataPoints=this.dataFilter._getDataPoints()}else{this.dataPoints=this._getDataPoints(this.dataTable)}this._redrawFilter()};Graph3d.prototype.setData=function(data){this._readData(data);this.redraw();if(this.animationAutoStart&&this.dataFilter){this.animationStart()}};Graph3d.prototype.setOptions=function(options){var cameraPosition=undefined;this.animationStop();Settings.setOptions(options,this);this.setPointDrawingMethod();this._setSize(this.width,this.height);if(this.dataTable){this.setData(this.dataTable)}if(this.animationAutoStart&&this.dataFilter){this.animationStart()}};Graph3d.prototype.setPointDrawingMethod=function(){var method=undefined;switch(this.style){case Graph3d.STYLE.BAR:method=Graph3d.prototype._redrawBarGraphPoint;break;case Graph3d.STYLE.BARCOLOR:method=Graph3d.prototype._redrawBarColorGraphPoint;break;case Graph3d.STYLE.BARSIZE:method=Graph3d.prototype._redrawBarSizeGraphPoint;break;case Graph3d.STYLE.DOT:method=Graph3d.prototype._redrawDotGraphPoint;break;case Graph3d.STYLE.DOTLINE:method=Graph3d.prototype._redrawDotLineGraphPoint;break;case Graph3d.STYLE.DOTCOLOR:method=Graph3d.prototype._redrawDotColorGraphPoint;break;case Graph3d.STYLE.DOTSIZE:method=Graph3d.prototype._redrawDotSizeGraphPoint;break;case Graph3d.STYLE.SURFACE:method=Graph3d.prototype._redrawSurfaceGraphPoint;break;case Graph3d.STYLE.GRID:method=Graph3d.prototype._redrawGridGraphPoint;break;case Graph3d.STYLE.LINE:method=Graph3d.prototype._redrawLineGraphPoint;break;default:throw new Error(\"Can not determine point drawing method \"+\"for graph style '\"+this.style+\"'\")}this._pointDrawingMethod=method};Graph3d.prototype.redraw=function(){if(this.dataPoints===undefined){throw new Error(\"Graph data not initialized\")}this._resizeCanvas();this._resizeCenter();this._redrawSlider();this._redrawClear();this._redrawAxis();this._redrawDataGraph();this._redrawInfo();this._redrawLegend()};Graph3d.prototype._getContext=function(){var canvas=this.frame.canvas;var ctx=canvas.getContext(\"2d\");ctx.lineJoin=\"round\";ctx.lineCap=\"round\";return ctx};Graph3d.prototype._redrawClear=function(){var canvas=this.frame.canvas;var ctx=canvas.getContext(\"2d\");ctx.clearRect(0,0,canvas.width,canvas.height)};Graph3d.prototype._dotSize=function(){return this.frame.clientWidth*this.dotSizeRatio};Graph3d.prototype._getLegendWidth=function(){var width;if(this.style===Graph3d.STYLE.DOTSIZE){var dotSize=this._dotSize();width=dotSize/2+dotSize*2}else if(this.style===Graph3d.STYLE.BARSIZE){width=this.xBarWidth}else{width=20}return width};Graph3d.prototype._redrawLegend=function(){if(this.showLegend!==true){return}if(this.style===Graph3d.STYLE.LINE||this.style===Graph3d.STYLE.BARSIZE){return}var isSizeLegend=this.style===Graph3d.STYLE.BARSIZE||this.style===Graph3d.STYLE.DOTSIZE;var isValueLegend=this.style===Graph3d.STYLE.DOTSIZE||this.style===Graph3d.STYLE.DOTCOLOR||this.style===Graph3d.STYLE.BARCOLOR;var height=Math.max(this.frame.clientHeight*.25,100);var top=this.margin;var width=this._getLegendWidth();var right=this.frame.clientWidth-this.margin;var left=right-width;var bottom=top+height;var ctx=this._getContext();ctx.lineWidth=1;ctx.font=\"14px arial\";if(isSizeLegend===false){var ymin=0;var ymax=height;var y;for(y=ymin;y<ymax;y++){var f=(y-ymin)/(ymax-ymin);var hue=f*240;var color=this._hsv2rgb(hue,1,1);ctx.strokeStyle=color;ctx.beginPath();ctx.moveTo(left,top+y);ctx.lineTo(right,top+y);ctx.stroke()}ctx.strokeStyle=this.axisColor;ctx.strokeRect(left,top,width,height)}else{var widthMin;if(this.style===Graph3d.STYLE.DOTSIZE){var dotSize=this._dotSize();widthMin=dotSize/2}else if(this.style===Graph3d.STYLE.BARSIZE){}ctx.strokeStyle=this.axisColor;ctx.fillStyle=this.dataColor.fill;ctx.beginPath();ctx.moveTo(left,top);ctx.lineTo(right,top);ctx.lineTo(right-width+widthMin,bottom);ctx.lineTo(left,bottom);ctx.closePath();ctx.fill();ctx.stroke()}var gridLineLen=5;var legendMin=isValueLegend?this.valueRange.min:this.zRange.min;var legendMax=isValueLegend?this.valueRange.max:this.zRange.max;var step=new StepNumber(legendMin,legendMax,(legendMax-legendMin)/5,true);step.start(true);var y;var from;var to;while(!step.end()){y=bottom-(step.getCurrent()-legendMin)/(legendMax-legendMin)*height;from=new Point2d(left-gridLineLen,y);to=new Point2d(left,y);this._line(ctx,from,to);ctx.textAlign=\"right\";ctx.textBaseline=\"middle\";ctx.fillStyle=this.axisColor;ctx.fillText(step.getCurrent(),left-2*gridLineLen,y);step.next()}ctx.textAlign=\"right\";ctx.textBaseline=\"top\";var label=this.legendLabel;ctx.fillText(label,right,bottom+this.margin)};Graph3d.prototype._redrawFilter=function(){this.frame.filter.innerHTML=\"\";if(this.dataFilter){var options={visible:this.showAnimationControls};var slider=new Slider(this.frame.filter,options);this.frame.filter.slider=slider;this.frame.filter.style.padding=\"10px\";slider.setValues(this.dataFilter.values);slider.setPlayInterval(this.animationInterval);var me=this;var onchange=function onchange(){var index=slider.getIndex();me.dataFilter.selectValue(index);me.dataPoints=me.dataFilter._getDataPoints();me.redraw()};slider.setOnChangeCallback(onchange)}else{this.frame.filter.slider=undefined}};Graph3d.prototype._redrawSlider=function(){if(this.frame.filter.slider!==undefined){this.frame.filter.slider.redraw()}};Graph3d.prototype._redrawInfo=function(){if(this.dataFilter){var ctx=this._getContext();ctx.font=\"14px arial\";ctx.lineStyle=\"gray\";ctx.fillStyle=\"gray\";ctx.textAlign=\"left\";ctx.textBaseline=\"top\";var x=this.margin;var y=this.margin;ctx.fillText(this.dataFilter.getLabel()+\": \"+this.dataFilter.getSelectedValue(),x,y)}};Graph3d.prototype._line=function(ctx,from,to,strokeStyle){if(strokeStyle!==undefined){ctx.strokeStyle=strokeStyle}ctx.beginPath();ctx.moveTo(from.x,from.y);ctx.lineTo(to.x,to.y);ctx.stroke()};Graph3d.prototype.drawAxisLabelX=function(ctx,point3d,text,armAngle,yMargin){if(yMargin===undefined){yMargin=0}var point2d=this._convert3Dto2D(point3d);if(Math.cos(armAngle*2)>0){ctx.textAlign=\"center\";ctx.textBaseline=\"top\";point2d.y+=yMargin}else if(Math.sin(armAngle*2)<0){ctx.textAlign=\"right\";ctx.textBaseline=\"middle\"}else{ctx.textAlign=\"left\";ctx.textBaseline=\"middle\"}ctx.fillStyle=this.axisColor;ctx.fillText(text,point2d.x,point2d.y)};Graph3d.prototype.drawAxisLabelY=function(ctx,point3d,text,armAngle,yMargin){if(yMargin===undefined){yMargin=0}var point2d=this._convert3Dto2D(point3d);if(Math.cos(armAngle*2)<0){ctx.textAlign=\"center\";ctx.textBaseline=\"top\";point2d.y+=yMargin}else if(Math.sin(armAngle*2)>0){ctx.textAlign=\"right\";ctx.textBaseline=\"middle\"}else{ctx.textAlign=\"left\";ctx.textBaseline=\"middle\"}ctx.fillStyle=this.axisColor;ctx.fillText(text,point2d.x,point2d.y)};Graph3d.prototype.drawAxisLabelZ=function(ctx,point3d,text,offset){if(offset===undefined){offset=0}var point2d=this._convert3Dto2D(point3d);ctx.textAlign=\"right\";ctx.textBaseline=\"middle\";ctx.fillStyle=this.axisColor;ctx.fillText(text,point2d.x-offset,point2d.y)};Graph3d.prototype._line3d=function(ctx,from,to,strokeStyle){var from2d=this._convert3Dto2D(from);var to2d=this._convert3Dto2D(to);this._line(ctx,from2d,to2d,strokeStyle)};Graph3d.prototype._redrawAxis=function(){var ctx=this._getContext(),from,to,step,prettyStep,text,xText,yText,zText,offset,xOffset,yOffset;ctx.font=24/this.camera.getArmLength()+\"px arial\";var gridLenX=.025/this.scale.x;var gridLenY=.025/this.scale.y;var textMargin=5/this.camera.getArmLength();var armAngle=this.camera.getArmRotation().horizontal;var armVector=new Point2d(Math.cos(armAngle),Math.sin(armAngle));var xRange=this.xRange;var yRange=this.yRange;var zRange=this.zRange;ctx.lineWidth=1;prettyStep=this.defaultXStep===undefined;step=new StepNumber(xRange.min,xRange.max,this.xStep,prettyStep);step.start(true);while(!step.end()){var x=step.getCurrent();if(this.showGrid){from=new Point3d(x,yRange.min,zRange.min);to=new Point3d(x,yRange.max,zRange.min);this._line3d(ctx,from,to,this.gridColor)}else if(this.showXAxis){from=new Point3d(x,yRange.min,zRange.min);to=new Point3d(x,yRange.min+gridLenX,zRange.min);this._line3d(ctx,from,to,this.axisColor);from=new Point3d(x,yRange.max,zRange.min);to=new Point3d(x,yRange.max-gridLenX,zRange.min);this._line3d(ctx,from,to,this.axisColor)}if(this.showXAxis){yText=armVector.x>0?yRange.min:yRange.max;var point3d=new Point3d(x,yText,zRange.min);var msg=\" \"+this.xValueLabel(x)+\" \";this.drawAxisLabelX(ctx,point3d,msg,armAngle,textMargin)}step.next()}ctx.lineWidth=1;prettyStep=this.defaultYStep===undefined;step=new StepNumber(yRange.min,yRange.max,this.yStep,prettyStep);step.start(true);while(!step.end()){var y=step.getCurrent();if(this.showGrid){from=new Point3d(xRange.min,y,zRange.min);to=new Point3d(xRange.max,y,zRange.min);this._line3d(ctx,from,to,this.gridColor)}else if(this.showYAxis){from=new Point3d(xRange.min,y,zRange.min);to=new Point3d(xRange.min+gridLenY,y,zRange.min);this._line3d(ctx,from,to,this.axisColor);from=new Point3d(xRange.max,y,zRange.min);to=new Point3d(xRange.max-gridLenY,y,zRange.min);this._line3d(ctx,from,to,this.axisColor)}if(this.showYAxis){xText=armVector.y>0?xRange.min:xRange.max;point3d=new Point3d(xText,y,zRange.min);var msg=\" \"+this.yValueLabel(y)+\" \";this.drawAxisLabelY(ctx,point3d,msg,armAngle,textMargin)}step.next()}if(this.showZAxis){ctx.lineWidth=1;prettyStep=this.defaultZStep===undefined;step=new StepNumber(zRange.min,zRange.max,this.zStep,prettyStep);step.start(true);xText=armVector.x>0?xRange.min:xRange.max;yText=armVector.y<0?yRange.min:yRange.max;while(!step.end()){var z=step.getCurrent();var from3d=new Point3d(xText,yText,z);var from2d=this._convert3Dto2D(from3d);to=new Point2d(from2d.x-textMargin,from2d.y);this._line(ctx,from2d,to,this.axisColor);var msg=this.zValueLabel(z)+\" \";this.drawAxisLabelZ(ctx,from3d,msg,5);step.next()}ctx.lineWidth=1;from=new Point3d(xText,yText,zRange.min);to=new Point3d(xText,yText,zRange.max);this._line3d(ctx,from,to,this.axisColor)}if(this.showXAxis){var xMin2d;var xMax2d;ctx.lineWidth=1;xMin2d=new Point3d(xRange.min,yRange.min,zRange.min);xMax2d=new Point3d(xRange.max,yRange.min,zRange.min);this._line3d(ctx,xMin2d,xMax2d,this.axisColor);xMin2d=new Point3d(xRange.min,yRange.max,zRange.min);xMax2d=new Point3d(xRange.max,yRange.max,zRange.min);this._line3d(ctx,xMin2d,xMax2d,this.axisColor)}if(this.showYAxis){ctx.lineWidth=1;from=new Point3d(xRange.min,yRange.min,zRange.min);to=new Point3d(xRange.min,yRange.max,zRange.min);this._line3d(ctx,from,to,this.axisColor);from=new Point3d(xRange.max,yRange.min,zRange.min);to=new Point3d(xRange.max,yRange.max,zRange.min);this._line3d(ctx,from,to,this.axisColor)}var xLabel=this.xLabel;if(xLabel.length>0&&this.showXAxis){yOffset=.1/this.scale.y;xText=(xRange.max+3*xRange.min)/4;yText=armVector.x>0?yRange.min-yOffset:yRange.max+yOffset;text=new Point3d(xText,yText,zRange.min);this.drawAxisLabelX(ctx,text,xLabel,armAngle)}var yLabel=this.yLabel;if(yLabel.length>0&&this.showYAxis){xOffset=.1/this.scale.x;xText=armVector.y>0?xRange.min-xOffset:xRange.max+xOffset;yText=(yRange.max+3*yRange.min)/4;text=new Point3d(xText,yText,zRange.min);this.drawAxisLabelY(ctx,text,yLabel,armAngle)}var zLabel=this.zLabel;if(zLabel.length>0&&this.showZAxis){offset=30;xText=armVector.x>0?xRange.min:xRange.max;yText=armVector.y<0?yRange.min:yRange.max;zText=(zRange.max+3*zRange.min)/4;text=new Point3d(xText,yText,zText);this.drawAxisLabelZ(ctx,text,zLabel,offset)}};Graph3d.prototype._hsv2rgb=function(H,S,V){var R,G,B,C,Hi,X;C=V*S;Hi=Math.floor(H/60);X=C*(1-Math.abs(H/60%2-1));switch(Hi){case 0:R=C;G=X;B=0;break;case 1:R=X;G=C;B=0;break;case 2:R=0;G=C;B=X;break;case 3:R=0;G=X;B=C;break;case 4:R=X;G=0;B=C;break;case 5:R=C;G=0;B=X;break;default:R=0;G=0;B=0;break}return\"RGB(\"+parseInt(R*255)+\",\"+parseInt(G*255)+\",\"+parseInt(B*255)+\")\"};Graph3d.prototype._getStrokeWidth=function(point){if(point!==undefined){if(this.showPerspective){return 1/-point.trans.z*this.dataColor.strokeWidth}else{return-(this.eye.z/this.camera.getArmLength())*this.dataColor.strokeWidth}}return this.dataColor.strokeWidth};Graph3d.prototype._redrawBar=function(ctx,point,xWidth,yWidth,color,borderColor){var i,j,surface;var me=this;var point3d=point.point;var zMin=this.zRange.min;var top=[{point:new Point3d(point3d.x-xWidth,point3d.y-yWidth,point3d.z)},{point:new Point3d(point3d.x+xWidth,point3d.y-yWidth,point3d.z)},{point:new Point3d(point3d.x+xWidth,point3d.y+yWidth,point3d.z)},{point:new Point3d(point3d.x-xWidth,point3d.y+yWidth,point3d.z)}];var bottom=[{point:new Point3d(point3d.x-xWidth,point3d.y-yWidth,zMin)},{point:new Point3d(point3d.x+xWidth,point3d.y-yWidth,zMin)},{point:new Point3d(point3d.x+xWidth,point3d.y+yWidth,zMin)},{point:new Point3d(point3d.x-xWidth,point3d.y+yWidth,zMin)}];top.forEach(function(obj){obj.screen=me._convert3Dto2D(obj.point)});bottom.forEach(function(obj){obj.screen=me._convert3Dto2D(obj.point)});var surfaces=[{corners:top,center:Point3d.avg(bottom[0].point,bottom[2].point)},{corners:[top[0],top[1],bottom[1],bottom[0]],center:Point3d.avg(bottom[1].point,bottom[0].point)},{corners:[top[1],top[2],bottom[2],bottom[1]],center:Point3d.avg(bottom[2].point,bottom[1].point)},{corners:[top[2],top[3],bottom[3],bottom[2]],center:Point3d.avg(bottom[3].point,bottom[2].point)},{corners:[top[3],top[0],bottom[0],bottom[3]],center:Point3d.avg(bottom[0].point,bottom[3].point)}];point.surfaces=surfaces;for(j=0;j<surfaces.length;j++){surface=surfaces[j];var transCenter=this._convertPointToTranslation(surface.center);surface.dist=this.showPerspective?transCenter.length():-transCenter.z}surfaces.sort(function(a,b){var diff=b.dist-a.dist;if(diff)return diff;if(a.corners===top)return 1;if(b.corners===top)return-1;return 0});ctx.lineWidth=this._getStrokeWidth(point);ctx.strokeStyle=borderColor;ctx.fillStyle=color;for(j=2;j<surfaces.length;j++){surface=surfaces[j];this._polygon(ctx,surface.corners)}};Graph3d.prototype._polygon=function(ctx,points,fillStyle,strokeStyle){if(points.length<2){return}if(fillStyle!==undefined){ctx.fillStyle=fillStyle}if(strokeStyle!==undefined){ctx.strokeStyle=strokeStyle}ctx.beginPath();ctx.moveTo(points[0].screen.x,points[0].screen.y);for(var i=1;i<points.length;++i){var point=points[i];ctx.lineTo(point.screen.x,point.screen.y)}ctx.closePath();ctx.fill();ctx.stroke()};Graph3d.prototype._drawCircle=function(ctx,point,color,borderColor,size){var radius=this._calcRadius(point,size);ctx.lineWidth=this._getStrokeWidth(point);ctx.strokeStyle=borderColor;ctx.fillStyle=color;ctx.beginPath();ctx.arc(point.screen.x,point.screen.y,radius,0,Math.PI*2,true);ctx.fill();ctx.stroke()};Graph3d.prototype._getColorsRegular=function(point){var hue=(1-(point.point.z-this.zRange.min)*this.scale.z/this.verticalRatio)*240;var color=this._hsv2rgb(hue,1,1);var borderColor=this._hsv2rgb(hue,1,.8);return{fill:color,border:borderColor}};Graph3d.prototype._getColorsColor=function(point){var color,borderColor;if(typeof point.point.value===\"string\"){color=point.point.value;borderColor=point.point.value}else{var hue=(1-(point.point.value-this.valueRange.min)*this.scale.value)*240;color=this._hsv2rgb(hue,1,1);borderColor=this._hsv2rgb(hue,1,.8)}return{fill:color,border:borderColor}};Graph3d.prototype._getColorsSize=function(){return{fill:this.dataColor.fill,border:this.dataColor.stroke}};Graph3d.prototype._calcRadius=function(point,size){if(size===undefined){size=this._dotSize()}var radius;if(this.showPerspective){radius=size/-point.trans.z}else{radius=size*-(this.eye.z/this.camera.getArmLength())}if(radius<0){radius=0}return radius};Graph3d.prototype._redrawBarGraphPoint=function(ctx,point){var xWidth=this.xBarWidth/2;var yWidth=this.yBarWidth/2;var colors=this._getColorsRegular(point);this._redrawBar(ctx,point,xWidth,yWidth,colors.fill,colors.border)};Graph3d.prototype._redrawBarColorGraphPoint=function(ctx,point){var xWidth=this.xBarWidth/2;var yWidth=this.yBarWidth/2;var colors=this._getColorsColor(point);this._redrawBar(ctx,point,xWidth,yWidth,colors.fill,colors.border)};Graph3d.prototype._redrawBarSizeGraphPoint=function(ctx,point){var fraction=(point.point.value-this.valueRange.min)/this.valueRange.range();var xWidth=this.xBarWidth/2*(fraction*.8+.2);var yWidth=this.yBarWidth/2*(fraction*.8+.2);var colors=this._getColorsSize();this._redrawBar(ctx,point,xWidth,yWidth,colors.fill,colors.border)};Graph3d.prototype._redrawDotGraphPoint=function(ctx,point){var colors=this._getColorsRegular(point);this._drawCircle(ctx,point,colors.fill,colors.border)};Graph3d.prototype._redrawDotLineGraphPoint=function(ctx,point){var from=this._convert3Dto2D(point.bottom);ctx.lineWidth=1;this._line(ctx,from,point.screen,this.gridColor);this._redrawDotGraphPoint(ctx,point)};Graph3d.prototype._redrawDotColorGraphPoint=function(ctx,point){var colors=this._getColorsColor(point);this._drawCircle(ctx,point,colors.fill,colors.border)};Graph3d.prototype._redrawDotSizeGraphPoint=function(ctx,point){var dotSize=this._dotSize();var fraction=(point.point.value-this.valueRange.min)/this.valueRange.range();var size=dotSize/2+2*dotSize*fraction;var colors=this._getColorsSize();this._drawCircle(ctx,point,colors.fill,colors.border,size)};Graph3d.prototype._redrawSurfaceGraphPoint=function(ctx,point){var right=point.pointRight;var top=point.pointTop;var cross=point.pointCross;if(point===undefined||right===undefined||top===undefined||cross===undefined){return}var topSideVisible=true;var fillStyle;var strokeStyle;var lineWidth;if(this.showGrayBottom||this.showShadow){var aDiff=Point3d.subtract(cross.trans,point.trans);var bDiff=Point3d.subtract(top.trans,right.trans);var crossproduct=Point3d.crossProduct(aDiff,bDiff);var len=crossproduct.length();topSideVisible=crossproduct.z>0}if(topSideVisible){var zAvg=(point.point.z+right.point.z+top.point.z+cross.point.z)/4;var h=(1-(zAvg-this.zRange.min)*this.scale.z/this.verticalRatio)*240;var s=1;var v;if(this.showShadow){v=Math.min(1+crossproduct.x/len/2,1);fillStyle=this._hsv2rgb(h,s,v);strokeStyle=fillStyle}else{v=1;fillStyle=this._hsv2rgb(h,s,v);strokeStyle=this.axisColor}}else{fillStyle=\"gray\";strokeStyle=this.axisColor}ctx.lineWidth=this._getStrokeWidth(point);var points=[point,right,cross,top];this._polygon(ctx,points,fillStyle,strokeStyle)};Graph3d.prototype._drawGridLine=function(ctx,from,to){if(from===undefined||to===undefined){return}var zAvg=(from.point.z+to.point.z)/2;var h=(1-(zAvg-this.zRange.min)*this.scale.z/this.verticalRatio)*240;ctx.lineWidth=this._getStrokeWidth(from)*2;ctx.strokeStyle=this._hsv2rgb(h,1,1);this._line(ctx,from.screen,to.screen)};Graph3d.prototype._redrawGridGraphPoint=function(ctx,point){this._drawGridLine(ctx,point,point.pointRight);this._drawGridLine(ctx,point,point.pointTop)};Graph3d.prototype._redrawLineGraphPoint=function(ctx,point){if(point.pointNext===undefined){return}ctx.lineWidth=this._getStrokeWidth(point);ctx.strokeStyle=this.dataColor.stroke;this._line(ctx,point.screen,point.pointNext.screen)};Graph3d.prototype._redrawDataGraph=function(){var ctx=this._getContext();var i;if(this.dataPoints===undefined||this.dataPoints.length<=0)return;this._calcTranslations(this.dataPoints);for(i=0;i<this.dataPoints.length;i++){var point=this.dataPoints[i];this._pointDrawingMethod.call(this,ctx,point)}};Graph3d.prototype._storeMousePosition=function(event){this.startMouseX=getMouseX(event);this.startMouseY=getMouseY(event);this._startCameraOffset=this.camera.getOffset()};Graph3d.prototype._onMouseDown=function(event){event=event||window.event;if(this.leftButtonDown){this._onMouseUp(event)}this.leftButtonDown=event.which?event.which===1:event.button===1;if(!this.leftButtonDown&&!this.touchDown)return;this._storeMousePosition(event);this.startStart=new Date(this.start);this.startEnd=new Date(this.end);this.startArmRotation=this.camera.getArmRotation();this.frame.style.cursor=\"move\";var me=this;this.onmousemove=function(event){me._onMouseMove(event)};this.onmouseup=function(event){me._onMouseUp(event)};util.addEventListener(document,\"mousemove\",me.onmousemove);util.addEventListener(document,\"mouseup\",me.onmouseup);util.preventDefault(event)};Graph3d.prototype._onMouseMove=function(event){this.moving=true;event=event||window.event;var diffX=parseFloat(getMouseX(event))-this.startMouseX;var diffY=parseFloat(getMouseY(event))-this.startMouseY;if(event&&event.ctrlKey===true){var scaleX=this.frame.clientWidth*.5;var scaleY=this.frame.clientHeight*.5;var offXNew=(this._startCameraOffset.x||0)-diffX/scaleX*this.camera.armLength*.8;var offYNew=(this._startCameraOffset.y||0)+diffY/scaleY*this.camera.armLength*.8;this.camera.setOffset(offXNew,offYNew);this._storeMousePosition(event)}else{var horizontalNew=this.startArmRotation.horizontal+diffX/200;var verticalNew=this.startArmRotation.vertical+diffY/200;var snapAngle=4;var snapValue=Math.sin(snapAngle/360*2*Math.PI);if(Math.abs(Math.sin(horizontalNew))<snapValue){horizontalNew=Math.round(horizontalNew/Math.PI)*Math.PI-.001}if(Math.abs(Math.cos(horizontalNew))<snapValue){horizontalNew=(Math.round(horizontalNew/Math.PI-.5)+.5)*Math.PI-.001}if(Math.abs(Math.sin(verticalNew))<snapValue){verticalNew=Math.round(verticalNew/Math.PI)*Math.PI}if(Math.abs(Math.cos(verticalNew))<snapValue){verticalNew=(Math.round(verticalNew/Math.PI-.5)+.5)*Math.PI}this.camera.setArmRotation(horizontalNew,verticalNew)}this.redraw();var parameters=this.getCameraPosition();this.emit(\"cameraPositionChange\",parameters);util.preventDefault(event)};Graph3d.prototype._onMouseUp=function(event){this.frame.style.cursor=\"auto\";this.leftButtonDown=false;util.removeEventListener(document,\"mousemove\",this.onmousemove);util.removeEventListener(document,\"mouseup\",this.onmouseup);util.preventDefault(event)};Graph3d.prototype._onClick=function(event){if(!this.onclick_callback)return;if(!this.moving){var boundingRect=this.frame.getBoundingClientRect();var mouseX=getMouseX(event)-boundingRect.left;var mouseY=getMouseY(event)-boundingRect.top;var dataPoint=this._dataPointFromXY(mouseX,mouseY);if(dataPoint)this.onclick_callback(dataPoint.point.data)}else{this.moving=false}util.preventDefault(event)};Graph3d.prototype._onTooltip=function(event){var delay=300;var boundingRect=this.frame.getBoundingClientRect();var mouseX=getMouseX(event)-boundingRect.left;var mouseY=getMouseY(event)-boundingRect.top;if(!this.showTooltip){return}if(this.tooltipTimeout){clearTimeout(this.tooltipTimeout)}if(this.leftButtonDown){this._hideTooltip();return}if(this.tooltip&&this.tooltip.dataPoint){var dataPoint=this._dataPointFromXY(mouseX,mouseY);if(dataPoint!==this.tooltip.dataPoint){if(dataPoint){this._showTooltip(dataPoint)}else{this._hideTooltip()}}}else{var me=this;this.tooltipTimeout=setTimeout(function(){me.tooltipTimeout=null;var dataPoint=me._dataPointFromXY(mouseX,mouseY);if(dataPoint){me._showTooltip(dataPoint)}},delay)}};Graph3d.prototype._onTouchStart=function(event){this.touchDown=true;var me=this;this.ontouchmove=function(event){me._onTouchMove(event)};this.ontouchend=function(event){me._onTouchEnd(event)};util.addEventListener(document,\"touchmove\",me.ontouchmove);util.addEventListener(document,\"touchend\",me.ontouchend);this._onMouseDown(event)};Graph3d.prototype._onTouchMove=function(event){this._onMouseMove(event)};Graph3d.prototype._onTouchEnd=function(event){this.touchDown=false;util.removeEventListener(document,\"touchmove\",this.ontouchmove);util.removeEventListener(document,\"touchend\",this.ontouchend);this._onMouseUp(event)};Graph3d.prototype._onWheel=function(event){if(!event)event=window.event;var delta=0;if(event.wheelDelta){delta=event.wheelDelta/120}else if(event.detail){delta=-event.detail/3}if(delta){var oldLength=this.camera.getArmLength();var newLength=oldLength*(1-delta/10);this.camera.setArmLength(newLength);this.redraw();this._hideTooltip()}var parameters=this.getCameraPosition();this.emit(\"cameraPositionChange\",parameters);util.preventDefault(event)};Graph3d.prototype._insideTriangle=function(point,triangle){var a=triangle[0],b=triangle[1],c=triangle[2];function sign(x){return x>0?1:x<0?-1:0}var as=sign((b.x-a.x)*(point.y-a.y)-(b.y-a.y)*(point.x-a.x));var bs=sign((c.x-b.x)*(point.y-b.y)-(c.y-b.y)*(point.x-b.x));var cs=sign((a.x-c.x)*(point.y-c.y)-(a.y-c.y)*(point.x-c.x));return(as==0||bs==0||as==bs)&&(bs==0||cs==0||bs==cs)&&(as==0||cs==0||as==cs)};Graph3d.prototype._dataPointFromXY=function(x,y){var i,distMax=100,dataPoint=null,closestDataPoint=null,closestDist=null,center=new Point2d(x,y);if(this.style===Graph3d.STYLE.BAR||this.style===Graph3d.STYLE.BARCOLOR||this.style===Graph3d.STYLE.BARSIZE){for(i=this.dataPoints.length-1;i>=0;i--){dataPoint=this.dataPoints[i];var surfaces=dataPoint.surfaces;if(surfaces){for(var s=surfaces.length-1;s>=0;s--){var surface=surfaces[s];var corners=surface.corners;var triangle1=[corners[0].screen,corners[1].screen,corners[2].screen];var triangle2=[corners[2].screen,corners[3].screen,corners[0].screen];if(this._insideTriangle(center,triangle1)||this._insideTriangle(center,triangle2)){return dataPoint}}}}}else{for(i=0;i<this.dataPoints.length;i++){dataPoint=this.dataPoints[i];var point=dataPoint.screen;if(point){var distX=Math.abs(x-point.x);var distY=Math.abs(y-point.y);var dist=Math.sqrt(distX*distX+distY*distY);if((closestDist===null||dist<closestDist)&&dist<distMax){closestDist=dist;closestDataPoint=dataPoint}}}}return closestDataPoint};Graph3d.prototype._showTooltip=function(dataPoint){var content,line,dot;if(!this.tooltip){content=document.createElement(\"div\");(0,_assign2[\"default\"])(content.style,{},this.tooltipStyle.content);content.style.position=\"absolute\";line=document.createElement(\"div\");(0,_assign2[\"default\"])(line.style,{},this.tooltipStyle.line);line.style.position=\"absolute\";dot=document.createElement(\"div\");(0,_assign2[\"default\"])(dot.style,{},this.tooltipStyle.dot);dot.style.position=\"absolute\";this.tooltip={dataPoint:null,dom:{content:content,line:line,dot:dot}}}else{content=this.tooltip.dom.content;line=this.tooltip.dom.line;dot=this.tooltip.dom.dot}this._hideTooltip();this.tooltip.dataPoint=dataPoint;if(typeof this.showTooltip===\"function\"){content.innerHTML=this.showTooltip(dataPoint.point)}else{content.innerHTML=\"<table>\"+\"<tr><td>\"+this.xLabel+\":</td><td>\"+dataPoint.point.x+\"</td></tr>\"+\"<tr><td>\"+this.yLabel+\":</td><td>\"+dataPoint.point.y+\"</td></tr>\"+\"<tr><td>\"+this.zLabel+\":</td><td>\"+dataPoint.point.z+\"</td></tr>\"+\"</table>\"}content.style.left=\"0\";content.style.top=\"0\";this.frame.appendChild(content);this.frame.appendChild(line);this.frame.appendChild(dot);var contentWidth=content.offsetWidth;var contentHeight=content.offsetHeight;var lineHeight=line.offsetHeight;var dotWidth=dot.offsetWidth;var dotHeight=dot.offsetHeight;var left=dataPoint.screen.x-contentWidth/2;left=Math.min(Math.max(left,10),this.frame.clientWidth-10-contentWidth);line.style.left=dataPoint.screen.x+\"px\";line.style.top=dataPoint.screen.y-lineHeight+\"px\";content.style.left=left+\"px\";content.style.top=dataPoint.screen.y-lineHeight-contentHeight+\"px\";dot.style.left=dataPoint.screen.x-dotWidth/2+\"px\";dot.style.top=dataPoint.screen.y-dotHeight/2+\"px\"};Graph3d.prototype._hideTooltip=function(){if(this.tooltip){this.tooltip.dataPoint=null;for(var prop in this.tooltip.dom){if(this.tooltip.dom.hasOwnProperty(prop)){var elem=this.tooltip.dom[prop];if(elem&&elem.parentNode){elem.parentNode.removeChild(elem)}}}}};function getMouseX(event){if(\"clientX\"in event)return event.clientX;return event.targetTouches[0]&&event.targetTouches[0].clientX||0}function getMouseY(event){if(\"clientY\"in event)return event.clientY;return event.targetTouches[0]&&event.targetTouches[0].clientY||0}Graph3d.prototype.setCameraPosition=function(pos){Settings.setCameraPosition(pos,this);this.redraw()};Graph3d.prototype.setSize=function(width,height){this._setSize(width,height);this.redraw()};module.exports=Graph3d},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(96),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(97);module.exports=__webpack_require__(17).Object.assign},function(module,exports,__webpack_require__){var $export=__webpack_require__(15);$export($export.S+$export.F,\"Object\",{assign:__webpack_require__(98)})},function(module,exports,__webpack_require__){\"use strict\";var getKeys=__webpack_require__(35),gOPS=__webpack_require__(73),pIE=__webpack_require__(74),toObject=__webpack_require__(49),IObject=__webpack_require__(10),$assign=Object.assign;module.exports=!$assign||__webpack_require__(26)(function(){var A={},B={},S=Symbol(),K=\"abcdefghijklmnopqrst\";A[S]=7;K.split(\"\").forEach(function(k){B[k]=k});return $assign({},A)[S]!=7||Object.keys($assign({},B)).join(\"\")!=K})?function assign(target,source){var T=toObject(target),aLen=arguments.length,index=1,getSymbols=gOPS.f,isEnum=pIE.f;while(aLen>index){var S=IObject(arguments[index++]),keys=getSymbols?getKeys(S).concat(getSymbols(S)):getKeys(S),length=keys.length,j=0,key;while(length>j)if(isEnum.call(S,key=keys[j++]))T[key]=S[key]}return T}:$assign},function(module,exports){module.exports=Emitter;function Emitter(obj){if(obj)return mixin(obj)}function mixin(obj){for(var key in Emitter.prototype){obj[key]=Emitter.prototype[key]}return obj}Emitter.prototype.on=Emitter.prototype.addEventListener=function(event,fn){this._callbacks=this._callbacks||{};(this._callbacks[event]=this._callbacks[event]||[]).push(fn);return this};Emitter.prototype.once=function(event,fn){var self=this;this._callbacks=this._callbacks||{};function on(){self.off(event,on);fn.apply(this,arguments)}on.fn=fn;this.on(event,on);return this};Emitter.prototype.off=Emitter.prototype.removeListener=Emitter.prototype.removeAllListeners=Emitter.prototype.removeEventListener=function(event,fn){this._callbacks=this._callbacks||{};if(0==arguments.length){this._callbacks={};return this}var callbacks=this._callbacks[event];if(!callbacks)return this;if(1==arguments.length){delete this._callbacks[event];return this}var cb;for(var i=0;i<callbacks.length;i++){cb=callbacks[i];if(cb===fn||cb.fn===fn){callbacks.splice(i,1);break}}return this};Emitter.prototype.emit=function(event){this._callbacks=this._callbacks||{};var args=[].slice.call(arguments,1),callbacks=this._callbacks[event];if(callbacks){callbacks=callbacks.slice(0);for(var i=0,len=callbacks.length;i<len;++i){callbacks[i].apply(this,args)}}return this};Emitter.prototype.listeners=function(event){this._callbacks=this._callbacks||{};return this._callbacks[event]||[]};Emitter.prototype.hasListeners=function(event){return!!this.listeners(event).length}},function(module,exports){\"use strict\";function Point3d(x,y,z){this.x=x!==undefined?x:0;this.y=y!==undefined?y:0;this.z=z!==undefined?z:0}Point3d.subtract=function(a,b){var sub=new Point3d;sub.x=a.x-b.x;sub.y=a.y-b.y;sub.z=a.z-b.z;return sub};Point3d.add=function(a,b){var sum=new Point3d;sum.x=a.x+b.x;sum.y=a.y+b.y;sum.z=a.z+b.z;return sum};Point3d.avg=function(a,b){return new Point3d((a.x+b.x)/2,(a.y+b.y)/2,(a.z+b.z)/2)};Point3d.crossProduct=function(a,b){var crossproduct=new Point3d;crossproduct.x=a.y*b.z-a.z*b.y;crossproduct.y=a.z*b.x-a.x*b.z;crossproduct.z=a.x*b.y-a.y*b.x;return crossproduct};Point3d.prototype.length=function(){return Math.sqrt(this.x*this.x+this.y*this.y+this.z*this.z)};module.exports=Point3d},function(module,exports){\"use strict\";function Point2d(x,y){this.x=x!==undefined?x:0;this.y=y!==undefined?y:0}module.exports=Point2d},function(module,exports,__webpack_require__){\"use strict\";var _sign=__webpack_require__(103);var _sign2=_interopRequireDefault(_sign);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Point3d=__webpack_require__(100);function Camera(){this.armLocation=new Point3d;this.armRotation={};this.armRotation.horizontal=0;this.armRotation.vertical=0;this.armLength=1.7;this.cameraOffset=new Point3d;this.offsetMultiplier=.6;this.cameraLocation=new Point3d;this.cameraRotation=new Point3d(.5*Math.PI,0,0);this.calculateCameraOrientation()}Camera.prototype.setOffset=function(x,y){var abs=Math.abs,sign=_sign2[\"default\"],mul=this.offsetMultiplier,border=this.armLength*mul;if(abs(x)>border){x=sign(x)*border}if(abs(y)>border){y=sign(y)*border}this.cameraOffset.x=x;this.cameraOffset.y=y;this.calculateCameraOrientation()};Camera.prototype.getOffset=function(x,y){return this.cameraOffset};Camera.prototype.setArmLocation=function(x,y,z){this.armLocation.x=x;this.armLocation.y=y;this.armLocation.z=z;this.calculateCameraOrientation()};Camera.prototype.setArmRotation=function(horizontal,vertical){if(horizontal!==undefined){this.armRotation.horizontal=horizontal}if(vertical!==undefined){this.armRotation.vertical=vertical;if(this.armRotation.vertical<0)this.armRotation.vertical=0;if(this.armRotation.vertical>.5*Math.PI)this.armRotation.vertical=.5*Math.PI}if(horizontal!==undefined||vertical!==undefined){this.calculateCameraOrientation()}};Camera.prototype.getArmRotation=function(){var rot={};rot.horizontal=this.armRotation.horizontal;rot.vertical=this.armRotation.vertical;return rot};Camera.prototype.setArmLength=function(length){if(length===undefined)return;this.armLength=length;if(this.armLength<.71)this.armLength=.71;if(this.armLength>5)this.armLength=5;this.setOffset(this.cameraOffset.x,this.cameraOffset.y);this.calculateCameraOrientation()};Camera.prototype.getArmLength=function(){return this.armLength};Camera.prototype.getCameraLocation=function(){return this.cameraLocation};Camera.prototype.getCameraRotation=function(){return this.cameraRotation};Camera.prototype.calculateCameraOrientation=function(){this.cameraLocation.x=this.armLocation.x-this.armLength*Math.sin(this.armRotation.horizontal)*Math.cos(this.armRotation.vertical);this.cameraLocation.y=this.armLocation.y-this.armLength*Math.cos(this.armRotation.horizontal)*Math.cos(this.armRotation.vertical);this.cameraLocation.z=this.armLocation.z+this.armLength*Math.sin(this.armRotation.vertical);this.cameraRotation.x=Math.PI/2-this.armRotation.vertical;this.cameraRotation.y=0;this.cameraRotation.z=-this.armRotation.horizontal;var xa=this.cameraRotation.x;var ya=this.cameraRotation.y;var za=this.cameraRotation.z;var dx=this.cameraOffset.x;var dy=this.cameraOffset.y;var sin=Math.sin,cos=Math.cos;this.cameraLocation.x=this.cameraLocation.x+dx*cos(za)+dy*-sin(za)*cos(xa);this.cameraLocation.y=this.cameraLocation.y+dx*sin(za)+dy*cos(za)*cos(xa);this.cameraLocation.z=this.cameraLocation.z+dy*sin(xa)};module.exports=Camera},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(104),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(105);module.exports=__webpack_require__(17).Math.sign},function(module,exports,__webpack_require__){var $export=__webpack_require__(15);$export($export.S,\"Math\",{sign:__webpack_require__(106)})},function(module,exports){module.exports=Math.sign||function sign(x){return(x=+x)==0||x!=x?x:x<0?-1:1}},function(module,exports,__webpack_require__){\"use strict\";var DataView=__webpack_require__(93);function Filter(data,column,graph){this.data=data;this.column=column;this.graph=graph;this.index=undefined;this.value=undefined;this.values=graph.getDistinctValues(data.get(),this.column);this.values.sort(function(a,b){return a>b?1:a<b?-1:0});if(this.values.length>0){this.selectValue(0)}this.dataPoints=[];this.loaded=false;this.onLoadCallback=undefined;if(graph.animationPreload){this.loaded=false;this.loadInBackground()}else{this.loaded=true}}Filter.prototype.isLoaded=function(){return this.loaded};Filter.prototype.getLoadedProgress=function(){var len=this.values.length;var i=0;while(this.dataPoints[i]){i++}return Math.round(i/len*100)};Filter.prototype.getLabel=function(){return this.graph.filterLabel};Filter.prototype.getColumn=function(){return this.column};Filter.prototype.getSelectedValue=function(){if(this.index===undefined)return undefined;return this.values[this.index]};Filter.prototype.getValues=function(){return this.values};Filter.prototype.getValue=function(index){if(index>=this.values.length)throw new Error(\"Index out of range\");return this.values[index]};Filter.prototype._getDataPoints=function(index){if(index===undefined)index=this.index;if(index===undefined)return[];var dataPoints;if(this.dataPoints[index]){dataPoints=this.dataPoints[index]}else{var f={};f.column=this.column;f.value=this.values[index];var dataView=new DataView(this.data,{filter:function filter(item){return item[f.column]==f.value}}).get();dataPoints=this.graph._getDataPoints(dataView);this.dataPoints[index]=dataPoints}return dataPoints};Filter.prototype.setOnLoadCallback=function(callback){this.onLoadCallback=callback};Filter.prototype.selectValue=function(index){if(index>=this.values.length)throw new Error(\"Index out of range\");this.index=index;this.value=this.values[index]};Filter.prototype.loadInBackground=function(index){if(index===undefined)index=0;var frame=this.graph.frame;if(index<this.values.length){var dataPointsTemp=this._getDataPoints(index);if(frame.progress===undefined){frame.progress=document.createElement(\"DIV\");frame.progress.style.position=\"absolute\";frame.progress.style.color=\"gray\";frame.appendChild(frame.progress)}var progress=this.getLoadedProgress();frame.progress.innerHTML=\"Loading animation... \"+progress+\"%\";frame.progress.style.bottom=60+\"px\";frame.progress.style.left=10+\"px\";var me=this;setTimeout(function(){me.loadInBackground(index+1)},10);this.loaded=false}else{this.loaded=true;if(frame.progress!==undefined){frame.removeChild(frame.progress);frame.progress=undefined}if(this.onLoadCallback)this.onLoadCallback()}};module.exports=Filter},function(module,exports,__webpack_require__){\"use strict\";var util=__webpack_require__(1);function Slider(container,options){if(container===undefined){throw new Error(\"No container element defined\")}this.container=container;this.visible=options&&options.visible!=undefined?options.visible:true;if(this.visible){this.frame=document.createElement(\"DIV\");this.frame.style.width=\"100%\";this.frame.style.position=\"relative\";this.container.appendChild(this.frame);this.frame.prev=document.createElement(\"INPUT\");this.frame.prev.type=\"BUTTON\";this.frame.prev.value=\"Prev\";this.frame.appendChild(this.frame.prev);this.frame.play=document.createElement(\"INPUT\");this.frame.play.type=\"BUTTON\";this.frame.play.value=\"Play\";this.frame.appendChild(this.frame.play);this.frame.next=document.createElement(\"INPUT\");this.frame.next.type=\"BUTTON\";this.frame.next.value=\"Next\";this.frame.appendChild(this.frame.next);this.frame.bar=document.createElement(\"INPUT\");this.frame.bar.type=\"BUTTON\";this.frame.bar.style.position=\"absolute\";this.frame.bar.style.border=\"1px solid red\";this.frame.bar.style.width=\"100px\";this.frame.bar.style.height=\"6px\";this.frame.bar.style.borderRadius=\"2px\";this.frame.bar.style.MozBorderRadius=\"2px\";this.frame.bar.style.border=\"1px solid #7F7F7F\";this.frame.bar.style.backgroundColor=\"#E5E5E5\";this.frame.appendChild(this.frame.bar);this.frame.slide=document.createElement(\"INPUT\");this.frame.slide.type=\"BUTTON\";this.frame.slide.style.margin=\"0px\";this.frame.slide.value=\" \";this.frame.slide.style.position=\"relative\";this.frame.slide.style.left=\"-100px\";this.frame.appendChild(this.frame.slide);var me=this;this.frame.slide.onmousedown=function(event){me._onMouseDown(event)};this.frame.prev.onclick=function(event){me.prev(event)};this.frame.play.onclick=function(event){me.togglePlay(event)};this.frame.next.onclick=function(event){me.next(event)}}this.onChangeCallback=undefined;this.values=[];this.index=undefined;this.playTimeout=undefined;this.playInterval=1e3;this.playLoop=true}Slider.prototype.prev=function(){var index=this.getIndex();if(index>0){index--;this.setIndex(index)}};Slider.prototype.next=function(){var index=this.getIndex();if(index<this.values.length-1){index++;this.setIndex(index)}};Slider.prototype.playNext=function(){var start=new Date;var index=this.getIndex();if(index<this.values.length-1){index++;this.setIndex(index)}else if(this.playLoop){index=0;this.setIndex(index)}var end=new Date;var diff=end-start;var interval=Math.max(this.playInterval-diff,0);var me=this;this.playTimeout=setTimeout(function(){me.playNext()},interval)};Slider.prototype.togglePlay=function(){if(this.playTimeout===undefined){this.play()}else{this.stop()}};Slider.prototype.play=function(){if(this.playTimeout)return;this.playNext();if(this.frame){this.frame.play.value=\"Stop\"}};Slider.prototype.stop=function(){clearInterval(this.playTimeout);this.playTimeout=undefined;if(this.frame){this.frame.play.value=\"Play\"}};Slider.prototype.setOnChangeCallback=function(callback){this.onChangeCallback=callback};Slider.prototype.setPlayInterval=function(interval){this.playInterval=interval};Slider.prototype.getPlayInterval=function(interval){return this.playInterval};Slider.prototype.setPlayLoop=function(doLoop){this.playLoop=doLoop};Slider.prototype.onChange=function(){if(this.onChangeCallback!==undefined){this.onChangeCallback()}};Slider.prototype.redraw=function(){if(this.frame){this.frame.bar.style.top=this.frame.clientHeight/2-this.frame.bar.offsetHeight/2+\"px\";this.frame.bar.style.width=this.frame.clientWidth-this.frame.prev.clientWidth-this.frame.play.clientWidth-this.frame.next.clientWidth-30+\"px\";var left=this.indexToLeft(this.index);this.frame.slide.style.left=left+\"px\"}};Slider.prototype.setValues=function(values){this.values=values;if(this.values.length>0)this.setIndex(0);else this.index=undefined};Slider.prototype.setIndex=function(index){if(index<this.values.length){this.index=index;this.redraw();this.onChange()}else{throw new Error(\"Index out of range\")}};Slider.prototype.getIndex=function(){return this.index};Slider.prototype.get=function(){return this.values[this.index]};Slider.prototype._onMouseDown=function(event){var leftButtonDown=event.which?event.which===1:event.button===1;if(!leftButtonDown)return;this.startClientX=event.clientX;this.startSlideX=parseFloat(this.frame.slide.style.left);this.frame.style.cursor=\"move\";var me=this;this.onmousemove=function(event){me._onMouseMove(event)};this.onmouseup=function(event){me._onMouseUp(event)};util.addEventListener(document,\"mousemove\",this.onmousemove);util.addEventListener(document,\"mouseup\",this.onmouseup);util.preventDefault(event)};Slider.prototype.leftToIndex=function(left){var width=parseFloat(this.frame.bar.style.width)-this.frame.slide.clientWidth-10;var x=left-3;var index=Math.round(x/width*(this.values.length-1));if(index<0)index=0;if(index>this.values.length-1)index=this.values.length-1;return index};Slider.prototype.indexToLeft=function(index){var width=parseFloat(this.frame.bar.style.width)-this.frame.slide.clientWidth-10;var x=index/(this.values.length-1)*width;var left=x+3;return left};Slider.prototype._onMouseMove=function(event){var diff=event.clientX-this.startClientX;var x=this.startSlideX+diff;var index=this.leftToIndex(x);this.setIndex(index);util.preventDefault()};Slider.prototype._onMouseUp=function(event){this.frame.style.cursor=\"auto\";util.removeEventListener(document,\"mousemove\",this.onmousemove);util.removeEventListener(document,\"mouseup\",this.onmouseup);util.preventDefault()};module.exports=Slider},function(module,exports){\"use strict\";function StepNumber(start,end,step,prettyStep){this._start=0;this._end=0;this._step=1;this.prettyStep=true;this.precision=5;this._current=0;this.setRange(start,end,step,prettyStep)}StepNumber.prototype.isNumeric=function(n){return!isNaN(parseFloat(n))&&isFinite(n)};StepNumber.prototype.setRange=function(start,end,step,prettyStep){if(!this.isNumeric(start)){throw new Error(\"Parameter 'start' is not numeric; value: \"+start)}if(!this.isNumeric(end)){throw new Error(\"Parameter 'end' is not numeric; value: \"+start)}if(!this.isNumeric(step)){throw new Error(\"Parameter 'step' is not numeric; value: \"+start)}this._start=start?start:0;this._end=end?end:0;this.setStep(step,prettyStep)};StepNumber.prototype.setStep=function(step,prettyStep){if(step===undefined||step<=0)return;if(prettyStep!==undefined)this.prettyStep=prettyStep;if(this.prettyStep===true)this._step=StepNumber.calculatePrettyStep(step);else this._step=step};StepNumber.calculatePrettyStep=function(step){var log10=function log10(x){return Math.log(x)/Math.LN10};var step1=Math.pow(10,Math.round(log10(step))),step2=2*Math.pow(10,Math.round(log10(step/2))),step5=5*Math.pow(10,Math.round(log10(step/5)));var prettyStep=step1;if(Math.abs(step2-step)<=Math.abs(prettyStep-step))prettyStep=step2;if(Math.abs(step5-step)<=Math.abs(prettyStep-step))prettyStep=step5;if(prettyStep<=0){prettyStep=1}return prettyStep};StepNumber.prototype.getCurrent=function(){return parseFloat(this._current.toPrecision(this.precision))};StepNumber.prototype.getStep=function(){return this._step};StepNumber.prototype.start=function(checkFirst){if(checkFirst===undefined){checkFirst=false}this._current=this._start-this._start%this._step;if(checkFirst){if(this.getCurrent()<this._start){this.next()}}};StepNumber.prototype.next=function(){this._current+=this._step};StepNumber.prototype.end=function(){return this._current>this._end};module.exports=StepNumber},function(module,exports){\"use strict\";function Range(){this.min=undefined;this.max=undefined}Range.prototype.adjust=function(value){if(value===undefined)return;if(this.min===undefined||this.min>value){this.min=value}if(this.max===undefined||this.max<value){this.max=value}};Range.prototype.combine=function(range){this.add(range.min);this.add(range.max)};Range.prototype.expand=function(val){if(val===undefined){return}var newMin=this.min-val;var newMax=this.max+val;if(newMin>newMax){throw new Error(\"Passed expansion value makes range invalid\")}this.min=newMin;this.max=newMax};Range.prototype.range=function(){return this.max-this.min};Range.prototype.center=function(){return(this.min+this.max)/2};module.exports=Range},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Camera=__webpack_require__(102);var Point3d=__webpack_require__(100);var STYLE={BAR:0,BARCOLOR:1,BARSIZE:2,DOT:3,DOTLINE:4,DOTCOLOR:5,DOTSIZE:6,GRID:7,LINE:8,SURFACE:9};var STYLENAME={dot:STYLE.DOT,\"dot-line\":STYLE.DOTLINE,\"dot-color\":STYLE.DOTCOLOR,\"dot-size\":STYLE.DOTSIZE,line:STYLE.LINE,grid:STYLE.GRID,surface:STYLE.SURFACE,bar:STYLE.BAR,\"bar-color\":STYLE.BARCOLOR,\"bar-size\":STYLE.BARSIZE};var OPTIONKEYS=[\"width\",\"height\",\"filterLabel\",\"legendLabel\",\"xLabel\",\"yLabel\",\"zLabel\",\"xValueLabel\",\"yValueLabel\",\"zValueLabel\",\"showXAxis\",\"showYAxis\",\"showZAxis\",\"showGrid\",\"showPerspective\",\"showShadow\",\"keepAspectRatio\",\"verticalRatio\",\"dotSizeRatio\",\"showAnimationControls\",\"animationInterval\",\"animationPreload\",\"animationAutoStart\",\"axisColor\",\"gridColor\",\"xCenter\",\"yCenter\"];var PREFIXEDOPTIONKEYS=[\"xBarWidth\",\"yBarWidth\",\"valueMin\",\"valueMax\",\"xMin\",\"xMax\",\"xStep\",\"yMin\",\"yMax\",\"yStep\",\"zMin\",\"zMax\",\"zStep\"];var DEFAULTS=undefined;function isEmpty(obj){for(var prop in obj){if(obj.hasOwnProperty(prop))return false}return true}function capitalize(str){if(str===undefined||str===\"\"||typeof str!=\"string\"){return str}return str.charAt(0).toUpperCase()+str.slice(1)}function prefixFieldName(prefix,fieldName){if(prefix===undefined||prefix===\"\"){return fieldName}return prefix+capitalize(fieldName)}function forceCopy(src,dst,fields,prefix){var srcKey;var dstKey;for(var i in fields){srcKey=fields[i];dstKey=prefixFieldName(prefix,srcKey);dst[dstKey]=src[srcKey]}}function safeCopy(src,dst,fields,prefix){var srcKey;var dstKey;for(var i in fields){srcKey=fields[i];if(src[srcKey]===undefined)continue;dstKey=prefixFieldName(prefix,srcKey);dst[dstKey]=src[srcKey]}}function setDefaults(src,dst){if(src===undefined||isEmpty(src)){throw new Error(\"No DEFAULTS passed\")}if(dst===undefined){throw new Error(\"No dst passed\")}DEFAULTS=src;forceCopy(src,dst,OPTIONKEYS);forceCopy(src,dst,PREFIXEDOPTIONKEYS,\"default\");setSpecialSettings(src,dst);dst.margin=10;dst.showGrayBottom=false;dst.showTooltip=false;dst.onclick_callback=null;dst.eye=new Point3d(0,0,-1)}function setOptions(options,dst){if(options===undefined){return}if(dst===undefined){throw new Error(\"No dst passed\")}if(DEFAULTS===undefined||isEmpty(DEFAULTS)){throw new Error(\"DEFAULTS not set for module Settings\")}safeCopy(options,dst,OPTIONKEYS);safeCopy(options,dst,PREFIXEDOPTIONKEYS,\"default\");setSpecialSettings(options,dst)}function setSpecialSettings(src,dst){if(src.backgroundColor!==undefined){setBackgroundColor(src.backgroundColor,dst)}setDataColor(src.dataColor,dst);setStyle(src.style,dst);setShowLegend(src.showLegend,dst);setCameraPosition(src.cameraPosition,dst);if(src.tooltip!==undefined){dst.showTooltip=src.tooltip}if(src.onclick!=undefined){dst.onclick_callback=src.onclick}if(src.tooltipStyle!==undefined){util.selectiveDeepExtend([\"tooltipStyle\"],dst,src)}}function setShowLegend(showLegend,dst){if(showLegend===undefined){var isAutoByDefault=DEFAULTS.showLegend===undefined;if(isAutoByDefault){var isLegendGraphStyle=dst.style===STYLE.DOTCOLOR||dst.style===STYLE.DOTSIZE;dst.showLegend=isLegendGraphStyle}else{}}else{dst.showLegend=showLegend}}function getStyleNumberByName(styleName){var number=STYLENAME[styleName];if(number===undefined){return-1}return number}function checkStyleNumber(style){var valid=false;for(var n in STYLE){if(STYLE[n]===style){valid=true;break}}return valid}function setStyle(style,dst){if(style===undefined){return}var styleNumber;if(typeof style===\"string\"){styleNumber=getStyleNumberByName(style);if(styleNumber===-1){throw new Error(\"Style '\"+style+\"' is invalid\")}}else{if(!checkStyleNumber(style)){throw new Error(\"Style '\"+style+\"' is invalid\")}styleNumber=style}dst.style=styleNumber}function setBackgroundColor(backgroundColor,dst){var fill=\"white\";var stroke=\"gray\";var strokeWidth=1;if(typeof backgroundColor===\"string\"){fill=backgroundColor;stroke=\"none\";strokeWidth=0}else if((typeof backgroundColor===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(backgroundColor))===\"object\"){if(backgroundColor.fill!==undefined)fill=backgroundColor.fill;if(backgroundColor.stroke!==undefined)stroke=backgroundColor.stroke;if(backgroundColor.strokeWidth!==undefined)strokeWidth=backgroundColor.strokeWidth}else{throw new Error(\"Unsupported type of backgroundColor\")}dst.frame.style.backgroundColor=fill;dst.frame.style.borderColor=stroke;dst.frame.style.borderWidth=strokeWidth+\"px\";dst.frame.style.borderStyle=\"solid\"}function setDataColor(dataColor,dst){if(dataColor===undefined){return}if(dst.dataColor===undefined){dst.dataColor={}}if(typeof dataColor===\"string\"){dst.dataColor.fill=dataColor;dst.dataColor.stroke=dataColor}else{if(dataColor.fill){dst.dataColor.fill=dataColor.fill}if(dataColor.stroke){dst.dataColor.stroke=dataColor.stroke}if(dataColor.strokeWidth!==undefined){dst.dataColor.strokeWidth=dataColor.strokeWidth}}}function setCameraPosition(cameraPosition,dst){var camPos=cameraPosition;if(camPos===undefined){return}if(dst.camera===undefined){dst.camera=new Camera}dst.camera.setArmRotation(camPos.horizontal,camPos.vertical);dst.camera.setArmLength(camPos.distance)}module.exports.STYLE=STYLE;module.exports.setDefaults=setDefaults;module.exports.setOptions=setOptions;module.exports.setCameraPosition=setCameraPosition},function(module,exports,__webpack_require__){\"use strict\";if(typeof window!==\"undefined\"){var propagating=__webpack_require__(113);var Hammer=window[\"Hammer\"]||__webpack_require__(114);module.exports=propagating(Hammer,{preventDefault:\"mouse\"})}else{module.exports=function(){throw Error(\"hammer.js is only available in a browser, not in node.js.\")}}},function(module,exports,__webpack_require__){var __WEBPACK_AMD_DEFINE_FACTORY__,__WEBPACK_AMD_DEFINE_ARRAY__,__WEBPACK_AMD_DEFINE_RESULT__;\"use strict\";(function(factory){if(true){!(__WEBPACK_AMD_DEFINE_ARRAY__=[],__WEBPACK_AMD_DEFINE_FACTORY__=factory,__WEBPACK_AMD_DEFINE_RESULT__=typeof __WEBPACK_AMD_DEFINE_FACTORY__===\"function\"?__WEBPACK_AMD_DEFINE_FACTORY__.apply(exports,__WEBPACK_AMD_DEFINE_ARRAY__):__WEBPACK_AMD_DEFINE_FACTORY__,__WEBPACK_AMD_DEFINE_RESULT__!==undefined&&(module.exports=__WEBPACK_AMD_DEFINE_RESULT__))}else if(typeof exports===\"object\"){module.exports=factory()}else{window.propagating=factory()}})(function(){var _firstTarget=null;return function propagating(hammer,options){var _options=options||{preventDefault:false};if(hammer.Manager){var Hammer=hammer;var PropagatingHammer=function(element,options){var o=Object.create(_options);if(options)Hammer.assign(o,options);return propagating(new Hammer(element,o),o)};Hammer.assign(PropagatingHammer,Hammer);PropagatingHammer.Manager=function(element,options){var o=Object.create(_options);if(options)Hammer.assign(o,options);return propagating(new Hammer.Manager(element,o),o)};return PropagatingHammer}var wrapper=Object.create(hammer);var element=hammer.element;if(!element.hammer)element.hammer=[];element.hammer.push(wrapper);hammer.on(\"hammer.input\",function(event){if(_options.preventDefault===true||_options.preventDefault===event.pointerType){event.preventDefault()}if(event.isFirst){_firstTarget=event.target}});wrapper._handlers={};wrapper.on=function(events,handler){split(events).forEach(function(event){var _handlers=wrapper._handlers[event];if(!_handlers){wrapper._handlers[event]=_handlers=[];hammer.on(event,propagatedHandler)}_handlers.push(handler)});return wrapper};wrapper.off=function(events,handler){split(events).forEach(function(event){var _handlers=wrapper._handlers[event];if(_handlers){_handlers=handler?_handlers.filter(function(h){return h!==handler}):[];if(_handlers.length>0){wrapper._handlers[event]=_handlers}else{hammer.off(event,propagatedHandler);delete wrapper._handlers[event]}}});return wrapper};wrapper.emit=function(eventType,event){_firstTarget=event.target;hammer.emit(eventType,event)};wrapper.destroy=function(){var hammers=hammer.element.hammer;var idx=hammers.indexOf(wrapper);if(idx!==-1)hammers.splice(idx,1);if(!hammers.length)delete hammer.element.hammer;wrapper._handlers={};hammer.destroy()};function split(events){return events.match(/[^ ]+/g)}function propagatedHandler(event){if(event.type!==\"hammer.input\"){if(!event.srcEvent._handled){event.srcEvent._handled={}}if(event.srcEvent._handled[event.type]){return}else{event.srcEvent._handled[event.type]=true}}var stopped=false;event.stopPropagation=function(){stopped=true};var srcStop=event.srcEvent.stopPropagation.bind(event.srcEvent);if(typeof srcStop==\"function\"){event.srcEvent.stopPropagation=function(){srcStop();event.stopPropagation()}}event.firstTarget=_firstTarget;var elem=_firstTarget;while(elem&&!stopped){var elemHammer=elem.hammer;if(elemHammer){var _handlers;for(var k=0;k<elemHammer.length;k++){_handlers=elemHammer[k]._handlers[event.type];if(_handlers)for(var i=0;i<_handlers.length&&!stopped;i++){_handlers[i](event)}}}elem=elem.parentNode}}return wrapper}})},function(module,exports,__webpack_require__){var __WEBPACK_AMD_DEFINE_RESULT__;(function(window,document,exportName,undefined){\"use strict\";var VENDOR_PREFIXES=[\"\",\"webkit\",\"Moz\",\"MS\",\"ms\",\"o\"];var TEST_ELEMENT=document.createElement(\"div\");var TYPE_FUNCTION=\"function\";var round=Math.round;var abs=Math.abs;var now=Date.now;function setTimeoutContext(fn,timeout,context){return setTimeout(bindFn(fn,context),timeout)}function invokeArrayArg(arg,fn,context){if(Array.isArray(arg)){each(arg,context[fn],context);return true}return false}function each(obj,iterator,context){var i;if(!obj){return}if(obj.forEach){obj.forEach(iterator,context)}else if(obj.length!==undefined){i=0;while(i<obj.length){iterator.call(context,obj[i],i,obj);i++}}else{for(i in obj){obj.hasOwnProperty(i)&&iterator.call(context,obj[i],i,obj)}}}function deprecate(method,name,message){var deprecationMessage=\"DEPRECATED METHOD: \"+name+\"\\n\"+message+\" AT \\n\";return function(){var e=new Error(\"get-stack-trace\");var stack=e&&e.stack?e.stack.replace(/^[^\\(]+?[\\n$]/gm,\"\").replace(/^\\s+at\\s+/gm,\"\").replace(/^Object.<anonymous>\\s*\\(/gm,\"{anonymous}()@\"):\"Unknown Stack Trace\";var log=window.console&&(window.console.warn||window.console.log);if(log){log.call(window.console,deprecationMessage,stack)}return method.apply(this,arguments)}}var assign;if(typeof Object.assign!==\"function\"){assign=function assign(target){if(target===undefined||target===null){throw new TypeError(\"Cannot convert undefined or null to object\")}var output=Object(target);for(var index=1;index<arguments.length;index++){var source=arguments[index];if(source!==undefined&&source!==null){for(var nextKey in source){if(source.hasOwnProperty(nextKey)){output[nextKey]=source[nextKey]}}}}return output}}else{assign=Object.assign}var extend=deprecate(function extend(dest,src,merge){var keys=Object.keys(src);var i=0;while(i<keys.length){if(!merge||merge&&dest[keys[i]]===undefined){dest[keys[i]]=src[keys[i]]}i++}return dest},\"extend\",\"Use `assign`.\");var merge=deprecate(function merge(dest,src){return extend(dest,src,true)},\"merge\",\"Use `assign`.\");function inherit(child,base,properties){var baseP=base.prototype,childP;childP=child.prototype=Object.create(baseP);childP.constructor=child;childP._super=baseP;if(properties){assign(childP,properties)}}function bindFn(fn,context){return function boundFn(){return fn.apply(context,arguments)}}function boolOrFn(val,args){if(typeof val==TYPE_FUNCTION){return val.apply(args?args[0]||undefined:undefined,args)}return val}function ifUndefined(val1,val2){return val1===undefined?val2:val1}function addEventListeners(target,types,handler){each(splitStr(types),function(type){target.addEventListener(type,handler,false)})}function removeEventListeners(target,types,handler){each(splitStr(types),function(type){target.removeEventListener(type,handler,false)})}function hasParent(node,parent){while(node){if(node==parent){return true}node=node.parentNode}return false}function inStr(str,find){return str.indexOf(find)>-1}function splitStr(str){return str.trim().split(/\\s+/g)}function inArray(src,find,findByKey){if(src.indexOf&&!findByKey){return src.indexOf(find)}else{var i=0;while(i<src.length){if(findByKey&&src[i][findByKey]==find||!findByKey&&src[i]===find){return i}i++}return-1}}function toArray(obj){return Array.prototype.slice.call(obj,0)}function uniqueArray(src,key,sort){var results=[];var values=[];var i=0;while(i<src.length){var val=key?src[i][key]:src[i];if(inArray(values,val)<0){results.push(src[i])}values[i]=val;i++}if(sort){if(!key){results=results.sort()}else{results=results.sort(function sortUniqueArray(a,b){return a[key]>b[key]})}}return results}function prefixed(obj,property){var prefix,prop;var camelProp=property[0].toUpperCase()+property.slice(1);var i=0;while(i<VENDOR_PREFIXES.length){prefix=VENDOR_PREFIXES[i];prop=prefix?prefix+camelProp:property;if(prop in obj){return prop}i++}return undefined}var _uniqueId=1;function uniqueId(){return _uniqueId++}function getWindowForElement(element){var doc=element.ownerDocument||element;return doc.defaultView||doc.parentWindow||window}var MOBILE_REGEX=/mobile|tablet|ip(ad|hone|od)|android/i;var SUPPORT_TOUCH=\"ontouchstart\"in window;var SUPPORT_POINTER_EVENTS=prefixed(window,\"PointerEvent\")!==undefined;var SUPPORT_ONLY_TOUCH=SUPPORT_TOUCH&&MOBILE_REGEX.test(navigator.userAgent);var INPUT_TYPE_TOUCH=\"touch\";var INPUT_TYPE_PEN=\"pen\";var INPUT_TYPE_MOUSE=\"mouse\";var INPUT_TYPE_KINECT=\"kinect\";var COMPUTE_INTERVAL=25;var INPUT_START=1;var INPUT_MOVE=2;var INPUT_END=4;var INPUT_CANCEL=8;var DIRECTION_NONE=1;var DIRECTION_LEFT=2;var DIRECTION_RIGHT=4;var DIRECTION_UP=8;var DIRECTION_DOWN=16;var DIRECTION_HORIZONTAL=DIRECTION_LEFT|DIRECTION_RIGHT;var DIRECTION_VERTICAL=DIRECTION_UP|DIRECTION_DOWN;var DIRECTION_ALL=DIRECTION_HORIZONTAL|DIRECTION_VERTICAL;var PROPS_XY=[\"x\",\"y\"];var PROPS_CLIENT_XY=[\"clientX\",\"clientY\"];function Input(manager,callback){var self=this;this.manager=manager;this.callback=callback;this.element=manager.element;this.target=manager.options.inputTarget;this.domHandler=function(ev){if(boolOrFn(manager.options.enable,[manager])){self.handler(ev)}};this.init()}Input.prototype={handler:function(){},init:function(){this.evEl&&addEventListeners(this.element,this.evEl,this.domHandler);this.evTarget&&addEventListeners(this.target,this.evTarget,this.domHandler);this.evWin&&addEventListeners(getWindowForElement(this.element),this.evWin,this.domHandler)},destroy:function(){this.evEl&&removeEventListeners(this.element,this.evEl,this.domHandler);this.evTarget&&removeEventListeners(this.target,this.evTarget,this.domHandler);this.evWin&&removeEventListeners(getWindowForElement(this.element),this.evWin,this.domHandler)}};function createInputInstance(manager){var Type;var inputClass=manager.options.inputClass;if(inputClass){Type=inputClass}else if(SUPPORT_POINTER_EVENTS){Type=PointerEventInput}else if(SUPPORT_ONLY_TOUCH){Type=TouchInput}else if(!SUPPORT_TOUCH){Type=MouseInput}else{Type=TouchMouseInput}return new Type(manager,inputHandler)}function inputHandler(manager,eventType,input){var pointersLen=input.pointers.length;var changedPointersLen=input.changedPointers.length;var isFirst=eventType&INPUT_START&&pointersLen-changedPointersLen===0;var isFinal=eventType&(INPUT_END|INPUT_CANCEL)&&pointersLen-changedPointersLen===0;input.isFirst=!!isFirst;input.isFinal=!!isFinal;if(isFirst){manager.session={}}input.eventType=eventType;computeInputData(manager,input);manager.emit(\"hammer.input\",input);manager.recognize(input);manager.session.prevInput=input}function computeInputData(manager,input){var session=manager.session;var pointers=input.pointers;var pointersLength=pointers.length;if(!session.firstInput){session.firstInput=simpleCloneInputData(input)}if(pointersLength>1&&!session.firstMultiple){session.firstMultiple=simpleCloneInputData(input)}else if(pointersLength===1){session.firstMultiple=false}var firstInput=session.firstInput;var firstMultiple=session.firstMultiple;var offsetCenter=firstMultiple?firstMultiple.center:firstInput.center;var center=input.center=getCenter(pointers);input.timeStamp=now();input.deltaTime=input.timeStamp-firstInput.timeStamp;input.angle=getAngle(offsetCenter,center);input.distance=getDistance(offsetCenter,center);computeDeltaXY(session,input);input.offsetDirection=getDirection(input.deltaX,input.deltaY);var overallVelocity=getVelocity(input.deltaTime,input.deltaX,input.deltaY);input.overallVelocityX=overallVelocity.x;input.overallVelocityY=overallVelocity.y;input.overallVelocity=abs(overallVelocity.x)>abs(overallVelocity.y)?overallVelocity.x:overallVelocity.y;input.scale=firstMultiple?getScale(firstMultiple.pointers,pointers):1;input.rotation=firstMultiple?getRotation(firstMultiple.pointers,pointers):0;input.maxPointers=!session.prevInput?input.pointers.length:input.pointers.length>session.prevInput.maxPointers?input.pointers.length:session.prevInput.maxPointers;computeIntervalInputData(session,input);var target=manager.element;if(hasParent(input.srcEvent.target,target)){target=input.srcEvent.target}input.target=target}function computeDeltaXY(session,input){var center=input.center;var offset=session.offsetDelta||{};var prevDelta=session.prevDelta||{};var prevInput=session.prevInput||{};if(input.eventType===INPUT_START||prevInput.eventType===INPUT_END){prevDelta=session.prevDelta={x:prevInput.deltaX||0,y:prevInput.deltaY||0};offset=session.offsetDelta={x:center.x,y:center.y}}input.deltaX=prevDelta.x+(center.x-offset.x);input.deltaY=prevDelta.y+(center.y-offset.y)}function computeIntervalInputData(session,input){var last=session.lastInterval||input,deltaTime=input.timeStamp-last.timeStamp,velocity,velocityX,velocityY,direction;if(input.eventType!=INPUT_CANCEL&&(deltaTime>COMPUTE_INTERVAL||last.velocity===undefined)){var deltaX=input.deltaX-last.deltaX;var deltaY=input.deltaY-last.deltaY;var v=getVelocity(deltaTime,deltaX,deltaY);velocityX=v.x;velocityY=v.y;velocity=abs(v.x)>abs(v.y)?v.x:v.y;direction=getDirection(deltaX,deltaY);session.lastInterval=input}else{velocity=last.velocity;velocityX=last.velocityX;velocityY=last.velocityY;direction=last.direction}input.velocity=velocity;input.velocityX=velocityX;input.velocityY=velocityY;input.direction=direction}function simpleCloneInputData(input){var pointers=[];var i=0;while(i<input.pointers.length){pointers[i]={clientX:round(input.pointers[i].clientX),clientY:round(input.pointers[i].clientY)};i++}return{timeStamp:now(),pointers:pointers,center:getCenter(pointers),deltaX:input.deltaX,deltaY:input.deltaY}}function getCenter(pointers){var pointersLength=pointers.length;if(pointersLength===1){return{x:round(pointers[0].clientX),y:round(pointers[0].clientY)}}var x=0,y=0,i=0;while(i<pointersLength){x+=pointers[i].clientX;y+=pointers[i].clientY;i++}return{x:round(x/pointersLength),y:round(y/pointersLength)}}function getVelocity(deltaTime,x,y){return{x:x/deltaTime||0,y:y/deltaTime||0}}function getDirection(x,y){if(x===y){return DIRECTION_NONE}if(abs(x)>=abs(y)){return x<0?DIRECTION_LEFT:DIRECTION_RIGHT}return y<0?DIRECTION_UP:DIRECTION_DOWN}function getDistance(p1,p2,props){if(!props){props=PROPS_XY}var x=p2[props[0]]-p1[props[0]],y=p2[props[1]]-p1[props[1]];return Math.sqrt(x*x+y*y)}function getAngle(p1,p2,props){if(!props){props=PROPS_XY}var x=p2[props[0]]-p1[props[0]],y=p2[props[1]]-p1[props[1]];return Math.atan2(y,x)*180/Math.PI}function getRotation(start,end){return getAngle(end[1],end[0],PROPS_CLIENT_XY)+getAngle(start[1],start[0],PROPS_CLIENT_XY)}function getScale(start,end){return getDistance(end[0],end[1],PROPS_CLIENT_XY)/getDistance(start[0],start[1],PROPS_CLIENT_XY)}var MOUSE_INPUT_MAP={mousedown:INPUT_START,mousemove:INPUT_MOVE,mouseup:INPUT_END};var MOUSE_ELEMENT_EVENTS=\"mousedown\";var MOUSE_WINDOW_EVENTS=\"mousemove mouseup\";function MouseInput(){this.evEl=MOUSE_ELEMENT_EVENTS;this.evWin=MOUSE_WINDOW_EVENTS;this.pressed=false;Input.apply(this,arguments)}inherit(MouseInput,Input,{handler:function MEhandler(ev){var eventType=MOUSE_INPUT_MAP[ev.type];if(eventType&INPUT_START&&ev.button===0){this.pressed=true}if(eventType&INPUT_MOVE&&ev.which!==1){eventType=INPUT_END}if(!this.pressed){return}if(eventType&INPUT_END){this.pressed=false}this.callback(this.manager,eventType,{pointers:[ev],changedPointers:[ev],pointerType:INPUT_TYPE_MOUSE,srcEvent:ev})}});var POINTER_INPUT_MAP={pointerdown:INPUT_START,pointermove:INPUT_MOVE,pointerup:INPUT_END,pointercancel:INPUT_CANCEL,pointerout:INPUT_CANCEL};var IE10_POINTER_TYPE_ENUM={2:INPUT_TYPE_TOUCH,3:INPUT_TYPE_PEN,4:INPUT_TYPE_MOUSE,5:INPUT_TYPE_KINECT};var POINTER_ELEMENT_EVENTS=\"pointerdown\";var POINTER_WINDOW_EVENTS=\"pointermove pointerup pointercancel\";if(window.MSPointerEvent&&!window.PointerEvent){POINTER_ELEMENT_EVENTS=\"MSPointerDown\";POINTER_WINDOW_EVENTS=\"MSPointerMove MSPointerUp MSPointerCancel\"}function PointerEventInput(){this.evEl=POINTER_ELEMENT_EVENTS;this.evWin=POINTER_WINDOW_EVENTS;Input.apply(this,arguments);this.store=this.manager.session.pointerEvents=[]}inherit(PointerEventInput,Input,{handler:function PEhandler(ev){var store=this.store;var removePointer=false;var eventTypeNormalized=ev.type.toLowerCase().replace(\"ms\",\"\");var eventType=POINTER_INPUT_MAP[eventTypeNormalized];var pointerType=IE10_POINTER_TYPE_ENUM[ev.pointerType]||ev.pointerType;var isTouch=pointerType==INPUT_TYPE_TOUCH;var storeIndex=inArray(store,ev.pointerId,\"pointerId\");if(eventType&INPUT_START&&(ev.button===0||isTouch)){if(storeIndex<0){store.push(ev);storeIndex=store.length-1}}else if(eventType&(INPUT_END|INPUT_CANCEL)){removePointer=true}if(storeIndex<0){return}store[storeIndex]=ev;this.callback(this.manager,eventType,{pointers:store,changedPointers:[ev],pointerType:pointerType,srcEvent:ev});if(removePointer){store.splice(storeIndex,1)}}});var SINGLE_TOUCH_INPUT_MAP={touchstart:INPUT_START,touchmove:INPUT_MOVE,touchend:INPUT_END,touchcancel:INPUT_CANCEL};var SINGLE_TOUCH_TARGET_EVENTS=\"touchstart\";var SINGLE_TOUCH_WINDOW_EVENTS=\"touchstart touchmove touchend touchcancel\";function SingleTouchInput(){this.evTarget=SINGLE_TOUCH_TARGET_EVENTS;this.evWin=SINGLE_TOUCH_WINDOW_EVENTS;this.started=false;Input.apply(this,arguments)}inherit(SingleTouchInput,Input,{handler:function TEhandler(ev){var type=SINGLE_TOUCH_INPUT_MAP[ev.type];if(type===INPUT_START){this.started=true}if(!this.started){return}var touches=normalizeSingleTouches.call(this,ev,type);if(type&(INPUT_END|INPUT_CANCEL)&&touches[0].length-touches[1].length===0){this.started=false}this.callback(this.manager,type,{pointers:touches[0],changedPointers:touches[1],pointerType:INPUT_TYPE_TOUCH,srcEvent:ev})}});function normalizeSingleTouches(ev,type){var all=toArray(ev.touches);var changed=toArray(ev.changedTouches);if(type&(INPUT_END|INPUT_CANCEL)){all=uniqueArray(all.concat(changed),\"identifier\",true)}return[all,changed]}var TOUCH_INPUT_MAP={touchstart:INPUT_START,touchmove:INPUT_MOVE,touchend:INPUT_END,touchcancel:INPUT_CANCEL};var TOUCH_TARGET_EVENTS=\"touchstart touchmove touchend touchcancel\";function TouchInput(){this.evTarget=TOUCH_TARGET_EVENTS;this.targetIds={};Input.apply(this,arguments)}inherit(TouchInput,Input,{handler:function MTEhandler(ev){var type=TOUCH_INPUT_MAP[ev.type];var touches=getTouches.call(this,ev,type);if(!touches){return}this.callback(this.manager,type,{pointers:touches[0],changedPointers:touches[1],pointerType:INPUT_TYPE_TOUCH,srcEvent:ev})}});function getTouches(ev,type){var allTouches=toArray(ev.touches);var targetIds=this.targetIds;if(type&(INPUT_START|INPUT_MOVE)&&allTouches.length===1){targetIds[allTouches[0].identifier]=true;return[allTouches,allTouches]}var i,targetTouches,changedTouches=toArray(ev.changedTouches),changedTargetTouches=[],target=this.target;targetTouches=allTouches.filter(function(touch){return hasParent(touch.target,target)});if(type===INPUT_START){i=0;while(i<targetTouches.length){targetIds[targetTouches[i].identifier]=true;i++}}i=0;while(i<changedTouches.length){if(targetIds[changedTouches[i].identifier]){changedTargetTouches.push(changedTouches[i])}if(type&(INPUT_END|INPUT_CANCEL)){delete targetIds[changedTouches[i].identifier]}i++}if(!changedTargetTouches.length){return}return[uniqueArray(targetTouches.concat(changedTargetTouches),\"identifier\",true),changedTargetTouches]}var DEDUP_TIMEOUT=2500;var DEDUP_DISTANCE=25;function TouchMouseInput(){Input.apply(this,arguments);var handler=bindFn(this.handler,this);this.touch=new TouchInput(this.manager,handler);this.mouse=new MouseInput(this.manager,handler);this.primaryTouch=null;this.lastTouches=[]}inherit(TouchMouseInput,Input,{handler:function TMEhandler(manager,inputEvent,inputData){var isTouch=inputData.pointerType==INPUT_TYPE_TOUCH,isMouse=inputData.pointerType==INPUT_TYPE_MOUSE;if(isMouse&&inputData.sourceCapabilities&&inputData.sourceCapabilities.firesTouchEvents){return}if(isTouch){recordTouches.call(this,inputEvent,inputData)}else if(isMouse&&isSyntheticEvent.call(this,inputData)){return}this.callback(manager,inputEvent,inputData)},destroy:function destroy(){this.touch.destroy();this.mouse.destroy()}});function recordTouches(eventType,eventData){if(eventType&INPUT_START){this.primaryTouch=eventData.changedPointers[0].identifier;setLastTouch.call(this,eventData)}else if(eventType&(INPUT_END|INPUT_CANCEL)){setLastTouch.call(this,eventData)}}function setLastTouch(eventData){var touch=eventData.changedPointers[0];if(touch.identifier===this.primaryTouch){var lastTouch={x:touch.clientX,y:touch.clientY};this.lastTouches.push(lastTouch);var lts=this.lastTouches;var removeLastTouch=function(){var i=lts.indexOf(lastTouch);if(i>-1){lts.splice(i,1)}};setTimeout(removeLastTouch,DEDUP_TIMEOUT)}}function isSyntheticEvent(eventData){var x=eventData.srcEvent.clientX,y=eventData.srcEvent.clientY;for(var i=0;i<this.lastTouches.length;i++){var t=this.lastTouches[i];var dx=Math.abs(x-t.x),dy=Math.abs(y-t.y);if(dx<=DEDUP_DISTANCE&&dy<=DEDUP_DISTANCE){return true}}return false}var PREFIXED_TOUCH_ACTION=prefixed(TEST_ELEMENT.style,\"touchAction\");var NATIVE_TOUCH_ACTION=PREFIXED_TOUCH_ACTION!==undefined;var TOUCH_ACTION_COMPUTE=\"compute\";var TOUCH_ACTION_AUTO=\"auto\";var TOUCH_ACTION_MANIPULATION=\"manipulation\";var TOUCH_ACTION_NONE=\"none\";var TOUCH_ACTION_PAN_X=\"pan-x\";var TOUCH_ACTION_PAN_Y=\"pan-y\";var TOUCH_ACTION_MAP=getTouchActionProps();function TouchAction(manager,value){this.manager=manager;this.set(value)}TouchAction.prototype={set:function(value){if(value==TOUCH_ACTION_COMPUTE){value=this.compute()}if(NATIVE_TOUCH_ACTION&&this.manager.element.style&&TOUCH_ACTION_MAP[value]){this.manager.element.style[PREFIXED_TOUCH_ACTION]=value}this.actions=value.toLowerCase().trim()},update:function(){this.set(this.manager.options.touchAction)},compute:function(){var actions=[];each(this.manager.recognizers,function(recognizer){if(boolOrFn(recognizer.options.enable,[recognizer])){actions=actions.concat(recognizer.getTouchAction())}});return cleanTouchActions(actions.join(\" \"))},preventDefaults:function(input){var srcEvent=input.srcEvent;var direction=input.offsetDirection;if(this.manager.session.prevented){srcEvent.preventDefault();return}var actions=this.actions;var hasNone=inStr(actions,TOUCH_ACTION_NONE)&&!TOUCH_ACTION_MAP[TOUCH_ACTION_NONE];var hasPanY=inStr(actions,TOUCH_ACTION_PAN_Y)&&!TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_Y];var hasPanX=inStr(actions,TOUCH_ACTION_PAN_X)&&!TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_X];if(hasNone){var isTapPointer=input.pointers.length===1;var isTapMovement=input.distance<2;var isTapTouchTime=input.deltaTime<250;if(isTapPointer&&isTapMovement&&isTapTouchTime){return}}if(hasPanX&&hasPanY){return}if(hasNone||hasPanY&&direction&DIRECTION_HORIZONTAL||hasPanX&&direction&DIRECTION_VERTICAL){return this.preventSrc(srcEvent)}},preventSrc:function(srcEvent){this.manager.session.prevented=true;srcEvent.preventDefault()}};function cleanTouchActions(actions){if(inStr(actions,TOUCH_ACTION_NONE)){return TOUCH_ACTION_NONE}var hasPanX=inStr(actions,TOUCH_ACTION_PAN_X);var hasPanY=inStr(actions,TOUCH_ACTION_PAN_Y);if(hasPanX&&hasPanY){return TOUCH_ACTION_NONE}if(hasPanX||hasPanY){return hasPanX?TOUCH_ACTION_PAN_X:TOUCH_ACTION_PAN_Y}if(inStr(actions,TOUCH_ACTION_MANIPULATION)){return TOUCH_ACTION_MANIPULATION}return TOUCH_ACTION_AUTO}function getTouchActionProps(){if(!NATIVE_TOUCH_ACTION){return false}var touchMap={};var cssSupports=window.CSS&&window.CSS.supports;[\"auto\",\"manipulation\",\"pan-y\",\"pan-x\",\"pan-x pan-y\",\"none\"].forEach(function(val){touchMap[val]=cssSupports?window.CSS.supports(\"touch-action\",val):true});return touchMap}var STATE_POSSIBLE=1;var STATE_BEGAN=2;var STATE_CHANGED=4;var STATE_ENDED=8;var STATE_RECOGNIZED=STATE_ENDED;var STATE_CANCELLED=16;var STATE_FAILED=32;function Recognizer(options){this.options=assign({},this.defaults,options||{});this.id=uniqueId();this.manager=null;this.options.enable=ifUndefined(this.options.enable,true);this.state=STATE_POSSIBLE;this.simultaneous={};this.requireFail=[]}Recognizer.prototype={defaults:{},set:function(options){assign(this.options,options);this.manager&&this.manager.touchAction.update();return this},recognizeWith:function(otherRecognizer){if(invokeArrayArg(otherRecognizer,\"recognizeWith\",this)){return this}var simultaneous=this.simultaneous;otherRecognizer=getRecognizerByNameIfManager(otherRecognizer,this);if(!simultaneous[otherRecognizer.id]){simultaneous[otherRecognizer.id]=otherRecognizer;otherRecognizer.recognizeWith(this)}return this},dropRecognizeWith:function(otherRecognizer){if(invokeArrayArg(otherRecognizer,\"dropRecognizeWith\",this)){return this}otherRecognizer=getRecognizerByNameIfManager(otherRecognizer,this);delete this.simultaneous[otherRecognizer.id];return this},requireFailure:function(otherRecognizer){if(invokeArrayArg(otherRecognizer,\"requireFailure\",this)){return this}var requireFail=this.requireFail;otherRecognizer=getRecognizerByNameIfManager(otherRecognizer,this);if(inArray(requireFail,otherRecognizer)===-1){requireFail.push(otherRecognizer);otherRecognizer.requireFailure(this)}return this},dropRequireFailure:function(otherRecognizer){if(invokeArrayArg(otherRecognizer,\"dropRequireFailure\",this)){return this}otherRecognizer=getRecognizerByNameIfManager(otherRecognizer,this);var index=inArray(this.requireFail,otherRecognizer);if(index>-1){this.requireFail.splice(index,1)}return this},hasRequireFailures:function(){return this.requireFail.length>0},canRecognizeWith:function(otherRecognizer){return!!this.simultaneous[otherRecognizer.id]},emit:function(input){var self=this;var state=this.state;function emit(event){self.manager.emit(event,input)}if(state<STATE_ENDED){emit(self.options.event+stateStr(state))}emit(self.options.event);if(input.additionalEvent){emit(input.additionalEvent)}if(state>=STATE_ENDED){emit(self.options.event+stateStr(state))}},tryEmit:function(input){if(this.canEmit()){return this.emit(input)}this.state=STATE_FAILED},canEmit:function(){var i=0;while(i<this.requireFail.length){if(!(this.requireFail[i].state&(STATE_FAILED|STATE_POSSIBLE))){return false}i++}return true},recognize:function(inputData){var inputDataClone=assign({},inputData);if(!boolOrFn(this.options.enable,[this,inputDataClone])){this.reset();this.state=STATE_FAILED;return}if(this.state&(STATE_RECOGNIZED|STATE_CANCELLED|STATE_FAILED)){this.state=STATE_POSSIBLE}this.state=this.process(inputDataClone);if(this.state&(STATE_BEGAN|STATE_CHANGED|STATE_ENDED|STATE_CANCELLED)){this.tryEmit(inputDataClone)}},process:function(inputData){},getTouchAction:function(){},reset:function(){}};function stateStr(state){if(state&STATE_CANCELLED){return\"cancel\"}else if(state&STATE_ENDED){return\"end\"}else if(state&STATE_CHANGED){return\"move\"}else if(state&STATE_BEGAN){return\"start\"}return\"\"}function directionStr(direction){if(direction==DIRECTION_DOWN){return\"down\"}else if(direction==DIRECTION_UP){return\"up\"}else if(direction==DIRECTION_LEFT){return\"left\"}else if(direction==DIRECTION_RIGHT){return\"right\"}return\"\"}function getRecognizerByNameIfManager(otherRecognizer,recognizer){var manager=recognizer.manager;if(manager){return manager.get(otherRecognizer)}return otherRecognizer}function AttrRecognizer(){Recognizer.apply(this,arguments)}inherit(AttrRecognizer,Recognizer,{defaults:{pointers:1},attrTest:function(input){var optionPointers=this.options.pointers;return optionPointers===0||input.pointers.length===optionPointers},process:function(input){var state=this.state;var eventType=input.eventType;var isRecognized=state&(STATE_BEGAN|STATE_CHANGED);var isValid=this.attrTest(input);if(isRecognized&&(eventType&INPUT_CANCEL||!isValid)){return state|STATE_CANCELLED}else if(isRecognized||isValid){if(eventType&INPUT_END){return state|STATE_ENDED}else if(!(state&STATE_BEGAN)){return STATE_BEGAN}return state|STATE_CHANGED}return STATE_FAILED}});function PanRecognizer(){AttrRecognizer.apply(this,arguments);this.pX=null;this.pY=null}inherit(PanRecognizer,AttrRecognizer,{defaults:{event:\"pan\",threshold:10,pointers:1,direction:DIRECTION_ALL},getTouchAction:function(){var direction=this.options.direction;var actions=[];if(direction&DIRECTION_HORIZONTAL){actions.push(TOUCH_ACTION_PAN_Y)}if(direction&DIRECTION_VERTICAL){actions.push(TOUCH_ACTION_PAN_X)}return actions},directionTest:function(input){var options=this.options;var hasMoved=true;var distance=input.distance;var direction=input.direction;var x=input.deltaX;var y=input.deltaY;if(!(direction&options.direction)){if(options.direction&DIRECTION_HORIZONTAL){direction=x===0?DIRECTION_NONE:x<0?DIRECTION_LEFT:DIRECTION_RIGHT;hasMoved=x!=this.pX;distance=Math.abs(input.deltaX)}else{direction=y===0?DIRECTION_NONE:y<0?DIRECTION_UP:DIRECTION_DOWN;hasMoved=y!=this.pY;distance=Math.abs(input.deltaY)}}input.direction=direction;return hasMoved&&distance>options.threshold&&direction&options.direction},attrTest:function(input){return AttrRecognizer.prototype.attrTest.call(this,input)&&(this.state&STATE_BEGAN||!(this.state&STATE_BEGAN)&&this.directionTest(input))},emit:function(input){this.pX=input.deltaX;this.pY=input.deltaY;var direction=directionStr(input.direction);if(direction){input.additionalEvent=this.options.event+direction}this._super.emit.call(this,input)}});function PinchRecognizer(){AttrRecognizer.apply(this,arguments)}inherit(PinchRecognizer,AttrRecognizer,{defaults:{event:\"pinch\",threshold:0,pointers:2},getTouchAction:function(){return[TOUCH_ACTION_NONE]},attrTest:function(input){return this._super.attrTest.call(this,input)&&(Math.abs(input.scale-1)>this.options.threshold||this.state&STATE_BEGAN)},emit:function(input){if(input.scale!==1){var inOut=input.scale<1?\"in\":\"out\";input.additionalEvent=this.options.event+inOut}this._super.emit.call(this,input)}});function PressRecognizer(){Recognizer.apply(this,arguments);this._timer=null;this._input=null}inherit(PressRecognizer,Recognizer,{defaults:{event:\"press\",pointers:1,time:251,threshold:9},getTouchAction:function(){return[TOUCH_ACTION_AUTO]},process:function(input){var options=this.options;var validPointers=input.pointers.length===options.pointers;var validMovement=input.distance<options.threshold;var validTime=input.deltaTime>options.time;this._input=input;if(!validMovement||!validPointers||input.eventType&(INPUT_END|INPUT_CANCEL)&&!validTime){this.reset()}else if(input.eventType&INPUT_START){this.reset();this._timer=setTimeoutContext(function(){this.state=STATE_RECOGNIZED;this.tryEmit()},options.time,this)}else if(input.eventType&INPUT_END){return STATE_RECOGNIZED}return STATE_FAILED},reset:function(){clearTimeout(this._timer)},emit:function(input){if(this.state!==STATE_RECOGNIZED){return}if(input&&input.eventType&INPUT_END){this.manager.emit(this.options.event+\"up\",input)}else{this._input.timeStamp=now();this.manager.emit(this.options.event,this._input)}}});function RotateRecognizer(){AttrRecognizer.apply(this,arguments)}inherit(RotateRecognizer,AttrRecognizer,{defaults:{event:\"rotate\",threshold:0,pointers:2},getTouchAction:function(){return[TOUCH_ACTION_NONE]},attrTest:function(input){return this._super.attrTest.call(this,input)&&(Math.abs(input.rotation)>this.options.threshold||this.state&STATE_BEGAN)}});function SwipeRecognizer(){AttrRecognizer.apply(this,arguments)}inherit(SwipeRecognizer,AttrRecognizer,{defaults:{event:\"swipe\",threshold:10,velocity:.3,direction:DIRECTION_HORIZONTAL|DIRECTION_VERTICAL,pointers:1},getTouchAction:function(){return PanRecognizer.prototype.getTouchAction.call(this)},attrTest:function(input){var direction=this.options.direction;var velocity;if(direction&(DIRECTION_HORIZONTAL|DIRECTION_VERTICAL)){velocity=input.overallVelocity}else if(direction&DIRECTION_HORIZONTAL){velocity=input.overallVelocityX}else if(direction&DIRECTION_VERTICAL){velocity=input.overallVelocityY}return this._super.attrTest.call(this,input)&&direction&input.offsetDirection&&input.distance>this.options.threshold&&input.maxPointers==this.options.pointers&&abs(velocity)>this.options.velocity&&input.eventType&INPUT_END},emit:function(input){var direction=directionStr(input.offsetDirection);if(direction){this.manager.emit(this.options.event+direction,input)}this.manager.emit(this.options.event,input)}});function TapRecognizer(){Recognizer.apply(this,arguments);this.pTime=false;this.pCenter=false;this._timer=null;this._input=null;this.count=0}inherit(TapRecognizer,Recognizer,{defaults:{event:\"tap\",pointers:1,taps:1,interval:300,time:250,threshold:9,posThreshold:10},getTouchAction:function(){return[TOUCH_ACTION_MANIPULATION]},process:function(input){var options=this.options;var validPointers=input.pointers.length===options.pointers;var validMovement=input.distance<options.threshold;var validTouchTime=input.deltaTime<options.time;this.reset();if(input.eventType&INPUT_START&&this.count===0){return this.failTimeout()}if(validMovement&&validTouchTime&&validPointers){if(input.eventType!=INPUT_END){return this.failTimeout()}var validInterval=this.pTime?input.timeStamp-this.pTime<options.interval:true;var validMultiTap=!this.pCenter||getDistance(this.pCenter,input.center)<options.posThreshold;this.pTime=input.timeStamp;this.pCenter=input.center;if(!validMultiTap||!validInterval){this.count=1}else{this.count+=1}this._input=input;var tapCount=this.count%options.taps;if(tapCount===0){if(!this.hasRequireFailures()){return STATE_RECOGNIZED}else{this._timer=setTimeoutContext(function(){this.state=STATE_RECOGNIZED;this.tryEmit()},options.interval,this);return STATE_BEGAN}}}return STATE_FAILED},failTimeout:function(){this._timer=setTimeoutContext(function(){this.state=STATE_FAILED},this.options.interval,this);return STATE_FAILED},reset:function(){clearTimeout(this._timer)},emit:function(){if(this.state==STATE_RECOGNIZED){this._input.tapCount=this.count;this.manager.emit(this.options.event,this._input)}}});function Hammer(element,options){options=options||{};options.recognizers=ifUndefined(options.recognizers,Hammer.defaults.preset);return new Manager(element,options)}Hammer.VERSION=\"2.0.7\";Hammer.defaults={domEvents:false,touchAction:TOUCH_ACTION_COMPUTE,enable:true,inputTarget:null,inputClass:null,preset:[[RotateRecognizer,{enable:false}],[PinchRecognizer,{enable:false},[\"rotate\"]],[SwipeRecognizer,{direction:DIRECTION_HORIZONTAL}],[PanRecognizer,{direction:DIRECTION_HORIZONTAL},[\"swipe\"]],[TapRecognizer],[TapRecognizer,{event:\"doubletap\",taps:2},[\"tap\"]],[PressRecognizer]],cssProps:{userSelect:\"none\",touchSelect:\"none\",touchCallout:\"none\",contentZooming:\"none\",userDrag:\"none\",tapHighlightColor:\"rgba(0,0,0,0)\"}};var STOP=1;var FORCED_STOP=2;function Manager(element,options){this.options=assign({},Hammer.defaults,options||{});this.options.inputTarget=this.options.inputTarget||element;this.handlers={};this.session={};this.recognizers=[];this.oldCssProps={};this.element=element;this.input=createInputInstance(this);this.touchAction=new TouchAction(this,this.options.touchAction);toggleCssProps(this,true);each(this.options.recognizers,function(item){var recognizer=this.add(new item[0](item[1]));item[2]&&recognizer.recognizeWith(item[2]);item[3]&&recognizer.requireFailure(item[3])},this)}Manager.prototype={set:function(options){assign(this.options,options);if(options.touchAction){this.touchAction.update()}if(options.inputTarget){this.input.destroy();this.input.target=options.inputTarget;this.input.init()}return this},stop:function(force){this.session.stopped=force?FORCED_STOP:STOP},recognize:function(inputData){var session=this.session;if(session.stopped){return}this.touchAction.preventDefaults(inputData);var recognizer;var recognizers=this.recognizers;var curRecognizer=session.curRecognizer;if(!curRecognizer||curRecognizer&&curRecognizer.state&STATE_RECOGNIZED){curRecognizer=session.curRecognizer=null}var i=0;while(i<recognizers.length){recognizer=recognizers[i];if(session.stopped!==FORCED_STOP&&(!curRecognizer||recognizer==curRecognizer||recognizer.canRecognizeWith(curRecognizer))){recognizer.recognize(inputData)}else{recognizer.reset()}if(!curRecognizer&&recognizer.state&(STATE_BEGAN|STATE_CHANGED|STATE_ENDED)){curRecognizer=session.curRecognizer=recognizer}i++}},get:function(recognizer){if(recognizer instanceof Recognizer){return recognizer}var recognizers=this.recognizers;for(var i=0;i<recognizers.length;i++){if(recognizers[i].options.event==recognizer){return recognizers[i]}}return null},add:function(recognizer){if(invokeArrayArg(recognizer,\"add\",this)){return this}var existing=this.get(recognizer.options.event);if(existing){this.remove(existing)}this.recognizers.push(recognizer);recognizer.manager=this;this.touchAction.update();return recognizer},remove:function(recognizer){if(invokeArrayArg(recognizer,\"remove\",this)){return this}recognizer=this.get(recognizer);if(recognizer){var recognizers=this.recognizers;var index=inArray(recognizers,recognizer);if(index!==-1){recognizers.splice(index,1);this.touchAction.update()}}return this},on:function(events,handler){if(events===undefined){return}if(handler===undefined){return}var handlers=this.handlers;each(splitStr(events),function(event){handlers[event]=handlers[event]||[];handlers[event].push(handler)});return this},off:function(events,handler){if(events===undefined){return}var handlers=this.handlers;each(splitStr(events),function(event){if(!handler){delete handlers[event]}else{handlers[event]&&handlers[event].splice(inArray(handlers[event],handler),1)}});return this},emit:function(event,data){if(this.options.domEvents){triggerDomEvent(event,data)}var handlers=this.handlers[event]&&this.handlers[event].slice();if(!handlers||!handlers.length){return}data.type=event;data.preventDefault=function(){data.srcEvent.preventDefault()};var i=0;while(i<handlers.length){handlers[i](data);i++}},destroy:function(){this.element&&toggleCssProps(this,false);this.handlers={};this.session={};this.input.destroy();this.element=null}};function toggleCssProps(manager,add){var element=manager.element;if(!element.style){return}var prop;each(manager.options.cssProps,function(value,name){prop=prefixed(element.style,name);if(add){manager.oldCssProps[prop]=element.style[prop];element.style[prop]=value}else{element.style[prop]=manager.oldCssProps[prop]||\"\"}});if(!add){manager.oldCssProps={}}}function triggerDomEvent(event,data){var gestureEvent=document.createEvent(\"Event\");gestureEvent.initEvent(event,true,true);gestureEvent.gesture=data;data.target.dispatchEvent(gestureEvent)}assign(Hammer,{INPUT_START:INPUT_START,INPUT_MOVE:INPUT_MOVE,INPUT_END:INPUT_END,INPUT_CANCEL:INPUT_CANCEL,STATE_POSSIBLE:STATE_POSSIBLE,STATE_BEGAN:STATE_BEGAN,STATE_CHANGED:STATE_CHANGED,STATE_ENDED:STATE_ENDED,STATE_RECOGNIZED:STATE_RECOGNIZED,STATE_CANCELLED:STATE_CANCELLED,STATE_FAILED:STATE_FAILED,DIRECTION_NONE:DIRECTION_NONE,DIRECTION_LEFT:DIRECTION_LEFT,DIRECTION_RIGHT:DIRECTION_RIGHT,DIRECTION_UP:DIRECTION_UP,DIRECTION_DOWN:DIRECTION_DOWN,DIRECTION_HORIZONTAL:DIRECTION_HORIZONTAL,DIRECTION_VERTICAL:DIRECTION_VERTICAL,DIRECTION_ALL:DIRECTION_ALL,Manager:Manager,Input:Input,TouchAction:TouchAction,TouchInput:TouchInput,MouseInput:MouseInput,PointerEventInput:PointerEventInput,TouchMouseInput:TouchMouseInput,SingleTouchInput:SingleTouchInput,Recognizer:Recognizer,AttrRecognizer:AttrRecognizer,Tap:TapRecognizer,Pan:PanRecognizer,Swipe:SwipeRecognizer,Pinch:PinchRecognizer,Rotate:RotateRecognizer,Press:PressRecognizer,on:addEventListeners,off:removeEventListeners,each:each,merge:merge,extend:extend,assign:assign,inherit:inherit,bindFn:bindFn,prefixed:prefixed});var freeGlobal=typeof window!==\"undefined\"?window:typeof self!==\"undefined\"?self:{};freeGlobal.Hammer=Hammer;if(true){!(__WEBPACK_AMD_DEFINE_RESULT__=function(){return Hammer}.call(exports,__webpack_require__,exports,module),__WEBPACK_AMD_DEFINE_RESULT__!==undefined&&(module.exports=__WEBPACK_AMD_DEFINE_RESULT__))}else if(typeof module!=\"undefined\"&&module.exports){module.exports=Hammer}else{window[exportName]=Hammer}})(window,document,\"Hammer\")},function(module,exports,__webpack_require__){var __WEBPACK_AMD_DEFINE_FACTORY__,__WEBPACK_AMD_DEFINE_ARRAY__,__WEBPACK_AMD_DEFINE_RESULT__;\"use strict\";(function(root,factory){if(true){!(__WEBPACK_AMD_DEFINE_ARRAY__=[],__WEBPACK_AMD_DEFINE_FACTORY__=factory,__WEBPACK_AMD_DEFINE_RESULT__=typeof __WEBPACK_AMD_DEFINE_FACTORY__===\"function\"?__WEBPACK_AMD_DEFINE_FACTORY__.apply(exports,__WEBPACK_AMD_DEFINE_ARRAY__):__WEBPACK_AMD_DEFINE_FACTORY__,__WEBPACK_AMD_DEFINE_RESULT__!==undefined&&(module.exports=__WEBPACK_AMD_DEFINE_RESULT__))}else if(typeof exports===\"object\"){module.exports=factory()}else{root.keycharm=factory()}})(this,function(){function keycharm(options){var preventDefault=options&&options.preventDefault||false;var container=options&&options.container||window;var _exportFunctions={};var _bound={keydown:{},keyup:{}};var _keys={};var i;for(i=97;i<=122;i++){_keys[String.fromCharCode(i)]={code:65+(i-97),shift:false}}for(i=65;i<=90;i++){_keys[String.fromCharCode(i)]={code:i,shift:true}}for(i=0;i<=9;i++){_keys[\"\"+i]={code:48+i,shift:false}}for(i=1;i<=12;i++){_keys[\"F\"+i]={code:111+i,shift:false}}for(i=0;i<=9;i++){_keys[\"num\"+i]={code:96+i,shift:false}}_keys[\"num*\"]={code:106,shift:false};_keys[\"num+\"]={code:107,shift:false};_keys[\"num-\"]={code:109,shift:false};_keys[\"num/\"]={code:111,shift:false};_keys[\"num.\"]={code:110,shift:false};_keys[\"left\"]={code:37,shift:false};_keys[\"up\"]={code:38,shift:false};_keys[\"right\"]={code:39,shift:false};_keys[\"down\"]={code:40,shift:false};_keys[\"space\"]={code:32,shift:false};_keys[\"enter\"]={code:13,shift:false};_keys[\"shift\"]={code:16,shift:undefined};_keys[\"esc\"]={code:27,shift:false};_keys[\"backspace\"]={code:8,shift:false};_keys[\"tab\"]={code:9,shift:false};_keys[\"ctrl\"]={code:17,shift:false};_keys[\"alt\"]={code:18,shift:false};_keys[\"delete\"]={code:46,shift:false};_keys[\"pageup\"]={code:33,shift:false};_keys[\"pagedown\"]={code:34,shift:false};_keys[\"=\"]={code:187,shift:false};_keys[\"-\"]={code:189,shift:false};_keys[\"]\"]={code:221,shift:false};_keys[\"[\"]={code:219,shift:false};var down=function(event){handleEvent(event,\"keydown\")};var up=function(event){handleEvent(event,\"keyup\")};var handleEvent=function(event,type){if(_bound[type][event.keyCode]!==undefined){var bound=_bound[type][event.keyCode];for(var i=0;i<bound.length;i++){if(bound[i].shift===undefined){bound[i].fn(event)}else if(bound[i].shift==true&&event.shiftKey==true){bound[i].fn(event)}else if(bound[i].shift==false&&event.shiftKey==false){bound[i].fn(event)}}if(preventDefault==true){event.preventDefault()}}};_exportFunctions.bind=function(key,callback,type){if(type===undefined){type=\"keydown\"}if(_keys[key]===undefined){throw new Error(\"unsupported key: \"+key)}if(_bound[type][_keys[key].code]===undefined){_bound[type][_keys[key].code]=[]}_bound[type][_keys[key].code].push({fn:callback,shift:_keys[key].shift})};_exportFunctions.bindAll=function(callback,type){if(type===undefined){type=\"keydown\"}for(var key in _keys){if(_keys.hasOwnProperty(key)){_exportFunctions.bind(key,callback,type)}}};_exportFunctions.getKey=function(event){for(var key in _keys){if(_keys.hasOwnProperty(key)){if(event.shiftKey==true&&_keys[key].shift==true&&event.keyCode==_keys[key].code){return key}else if(event.shiftKey==false&&_keys[key].shift==false&&event.keyCode==_keys[key].code){return key}else if(event.keyCode==_keys[key].code&&key==\"shift\"){return key}}}return\"unknown key, currently not supported\"};_exportFunctions.unbind=function(key,callback,type){if(type===undefined){type=\"keydown\"}if(_keys[key]===undefined){throw new Error(\"unsupported key: \"+key)}if(callback!==undefined){var newBindings=[];var bound=_bound[type][_keys[key].code];if(bound!==undefined){for(var i=0;i<bound.length;i++){if(!(bound[i].fn==callback&&bound[i].shift==_keys[key].shift)){newBindings.push(_bound[type][_keys[key].code][i])}}}_bound[type][_keys[key].code]=newBindings}else{_bound[type][_keys[key].code]=[]}};_exportFunctions.reset=function(){_bound={keydown:{},keyup:{}}};_exportFunctions.destroy=function(){_bound={keydown:{},keyup:{}};container.removeEventListener(\"keydown\",down,true);container.removeEventListener(\"keyup\",up,true)};container.addEventListener(\"keydown\",down,true);container.addEventListener(\"keyup\",up,true);return _exportFunctions}return keycharm})},function(module,exports,__webpack_require__){\"use strict\";exports.util=__webpack_require__(1);exports.DOMutil=__webpack_require__(88);exports.DataSet=__webpack_require__(89);exports.DataView=__webpack_require__(93);exports.Queue=__webpack_require__(92);exports.Timeline=__webpack_require__(117);exports.Graph2d=__webpack_require__(148);exports.timeline={Core:__webpack_require__(130),DateUtil:__webpack_require__(129),Range:__webpack_require__(127),stack:__webpack_require__(135),TimeStep:__webpack_require__(133),components:{items:{Item:__webpack_require__(137),BackgroundItem:__webpack_require__(141),BoxItem:__webpack_require__(139),PointItem:__webpack_require__(140),RangeItem:__webpack_require__(136)},BackgroundGroup:__webpack_require__(138),Component:__webpack_require__(128),CurrentTime:__webpack_require__(146),CustomTime:__webpack_require__(144),DataAxis:__webpack_require__(150),DataScale:__webpack_require__(151),GraphGroup:__webpack_require__(152),Group:__webpack_require__(134),ItemSet:__webpack_require__(131),Legend:__webpack_require__(156),LineGraph:__webpack_require__(149),TimeAxis:__webpack_require__(142)}};exports.moment=__webpack_require__(82);exports.Hammer=__webpack_require__(112);exports.keycharm=__webpack_require__(115)},function(module,exports,__webpack_require__){\"use strict\";var _Configurator=__webpack_require__(118);var _Configurator2=_interopRequireDefault(_Configurator);var _Validator=__webpack_require__(126);var _Validator2=_interopRequireDefault(_Validator);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Emitter=__webpack_require__(99);var Hammer=__webpack_require__(112);var moment=__webpack_require__(82);var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var Range=__webpack_require__(127);var Core=__webpack_require__(130);var TimeAxis=__webpack_require__(142);var CurrentTime=__webpack_require__(146);var CustomTime=__webpack_require__(144);var ItemSet=__webpack_require__(131);var printStyle=__webpack_require__(126).printStyle;var allOptions=__webpack_require__(147).allOptions;var configureOptions=__webpack_require__(147).configureOptions;function Timeline(container,items,groups,options){if(!(this instanceof Timeline)){throw new SyntaxError(\"Constructor must be called with the new operator\")}if(!(Array.isArray(groups)||groups instanceof DataSet||groups instanceof DataView)&&groups instanceof Object){var forthArgument=options;options=groups;groups=forthArgument}if(options&&options.throttleRedraw){console.warn('Timeline option \"throttleRedraw\" is DEPRICATED and no longer supported. It will be removed in the next MAJOR release.')}var me=this;this.defaultOptions={start:null,end:null,autoResize:true,orientation:{axis:\"bottom\",item:\"bottom\"},moment:moment,width:null,height:null,maxHeight:null,minHeight:null};this.options=util.deepExtend({},this.defaultOptions);this._create(container);if(!options||options&&typeof options.rtl==\"undefined\"){var directionFromDom,domNode=this.dom.root;while(!directionFromDom&&domNode){directionFromDom=window.getComputedStyle(domNode,null).direction;domNode=domNode.parentElement}this.options.rtl=directionFromDom&&directionFromDom.toLowerCase()==\"rtl\"}else{this.options.rtl=options.rtl}this.options.rollingMode=options&&options.rollingMode;this.components=[];this.body={dom:this.dom,domProps:this.props,emitter:{on:this.on.bind(this),off:this.off.bind(this),emit:this.emit.bind(this)},hiddenDates:[],util:{getScale:function getScale(){return me.timeAxis.step.scale},getStep:function getStep(){return me.timeAxis.step.step},toScreen:me._toScreen.bind(me),toGlobalScreen:me._toGlobalScreen.bind(me),toTime:me._toTime.bind(me),toGlobalTime:me._toGlobalTime.bind(me)}};this.range=new Range(this.body,this.options);this.components.push(this.range);this.body.range=this.range;this.timeAxis=new TimeAxis(this.body,this.options);this.timeAxis2=null;this.components.push(this.timeAxis);this.currentTime=new CurrentTime(this.body,this.options);this.components.push(this.currentTime);this.itemSet=new ItemSet(this.body,this.options);this.components.push(this.itemSet);this.itemsData=null;this.groupsData=null;this.dom.root.onclick=function(event){me.emit(\"click\",me.getEventProperties(event))};this.dom.root.ondblclick=function(event){me.emit(\"doubleClick\",me.getEventProperties(event))};this.dom.root.oncontextmenu=function(event){me.emit(\"contextmenu\",me.getEventProperties(event))};this.dom.root.onmouseover=function(event){me.emit(\"mouseOver\",me.getEventProperties(event))};this.dom.root.onmousemove=function(event){me.emit(\"mouseMove\",me.getEventProperties(event))};this.fitDone=false;this.on(\"changed\",function(){if(this.itemsData==null||this.options.rollingMode)return;if(!me.fitDone){me.fitDone=true;if(me.options.start!=undefined||me.options.end!=undefined){if(me.options.start==undefined||me.options.end==undefined){var range=me.getItemRange()}var start=me.options.start!=undefined?me.options.start:range.min;var end=me.options.end!=undefined?me.options.end:range.max;me.setWindow(start,end,{animation:false})}else{me.fit({animation:false})}}});if(options){this.setOptions(options)}if(groups){this.setGroups(groups)}if(items){this.setItems(items)}this._redraw()}Timeline.prototype=new Core;Timeline.prototype._createConfigurator=function(){return new _Configurator2[\"default\"](this,this.dom.container,configureOptions)};Timeline.prototype.redraw=function(){this.itemSet&&this.itemSet.markDirty({refreshItems:true});this._redraw()};Timeline.prototype.setOptions=function(options){var errorFound=_Validator2[\"default\"].validate(options,allOptions);if(errorFound===true){console.log(\"%cErrors have been found in the supplied options object.\",printStyle)}Core.prototype.setOptions.call(this,options);if(\"type\"in options){if(options.type!==this.options.type){this.options.type=options.type;var itemsData=this.itemsData;if(itemsData){var selection=this.getSelection();this.setItems(null);this.setItems(itemsData);this.setSelection(selection)}}}};Timeline.prototype.setItems=function(items){var newDataSet;if(!items){newDataSet=null}else if(items instanceof DataSet||items instanceof DataView){newDataSet=items}else{newDataSet=new DataSet(items,{type:{start:\"Date\",end:\"Date\"}})}this.itemsData=newDataSet;this.itemSet&&this.itemSet.setItems(newDataSet)};Timeline.prototype.setGroups=function(groups){var newDataSet;if(!groups){newDataSet=null}else{var filter=function filter(group){return group.visible!==false};if(groups instanceof DataSet||groups instanceof DataView){newDataSet=new DataView(groups,{filter:filter})}else{newDataSet=new DataSet(groups.filter(filter))}}this.groupsData=newDataSet;this.itemSet.setGroups(newDataSet)};Timeline.prototype.setData=function(data){if(data&&data.groups){this.setGroups(data.groups)}if(data&&data.items){this.setItems(data.items)}};Timeline.prototype.setSelection=function(ids,options){this.itemSet&&this.itemSet.setSelection(ids);if(options&&options.focus){this.focus(ids,options)}};Timeline.prototype.getSelection=function(){return this.itemSet&&this.itemSet.getSelection()||[]};Timeline.prototype.focus=function(id,options){if(!this.itemsData||id==undefined)return;var ids=Array.isArray(id)?id:[id];var itemsData=this.itemsData.getDataSet().get(ids,{type:{start:\"Date\",end:\"Date\"}});var start=null;var end=null;itemsData.forEach(function(itemData){var s=itemData.start.valueOf();var e=\"end\"in itemData?itemData.end.valueOf():itemData.start.valueOf();if(start===null||s<start){start=s}if(end===null||e>end){end=e}});if(start!==null&&end!==null){var middle=(start+end)/2;var interval=Math.max(this.range.end-this.range.start,(end-start)*1.1);var animation=options&&options.animation!==undefined?options.animation:true;this.range.setRange(middle-interval/2,middle+interval/2,animation)}};Timeline.prototype.fit=function(options){var animation=options&&options.animation!==undefined?options.animation:true;var range;var dataset=this.itemsData&&this.itemsData.getDataSet();if(dataset.length===1&&dataset.get()[0].end===undefined){range=this.getDataRange();this.moveTo(range.min.valueOf(),{animation:animation})}else{range=this.getItemRange();this.range.setRange(range.min,range.max,animation)}};Timeline.prototype.getItemRange=function(){var range=this.getDataRange();var min=range.min!==null?range.min.valueOf():null;var max=range.max!==null?range.max.valueOf():null;var minItem=null;var maxItem=null;if(min!=null&&max!=null){var getStart=function getStart(item){return util.convert(item.data.start,\"Date\").valueOf()};var getEnd=function getEnd(item){var end=item.data.end!=undefined?item.data.end:item.data.start;return util.convert(end,\"Date\").valueOf()};var interval=max-min;if(interval<=0){interval=10}var factor=interval/this.props.center.width;util.forEach(this.itemSet.items,function(item){if(item.groupShowing){item.show();item.repositionX()}var start=getStart(item);var end=getEnd(item);if(this.options.rtl){var startSide=start-(item.getWidthRight()+10)*factor;var endSide=end+(item.getWidthLeft()+10)*factor}else{var startSide=start-(item.getWidthLeft()+10)*factor;var endSide=end+(item.getWidthRight()+10)*factor}if(startSide<min){min=startSide;minItem=item}if(endSide>max){max=endSide;maxItem=item}}.bind(this));if(minItem&&maxItem){var lhs=minItem.getWidthLeft()+10;var rhs=maxItem.getWidthRight()+10;var delta=this.props.center.width-lhs-rhs;if(delta>0){if(this.options.rtl){min=getStart(minItem)-rhs*interval/delta;max=getEnd(maxItem)+lhs*interval/delta}else{min=getStart(minItem)-lhs*interval/delta;max=getEnd(maxItem)+rhs*interval/delta}}}}return{min:min!=null?new Date(min):null,max:max!=null?new Date(max):null}};Timeline.prototype.getDataRange=function(){var min=null;var max=null;var dataset=this.itemsData&&this.itemsData.getDataSet();if(dataset){dataset.forEach(function(item){var start=util.convert(item.start,\"Date\").valueOf();var end=util.convert(item.end!=undefined?item.end:item.start,\"Date\").valueOf();if(min===null||start<min){min=start}if(max===null||end>max){max=end}})}return{min:min!=null?new Date(min):null,max:max!=null?new Date(max):null}};Timeline.prototype.getEventProperties=function(event){var clientX=event.center?event.center.x:event.clientX;var clientY=event.center?event.center.y:event.clientY;if(this.options.rtl){var x=util.getAbsoluteRight(this.dom.centerContainer)-clientX}else{var x=clientX-util.getAbsoluteLeft(this.dom.centerContainer)}var y=clientY-util.getAbsoluteTop(this.dom.centerContainer);var item=this.itemSet.itemFromTarget(event);var group=this.itemSet.groupFromTarget(event);var customTime=CustomTime.customTimeFromTarget(event);var snap=this.itemSet.options.snap||null;var scale=this.body.util.getScale();var step=this.body.util.getStep();var time=this._toTime(x);var snappedTime=snap?snap(time,scale,step):time;var element=util.getTarget(event);var what=null;if(item!=null){what=\"item\"}else if(customTime!=null){what=\"custom-time\"}else if(util.hasParent(element,this.timeAxis.dom.foreground)){what=\"axis\"}else if(this.timeAxis2&&util.hasParent(element,this.timeAxis2.dom.foreground)){what=\"axis\"}else if(util.hasParent(element,this.itemSet.dom.labelSet)){what=\"group-label\"}else if(util.hasParent(element,this.currentTime.bar)){what=\"current-time\"}else if(util.hasParent(element,this.dom.center)){what=\"background\"}return{event:event,item:item?item.id:null,group:group?group.groupId:null,what:what,pageX:event.srcEvent?event.srcEvent.pageX:event.pageX,pageY:event.srcEvent?event.srcEvent.pageY:event.pageY,x:x,y:y,time:time,snappedTime:snappedTime}};Timeline.prototype.toggleRollingMode=function(){if(this.range.rolling){this.range.stopRolling()}else{if(this.options.rollingMode==undefined){this.setOptions(this.options)}this.range.startRolling()}};module.exports=Timeline},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _ColorPicker=__webpack_require__(124);var _ColorPicker2=_interopRequireDefault(_ColorPicker);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Configurator=function(){function Configurator(parentModule,defaultContainer,configureOptions){var pixelRatio=arguments.length>3&&arguments[3]!==undefined?arguments[3]:1;(0,_classCallCheck3[\"default\"])(this,Configurator);this.parent=parentModule;this.changedOptions=[];this.container=defaultContainer;this.allowCreation=false;this.options={};this.initialized=false;this.popupCounter=0;this.defaultOptions={enabled:false,filter:true,container:undefined,showButton:true};util.extend(this.options,this.defaultOptions);this.configureOptions=configureOptions;this.moduleOptions={};this.domElements=[];this.popupDiv={};this.popupLimit=5;this.popupHistory={};this.colorPicker=new _ColorPicker2[\"default\"](pixelRatio);this.wrapper=undefined}(0,_createClass3[\"default\"])(Configurator,[{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){this.popupHistory={};this._removePopup();var enabled=true;if(typeof options===\"string\"){this.options.filter=options}else if(options instanceof Array){this.options.filter=options.join()}else if((typeof options===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(options))===\"object\"){if(options.container!==undefined){this.options.container=options.container}if(options.filter!==undefined){this.options.filter=options.filter}if(options.showButton!==undefined){this.options.showButton=options.showButton}if(options.enabled!==undefined){enabled=options.enabled}}else if(typeof options===\"boolean\"){this.options.filter=true;enabled=options}else if(typeof options===\"function\"){this.options.filter=options;enabled=true}if(this.options.filter===false){enabled=false}this.options.enabled=enabled}this._clean()}},{key:\"setModuleOptions\",value:function setModuleOptions(moduleOptions){this.moduleOptions=moduleOptions;if(this.options.enabled===true){this._clean();if(this.options.container!==undefined){this.container=this.options.container}this._create()}}},{key:\"_create\",value:function _create(){var _this=this;this._clean();this.changedOptions=[];var filter=this.options.filter;var counter=0;var show=false;for(var option in this.configureOptions){if(this.configureOptions.hasOwnProperty(option)){this.allowCreation=false;show=false;if(typeof filter===\"function\"){show=filter(option,[]);show=show||this._handleObject(this.configureOptions[option],[option],true)}else if(filter===true||filter.indexOf(option)!==-1){show=true}if(show!==false){this.allowCreation=true;if(counter>0){this._makeItem([])}this._makeHeader(option);this._handleObject(this.configureOptions[option],[option])}counter++}}if(this.options.showButton===true){var generateButton=document.createElement(\"div\");generateButton.className=\"vis-configuration vis-config-button\";generateButton.innerHTML=\"generate options\";generateButton.onclick=function(){_this._printOptions()};generateButton.onmouseover=function(){generateButton.className=\"vis-configuration vis-config-button hover\"};generateButton.onmouseout=function(){generateButton.className=\"vis-configuration vis-config-button\"};this.optionsContainer=document.createElement(\"div\");this.optionsContainer.className=\"vis-configuration vis-config-option-container\";this.domElements.push(this.optionsContainer);this.domElements.push(generateButton)}this._push()}},{key:\"_push\",value:function _push(){this.wrapper=document.createElement(\"div\");this.wrapper.className=\"vis-configuration-wrapper\";this.container.appendChild(this.wrapper);for(var i=0;i<this.domElements.length;i++){this.wrapper.appendChild(this.domElements[i])}this._showPopupIfNeeded()}},{key:\"_clean\",value:function _clean(){for(var i=0;i<this.domElements.length;i++){this.wrapper.removeChild(this.domElements[i])}if(this.wrapper!==undefined){this.container.removeChild(this.wrapper);this.wrapper=undefined}this.domElements=[];this._removePopup()}},{key:\"_getValue\",value:function _getValue(path){var base=this.moduleOptions;for(var i=0;i<path.length;i++){if(base[path[i]]!==undefined){base=base[path[i]]}else{base=undefined;break}}return base}},{key:\"_makeItem\",value:function _makeItem(path){if(this.allowCreation===true){var item=document.createElement(\"div\");item.className=\"vis-configuration vis-config-item vis-config-s\"+path.length;for(var _len=arguments.length,domElements=Array(_len>1?_len-1:0),_key=1;_key<_len;_key++){domElements[_key-1]=arguments[_key]}domElements.forEach(function(element){item.appendChild(element)});this.domElements.push(item);return this.domElements.length}return 0}},{key:\"_makeHeader\",value:function _makeHeader(name){var div=document.createElement(\"div\");div.className=\"vis-configuration vis-config-header\";div.innerHTML=name;this._makeItem([],div)}},{key:\"_makeLabel\",value:function _makeLabel(name,path){var objectLabel=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var div=document.createElement(\"div\");div.className=\"vis-configuration vis-config-label vis-config-s\"+path.length;if(objectLabel===true){div.innerHTML=\"<i><b>\"+name+\":</b></i>\"}else{div.innerHTML=name+\":\"}return div}},{key:\"_makeDropdown\",value:function _makeDropdown(arr,value,path){var select=document.createElement(\"select\");select.className=\"vis-configuration vis-config-select\";var selectedValue=0;if(value!==undefined){if(arr.indexOf(value)!==-1){selectedValue=arr.indexOf(value)}}for(var i=0;i<arr.length;i++){var option=document.createElement(\"option\");option.value=arr[i];if(i===selectedValue){option.selected=\"selected\"}option.innerHTML=arr[i];select.appendChild(option)}var me=this;select.onchange=function(){me._update(this.value,path)};var label=this._makeLabel(path[path.length-1],path);this._makeItem(path,label,select)}},{key:\"_makeRange\",value:function _makeRange(arr,value,path){var defaultValue=arr[0];var min=arr[1];var max=arr[2];var step=arr[3];var range=document.createElement(\"input\");range.className=\"vis-configuration vis-config-range\";try{range.type=\"range\";range.min=min;range.max=max}catch(err){}range.step=step;var popupString=\"\";var popupValue=0;if(value!==undefined){var factor=1.2;if(value<0&&value*factor<min){range.min=Math.ceil(value*factor);popupValue=range.min;popupString=\"range increased\"}else if(value/factor<min){range.min=Math.ceil(value/factor);popupValue=range.min;popupString=\"range increased\"}if(value*factor>max&&max!==1){range.max=Math.ceil(value*factor);popupValue=range.max;popupString=\"range increased\"}range.value=value}else{range.value=defaultValue}var input=document.createElement(\"input\");input.className=\"vis-configuration vis-config-rangeinput\";input.value=range.value;var me=this;range.onchange=function(){input.value=this.value;me._update(Number(this.value),path)};range.oninput=function(){input.value=this.value};var label=this._makeLabel(path[path.length-1],path);var itemIndex=this._makeItem(path,label,range,input);if(popupString!==\"\"&&this.popupHistory[itemIndex]!==popupValue){this.popupHistory[itemIndex]=popupValue;this._setupPopup(popupString,itemIndex)}}},{key:\"_setupPopup\",value:function _setupPopup(string,index){var _this2=this;if(this.initialized===true&&this.allowCreation===true&&this.popupCounter<this.popupLimit){var div=document.createElement(\"div\");div.id=\"vis-configuration-popup\";div.className=\"vis-configuration-popup\";div.innerHTML=string;div.onclick=function(){_this2._removePopup()};this.popupCounter+=1;this.popupDiv={html:div,index:index}}}},{key:\"_removePopup\",value:function _removePopup(){if(this.popupDiv.html!==undefined){this.popupDiv.html.parentNode.removeChild(this.popupDiv.html);clearTimeout(this.popupDiv.hideTimeout);clearTimeout(this.popupDiv.deleteTimeout);this.popupDiv={}}}},{key:\"_showPopupIfNeeded\",value:function _showPopupIfNeeded(){var _this3=this;if(this.popupDiv.html!==undefined){var correspondingElement=this.domElements[this.popupDiv.index];var rect=correspondingElement.getBoundingClientRect();this.popupDiv.html.style.left=rect.left+\"px\";this.popupDiv.html.style.top=rect.top-30+\"px\";document.body.appendChild(this.popupDiv.html);this.popupDiv.hideTimeout=setTimeout(function(){_this3.popupDiv.html.style.opacity=0},1500);this.popupDiv.deleteTimeout=setTimeout(function(){_this3._removePopup()},1800)}}},{key:\"_makeCheckbox\",value:function _makeCheckbox(defaultValue,value,path){var checkbox=document.createElement(\"input\");checkbox.type=\"checkbox\";checkbox.className=\"vis-configuration vis-config-checkbox\";checkbox.checked=defaultValue;if(value!==undefined){checkbox.checked=value;if(value!==defaultValue){if((typeof defaultValue===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(defaultValue))===\"object\"){if(value!==defaultValue.enabled){this.changedOptions.push({path:path,value:value})}}else{this.changedOptions.push({path:path,value:value})}}}var me=this;checkbox.onchange=function(){me._update(this.checked,path)};var label=this._makeLabel(path[path.length-1],path);this._makeItem(path,label,checkbox)}},{key:\"_makeTextInput\",value:function _makeTextInput(defaultValue,value,path){var checkbox=document.createElement(\"input\");checkbox.type=\"text\";checkbox.className=\"vis-configuration vis-config-text\";checkbox.value=value;if(value!==defaultValue){this.changedOptions.push({path:path,value:value})}var me=this;checkbox.onchange=function(){me._update(this.value,path)};var label=this._makeLabel(path[path.length-1],path);this._makeItem(path,label,checkbox)}},{key:\"_makeColorField\",value:function _makeColorField(arr,value,path){var _this4=this;var defaultColor=arr[1];var div=document.createElement(\"div\");value=value===undefined?defaultColor:value;if(value!==\"none\"){div.className=\"vis-configuration vis-config-colorBlock\";div.style.backgroundColor=value}else{div.className=\"vis-configuration vis-config-colorBlock none\"}value=value===undefined?defaultColor:value;div.onclick=function(){_this4._showColorPicker(value,div,path)};var label=this._makeLabel(path[path.length-1],path);this._makeItem(path,label,div)}},{key:\"_showColorPicker\",value:function _showColorPicker(value,div,path){var _this5=this;div.onclick=function(){};this.colorPicker.insertTo(div);this.colorPicker.show();this.colorPicker.setColor(value);this.colorPicker.setUpdateCallback(function(color){var colorString=\"rgba(\"+color.r+\",\"+color.g+\",\"+color.b+\",\"+color.a+\")\";div.style.backgroundColor=colorString;_this5._update(colorString,path)});this.colorPicker.setCloseCallback(function(){div.onclick=function(){_this5._showColorPicker(value,div,path)}})}},{key:\"_handleObject\",value:function _handleObject(obj){var path=arguments.length>1&&arguments[1]!==undefined?arguments[1]:[];var checkOnly=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var show=false;var filter=this.options.filter;var visibleInSet=false;for(var subObj in obj){if(obj.hasOwnProperty(subObj)){show=true;var item=obj[subObj];var newPath=util.copyAndExtendArray(path,subObj);if(typeof filter===\"function\"){show=filter(subObj,path);if(show===false){if(!(item instanceof Array)&&typeof item!==\"string\"&&typeof item!==\"boolean\"&&item instanceof Object){this.allowCreation=false;show=this._handleObject(item,newPath,true);this.allowCreation=checkOnly===false}}}if(show!==false){visibleInSet=true;var value=this._getValue(newPath);if(item instanceof Array){this._handleArray(item,value,newPath)}else if(typeof item===\"string\"){this._makeTextInput(item,value,newPath)}else if(typeof item===\"boolean\"){this._makeCheckbox(item,value,newPath)}else if(item instanceof Object){var draw=true;if(path.indexOf(\"physics\")!==-1){if(this.moduleOptions.physics.solver!==subObj){draw=false}}if(draw===true){if(item.enabled!==undefined){var enabledPath=util.copyAndExtendArray(newPath,\"enabled\");var enabledValue=this._getValue(enabledPath);if(enabledValue===true){var label=this._makeLabel(subObj,newPath,true);this._makeItem(newPath,label);visibleInSet=this._handleObject(item,newPath)||visibleInSet}else{this._makeCheckbox(item,enabledValue,newPath)}}else{var _label=this._makeLabel(subObj,newPath,true);this._makeItem(newPath,_label);visibleInSet=this._handleObject(item,newPath)||visibleInSet}}}else{console.error(\"dont know how to handle\",item,subObj,newPath)}}}}return visibleInSet}},{key:\"_handleArray\",value:function _handleArray(arr,value,path){if(typeof arr[0]===\"string\"&&arr[0]===\"color\"){this._makeColorField(arr,value,path);if(arr[1]!==value){this.changedOptions.push({path:path,value:value})}}else if(typeof arr[0]===\"string\"){this._makeDropdown(arr,value,path);if(arr[0]!==value){this.changedOptions.push({path:path,value:value})}}else if(typeof arr[0]===\"number\"){this._makeRange(arr,value,path);if(arr[0]!==value){this.changedOptions.push({path:path,value:Number(value)})}}}},{key:\"_update\",value:function _update(value,path){var options=this._constructOptions(value,path);if(this.parent.body&&this.parent.body.emitter&&this.parent.body.emitter.emit){this.parent.body.emitter.emit(\"configChange\",options)}this.initialized=true;this.parent.setOptions(options)}},{key:\"_constructOptions\",value:function _constructOptions(value,path){var optionsObj=arguments.length>2&&arguments[2]!==undefined?arguments[2]:{};var pointer=optionsObj;value=value===\"true\"?true:value;value=value===\"false\"?false:value;for(var i=0;i<path.length;i++){if(path[i]!==\"global\"){if(pointer[path[i]]===undefined){pointer[path[i]]={}}if(i!==path.length-1){pointer=pointer[path[i]]}else{pointer[path[i]]=value}}}return optionsObj}},{key:\"_printOptions\",value:function _printOptions(){var options=this.getOptions();this.optionsContainer.innerHTML=\"<pre>var options = \"+(0,_stringify2[\"default\"])(options,null,2)+\"</pre>\"}},{key:\"getOptions\",value:function getOptions(){var options={};for(var i=0;i<this.changedOptions.length;i++){this._constructOptions(this.changedOptions[i].value,this.changedOptions[i].path,options)}return options}}]);return Configurator}();exports[\"default\"]=Configurator},function(module,exports){\"use strict\";exports.__esModule=true;exports.default=function(instance,Constructor){if(!(instance instanceof Constructor)){throw new TypeError(\"Cannot call a class as a function\")}}},function(module,exports,__webpack_require__){\"use strict\";exports.__esModule=true;var _defineProperty=__webpack_require__(121);var _defineProperty2=_interopRequireDefault(_defineProperty);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}exports.default=function(){function defineProperties(target,props){for(var i=0;i<props.length;i++){var descriptor=props[i];descriptor.enumerable=descriptor.enumerable||false;descriptor.configurable=true;if(\"value\"in descriptor)descriptor.writable=true;(0,_defineProperty2.default)(target,descriptor.key,descriptor)}}return function(Constructor,protoProps,staticProps){if(protoProps)defineProperties(Constructor.prototype,protoProps);if(staticProps)defineProperties(Constructor,staticProps);return Constructor}}()},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(122),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(123);var $Object=__webpack_require__(17).Object;module.exports=function defineProperty(it,key,desc){return $Object.defineProperty(it,key,desc)}},function(module,exports,__webpack_require__){var $export=__webpack_require__(15);$export($export.S+$export.F*!__webpack_require__(25),\"Object\",{defineProperty:__webpack_require__(21).f})},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Hammer=__webpack_require__(112);var hammerUtil=__webpack_require__(125);var util=__webpack_require__(1);var ColorPicker=function(){function ColorPicker(){var pixelRatio=arguments.length>0&&arguments[0]!==undefined?arguments[0]:1;(0,_classCallCheck3[\"default\"])(this,ColorPicker);this.pixelRatio=pixelRatio;this.generated=false;this.centerCoordinates={x:289/2,y:289/2};this.r=289*.49;this.color={r:255,g:255,b:255,a:1};this.hueCircle=undefined;this.initialColor={r:255,g:255,b:255,a:1};this.previousColor=undefined;this.applied=false;this.updateCallback=function(){};this.closeCallback=function(){};this._create()}(0,_createClass3[\"default\"])(ColorPicker,[{key:\"insertTo\",value:function insertTo(container){if(this.hammer!==undefined){this.hammer.destroy();this.hammer=undefined}this.container=container;this.container.appendChild(this.frame);this._bindHammer();this._setSize()}},{key:\"setUpdateCallback\",value:function setUpdateCallback(callback){if(typeof callback===\"function\"){this.updateCallback=callback}else{throw new Error(\"Function attempted to set as colorPicker update callback is not a function.\")}}},{key:\"setCloseCallback\",value:function setCloseCallback(callback){if(typeof callback===\"function\"){this.closeCallback=callback}else{throw new Error(\"Function attempted to set as colorPicker closing callback is not a function.\")}}},{key:\"_isColorString\",value:function _isColorString(color){var htmlColors={black:\"#000000\",navy:\"#000080\",darkblue:\"#00008B\",mediumblue:\"#0000CD\",blue:\"#0000FF\",darkgreen:\"#006400\",green:\"#008000\",teal:\"#008080\",darkcyan:\"#008B8B\",deepskyblue:\"#00BFFF\",darkturquoise:\"#00CED1\",mediumspringgreen:\"#00FA9A\",lime:\"#00FF00\",springgreen:\"#00FF7F\",aqua:\"#00FFFF\",cyan:\"#00FFFF\",midnightblue:\"#191970\",dodgerblue:\"#1E90FF\",lightseagreen:\"#20B2AA\",forestgreen:\"#228B22\",seagreen:\"#2E8B57\",darkslategray:\"#2F4F4F\",limegreen:\"#32CD32\",mediumseagreen:\"#3CB371\",turquoise:\"#40E0D0\",royalblue:\"#4169E1\",steelblue:\"#4682B4\",darkslateblue:\"#483D8B\",mediumturquoise:\"#48D1CC\",indigo:\"#4B0082\",darkolivegreen:\"#556B2F\",cadetblue:\"#5F9EA0\",cornflowerblue:\"#6495ED\",mediumaquamarine:\"#66CDAA\",dimgray:\"#696969\",slateblue:\"#6A5ACD\",olivedrab:\"#6B8E23\",slategray:\"#708090\",lightslategray:\"#778899\",mediumslateblue:\"#7B68EE\",lawngreen:\"#7CFC00\",chartreuse:\"#7FFF00\",aquamarine:\"#7FFFD4\",maroon:\"#800000\",purple:\"#800080\",olive:\"#808000\",gray:\"#808080\",skyblue:\"#87CEEB\",lightskyblue:\"#87CEFA\",blueviolet:\"#8A2BE2\",darkred:\"#8B0000\",darkmagenta:\"#8B008B\",saddlebrown:\"#8B4513\",darkseagreen:\"#8FBC8F\",lightgreen:\"#90EE90\",mediumpurple:\"#9370D8\",darkviolet:\"#9400D3\",palegreen:\"#98FB98\",darkorchid:\"#9932CC\",yellowgreen:\"#9ACD32\",sienna:\"#A0522D\",brown:\"#A52A2A\",darkgray:\"#A9A9A9\",lightblue:\"#ADD8E6\",greenyellow:\"#ADFF2F\",paleturquoise:\"#AFEEEE\",lightsteelblue:\"#B0C4DE\",powderblue:\"#B0E0E6\",firebrick:\"#B22222\",darkgoldenrod:\"#B8860B\",mediumorchid:\"#BA55D3\",rosybrown:\"#BC8F8F\",darkkhaki:\"#BDB76B\",silver:\"#C0C0C0\",mediumvioletred:\"#C71585\",indianred:\"#CD5C5C\",peru:\"#CD853F\",chocolate:\"#D2691E\",tan:\"#D2B48C\",lightgrey:\"#D3D3D3\",palevioletred:\"#D87093\",thistle:\"#D8BFD8\",orchid:\"#DA70D6\",goldenrod:\"#DAA520\",crimson:\"#DC143C\",gainsboro:\"#DCDCDC\",plum:\"#DDA0DD\",burlywood:\"#DEB887\",lightcyan:\"#E0FFFF\",lavender:\"#E6E6FA\",darksalmon:\"#E9967A\",violet:\"#EE82EE\",palegoldenrod:\"#EEE8AA\",lightcoral:\"#F08080\",khaki:\"#F0E68C\",aliceblue:\"#F0F8FF\",honeydew:\"#F0FFF0\",azure:\"#F0FFFF\",sandybrown:\"#F4A460\",wheat:\"#F5DEB3\",beige:\"#F5F5DC\",whitesmoke:\"#F5F5F5\",mintcream:\"#F5FFFA\",ghostwhite:\"#F8F8FF\",salmon:\"#FA8072\",antiquewhite:\"#FAEBD7\",linen:\"#FAF0E6\",lightgoldenrodyellow:\"#FAFAD2\",oldlace:\"#FDF5E6\",red:\"#FF0000\",fuchsia:\"#FF00FF\",magenta:\"#FF00FF\",deeppink:\"#FF1493\",orangered:\"#FF4500\",tomato:\"#FF6347\",hotpink:\"#FF69B4\",coral:\"#FF7F50\",darkorange:\"#FF8C00\",lightsalmon:\"#FFA07A\",orange:\"#FFA500\",lightpink:\"#FFB6C1\",pink:\"#FFC0CB\",gold:\"#FFD700\",peachpuff:\"#FFDAB9\",navajowhite:\"#FFDEAD\",moccasin:\"#FFE4B5\",bisque:\"#FFE4C4\",mistyrose:\"#FFE4E1\",blanchedalmond:\"#FFEBCD\",papayawhip:\"#FFEFD5\",lavenderblush:\"#FFF0F5\",seashell:\"#FFF5EE\",cornsilk:\"#FFF8DC\",lemonchiffon:\"#FFFACD\",floralwhite:\"#FFFAF0\",snow:\"#FFFAFA\",yellow:\"#FFFF00\",lightyellow:\"#FFFFE0\",ivory:\"#FFFFF0\",white:\"#FFFFFF\"};if(typeof color===\"string\"){return htmlColors[color]}}},{key:\"setColor\",value:function setColor(color){var setInitial=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;if(color===\"none\"){return}var rgba=void 0;var htmlColor=this._isColorString(color);if(htmlColor!==undefined){color=htmlColor}if(util.isString(color)===true){if(util.isValidRGB(color)===true){var rgbaArray=color.substr(4).substr(0,color.length-5).split(\",\");rgba={r:rgbaArray[0],g:rgbaArray[1],b:rgbaArray[2],a:1}}else if(util.isValidRGBA(color)===true){var _rgbaArray=color.substr(5).substr(0,color.length-6).split(\",\");rgba={r:_rgbaArray[0],g:_rgbaArray[1],b:_rgbaArray[2],a:_rgbaArray[3]}}else if(util.isValidHex(color)===true){var rgbObj=util.hexToRGB(color);rgba={r:rgbObj.r,g:rgbObj.g,b:rgbObj.b,a:1}}}else{if(color instanceof Object){if(color.r!==undefined&&color.g!==undefined&&color.b!==undefined){var alpha=color.a!==undefined?color.a:\"1.0\";rgba={r:color.r,g:color.g,b:color.b,a:alpha}}}}if(rgba===undefined){throw new Error(\"Unknown color passed to the colorPicker. Supported are strings: rgb, hex, rgba. Object: rgb ({r:r,g:g,b:b,[a:a]}). Supplied: \"+(0,_stringify2[\"default\"])(color))}else{this._setColor(rgba,setInitial)}}},{key:\"show\",value:function show(){if(this.closeCallback!==undefined){this.closeCallback();this.closeCallback=undefined}this.applied=false;this.frame.style.display=\"block\";this._generateHueCircle()}},{key:\"_hide\",value:function _hide(){var _this=this;var storePrevious=arguments.length>0&&arguments[0]!==undefined?arguments[0]:true;if(storePrevious===true){this.previousColor=util.extend({},this.color)}if(this.applied===true){this.updateCallback(this.initialColor)}this.frame.style.display=\"none\";setTimeout(function(){if(_this.closeCallback!==undefined){_this.closeCallback();_this.closeCallback=undefined}},0)}},{key:\"_save\",value:function _save(){this.updateCallback(this.color);this.applied=false;this._hide()}},{key:\"_apply\",value:function _apply(){this.applied=true;this.updateCallback(this.color);this._updatePicker(this.color)}},{key:\"_loadLast\",value:function _loadLast(){if(this.previousColor!==undefined){this.setColor(this.previousColor,false)}else{alert(\"There is no last color to load...\")}}},{key:\"_setColor\",value:function _setColor(rgba){var setInitial=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;if(setInitial===true){this.initialColor=util.extend({},rgba)}this.color=rgba;var hsv=util.RGBToHSV(rgba.r,rgba.g,rgba.b);var angleConvert=2*Math.PI;var radius=this.r*hsv.s;var x=this.centerCoordinates.x+radius*Math.sin(angleConvert*hsv.h);var y=this.centerCoordinates.y+radius*Math.cos(angleConvert*hsv.h);this.colorPickerSelector.style.left=x-.5*this.colorPickerSelector.clientWidth+\"px\";this.colorPickerSelector.style.top=y-.5*this.colorPickerSelector.clientHeight+\"px\";this._updatePicker(rgba)}},{key:\"_setOpacity\",value:function _setOpacity(value){this.color.a=value/100;this._updatePicker(this.color)}},{key:\"_setBrightness\",value:function _setBrightness(value){var hsv=util.RGBToHSV(this.color.r,this.color.g,this.color.b);hsv.v=value/100;var rgba=util.HSVToRGB(hsv.h,hsv.s,hsv.v);rgba[\"a\"]=this.color.a;this.color=rgba;this._updatePicker()}},{key:\"_updatePicker\",value:function _updatePicker(){var rgba=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.color;var hsv=util.RGBToHSV(rgba.r,rgba.g,rgba.b);var ctx=this.colorPickerCanvas.getContext(\"2d\");if(this.pixelRation===undefined){this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1)}ctx.setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0);var w=this.colorPickerCanvas.clientWidth;var h=this.colorPickerCanvas.clientHeight;ctx.clearRect(0,0,w,h);ctx.putImageData(this.hueCircle,0,0);ctx.fillStyle=\"rgba(0,0,0,\"+(1-hsv.v)+\")\";ctx.circle(this.centerCoordinates.x,this.centerCoordinates.y,this.r);ctx.fill();this.brightnessRange.value=100*hsv.v;this.opacityRange.value=100*rgba.a;this.initialColorDiv.style.backgroundColor=\"rgba(\"+this.initialColor.r+\",\"+this.initialColor.g+\",\"+this.initialColor.b+\",\"+this.initialColor.a+\")\";this.newColorDiv.style.backgroundColor=\"rgba(\"+this.color.r+\",\"+this.color.g+\",\"+this.color.b+\",\"+this.color.a+\")\"}},{key:\"_setSize\",value:function _setSize(){this.colorPickerCanvas.style.width=\"100%\";this.colorPickerCanvas.style.height=\"100%\";this.colorPickerCanvas.width=289*this.pixelRatio;this.colorPickerCanvas.height=289*this.pixelRatio}},{key:\"_create\",value:function _create(){this.frame=document.createElement(\"div\");this.frame.className=\"vis-color-picker\";this.colorPickerDiv=document.createElement(\"div\");this.colorPickerSelector=document.createElement(\"div\");this.colorPickerSelector.className=\"vis-selector\";this.colorPickerDiv.appendChild(this.colorPickerSelector);this.colorPickerCanvas=document.createElement(\"canvas\");this.colorPickerDiv.appendChild(this.colorPickerCanvas);if(!this.colorPickerCanvas.getContext){var noCanvas=document.createElement(\"DIV\");noCanvas.style.color=\"red\";noCanvas.style.fontWeight=\"bold\";noCanvas.style.padding=\"10px\";noCanvas.innerHTML=\"Error: your browser does not support HTML canvas\";this.colorPickerCanvas.appendChild(noCanvas)}else{var ctx=this.colorPickerCanvas.getContext(\"2d\");this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1);this.colorPickerCanvas.getContext(\"2d\").setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0)}this.colorPickerDiv.className=\"vis-color\";this.opacityDiv=document.createElement(\"div\");this.opacityDiv.className=\"vis-opacity\";this.brightnessDiv=document.createElement(\"div\");this.brightnessDiv.className=\"vis-brightness\";this.arrowDiv=document.createElement(\"div\");this.arrowDiv.className=\"vis-arrow\";this.opacityRange=document.createElement(\"input\");try{this.opacityRange.type=\"range\";this.opacityRange.min=\"0\";this.opacityRange.max=\"100\"}catch(err){}this.opacityRange.value=\"100\";this.opacityRange.className=\"vis-range\";this.brightnessRange=document.createElement(\"input\");try{this.brightnessRange.type=\"range\";this.brightnessRange.min=\"0\";this.brightnessRange.max=\"100\"}catch(err){}this.brightnessRange.value=\"100\";this.brightnessRange.className=\"vis-range\";this.opacityDiv.appendChild(this.opacityRange);this.brightnessDiv.appendChild(this.brightnessRange);var me=this;this.opacityRange.onchange=function(){me._setOpacity(this.value)};this.opacityRange.oninput=function(){me._setOpacity(this.value)};this.brightnessRange.onchange=function(){me._setBrightness(this.value)};this.brightnessRange.oninput=function(){me._setBrightness(this.value)};this.brightnessLabel=document.createElement(\"div\");this.brightnessLabel.className=\"vis-label vis-brightness\";this.brightnessLabel.innerHTML=\"brightness:\";this.opacityLabel=document.createElement(\"div\");this.opacityLabel.className=\"vis-label vis-opacity\";this.opacityLabel.innerHTML=\"opacity:\";this.newColorDiv=document.createElement(\"div\");this.newColorDiv.className=\"vis-new-color\";this.newColorDiv.innerHTML=\"new\";this.initialColorDiv=document.createElement(\"div\");this.initialColorDiv.className=\"vis-initial-color\";this.initialColorDiv.innerHTML=\"initial\";this.cancelButton=document.createElement(\"div\");this.cancelButton.className=\"vis-button vis-cancel\";this.cancelButton.innerHTML=\"cancel\";this.cancelButton.onclick=this._hide.bind(this,false);this.applyButton=document.createElement(\"div\");this.applyButton.className=\"vis-button vis-apply\";this.applyButton.innerHTML=\"apply\";this.applyButton.onclick=this._apply.bind(this);this.saveButton=document.createElement(\"div\");this.saveButton.className=\"vis-button vis-save\";this.saveButton.innerHTML=\"save\";this.saveButton.onclick=this._save.bind(this);this.loadButton=document.createElement(\"div\");this.loadButton.className=\"vis-button vis-load\";this.loadButton.innerHTML=\"load last\";this.loadButton.onclick=this._loadLast.bind(this);this.frame.appendChild(this.colorPickerDiv);this.frame.appendChild(this.arrowDiv);this.frame.appendChild(this.brightnessLabel);this.frame.appendChild(this.brightnessDiv);this.frame.appendChild(this.opacityLabel);this.frame.appendChild(this.opacityDiv);this.frame.appendChild(this.newColorDiv);this.frame.appendChild(this.initialColorDiv);this.frame.appendChild(this.cancelButton);this.frame.appendChild(this.applyButton);this.frame.appendChild(this.saveButton);this.frame.appendChild(this.loadButton)}},{key:\"_bindHammer\",value:function _bindHammer(){var _this2=this;this.drag={};this.pinch={};this.hammer=new Hammer(this.colorPickerCanvas);this.hammer.get(\"pinch\").set({enable:true});hammerUtil.onTouch(this.hammer,function(event){_this2._moveSelector(event)});this.hammer.on(\"tap\",function(event){_this2._moveSelector(event)});this.hammer.on(\"panstart\",function(event){_this2._moveSelector(event)});this.hammer.on(\"panmove\",function(event){_this2._moveSelector(event)});this.hammer.on(\"panend\",function(event){_this2._moveSelector(event)})}},{key:\"_generateHueCircle\",value:function _generateHueCircle(){if(this.generated===false){var ctx=this.colorPickerCanvas.getContext(\"2d\");if(this.pixelRation===undefined){this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1)}ctx.setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0);var w=this.colorPickerCanvas.clientWidth;var h=this.colorPickerCanvas.clientHeight;ctx.clearRect(0,0,w,h);var x=void 0,y=void 0,hue=void 0,sat=void 0;this.centerCoordinates={x:w*.5,y:h*.5};this.r=.49*w;var angleConvert=2*Math.PI/360;var hfac=1/360;var sfac=1/this.r;var rgb=void 0;for(hue=0;hue<360;hue++){for(sat=0;sat<this.r;sat++){x=this.centerCoordinates.x+sat*Math.sin(angleConvert*hue);y=this.centerCoordinates.y+sat*Math.cos(angleConvert*hue);rgb=util.HSVToRGB(hue*hfac,sat*sfac,1);ctx.fillStyle=\"rgb(\"+rgb.r+\",\"+rgb.g+\",\"+rgb.b+\")\";ctx.fillRect(x-.5,y-.5,2,2)}}ctx.strokeStyle=\"rgba(0,0,0,1)\";ctx.circle(this.centerCoordinates.x,this.centerCoordinates.y,this.r);ctx.stroke();this.hueCircle=ctx.getImageData(0,0,w,h)}this.generated=true}},{key:\"_moveSelector\",value:function _moveSelector(event){var rect=this.colorPickerDiv.getBoundingClientRect();var left=event.center.x-rect.left;var top=event.center.y-rect.top;var centerY=.5*this.colorPickerDiv.clientHeight;var centerX=.5*this.colorPickerDiv.clientWidth;var x=left-centerX;var y=top-centerY;var angle=Math.atan2(x,y);var radius=.98*Math.min(Math.sqrt(x*x+y*y),centerX);var newTop=Math.cos(angle)*radius+centerY;var newLeft=Math.sin(angle)*radius+centerX;this.colorPickerSelector.style.top=newTop-.5*this.colorPickerSelector.clientHeight+\"px\";this.colorPickerSelector.style.left=newLeft-.5*this.colorPickerSelector.clientWidth+\"px\";var h=angle/(2*Math.PI);h=h<0?h+1:h;var s=radius/this.r;var hsv=util.RGBToHSV(this.color.r,this.color.g,this.color.b);hsv.h=h;hsv.s=s;var rgba=util.HSVToRGB(hsv.h,hsv.s,hsv.v);rgba[\"a\"]=this.color.a;this.color=rgba;this.initialColorDiv.style.backgroundColor=\"rgba(\"+this.initialColor.r+\",\"+this.initialColor.g+\",\"+this.initialColor.b+\",\"+this.initialColor.a+\")\";this.newColorDiv.style.backgroundColor=\"rgba(\"+this.color.r+\",\"+this.color.g+\",\"+this.color.b+\",\"+this.color.a+\")\"}}]);return ColorPicker}();exports[\"default\"]=ColorPicker},function(module,exports,__webpack_require__){\"use strict\";var Hammer=__webpack_require__(112);exports.onTouch=function(hammer,callback){callback.inputHandler=function(event){if(event.isFirst){callback(event)}};hammer.on(\"hammer.input\",callback.inputHandler)};exports.onRelease=function(hammer,callback){callback.inputHandler=function(event){if(event.isFinal){callback(event)}};return hammer.on(\"hammer.input\",callback.inputHandler)};exports.offTouch=function(hammer,callback){hammer.off(\"hammer.input\",callback.inputHandler)};exports.offRelease=exports.offTouch;exports.disablePreventDefaultVertically=function(pinchRecognizer){var TOUCH_ACTION_PAN_Y=\"pan-y\";pinchRecognizer.getTouchAction=function(){return[TOUCH_ACTION_PAN_Y]};return pinchRecognizer}},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});exports.printStyle=undefined;var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var errorFound=false;var allOptions=void 0;var printStyle=\"background: #FFeeee; color: #dd0000\";var Validator=function(){function Validator(){(0,_classCallCheck3[\"default\"])(this,Validator)}(0,_createClass3[\"default\"])(Validator,null,[{key:\"validate\",value:function validate(options,referenceOptions,subObject){errorFound=false;allOptions=referenceOptions;var usedOptions=referenceOptions;if(subObject!==undefined){usedOptions=referenceOptions[subObject]}Validator.parse(options,usedOptions,[]);return errorFound}},{key:\"parse\",value:function parse(options,referenceOptions,path){for(var option in options){if(options.hasOwnProperty(option)){Validator.check(option,options,referenceOptions,path)}}}},{key:\"check\",value:function check(option,options,referenceOptions,path){if(referenceOptions[option]===undefined&&referenceOptions.__any__===undefined){Validator.getSuggestion(option,referenceOptions,path)}else if(referenceOptions[option]===undefined&&referenceOptions.__any__!==undefined){if(Validator.getType(options[option])===\"object\"&&referenceOptions[\"__any__\"].__type__!==undefined){Validator.checkFields(option,options,referenceOptions,\"__any__\",referenceOptions[\"__any__\"].__type__,path)}else{Validator.checkFields(option,options,referenceOptions,\"__any__\",referenceOptions[\"__any__\"],path)}}else{if(referenceOptions[option].__type__!==undefined){Validator.checkFields(option,options,referenceOptions,option,referenceOptions[option].__type__,path)}else{Validator.checkFields(option,options,referenceOptions,option,referenceOptions[option],path)}}}},{key:\"checkFields\",value:function checkFields(option,options,referenceOptions,referenceOption,refOptionObj,path){var optionType=Validator.getType(options[option]);var refOptionType=refOptionObj[optionType];if(refOptionType!==undefined){if(Validator.getType(refOptionType)===\"array\"){if(refOptionType.indexOf(options[option])===-1){console.log('%cInvalid option detected in \"'+option+'\".'+\" Allowed values are:\"+Validator.print(refOptionType)+' not \"'+options[option]+'\". '+Validator.printLocation(path,option),printStyle);errorFound=true}else if(optionType===\"object\"&&referenceOption!==\"__any__\"){path=util.copyAndExtendArray(path,option);Validator.parse(options[option],referenceOptions[referenceOption],path)}}else if(optionType===\"object\"&&referenceOption!==\"__any__\"){path=util.copyAndExtendArray(path,option);Validator.parse(options[option],referenceOptions[referenceOption],path)}}else if(refOptionObj[\"any\"]===undefined){console.log('%cInvalid type received for \"'+option+'\". Expected: '+Validator.print((0,_keys2[\"default\"])(refOptionObj))+\". Received [\"+optionType+'] \"'+options[option]+'\"'+Validator.printLocation(path,option),printStyle);errorFound=true}}},{key:\"getType\",value:function getType(object){var type=typeof object===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(object);if(type===\"object\"){if(object===null){return\"null\"}if(object instanceof Boolean){return\"boolean\"}if(object instanceof Number){return\"number\"}if(object instanceof String){return\"string\"}if(Array.isArray(object)){return\"array\"}if(object instanceof Date){return\"date\"}if(object.nodeType!==undefined){return\"dom\"}if(object._isAMomentObject===true){return\"moment\"}return\"object\"}else if(type===\"number\"){return\"number\"}else if(type===\"boolean\"){return\"boolean\"}else if(type===\"string\"){return\"string\"}else if(type===undefined){return\"undefined\"}return type}},{key:\"getSuggestion\",value:function getSuggestion(option,options,path){var localSearch=Validator.findInOptions(option,options,path,false);var globalSearch=Validator.findInOptions(option,allOptions,[],true);var localSearchThreshold=8;var globalSearchThreshold=4;if(localSearch.indexMatch!==undefined){console.log('%cUnknown option detected: \"'+option+'\" in '+Validator.printLocation(localSearch.path,option,\"\")+'Perhaps it was incomplete? Did you mean: \"'+localSearch.indexMatch+'\"?\\n\\n',printStyle)}else if(globalSearch.distance<=globalSearchThreshold&&localSearch.distance>globalSearch.distance){console.log('%cUnknown option detected: \"'+option+'\" in '+Validator.printLocation(localSearch.path,option,\"\")+\"Perhaps it was misplaced? Matching option found at: \"+Validator.printLocation(globalSearch.path,globalSearch.closestMatch,\"\"),printStyle)}else if(localSearch.distance<=localSearchThreshold){console.log('%cUnknown option detected: \"'+option+'\". Did you mean \"'+localSearch.closestMatch+'\"?'+Validator.printLocation(localSearch.path,option),printStyle)}else{console.log('%cUnknown option detected: \"'+option+'\". Did you mean one of these: '+Validator.print((0,_keys2[\"default\"])(options))+Validator.printLocation(path,option),printStyle)}errorFound=true}},{key:\"findInOptions\",value:function findInOptions(option,options,path){var recursive=arguments.length>3&&arguments[3]!==undefined?arguments[3]:false;var min=1e9;var closestMatch=\"\";var closestMatchPath=[];var lowerCaseOption=option.toLowerCase();var indexMatch=undefined;for(var op in options){var distance=void 0;if(options[op].__type__!==undefined&&recursive===true){var result=Validator.findInOptions(option,options[op],util.copyAndExtendArray(path,op));if(min>result.distance){closestMatch=result.closestMatch;closestMatchPath=result.path;min=result.distance;indexMatch=result.indexMatch}}else{if(op.toLowerCase().indexOf(lowerCaseOption)!==-1){indexMatch=op}distance=Validator.levenshteinDistance(option,op);if(min>distance){closestMatch=op;closestMatchPath=util.copyArray(path);min=distance}}}return{closestMatch:closestMatch,path:closestMatchPath,distance:min,indexMatch:indexMatch}}},{key:\"printLocation\",value:function printLocation(path,option){var prefix=arguments.length>2&&arguments[2]!==undefined?arguments[2]:\"Problem value found at: \\n\";var str=\"\\n\\n\"+prefix+\"options = {\\n\";for(var i=0;i<path.length;i++){for(var j=0;j<i+1;j++){str+=\" \"}str+=path[i]+\": {\\n\"}for(var _j=0;_j<path.length+1;_j++){str+=\" \"}str+=option+\"\\n\";for(var _i=0;_i<path.length+1;_i++){for(var _j2=0;_j2<path.length-_i;_j2++){str+=\" \"}str+=\"}\\n\"}return str+\"\\n\\n\"}},{key:\"print\",value:function print(options){return(0,_stringify2[\"default\"])(options).replace(/(\\\")|(\\[)|(\\])|(,\"__type__\")/g,\"\").replace(/(\\,)/g,\", \")}},{key:\"levenshteinDistance\",value:function levenshteinDistance(a,b){if(a.length===0)return b.length;if(b.length===0)return a.length;var matrix=[];var i;for(i=0;i<=b.length;i++){matrix[i]=[i]}var j;for(j=0;j<=a.length;j++){matrix[0][j]=j}for(i=1;i<=b.length;i++){for(j=1;j<=a.length;j++){if(b.charAt(i-1)==a.charAt(j-1)){matrix[i][j]=matrix[i-1][j-1]}else{matrix[i][j]=Math.min(matrix[i-1][j-1]+1,Math.min(matrix[i][j-1]+1,matrix[i-1][j]+1))}}}return matrix[b.length][a.length]}}]);return Validator}();exports[\"default\"]=Validator;exports.printStyle=printStyle},function(module,exports,__webpack_require__){\"use strict\";var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var hammerUtil=__webpack_require__(125);var moment=__webpack_require__(82);var Component=__webpack_require__(128);var DateUtil=__webpack_require__(129);function Range(body,options){var now=moment().hours(0).minutes(0).seconds(0).milliseconds(0);var start=now.clone().add(-3,\"days\").valueOf();var end=now.clone().add(3,\"days\").valueOf();if(options===undefined){this.start=start;this.end=end}else{this.start=options.start||start;this.end=options.end||end}this.rolling=false;this.body=body;this.deltaDifference=0;this.scaleOffset=0;this.startToFront=false;this.endToFront=true;this.defaultOptions={rtl:false,start:null,end:null,moment:moment,direction:\"horizontal\",moveable:true,zoomable:true,min:null,max:null,zoomMin:10,zoomMax:1e3*60*60*24*365*1e4};this.options=util.extend({},this.defaultOptions);this.props={touch:{}};this.animationTimer=null;this.body.emitter.on(\"panstart\",this._onDragStart.bind(this));this.body.emitter.on(\"panmove\",this._onDrag.bind(this));this.body.emitter.on(\"panend\",this._onDragEnd.bind(this));this.body.emitter.on(\"mousewheel\",this._onMouseWheel.bind(this));this.body.emitter.on(\"touch\",this._onTouch.bind(this));this.body.emitter.on(\"pinch\",this._onPinch.bind(this));this.body.dom.rollingModeBtn.addEventListener(\"click\",this.startRolling.bind(this));this.setOptions(options)}Range.prototype=new Component;Range.prototype.setOptions=function(options){if(options){var fields=[\"animation\",\"direction\",\"min\",\"max\",\"zoomMin\",\"zoomMax\",\"moveable\",\"zoomable\",\"moment\",\"activate\",\"hiddenDates\",\"zoomKey\",\"rtl\",\"showCurrentTime\",\"rollMode\",\"horizontalScroll\"];util.selectiveExtend(fields,this.options,options);if(options.rollingMode){this.startRolling()}if(\"start\"in options||\"end\"in options){this.setRange(options.start,options.end)}}};function validateDirection(direction){if(direction!=\"horizontal\"&&direction!=\"vertical\"){throw new TypeError('Unknown direction \"'+direction+'\". '+'Choose \"horizontal\" or \"vertical\".')}}Range.prototype.startRolling=function(){var me=this;function update(){me.stopRolling();me.rolling=true;var interval=me.end-me.start;var t=util.convert(new Date,\"Date\").valueOf();var start=t-interval/2;var end=t+interval/2;var animation=me.options&&me.options.animation!==undefined?me.options.animation:true;me.setRange(start,end,false);var scale=me.conversion(me.body.domProps.center.width).scale;var interval=1/scale/10;if(interval<30)interval=30;if(interval>1e3)interval=1e3;me.body.dom.rollingModeBtn.style.visibility=\"hidden\";me.currentTimeTimer=setTimeout(update,interval)}update()};Range.prototype.stopRolling=function(){if(this.currentTimeTimer!==undefined){clearTimeout(this.currentTimeTimer);this.rolling=false;this.body.dom.rollingModeBtn.style.visibility=\"visible\"}};Range.prototype.setRange=function(start,end,animation,byUser,event){if(byUser!==true){byUser=false}var finalStart=start!=undefined?util.convert(start,\"Date\").valueOf():null;var finalEnd=end!=undefined?util.convert(end,\"Date\").valueOf():null;this._cancelAnimation();if(animation){var me=this;var initStart=this.start;var initEnd=this.end;var duration=(typeof animation===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(animation))===\"object\"&&\"duration\"in animation?animation.duration:500;var easingName=(typeof animation===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(animation))===\"object\"&&\"easingFunction\"in animation?animation.easingFunction:\"easeInOutQuad\";var easingFunction=util.easingFunctions[easingName];if(!easingFunction){throw new Error(\"Unknown easing function \"+(0,_stringify2[\"default\"])(easingName)+\". \"+\"Choose from: \"+(0,_keys2[\"default\"])(util.easingFunctions).join(\", \"))}var initTime=(new Date).valueOf();var anyChanged=false;var next=function next(){if(!me.props.touch.dragging){var now=(new Date).valueOf();var time=now-initTime;var ease=easingFunction(time/duration);var done=time>duration;var s=done||finalStart===null?finalStart:initStart+(finalStart-initStart)*ease;var e=done||finalEnd===null?finalEnd:initEnd+(finalEnd-initEnd)*ease;changed=me._applyRange(s,e);DateUtil.updateHiddenDates(me.options.moment,me.body,me.options.hiddenDates);anyChanged=anyChanged||changed;var params={start:new Date(me.start),end:new Date(me.end),byUser:byUser,event:event};if(changed){me.body.emitter.emit(\"rangechange\",params)}if(done){if(anyChanged){me.body.emitter.emit(\"rangechanged\",params)}}else{me.animationTimer=setTimeout(next,20)}}};return next()}else{var changed=this._applyRange(finalStart,finalEnd);DateUtil.updateHiddenDates(this.options.moment,this.body,this.options.hiddenDates);if(changed){var params={start:new Date(this.start),end:new Date(this.end),byUser:byUser,event:event};this.body.emitter.emit(\"rangechange\",params);this.body.emitter.emit(\"rangechanged\",params)}}};Range.prototype.getMillisecondsPerPixel=function(){return(this.end-this.start)/this.body.dom.center.clientWidth};Range.prototype._cancelAnimation=function(){if(this.animationTimer){clearTimeout(this.animationTimer);this.animationTimer=null}};Range.prototype._applyRange=function(start,end){var newStart=start!=null?util.convert(start,\"Date\").valueOf():this.start,newEnd=end!=null?util.convert(end,\"Date\").valueOf():this.end,max=this.options.max!=null?util.convert(this.options.max,\"Date\").valueOf():null,min=this.options.min!=null?util.convert(this.options.min,\"Date\").valueOf():null,diff;if(isNaN(newStart)||newStart===null){throw new Error('Invalid start \"'+start+'\"')}if(isNaN(newEnd)||newEnd===null){throw new Error('Invalid end \"'+end+'\"')}if(newEnd<newStart){newEnd=newStart}if(min!==null){if(newStart<min){diff=min-newStart;newStart+=diff;newEnd+=diff;if(max!=null){if(newEnd>max){newEnd=max}}}}if(max!==null){if(newEnd>max){diff=newEnd-max;newStart-=diff;newEnd-=diff;if(min!=null){if(newStart<min){newStart=min}}}}if(this.options.zoomMin!==null){var zoomMin=parseFloat(this.options.zoomMin);if(zoomMin<0){zoomMin=0}if(newEnd-newStart<zoomMin){var compensation=.5;if(this.end-this.start===zoomMin&&newStart>=this.start-compensation&&newEnd<=this.end){newStart=this.start;newEnd=this.end}else{diff=zoomMin-(newEnd-newStart);newStart-=diff/2;newEnd+=diff/2}}}if(this.options.zoomMax!==null){var zoomMax=parseFloat(this.options.zoomMax);if(zoomMax<0){zoomMax=0}if(newEnd-newStart>zoomMax){if(this.end-this.start===zoomMax&&newStart<this.start&&newEnd>this.end){newStart=this.start;newEnd=this.end}else{diff=newEnd-newStart-zoomMax;newStart+=diff/2;newEnd-=diff/2}}}var changed=this.start!=newStart||this.end!=newEnd;if(!(newStart>=this.start&&newStart<=this.end||newEnd>=this.start&&newEnd<=this.end)&&!(this.start>=newStart&&this.start<=newEnd||this.end>=newStart&&this.end<=newEnd)){this.body.emitter.emit(\"checkRangedItems\")}this.start=newStart;this.end=newEnd;return changed};Range.prototype.getRange=function(){return{start:this.start,end:this.end}};Range.prototype.conversion=function(width,totalHidden){return Range.conversion(this.start,this.end,width,totalHidden)};Range.conversion=function(start,end,width,totalHidden){if(totalHidden===undefined){totalHidden=0}if(width!=0&&end-start!=0){return{offset:start,scale:width/(end-start-totalHidden)}}else{return{offset:0,scale:1}}};Range.prototype._onDragStart=function(event){this.deltaDifference=0;this.previousDelta=0;if(!this.options.moveable)return;if(!this._isInsideRange(event))return;if(!this.props.touch.allowDragging)return;this.stopRolling();this.props.touch.start=this.start;this.props.touch.end=this.end;this.props.touch.dragging=true;if(this.body.dom.root){this.body.dom.root.style.cursor=\"move\"}};Range.prototype._onDrag=function(event){if(!event)return;if(!this.props.touch.dragging)return;if(!this.options.moveable)return;if(!this.props.touch.allowDragging)return;var direction=this.options.direction;validateDirection(direction);var delta=direction==\"horizontal\"?event.deltaX:event.deltaY;delta-=this.deltaDifference;var interval=this.props.touch.end-this.props.touch.start;var duration=DateUtil.getHiddenDurationBetween(this.body.hiddenDates,this.start,this.end);interval-=duration;var width=direction==\"horizontal\"?this.body.domProps.center.width:this.body.domProps.center.height;if(this.options.rtl){var diffRange=delta/width*interval}else{var diffRange=-delta/width*interval}var newStart=this.props.touch.start+diffRange;var newEnd=this.props.touch.end+diffRange;var safeStart=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newStart,this.previousDelta-delta,true);var safeEnd=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newEnd,this.previousDelta-delta,true);if(safeStart!=newStart||safeEnd!=newEnd){this.deltaDifference+=delta;this.props.touch.start=safeStart;this.props.touch.end=safeEnd;this._onDrag(event);return}this.previousDelta=delta;this._applyRange(newStart,newEnd);var startDate=new Date(this.start);var endDate=new Date(this.end);this.body.emitter.emit(\"rangechange\",{start:startDate,end:endDate,byUser:true,event:event});this.body.emitter.emit(\"panmove\")};Range.prototype._onDragEnd=function(event){if(!this.props.touch.dragging)return;if(!this.options.moveable)return;if(!this.props.touch.allowDragging)return;this.props.touch.dragging=false;if(this.body.dom.root){this.body.dom.root.style.cursor=\"auto\"}this.body.emitter.emit(\"rangechanged\",{start:new Date(this.start),end:new Date(this.end),byUser:true,event:event})};Range.prototype._onMouseWheel=function(event){var delta=0;if(event.wheelDelta){delta=event.wheelDelta/120}else if(event.detail){delta=-event.detail/3}if(this.options.zoomKey&&!event[this.options.zoomKey]&&this.options.zoomable||!this.options.zoomable&&this.options.moveable){if(this.options.horizontalScroll){event.preventDefault();var diff=delta*(this.end-this.start)/20;var newStart=this.start-diff;var newEnd=this.end-diff;this.setRange(newStart,newEnd,false,true,event)}return}if(!(this.options.zoomable&&this.options.moveable))return;if(!this._isInsideRange(event))return;if(delta){var scale;if(delta<0){scale=1-delta/5}else{scale=1/(1+delta/5)}var pointerDate;if(this.rolling){pointerDate=(this.start+this.end)/2}else{var pointer=this.getPointer({x:event.clientX,y:event.clientY},this.body.dom.center);pointerDate=this._pointerToDate(pointer)}this.zoom(scale,pointerDate,delta,event);event.preventDefault()}};Range.prototype._onTouch=function(event){this.props.touch.start=this.start;this.props.touch.end=this.end;this.props.touch.allowDragging=true;this.props.touch.center=null;this.scaleOffset=0;this.deltaDifference=0};Range.prototype._onPinch=function(event){if(!(this.options.zoomable&&this.options.moveable))return;this.props.touch.allowDragging=false;if(!this.props.touch.center){this.props.touch.center=this.getPointer(event.center,this.body.dom.center)}this.stopRolling();var scale=1/(event.scale+this.scaleOffset);var centerDate=this._pointerToDate(this.props.touch.center);var hiddenDuration=DateUtil.getHiddenDurationBetween(this.body.hiddenDates,this.start,this.end);var hiddenDurationBefore=DateUtil.getHiddenDurationBefore(this.options.moment,this.body.hiddenDates,this,centerDate);var hiddenDurationAfter=hiddenDuration-hiddenDurationBefore;var newStart=centerDate-hiddenDurationBefore+(this.props.touch.start-(centerDate-hiddenDurationBefore))*scale;var newEnd=centerDate+hiddenDurationAfter+(this.props.touch.end-(centerDate+hiddenDurationAfter))*scale;this.startToFront=1-scale<=0;this.endToFront=scale-1<=0;var safeStart=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newStart,1-scale,true);var safeEnd=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newEnd,scale-1,true);if(safeStart!=newStart||safeEnd!=newEnd){this.props.touch.start=safeStart;this.props.touch.end=safeEnd;this.scaleOffset=1-event.scale;newStart=safeStart;newEnd=safeEnd}this.setRange(newStart,newEnd,false,true,event);this.startToFront=false;this.endToFront=true};Range.prototype._isInsideRange=function(event){var clientX=event.center?event.center.x:event.clientX;if(this.options.rtl){var x=clientX-util.getAbsoluteLeft(this.body.dom.centerContainer)}else{var x=util.getAbsoluteRight(this.body.dom.centerContainer)-clientX}var time=this.body.util.toTime(x);return time>=this.start&&time<=this.end};Range.prototype._pointerToDate=function(pointer){var conversion;var direction=this.options.direction;validateDirection(direction);if(direction==\"horizontal\"){return this.body.util.toTime(pointer.x).valueOf()}else{var height=this.body.domProps.center.height;conversion=this.conversion(height);return pointer.y/conversion.scale+conversion.offset}};Range.prototype.getPointer=function(touch,element){if(this.options.rtl){return{x:util.getAbsoluteRight(element)-touch.x,y:touch.y-util.getAbsoluteTop(element)}}else{return{x:touch.x-util.getAbsoluteLeft(element),y:touch.y-util.getAbsoluteTop(element)}}};Range.prototype.zoom=function(scale,center,delta,event){if(center==null){center=(this.start+this.end)/2}var hiddenDuration=DateUtil.getHiddenDurationBetween(this.body.hiddenDates,this.start,this.end);var hiddenDurationBefore=DateUtil.getHiddenDurationBefore(this.options.moment,this.body.hiddenDates,this,center);var hiddenDurationAfter=hiddenDuration-hiddenDurationBefore;var newStart=center-hiddenDurationBefore+(this.start-(center-hiddenDurationBefore))*scale;var newEnd=center+hiddenDurationAfter+(this.end-(center+hiddenDurationAfter))*scale;this.startToFront=delta>0?false:true;this.endToFront=-delta>0?false:true;var safeStart=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newStart,delta,true);var safeEnd=DateUtil.snapAwayFromHidden(this.body.hiddenDates,newEnd,-delta,true);if(safeStart!=newStart||safeEnd!=newEnd){newStart=safeStart;newEnd=safeEnd}this.setRange(newStart,newEnd,false,true,event);this.startToFront=false;this.endToFront=true};Range.prototype.move=function(delta){var diff=this.end-this.start;var newStart=this.start+diff*delta;var newEnd=this.end+diff*delta;this.start=newStart;this.end=newEnd};Range.prototype.moveTo=function(moveTo){var center=(this.start+this.end)/2;var diff=center-moveTo;var newStart=this.start-diff;var newEnd=this.end-diff;this.setRange(newStart,newEnd,false,true,null)};module.exports=Range},function(module,exports,__webpack_require__){\"use strict\";var util=__webpack_require__(1);function Component(body,options){this.options=null;this.props=null}Component.prototype.setOptions=function(options){if(options){util.extend(this.options,options)}};Component.prototype.redraw=function(){return false};Component.prototype.destroy=function(){};Component.prototype._isResized=function(){var resized=this.props._previousWidth!==this.props.width||this.props._previousHeight!==this.props.height;this.props._previousWidth=this.props.width;this.props._previousHeight=this.props.height;return resized};module.exports=Component},function(module,exports){\"use strict\";exports.convertHiddenOptions=function(moment,body,hiddenDates){if(hiddenDates&&!Array.isArray(hiddenDates)){return exports.convertHiddenOptions(moment,body,[hiddenDates])}body.hiddenDates=[];if(hiddenDates){if(Array.isArray(hiddenDates)==true){for(var i=0;i<hiddenDates.length;i++){if(hiddenDates[i].repeat===undefined){var dateItem={};dateItem.start=moment(hiddenDates[i].start).toDate().valueOf();dateItem.end=moment(hiddenDates[i].end).toDate().valueOf();body.hiddenDates.push(dateItem)}}body.hiddenDates.sort(function(a,b){return a.start-b.start})}}};exports.updateHiddenDates=function(moment,body,hiddenDates){if(hiddenDates&&!Array.isArray(hiddenDates)){return exports.updateHiddenDates(moment,body,[hiddenDates])}if(hiddenDates&&body.domProps.centerContainer.width!==undefined){exports.convertHiddenOptions(moment,body,hiddenDates);var start=moment(body.range.start);var end=moment(body.range.end);var totalRange=body.range.end-body.range.start;var pixelTime=totalRange/body.domProps.centerContainer.width;for(var i=0;i<hiddenDates.length;i++){if(hiddenDates[i].repeat!==undefined){var startDate=moment(hiddenDates[i].start);var endDate=moment(hiddenDates[i].end);if(startDate._d==\"Invalid Date\"){throw new Error(\"Supplied start date is not valid: \"+hiddenDates[i].start)}if(endDate._d==\"Invalid Date\"){throw new Error(\"Supplied end date is not valid: \"+hiddenDates[i].end)}var duration=endDate-startDate;if(duration>=4*pixelTime){var offset=0;var runUntil=end.clone();switch(hiddenDates[i].repeat){case\"daily\":if(startDate.day()!=endDate.day()){offset=1}startDate.dayOfYear(start.dayOfYear());startDate.year(start.year());startDate.subtract(7,\"days\");endDate.dayOfYear(start.dayOfYear());endDate.year(start.year());endDate.subtract(7-offset,\"days\");runUntil.add(1,\"weeks\");break;case\"weekly\":var dayOffset=endDate.diff(startDate,\"days\");var day=startDate.day();startDate.date(start.date());startDate.month(start.month());startDate.year(start.year());endDate=startDate.clone();startDate.day(day);endDate.day(day);endDate.add(dayOffset,\"days\");startDate.subtract(1,\"weeks\");endDate.subtract(1,\"weeks\");runUntil.add(1,\"weeks\");break;case\"monthly\":if(startDate.month()!=endDate.month()){offset=1}startDate.month(start.month());startDate.year(start.year());startDate.subtract(1,\"months\");endDate.month(start.month());endDate.year(start.year());endDate.subtract(1,\"months\");endDate.add(offset,\"months\");runUntil.add(1,\"months\");break;case\"yearly\":if(startDate.year()!=endDate.year()){offset=1}startDate.year(start.year());startDate.subtract(1,\"years\");endDate.year(start.year());endDate.subtract(1,\"years\");endDate.add(offset,\"years\");runUntil.add(1,\"years\");break;default:console.log(\"Wrong repeat format, allowed are: daily, weekly, monthly, yearly. Given:\",hiddenDates[i].repeat);return}while(startDate<runUntil){body.hiddenDates.push({start:startDate.valueOf(),end:endDate.valueOf()});switch(hiddenDates[i].repeat){case\"daily\":startDate.add(1,\"days\");endDate.add(1,\"days\");break;case\"weekly\":startDate.add(1,\"weeks\");endDate.add(1,\"weeks\");break;case\"monthly\":startDate.add(1,\"months\");endDate.add(1,\"months\");break;case\"yearly\":startDate.add(1,\"y\");endDate.add(1,\"y\");break;default:console.log(\"Wrong repeat format, allowed are: daily, weekly, monthly, yearly. Given:\",hiddenDates[i].repeat);return}}body.hiddenDates.push({start:startDate.valueOf(),end:endDate.valueOf()})}}}exports.removeDuplicates(body);var startHidden=exports.isHidden(body.range.start,body.hiddenDates);var endHidden=exports.isHidden(body.range.end,body.hiddenDates);var rangeStart=body.range.start;var rangeEnd=body.range.end;if(startHidden.hidden==true){rangeStart=body.range.startToFront==true?startHidden.startDate-1:startHidden.endDate+1}if(endHidden.hidden==true){rangeEnd=body.range.endToFront==true?endHidden.startDate-1:endHidden.endDate+1}if(startHidden.hidden==true||endHidden.hidden==true){body.range._applyRange(rangeStart,rangeEnd)}}};exports.removeDuplicates=function(body){var hiddenDates=body.hiddenDates;var safeDates=[];for(var i=0;i<hiddenDates.length;i++){for(var j=0;j<hiddenDates.length;j++){if(i!=j&&hiddenDates[j].remove!=true&&hiddenDates[i].remove!=true){if(hiddenDates[j].start>=hiddenDates[i].start&&hiddenDates[j].end<=hiddenDates[i].end){hiddenDates[j].remove=true}else if(hiddenDates[j].start>=hiddenDates[i].start&&hiddenDates[j].start<=hiddenDates[i].end){hiddenDates[i].end=hiddenDates[j].end;hiddenDates[j].remove=true}else if(hiddenDates[j].end>=hiddenDates[i].start&&hiddenDates[j].end<=hiddenDates[i].end){hiddenDates[i].start=hiddenDates[j].start;hiddenDates[j].remove=true}}}}for(var i=0;i<hiddenDates.length;i++){if(hiddenDates[i].remove!==true){safeDates.push(hiddenDates[i])}}body.hiddenDates=safeDates;body.hiddenDates.sort(function(a,b){return a.start-b.start})};exports.printDates=function(dates){for(var i=0;i<dates.length;i++){console.log(i,new Date(dates[i].start),new Date(dates[i].end),dates[i].start,dates[i].end,dates[i].remove)}};exports.stepOverHiddenDates=function(moment,timeStep,previousTime){var stepInHidden=false;var currentValue=timeStep.current.valueOf();for(var i=0;i<timeStep.hiddenDates.length;i++){var startDate=timeStep.hiddenDates[i].start;var endDate=timeStep.hiddenDates[i].end;if(currentValue>=startDate&¤tValue<endDate){stepInHidden=true;break}}if(stepInHidden==true&¤tValue<timeStep._end.valueOf()&¤tValue!=previousTime){var prevValue=moment(previousTime);var newValue=moment(endDate);if(prevValue.year()!=newValue.year()){timeStep.switchedYear=true}else if(prevValue.month()!=newValue.month()){timeStep.switchedMonth=true}else if(prevValue.dayOfYear()!=newValue.dayOfYear()){timeStep.switchedDay=true}timeStep.current=newValue}};exports.toScreen=function(Core,time,width){if(Core.body.hiddenDates.length==0){var conversion=Core.range.conversion(width);return(time.valueOf()-conversion.offset)*conversion.scale}else{var hidden=exports.isHidden(time,Core.body.hiddenDates);if(hidden.hidden==true){time=hidden.startDate}var duration=exports.getHiddenDurationBetween(Core.body.hiddenDates,Core.range.start,Core.range.end);if(time<Core.range.start){var conversion=Core.range.conversion(width,duration);var hiddenBeforeStart=exports.getHiddenDurationBeforeStart(Core.body.hiddenDates,time,conversion.offset);time=Core.options.moment(time).toDate().valueOf();time=time+hiddenBeforeStart;return-(conversion.offset-time.valueOf())*conversion.scale}else if(time>Core.range.end){var rangeAfterEnd={start:Core.range.start,end:time};time=exports.correctTimeForHidden(Core.options.moment,Core.body.hiddenDates,rangeAfterEnd,time);var conversion=Core.range.conversion(width,duration);return(time.valueOf()-conversion.offset)*conversion.scale}else{time=exports.correctTimeForHidden(Core.options.moment,Core.body.hiddenDates,Core.range,time);var conversion=Core.range.conversion(width,duration);return(time.valueOf()-conversion.offset)*conversion.scale}}};exports.toTime=function(Core,x,width){if(Core.body.hiddenDates.length==0){var conversion=Core.range.conversion(width);return new Date(x/conversion.scale+conversion.offset)}else{var hiddenDuration=exports.getHiddenDurationBetween(Core.body.hiddenDates,Core.range.start,Core.range.end);var totalDuration=Core.range.end-Core.range.start-hiddenDuration;var partialDuration=totalDuration*x/width;var accumulatedHiddenDuration=exports.getAccumulatedHiddenDuration(Core.body.hiddenDates,Core.range,partialDuration);var newTime=new Date(accumulatedHiddenDuration+partialDuration+Core.range.start);return newTime}};exports.getHiddenDurationBetween=function(hiddenDates,start,end){var duration=0;for(var i=0;i<hiddenDates.length;i++){var startDate=hiddenDates[i].start;var endDate=hiddenDates[i].end;if(startDate>=start&&endDate<end){duration+=endDate-startDate}}return duration};exports.getHiddenDurationBeforeStart=function(hiddenDates,start,end){var duration=0;for(var i=0;i<hiddenDates.length;i++){var startDate=hiddenDates[i].start;var endDate=hiddenDates[i].end;if(startDate>=start&&endDate<=end){duration+=endDate-startDate}}return duration};exports.correctTimeForHidden=function(moment,hiddenDates,range,time){time=moment(time).toDate().valueOf();time-=exports.getHiddenDurationBefore(moment,hiddenDates,range,time);return time};exports.getHiddenDurationBefore=function(moment,hiddenDates,range,time){var timeOffset=0;time=moment(time).toDate().valueOf();for(var i=0;i<hiddenDates.length;i++){var startDate=hiddenDates[i].start;var endDate=hiddenDates[i].end;if(startDate>=range.start&&endDate<range.end){if(time>=endDate){timeOffset+=endDate-startDate}}}return timeOffset};exports.getAccumulatedHiddenDuration=function(hiddenDates,range,requiredDuration){var hiddenDuration=0;var duration=0;var previousPoint=range.start;for(var i=0;i<hiddenDates.length;i++){var startDate=hiddenDates[i].start;var endDate=hiddenDates[i].end;if(startDate>=range.start&&endDate<range.end){duration+=startDate-previousPoint;previousPoint=endDate;if(duration>=requiredDuration){break}else{hiddenDuration+=endDate-startDate}}}return hiddenDuration};exports.snapAwayFromHidden=function(hiddenDates,time,direction,correctionEnabled){var isHidden=exports.isHidden(time,hiddenDates);if(isHidden.hidden==true){if(direction<0){if(correctionEnabled==true){return isHidden.startDate-(isHidden.endDate-time)-1}else{return isHidden.startDate-1}}else{if(correctionEnabled==true){return isHidden.endDate+(time-isHidden.startDate)+1}else{return isHidden.endDate+1}}}else{return time}};exports.isHidden=function(time,hiddenDates){for(var i=0;i<hiddenDates.length;i++){var startDate=hiddenDates[i].start;var endDate=hiddenDates[i].end;if(time>=startDate&&time<endDate){return{hidden:true,startDate:startDate,endDate:endDate}}}return{hidden:false,startDate:startDate,endDate:endDate}}},function(module,exports,__webpack_require__){\"use strict\";var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Emitter=__webpack_require__(99);var Hammer=__webpack_require__(112);var hammerUtil=__webpack_require__(125);var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var Range=__webpack_require__(127);var ItemSet=__webpack_require__(131);var TimeAxis=__webpack_require__(142);var Activator=__webpack_require__(143);var DateUtil=__webpack_require__(129);var CustomTime=__webpack_require__(144);function Core(){}Emitter(Core.prototype);Core.prototype._create=function(container){this.dom={};this.dom.container=container;this.dom.root=document.createElement(\"div\");this.dom.background=document.createElement(\"div\");this.dom.backgroundVertical=document.createElement(\"div\");this.dom.backgroundHorizontal=document.createElement(\"div\");this.dom.centerContainer=document.createElement(\"div\");this.dom.leftContainer=document.createElement(\"div\");this.dom.rightContainer=document.createElement(\"div\");this.dom.center=document.createElement(\"div\");this.dom.left=document.createElement(\"div\");this.dom.right=document.createElement(\"div\");this.dom.top=document.createElement(\"div\");this.dom.bottom=document.createElement(\"div\");this.dom.shadowTop=document.createElement(\"div\");this.dom.shadowBottom=document.createElement(\"div\");this.dom.shadowTopLeft=document.createElement(\"div\");this.dom.shadowBottomLeft=document.createElement(\"div\");this.dom.shadowTopRight=document.createElement(\"div\");this.dom.shadowBottomRight=document.createElement(\"div\");this.dom.rollingModeBtn=document.createElement(\"div\");this.dom.root.className=\"vis-timeline\";this.dom.background.className=\"vis-panel vis-background\";this.dom.backgroundVertical.className=\"vis-panel vis-background vis-vertical\";this.dom.backgroundHorizontal.className=\"vis-panel vis-background vis-horizontal\";this.dom.centerContainer.className=\"vis-panel vis-center\";this.dom.leftContainer.className=\"vis-panel vis-left\";this.dom.rightContainer.className=\"vis-panel vis-right\";this.dom.top.className=\"vis-panel vis-top\";this.dom.bottom.className=\"vis-panel vis-bottom\";this.dom.left.className=\"vis-content\";this.dom.center.className=\"vis-content\";this.dom.right.className=\"vis-content\";this.dom.shadowTop.className=\"vis-shadow vis-top\";this.dom.shadowBottom.className=\"vis-shadow vis-bottom\";this.dom.shadowTopLeft.className=\"vis-shadow vis-top\";this.dom.shadowBottomLeft.className=\"vis-shadow vis-bottom\";this.dom.shadowTopRight.className=\"vis-shadow vis-top\";this.dom.shadowBottomRight.className=\"vis-shadow vis-bottom\";this.dom.rollingModeBtn.className=\"vis-rolling-mode-btn\";this.dom.root.appendChild(this.dom.background);this.dom.root.appendChild(this.dom.backgroundVertical);this.dom.root.appendChild(this.dom.backgroundHorizontal);this.dom.root.appendChild(this.dom.centerContainer);this.dom.root.appendChild(this.dom.leftContainer);this.dom.root.appendChild(this.dom.rightContainer);this.dom.root.appendChild(this.dom.top);this.dom.root.appendChild(this.dom.bottom);this.dom.root.appendChild(this.dom.bottom);this.dom.root.appendChild(this.dom.rollingModeBtn);this.dom.centerContainer.appendChild(this.dom.center);this.dom.leftContainer.appendChild(this.dom.left);this.dom.rightContainer.appendChild(this.dom.right);this.dom.centerContainer.appendChild(this.dom.shadowTop);this.dom.centerContainer.appendChild(this.dom.shadowBottom);this.dom.leftContainer.appendChild(this.dom.shadowTopLeft);this.dom.leftContainer.appendChild(this.dom.shadowBottomLeft);this.dom.rightContainer.appendChild(this.dom.shadowTopRight);this.dom.rightContainer.appendChild(this.dom.shadowBottomRight);this.props={root:{},background:{},centerContainer:{},leftContainer:{},rightContainer:{},center:{},left:{},right:{},top:{},bottom:{},border:{},scrollTop:0,scrollTopMin:0};this.on(\"rangechange\",function(){if(this.initialDrawDone===true){this._redraw()}}.bind(this));this.on(\"touch\",this._onTouch.bind(this));this.on(\"panmove\",this._onDrag.bind(this));var me=this;this._origRedraw=this._redraw.bind(this);this._redraw=util.throttle(this._origRedraw);this.on(\"_change\",function(properties){if(me.itemSet&&me.itemSet.initialItemSetDrawn&&properties&&properties.queue==true){me._redraw()}else{me._origRedraw()}});this.hammer=new Hammer(this.dom.root);var pinchRecognizer=this.hammer.get(\"pinch\").set({enable:true});hammerUtil.disablePreventDefaultVertically(pinchRecognizer);this.hammer.get(\"pan\").set({threshold:5,direction:Hammer.DIRECTION_HORIZONTAL});this.listeners={};var events=[\"tap\",\"doubletap\",\"press\",\"pinch\",\"pan\",\"panstart\",\"panmove\",\"panend\"];events.forEach(function(type){var listener=function listener(event){if(me.isActive()){me.emit(type,event)}};me.hammer.on(type,listener);me.listeners[type]=listener});hammerUtil.onTouch(this.hammer,function(event){me.emit(\"touch\",event)}.bind(this));hammerUtil.onRelease(this.hammer,function(event){me.emit(\"release\",event)}.bind(this));function onMouseWheel(event){if(this.isActive()){this.emit(\"mousewheel\",event)}if(!this.options.verticalScroll||this.options.horizontalScroll)return;if(!this.options.zoomKey||event[this.options.zoomKey])return;event.preventDefault();var delta=0;if(event.wheelDelta){delta=event.wheelDelta/120}else if(event.detail){delta=-event.detail/3}var current=this.props.scrollTop;var adjusted=current+delta*120;if(this.isActive()){this._setScrollTop(adjusted);this._redraw();this.emit(\"scroll\",event)}}if(this.dom.centerContainer.addEventListener){this.dom.centerContainer.addEventListener(\"mousewheel\",onMouseWheel.bind(this),false);this.dom.centerContainer.addEventListener(\"DOMMouseScroll\",onMouseWheel.bind(this),false)}else{this.dom.centerContainer.attachEvent(\"onmousewheel\",onMouseWheel.bind(this))}function onMouseScrollSide(event){if(!me.options.verticalScroll)return;event.preventDefault();if(me.isActive()){var adjusted=-event.target.scrollTop;me._setScrollTop(adjusted);me._redraw();me.emit(\"scrollSide\",event)}}this.dom.left.parentNode.addEventListener(\"scroll\",onMouseScrollSide.bind(this));this.dom.right.parentNode.addEventListener(\"scroll\",onMouseScrollSide.bind(this));var itemAddedToTimeline=false;function handleDragOver(event){if(event.preventDefault){event.preventDefault()}if(!event.target.className.indexOf(\"vis\")>-1)return;if(itemAddedToTimeline)return;event.dataTransfer.dropEffect=\"move\";itemAddedToTimeline=true;return false}function handleDrop(event){if(event.preventDefault){event.preventDefault()}if(event.stopPropagation){event.stopPropagation()}try{var itemData=JSON.parse(event.dataTransfer.getData(\"text\"));if(!itemData.content)return}catch(err){return false}itemAddedToTimeline=false;event.center={x:event.clientX,y:event.clientY};me.itemSet._onAddItem(event);return false}this.dom.center.addEventListener(\"dragover\",handleDragOver.bind(this),false);this.dom.center.addEventListener(\"drop\",handleDrop.bind(this),false);this.customTimes=[];this.touch={};this.redrawCount=0;this.initialDrawDone=false;if(!container)throw new Error(\"No container provided\");container.appendChild(this.dom.root)};Core.prototype.setOptions=function(options){if(options){var fields=[\"width\",\"height\",\"minHeight\",\"maxHeight\",\"autoResize\",\"start\",\"end\",\"clickToUse\",\"dataAttributes\",\"hiddenDates\",\"locale\",\"locales\",\"moment\",\"rtl\",\"zoomKey\",\"horizontalScroll\",\"verticalScroll\"];util.selectiveExtend(fields,this.options,options);this.dom.rollingModeBtn.style.visibility=\"hidden\";if(this.options.rtl){this.dom.container.style.direction=\"rtl\";this.dom.backgroundVertical.className=\"vis-panel vis-background vis-vertical-rtl\"}if(this.options.verticalScroll){if(this.options.rtl){this.dom.rightContainer.className=\"vis-panel vis-right vis-vertical-scroll\"}else{this.dom.leftContainer.className=\"vis-panel vis-left vis-vertical-scroll\"}}this.options.orientation={item:undefined,axis:undefined};if(\"orientation\"in options){if(typeof options.orientation===\"string\"){this.options.orientation={item:options.orientation,axis:options.orientation}}else if((0,_typeof3[\"default\"])(options.orientation)===\"object\"){if(\"item\"in options.orientation){this.options.orientation.item=options.orientation.item}if(\"axis\"in options.orientation){this.options.orientation.axis=options.orientation.axis}}}if(this.options.orientation.axis===\"both\"){if(!this.timeAxis2){var timeAxis2=this.timeAxis2=new TimeAxis(this.body);timeAxis2.setOptions=function(options){var _options=options?util.extend({},options):{};_options.orientation=\"top\";TimeAxis.prototype.setOptions.call(timeAxis2,_options)};this.components.push(timeAxis2)}}else{if(this.timeAxis2){var index=this.components.indexOf(this.timeAxis2);if(index!==-1){this.components.splice(index,1)}this.timeAxis2.destroy();this.timeAxis2=null}}if(typeof options.drawPoints==\"function\"){options.drawPoints={onRender:options.drawPoints}}if(\"hiddenDates\"in this.options){DateUtil.convertHiddenOptions(this.options.moment,this.body,this.options.hiddenDates)}if(\"clickToUse\"in options){if(options.clickToUse){if(!this.activator){this.activator=new Activator(this.dom.root)}}else{if(this.activator){this.activator.destroy();delete this.activator}}}if(\"showCustomTime\"in options){throw new Error(\"Option `showCustomTime` is deprecated. Create a custom time bar via timeline.addCustomTime(time [, id])\")}this._initAutoResize()}this.components.forEach(function(component){return component.setOptions(options)});if(\"configure\"in options){if(!this.configurator){this.configurator=this._createConfigurator()}this.configurator.setOptions(options.configure);var appliedOptions=util.deepExtend({},this.options);this.components.forEach(function(component){util.deepExtend(appliedOptions,component.options)});this.configurator.setModuleOptions({global:appliedOptions})}this._redraw()};Core.prototype.isActive=function(){return!this.activator||this.activator.active};Core.prototype.destroy=function(){this.setItems(null);this.setGroups(null);this.off();this._stopAutoResize();if(this.dom.root.parentNode){this.dom.root.parentNode.removeChild(this.dom.root)}this.dom=null;if(this.activator){this.activator.destroy();delete this.activator}for(var event in this.listeners){if(this.listeners.hasOwnProperty(event)){delete this.listeners[event]}}this.listeners=null;this.hammer=null;this.components.forEach(function(component){return component.destroy()});this.body=null};Core.prototype.setCustomTime=function(time,id){var customTimes=this.customTimes.filter(function(component){return id===component.options.id});if(customTimes.length===0){throw new Error(\"No custom time bar found with id \"+(0,_stringify2[\"default\"])(id))}if(customTimes.length>0){customTimes[0].setCustomTime(time)}};Core.prototype.getCustomTime=function(id){var customTimes=this.customTimes.filter(function(component){return component.options.id===id});if(customTimes.length===0){throw new Error(\"No custom time bar found with id \"+(0,_stringify2[\"default\"])(id))}return customTimes[0].getCustomTime()};Core.prototype.setCustomTimeTitle=function(title,id){var customTimes=this.customTimes.filter(function(component){return component.options.id===id});if(customTimes.length===0){throw new Error(\"No custom time bar found with id \"+(0,_stringify2[\"default\"])(id))}if(customTimes.length>0){return customTimes[0].setCustomTitle(title)}};Core.prototype.getEventProperties=function(event){return{event:event}};Core.prototype.addCustomTime=function(time,id){var timestamp=time!==undefined?util.convert(time,\"Date\").valueOf():new Date;var exists=this.customTimes.some(function(customTime){return customTime.options.id===id});if(exists){throw new Error(\"A custom time with id \"+(0,_stringify2[\"default\"])(id)+\" already exists\")}var customTime=new CustomTime(this.body,util.extend({},this.options,{time:timestamp,id:id}));this.customTimes.push(customTime);this.components.push(customTime);this._redraw();return id};Core.prototype.removeCustomTime=function(id){var customTimes=this.customTimes.filter(function(bar){return bar.options.id===id});if(customTimes.length===0){throw new Error(\"No custom time bar found with id \"+(0,_stringify2[\"default\"])(id))}customTimes.forEach(function(customTime){this.customTimes.splice(this.customTimes.indexOf(customTime),1);this.components.splice(this.components.indexOf(customTime),1);customTime.destroy()}.bind(this))};Core.prototype.getVisibleItems=function(){return this.itemSet&&this.itemSet.getVisibleItems()||[]};Core.prototype.fit=function(options){var range=this.getDataRange();if(range.min===null&&range.max===null){return}var interval=range.max-range.min;var min=new Date(range.min.valueOf()-interval*.01);var max=new Date(range.max.valueOf()+interval*.01);var animation=options&&options.animation!==undefined?options.animation:true;this.range.setRange(min,max,animation)};Core.prototype.getDataRange=function(){throw new Error(\"Cannot invoke abstract method getDataRange\")};Core.prototype.setWindow=function(start,end,options){var animation;if(arguments.length==1){var range=arguments[0];animation=range.animation!==undefined?range.animation:true;this.range.setRange(range.start,range.end,animation)}else{animation=options&&options.animation!==undefined?options.animation:true;this.range.setRange(start,end,animation)}};Core.prototype.moveTo=function(time,options){var interval=this.range.end-this.range.start;var t=util.convert(time,\"Date\").valueOf();var start=t-interval/2;var end=t+interval/2;var animation=options&&options.animation!==undefined?options.animation:true;this.range.setRange(start,end,animation)};Core.prototype.getWindow=function(){var range=this.range.getRange();return{start:new Date(range.start),end:new Date(range.end)}};Core.prototype.zoomIn=function(percentage,options){if(!percentage||percentage<0||percentage>1)return;var range=this.getWindow();var start=range.start.valueOf();var end=range.end.valueOf();var interval=end-start;var newInterval=interval/(1+percentage);var distance=(interval-newInterval)/2;var newStart=start+distance;var newEnd=end-distance;this.setWindow(newStart,newEnd,options)};Core.prototype.zoomOut=function(percentage,options){if(!percentage||percentage<0||percentage>1)return;var range=this.getWindow();var start=range.start.valueOf();var end=range.end.valueOf();var interval=end-start;var newStart=start-interval*percentage/2;var newEnd=end+interval*percentage/2;this.setWindow(newStart,newEnd,options)};Core.prototype.redraw=function(){this._redraw()};Core.prototype._redraw=function(){this.redrawCount++;var resized=false;var options=this.options;var props=this.props;var dom=this.dom;if(!dom||!dom.container||dom.root.offsetWidth==0)return;DateUtil.updateHiddenDates(this.options.moment,this.body,this.options.hiddenDates);if(options.orientation==\"top\"){util.addClassName(dom.root,\"vis-top\");util.removeClassName(dom.root,\"vis-bottom\")}else{util.removeClassName(dom.root,\"vis-top\");util.addClassName(dom.root,\"vis-bottom\")}dom.root.style.maxHeight=util.option.asSize(options.maxHeight,\"\");dom.root.style.minHeight=util.option.asSize(options.minHeight,\"\");dom.root.style.width=util.option.asSize(options.width,\"\");props.border.left=(dom.centerContainer.offsetWidth-dom.centerContainer.clientWidth)/2;props.border.right=props.border.left;props.border.top=(dom.centerContainer.offsetHeight-dom.centerContainer.clientHeight)/2;props.border.bottom=props.border.top;props.borderRootHeight=dom.root.offsetHeight-dom.root.clientHeight;props.borderRootWidth=dom.root.offsetWidth-dom.root.clientWidth;if(dom.centerContainer.clientHeight===0){props.border.left=props.border.top;props.border.right=props.border.left}if(dom.root.clientHeight===0){props.borderRootWidth=props.borderRootHeight}props.center.height=dom.center.offsetHeight;props.left.height=dom.left.offsetHeight;props.right.height=dom.right.offsetHeight;props.top.height=dom.top.clientHeight||-props.border.top;props.bottom.height=dom.bottom.clientHeight||-props.border.bottom;var contentHeight=Math.max(props.left.height,props.center.height,props.right.height);var autoHeight=props.top.height+contentHeight+props.bottom.height+props.borderRootHeight+props.border.top+props.border.bottom;dom.root.style.height=util.option.asSize(options.height,autoHeight+\"px\");props.root.height=dom.root.offsetHeight;props.background.height=props.root.height-props.borderRootHeight;var containerHeight=props.root.height-props.top.height-props.bottom.height-props.borderRootHeight;props.centerContainer.height=containerHeight;props.leftContainer.height=containerHeight;props.rightContainer.height=props.leftContainer.height;props.root.width=dom.root.offsetWidth;props.background.width=props.root.width-props.borderRootWidth;if(!this.initialDrawDone){props.scrollbarWidth=util.getScrollBarWidth()}if(options.verticalScroll){if(options.rtl){props.left.width=dom.leftContainer.clientWidth||-props.border.left;props.right.width=dom.rightContainer.clientWidth+props.scrollbarWidth||-props.border.right}else{props.left.width=dom.leftContainer.clientWidth+props.scrollbarWidth||-props.border.left;props.right.width=dom.rightContainer.clientWidth||-props.border.right}}else{props.left.width=dom.leftContainer.clientWidth||-props.border.left;props.right.width=dom.rightContainer.clientWidth||-props.border.right}this._setDOM();var offset=this._updateScrollTop();if(options.orientation.item!=\"top\"){offset+=Math.max(props.centerContainer.height-props.center.height-props.border.top-props.border.bottom,0)}dom.center.style.top=offset+\"px\";var visibilityTop=props.scrollTop==0?\"hidden\":\"\";var visibilityBottom=props.scrollTop==props.scrollTopMin?\"hidden\":\"\";dom.shadowTop.style.visibility=visibilityTop;dom.shadowBottom.style.visibility=visibilityBottom;dom.shadowTopLeft.style.visibility=visibilityTop;dom.shadowBottomLeft.style.visibility=visibilityBottom;dom.shadowTopRight.style.visibility=visibilityTop;dom.shadowBottomRight.style.visibility=visibilityBottom;if(options.verticalScroll){dom.rightContainer.className=\"vis-panel vis-right vis-vertical-scroll\";dom.leftContainer.className=\"vis-panel vis-left vis-vertical-scroll\";dom.shadowTopRight.style.visibility=\"hidden\";dom.shadowBottomRight.style.visibility=\"hidden\";dom.shadowTopLeft.style.visibility=\"hidden\";dom.shadowBottomLeft.style.visibility=\"hidden\";dom.left.style.top=\"0px\";dom.right.style.top=\"0px\"}if(!options.verticalScroll||props.center.height<props.centerContainer.height){dom.left.style.top=offset+\"px\";dom.right.style.top=offset+\"px\";dom.rightContainer.className=dom.rightContainer.className.replace(new RegExp(\"(?:^|\\\\s)\"+\"vis-vertical-scroll\"+\"(?:\\\\s|$)\"),\" \");dom.leftContainer.className=dom.leftContainer.className.replace(new RegExp(\"(?:^|\\\\s)\"+\"vis-vertical-scroll\"+\"(?:\\\\s|$)\"),\" \");props.left.width=dom.leftContainer.clientWidth||-props.border.left;props.right.width=dom.rightContainer.clientWidth||-props.border.right;this._setDOM()}var contentsOverflow=props.center.height>props.centerContainer.height;this.hammer.get(\"pan\").set({direction:contentsOverflow?Hammer.DIRECTION_ALL:Hammer.DIRECTION_HORIZONTAL});this.components.forEach(function(component){resized=component.redraw()||resized});var MAX_REDRAW=5;if(resized){if(this.redrawCount<MAX_REDRAW){this.body.emitter.emit(\"_change\");return}else{console.log(\"WARNING: infinite loop in redraw?\")}}else{this.redrawCount=0}this.initialDrawDone=true;this.body.emitter.emit(\"changed\")};Core.prototype._setDOM=function(){var props=this.props;var dom=this.dom;props.leftContainer.width=props.left.width;props.rightContainer.width=props.right.width;var centerWidth=props.root.width-props.left.width-props.right.width-props.borderRootWidth;props.center.width=centerWidth;props.centerContainer.width=centerWidth;props.top.width=centerWidth;props.bottom.width=centerWidth;dom.background.style.height=props.background.height+\"px\";dom.backgroundVertical.style.height=props.background.height+\"px\";dom.backgroundHorizontal.style.height=props.centerContainer.height+\"px\";dom.centerContainer.style.height=props.centerContainer.height+\"px\";dom.leftContainer.style.height=props.leftContainer.height+\"px\";dom.rightContainer.style.height=props.rightContainer.height+\"px\";dom.background.style.width=props.background.width+\"px\";dom.backgroundVertical.style.width=props.centerContainer.width+\"px\";dom.backgroundHorizontal.style.width=props.background.width+\"px\";dom.centerContainer.style.width=props.center.width+\"px\";dom.top.style.width=props.top.width+\"px\";dom.bottom.style.width=props.bottom.width+\"px\";dom.background.style.left=\"0\";dom.background.style.top=\"0\";dom.backgroundVertical.style.left=props.left.width+props.border.left+\"px\";dom.backgroundVertical.style.top=\"0\";dom.backgroundHorizontal.style.left=\"0\";dom.backgroundHorizontal.style.top=props.top.height+\"px\";dom.centerContainer.style.left=props.left.width+\"px\";dom.centerContainer.style.top=props.top.height+\"px\";dom.leftContainer.style.left=\"0\";dom.leftContainer.style.top=props.top.height+\"px\";dom.rightContainer.style.left=props.left.width+props.center.width+\"px\";dom.rightContainer.style.top=props.top.height+\"px\";dom.top.style.left=props.left.width+\"px\";dom.top.style.top=\"0\";dom.bottom.style.left=props.left.width+\"px\";dom.bottom.style.top=props.top.height+props.centerContainer.height+\"px\";dom.center.style.left=\"0\";dom.left.style.left=\"0\";dom.right.style.left=\"0\"};Core.prototype.repaint=function(){throw new Error(\"Function repaint is deprecated. Use redraw instead.\")};Core.prototype.setCurrentTime=function(time){if(!this.currentTime){throw new Error(\"Option showCurrentTime must be true\")}this.currentTime.setCurrentTime(time)};Core.prototype.getCurrentTime=function(){if(!this.currentTime){throw new Error(\"Option showCurrentTime must be true\")}return this.currentTime.getCurrentTime()};Core.prototype._toTime=function(x){return DateUtil.toTime(this,x,this.props.center.width)};Core.prototype._toGlobalTime=function(x){return DateUtil.toTime(this,x,this.props.root.width)};Core.prototype._toScreen=function(time){return DateUtil.toScreen(this,time,this.props.center.width)};Core.prototype._toGlobalScreen=function(time){return DateUtil.toScreen(this,time,this.props.root.width)};Core.prototype._initAutoResize=function(){if(this.options.autoResize==true){this._startAutoResize()}else{this._stopAutoResize()}};Core.prototype._startAutoResize=function(){var me=this;this._stopAutoResize();this._onResize=function(){if(me.options.autoResize!=true){me._stopAutoResize();return}if(me.dom.root){if(me.dom.root.offsetWidth!=me.props.lastWidth||me.dom.root.offsetHeight!=me.props.lastHeight){me.props.lastWidth=me.dom.root.offsetWidth;me.props.lastHeight=me.dom.root.offsetHeight;me.props.scrollbarWidth=util.getScrollBarWidth();me.body.emitter.emit(\"_change\")}}};util.addEventListener(window,\"resize\",this._onResize);if(me.dom.root){me.props.lastWidth=me.dom.root.offsetWidth;me.props.lastHeight=me.dom.root.offsetHeight}this.watchTimer=setInterval(this._onResize,1e3)};Core.prototype._stopAutoResize=function(){if(this.watchTimer){clearInterval(this.watchTimer);this.watchTimer=undefined}if(this._onResize){util.removeEventListener(window,\"resize\",this._onResize);this._onResize=null}};Core.prototype._onTouch=function(event){this.touch.allowDragging=true;this.touch.initialScrollTop=this.props.scrollTop};Core.prototype._onPinch=function(event){this.touch.allowDragging=false};Core.prototype._onDrag=function(event){if(!event)return;if(!this.touch.allowDragging)return;var delta=event.deltaY;var oldScrollTop=this._getScrollTop();var newScrollTop=this._setScrollTop(this.touch.initialScrollTop+delta);if(this.options.verticalScroll){this.dom.left.parentNode.scrollTop=-this.props.scrollTop;this.dom.right.parentNode.scrollTop=-this.props.scrollTop}if(newScrollTop!=oldScrollTop){this.emit(\"verticalDrag\")}};Core.prototype._setScrollTop=function(scrollTop){this.props.scrollTop=scrollTop;this._updateScrollTop();return this.props.scrollTop};Core.prototype._updateScrollTop=function(){var scrollTopMin=Math.min(this.props.centerContainer.height-this.props.center.height,0);if(scrollTopMin!=this.props.scrollTopMin){if(this.options.orientation.item!=\"top\"){this.props.scrollTop+=scrollTopMin-this.props.scrollTopMin}this.props.scrollTopMin=scrollTopMin}if(this.props.scrollTop>0)this.props.scrollTop=0;if(this.props.scrollTop<scrollTopMin)this.props.scrollTop=scrollTopMin;if(this.options.verticalScroll){this.dom.left.parentNode.scrollTop=-this.props.scrollTop;this.dom.right.parentNode.scrollTop=-this.props.scrollTop}return this.props.scrollTop};Core.prototype._getScrollTop=function(){return this.props.scrollTop};Core.prototype._createConfigurator=function(){throw new Error(\"Cannot invoke abstract method _createConfigurator\")};module.exports=Core},function(module,exports,__webpack_require__){\"use strict\";var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _Popup=__webpack_require__(132);var _Popup2=_interopRequireDefault(_Popup);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Hammer=__webpack_require__(112);var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var TimeStep=__webpack_require__(133);var Component=__webpack_require__(128);var Group=__webpack_require__(134);var BackgroundGroup=__webpack_require__(138);var BoxItem=__webpack_require__(139);var PointItem=__webpack_require__(140);var RangeItem=__webpack_require__(136);var BackgroundItem=__webpack_require__(141);var UNGROUPED=\"__ungrouped__\";var BACKGROUND=\"__background__\";function ItemSet(body,options){this.body=body;this.defaultOptions={type:null,orientation:{item:\"bottom\"},align:\"auto\",stack:true,stackSubgroups:true,groupOrderSwap:function groupOrderSwap(fromGroup,toGroup,groups){var targetOrder=toGroup.order;toGroup.order=fromGroup.order;fromGroup.order=targetOrder},groupOrder:\"order\",selectable:true,multiselect:false,itemsAlwaysDraggable:false,editable:{updateTime:false,updateGroup:false,add:false,remove:false,overrideItems:false},groupEditable:{order:false,add:false,remove:false},snap:TimeStep.snap,onAdd:function onAdd(item,callback){callback(item)},onUpdate:function onUpdate(item,callback){callback(item)},onMove:function onMove(item,callback){callback(item)},onRemove:function onRemove(item,callback){callback(item)},onMoving:function onMoving(item,callback){callback(item)},onAddGroup:function onAddGroup(item,callback){callback(item)},onMoveGroup:function onMoveGroup(item,callback){callback(item)},onRemoveGroup:function onRemoveGroup(item,callback){callback(item)},margin:{item:{horizontal:10,vertical:10},axis:20},tooltip:{followMouse:false,overflowMethod:\"flip\"},tooltipOnItemUpdateTime:false};this.options=util.extend({},this.defaultOptions);this.options.rtl=options.rtl;this.itemOptions={type:{start:\"Date\",end:\"Date\"}};this.conversion={toScreen:body.util.toScreen,toTime:body.util.toTime};this.dom={};this.props={};this.hammer=null;var me=this;this.itemsData=null;this.groupsData=null;this.itemListeners={add:function add(event,params,senderId){me._onAdd(params.items)},update:function update(event,params,senderId){me._onUpdate(params.items)},remove:function remove(event,params,senderId){me._onRemove(params.items)}};this.groupListeners={add:function add(event,params,senderId){me._onAddGroups(params.items)},update:function update(event,params,senderId){me._onUpdateGroups(params.items)},remove:function remove(event,params,senderId){me._onRemoveGroups(params.items)}};this.items={};this.groups={};this.groupIds=[];this.selection=[];this.stackDirty=true;this.popup=null;this.touchParams={};this.groupTouchParams={};this._create();this.setOptions(options)}ItemSet.prototype=new Component;ItemSet.types={background:BackgroundItem,box:BoxItem,range:RangeItem,point:PointItem};ItemSet.prototype._create=function(){var frame=document.createElement(\"div\");frame.className=\"vis-itemset\";frame[\"timeline-itemset\"]=this;this.dom.frame=frame;var background=document.createElement(\"div\");background.className=\"vis-background\";frame.appendChild(background);this.dom.background=background;var foreground=document.createElement(\"div\");foreground.className=\"vis-foreground\";frame.appendChild(foreground);this.dom.foreground=foreground;var axis=document.createElement(\"div\");axis.className=\"vis-axis\";this.dom.axis=axis;var labelSet=document.createElement(\"div\");labelSet.className=\"vis-labelset\";this.dom.labelSet=labelSet;this._updateUngrouped();var backgroundGroup=new BackgroundGroup(BACKGROUND,null,this);backgroundGroup.show();this.groups[BACKGROUND]=backgroundGroup;this.hammer=new Hammer(this.body.dom.centerContainer);this.hammer.on(\"hammer.input\",function(event){if(event.isFirst){this._onTouch(event)}}.bind(this));this.hammer.on(\"panstart\",this._onDragStart.bind(this));this.hammer.on(\"panmove\",this._onDrag.bind(this));this.hammer.on(\"panend\",this._onDragEnd.bind(this));this.hammer.get(\"pan\").set({threshold:5,direction:Hammer.DIRECTION_HORIZONTAL});this.hammer.on(\"tap\",this._onSelectItem.bind(this));this.hammer.on(\"press\",this._onMultiSelectItem.bind(this));this.hammer.on(\"doubletap\",this._onAddItem.bind(this));if(this.options.rtl){this.groupHammer=new Hammer(this.body.dom.rightContainer)}else{this.groupHammer=new Hammer(this.body.dom.leftContainer)}this.groupHammer.on(\"tap\",this._onGroupClick.bind(this));this.groupHammer.on(\"panstart\",this._onGroupDragStart.bind(this));this.groupHammer.on(\"panmove\",this._onGroupDrag.bind(this));this.groupHammer.on(\"panend\",this._onGroupDragEnd.bind(this));this.groupHammer.get(\"pan\").set({threshold:5,direction:Hammer.DIRECTION_VERTICAL});this.body.dom.centerContainer.addEventListener(\"mouseover\",this._onMouseOver.bind(this));this.body.dom.centerContainer.addEventListener(\"mouseout\",this._onMouseOut.bind(this));this.body.dom.centerContainer.addEventListener(\"mousemove\",this._onMouseMove.bind(this));this.body.dom.centerContainer.addEventListener(\"contextmenu\",this._onDragEnd.bind(this));this.body.dom.centerContainer.addEventListener(\"mousewheel\",this._onMouseWheel.bind(this));this.show()};ItemSet.prototype.setOptions=function(options){if(options){var fields=[\"type\",\"rtl\",\"align\",\"order\",\"stack\",\"stackSubgroups\",\"selectable\",\"multiselect\",\"itemsAlwaysDraggable\",\"multiselectPerGroup\",\"groupOrder\",\"dataAttributes\",\"template\",\"groupTemplate\",\"visibleFrameTemplate\",\"hide\",\"snap\",\"groupOrderSwap\",\"tooltip\",\"tooltipOnItemUpdateTime\"];util.selectiveExtend(fields,this.options,options);if(\"orientation\"in options){if(typeof options.orientation===\"string\"){this.options.orientation.item=options.orientation===\"top\"?\"top\":\"bottom\"}else if((0,_typeof3[\"default\"])(options.orientation)===\"object\"&&\"item\"in options.orientation){this.options.orientation.item=options.orientation.item}}if(\"margin\"in options){if(typeof options.margin===\"number\"){this.options.margin.axis=options.margin;this.options.margin.item.horizontal=options.margin;this.options.margin.item.vertical=options.margin}else if((0,_typeof3[\"default\"])(options.margin)===\"object\"){util.selectiveExtend([\"axis\"],this.options.margin,options.margin);if(\"item\"in options.margin){if(typeof options.margin.item===\"number\"){this.options.margin.item.horizontal=options.margin.item;this.options.margin.item.vertical=options.margin.item}else if((0,_typeof3[\"default\"])(options.margin.item)===\"object\"){util.selectiveExtend([\"horizontal\",\"vertical\"],this.options.margin.item,options.margin.item)}}}}if(\"editable\"in options){if(typeof options.editable===\"boolean\"){this.options.editable.updateTime=options.editable;this.options.editable.updateGroup=options.editable;this.options.editable.add=options.editable;this.options.editable.remove=options.editable;this.options.editable.overrideItems=false}else if((0,_typeof3[\"default\"])(options.editable)===\"object\"){util.selectiveExtend([\"updateTime\",\"updateGroup\",\"add\",\"remove\",\"overrideItems\"],this.options.editable,options.editable)}}if(\"groupEditable\"in options){if(typeof options.groupEditable===\"boolean\"){this.options.groupEditable.order=options.groupEditable;this.options.groupEditable.add=options.groupEditable;this.options.groupEditable.remove=options.groupEditable}else if((0,_typeof3[\"default\"])(options.groupEditable)===\"object\"){util.selectiveExtend([\"order\",\"add\",\"remove\"],this.options.groupEditable,options.groupEditable)}}var addCallback=function(name){var fn=options[name];if(fn){if(!(fn instanceof Function)){throw new Error(\"option \"+name+\" must be a function \"+name+\"(item, callback)\")}this.options[name]=fn}}.bind(this);[\"onAdd\",\"onUpdate\",\"onRemove\",\"onMove\",\"onMoving\",\"onAddGroup\",\"onMoveGroup\",\"onRemoveGroup\"].forEach(addCallback);this.markDirty()}};ItemSet.prototype.markDirty=function(options){this.groupIds=[];this.stackDirty=true;if(options&&options.refreshItems){util.forEach(this.items,function(item){item.dirty=true;if(item.displayed)item.redraw()})}};ItemSet.prototype.destroy=function(){this.hide();this.setItems(null);this.setGroups(null);this.hammer=null;this.body=null;this.conversion=null};ItemSet.prototype.hide=function(){if(this.dom.frame.parentNode){this.dom.frame.parentNode.removeChild(this.dom.frame)}if(this.dom.axis.parentNode){this.dom.axis.parentNode.removeChild(this.dom.axis)}if(this.dom.labelSet.parentNode){this.dom.labelSet.parentNode.removeChild(this.dom.labelSet)}};ItemSet.prototype.show=function(){if(!this.dom.frame.parentNode){this.body.dom.center.appendChild(this.dom.frame)}if(!this.dom.axis.parentNode){this.body.dom.backgroundVertical.appendChild(this.dom.axis)}if(!this.dom.labelSet.parentNode){if(this.options.rtl){this.body.dom.right.appendChild(this.dom.labelSet)}else{this.body.dom.left.appendChild(this.dom.labelSet)}}};ItemSet.prototype.setSelection=function(ids){var i,ii,id,item;if(ids==undefined)ids=[];if(!Array.isArray(ids))ids=[ids];for(i=0,ii=this.selection.length;i<ii;i++){id=this.selection[i];item=this.items[id];if(item)item.unselect()}this.selection=[];for(i=0,ii=ids.length;i<ii;i++){id=ids[i];item=this.items[id];if(item){this.selection.push(id);item.select()}}};ItemSet.prototype.getSelection=function(){return this.selection.concat([])};ItemSet.prototype.getVisibleItems=function(){var range=this.body.range.getRange();if(this.options.rtl){var right=this.body.util.toScreen(range.start);var left=this.body.util.toScreen(range.end)}else{var left=this.body.util.toScreen(range.start);var right=this.body.util.toScreen(range.end)}var ids=[];for(var groupId in this.groups){if(this.groups.hasOwnProperty(groupId)){var group=this.groups[groupId];var rawVisibleItems=group.visibleItems;for(var i=0;i<rawVisibleItems.length;i++){var item=rawVisibleItems[i];if(this.options.rtl){if(item.right<left&&item.right+item.width>right){ids.push(item.id)}}else{if(item.left<right&&item.left+item.width>left){ids.push(item.id)}}}}}return ids};ItemSet.prototype._deselect=function(id){var selection=this.selection;for(var i=0,ii=selection.length;i<ii;i++){if(selection[i]==id){selection.splice(i,1);break}}};ItemSet.prototype.redraw=function(){var margin=this.options.margin,range=this.body.range,asSize=util.option.asSize,options=this.options,orientation=options.orientation.item,resized=false,frame=this.dom.frame;this.props.top=this.body.domProps.top.height+this.body.domProps.border.top;if(this.options.rtl){this.props.right=this.body.domProps.right.width+this.body.domProps.border.right}else{this.props.left=this.body.domProps.left.width+this.body.domProps.border.left}frame.className=\"vis-itemset\";resized=this._orderGroups()||resized;var visibleInterval=range.end-range.start;var zoomed=visibleInterval!=this.lastVisibleInterval||this.props.width!=this.props.lastWidth;var scrolled=range.start!=this.lastRangeStart;if(zoomed||scrolled)this.stackDirty=true;this.lastVisibleInterval=visibleInterval;this.lastRangeStart=range.start;this.props.lastWidth=this.props.width;var restack=this.stackDirty;var firstGroup=this._firstGroup();var firstMargin={item:margin.item,axis:margin.axis};var nonFirstMargin={item:margin.item,axis:margin.item.vertical/2};var height=0;var minHeight=margin.axis+margin.item.vertical;this.groups[BACKGROUND].redraw(range,nonFirstMargin,restack);util.forEach(this.groups,function(group){var groupMargin=group==firstGroup?firstMargin:nonFirstMargin;var groupResized=group.redraw(range,groupMargin,restack);resized=groupResized||resized;height+=group.height});height=Math.max(height,minHeight);this.stackDirty=false;frame.style.height=asSize(height);this.props.width=frame.offsetWidth;this.props.height=height;this.dom.axis.style.top=asSize(orientation==\"top\"?this.body.domProps.top.height+this.body.domProps.border.top:this.body.domProps.top.height+this.body.domProps.centerContainer.height);if(this.options.rtl){this.dom.axis.style.right=\"0\"}else{this.dom.axis.style.left=\"0\"}this.initialItemSetDrawn=true;resized=this._isResized()||resized;return resized};ItemSet.prototype._firstGroup=function(){var firstGroupIndex=this.options.orientation.item==\"top\"?0:this.groupIds.length-1;var firstGroupId=this.groupIds[firstGroupIndex];var firstGroup=this.groups[firstGroupId]||this.groups[UNGROUPED];return firstGroup||null};ItemSet.prototype._updateUngrouped=function(){var ungrouped=this.groups[UNGROUPED];var background=this.groups[BACKGROUND];var item,itemId;if(this.groupsData){if(ungrouped){ungrouped.hide();delete this.groups[UNGROUPED];for(itemId in this.items){if(this.items.hasOwnProperty(itemId)){item=this.items[itemId];item.parent&&item.parent.remove(item);var groupId=this._getGroupId(item.data);var group=this.groups[groupId];group&&group.add(item)||item.hide()}}}}else{if(!ungrouped){var id=null;var data=null;ungrouped=new Group(id,data,this);this.groups[UNGROUPED]=ungrouped;for(itemId in this.items){if(this.items.hasOwnProperty(itemId)){item=this.items[itemId];ungrouped.add(item)}}ungrouped.show()}}};ItemSet.prototype.getLabelSet=function(){return this.dom.labelSet};ItemSet.prototype.setItems=function(items){var me=this,ids,oldItemsData=this.itemsData;if(!items){this.itemsData=null}else if(items instanceof DataSet||items instanceof DataView){this.itemsData=items}else{throw new TypeError(\"Data must be an instance of DataSet or DataView\")}if(oldItemsData){util.forEach(this.itemListeners,function(callback,event){oldItemsData.off(event,callback)});ids=oldItemsData.getIds();this._onRemove(ids)}if(this.itemsData){var id=this.id;util.forEach(this.itemListeners,function(callback,event){me.itemsData.on(event,callback,id)});ids=this.itemsData.getIds();this._onAdd(ids);this._updateUngrouped()}this.body.emitter.emit(\"_change\",{queue:true})};ItemSet.prototype.getItems=function(){return this.itemsData};ItemSet.prototype.setGroups=function(groups){var me=this,ids;if(this.groupsData){util.forEach(this.groupListeners,function(callback,event){me.groupsData.off(event,callback)});ids=this.groupsData.getIds();this.groupsData=null;this._onRemoveGroups(ids)}if(!groups){this.groupsData=null}else if(groups instanceof DataSet||groups instanceof DataView){this.groupsData=groups}else{throw new TypeError(\"Data must be an instance of DataSet or DataView\")}if(this.groupsData){var groupsData=this.groupsData;if(this.groupsData instanceof DataView){groupsData=this.groupsData.getDataSet()}groupsData.get().forEach(function(group){if(group.nestedGroups){group.nestedGroups.forEach(function(nestedGroupId){var updatedNestedGroup=groupsData.get(nestedGroupId);updatedNestedGroup.nestedInGroup=group.id;if(group.showNested==false){updatedNestedGroup.visible=false}groupsData.update(updatedNestedGroup)})}});var id=this.id;util.forEach(this.groupListeners,function(callback,event){me.groupsData.on(event,callback,id)});ids=this.groupsData.getIds();this._onAddGroups(ids)}this._updateUngrouped();this._order();this.body.emitter.emit(\"_change\",{queue:true})};ItemSet.prototype.getGroups=function(){return this.groupsData};ItemSet.prototype.removeItem=function(id){var item=this.itemsData.get(id),dataset=this.itemsData.getDataSet(),itemObj=this.items[id];if(item){this.options.onRemove(item,function(item){if(item){dataset.remove(id)}})}};ItemSet.prototype._getType=function(itemData){return itemData.type||this.options.type||(itemData.end?\"range\":\"box\")};ItemSet.prototype._getGroupId=function(itemData){var type=this._getType(itemData);if(type==\"background\"&&itemData.group==undefined){return BACKGROUND}else{return this.groupsData?itemData.group:UNGROUPED}};ItemSet.prototype._onUpdate=function(ids){var me=this;ids.forEach(function(id){var itemData=me.itemsData.get(id,me.itemOptions);var item=me.items[id];var type=itemData?me._getType(itemData):null;var constructor=ItemSet.types[type];var selected;if(item){if(!constructor||!(item instanceof constructor)){selected=item.selected;me._removeItem(item);item=null}else{me._updateItem(item,itemData)}}if(!item&&itemData){if(constructor){item=new constructor(itemData,me.conversion,me.options);item.id=id;me._addItem(item);if(selected){this.selection.push(id);item.select()}}else if(type==\"rangeoverflow\"){throw new TypeError('Item type \"rangeoverflow\" is deprecated. Use css styling instead: '+\".vis-item.vis-range .vis-item-content {overflow: visible;}\")}else{throw new TypeError('Unknown item type \"'+type+'\"')}}}.bind(this));this._order();this.stackDirty=true;this.body.emitter.emit(\"_change\",{queue:true})};ItemSet.prototype._onAdd=ItemSet.prototype._onUpdate;ItemSet.prototype._onRemove=function(ids){var count=0;var me=this;ids.forEach(function(id){var item=me.items[id];if(item){count++;me._removeItem(item)}});if(count){this._order();this.stackDirty=true;this.body.emitter.emit(\"_change\",{queue:true})}};ItemSet.prototype._order=function(){util.forEach(this.groups,function(group){group.order()})};ItemSet.prototype._onUpdateGroups=function(ids){this._onAddGroups(ids)};ItemSet.prototype._onAddGroups=function(ids){var me=this;ids.forEach(function(id){var groupData=me.groupsData.get(id);var group=me.groups[id];if(!group){if(id==UNGROUPED||id==BACKGROUND){throw new Error(\"Illegal group id. \"+id+\" is a reserved id.\")}var groupOptions=(0,_create2[\"default\"])(me.options);util.extend(groupOptions,{height:null});group=new Group(id,groupData,me);me.groups[id]=group;for(var itemId in me.items){if(me.items.hasOwnProperty(itemId)){var item=me.items[itemId];if(item.data.group==id){group.add(item)}}}group.order();group.show()}else{group.setData(groupData)}});this.body.emitter.emit(\"_change\",{queue:true})};ItemSet.prototype._onRemoveGroups=function(ids){var groups=this.groups;ids.forEach(function(id){var group=groups[id];if(group){group.hide();delete groups[id]}});this.markDirty();this.body.emitter.emit(\"_change\",{queue:true})};ItemSet.prototype._orderGroups=function(){if(this.groupsData){var groupIds=this.groupsData.getIds({order:this.options.groupOrder});groupIds=this._orderNestedGroups(groupIds);var changed=!util.equalArray(groupIds,this.groupIds);if(changed){var groups=this.groups;groupIds.forEach(function(groupId){groups[groupId].hide()});groupIds.forEach(function(groupId){groups[groupId].show()});this.groupIds=groupIds}return changed}else{return false}};ItemSet.prototype._orderNestedGroups=function(groupIds){var newGroupIdsOrder=[];groupIds.forEach(function(groupId){var groupData=this.groupsData.get(groupId);if(!groupData.nestedInGroup){newGroupIdsOrder.push(groupId)}if(groupData.nestedGroups){var nestedGroups=this.groupsData.get({filter:function filter(nestedGroup){return nestedGroup.nestedInGroup==groupId},order:this.options.groupOrder});var nestedGroupIds=nestedGroups.map(function(nestedGroup){return nestedGroup.id});newGroupIdsOrder=newGroupIdsOrder.concat(nestedGroupIds)}},this);return newGroupIdsOrder};ItemSet.prototype._addItem=function(item){this.items[item.id]=item;var groupId=this._getGroupId(item.data);var group=this.groups[groupId];if(!group){item.groupShowing=false}else if(group&&group.data&&group.data.showNested){item.groupShowing=true}if(group)group.add(item)};ItemSet.prototype._updateItem=function(item,itemData){var oldGroupId=item.data.group;var oldSubGroupId=item.data.subgroup;if(oldGroupId!=itemData.group){var oldGroup=this.groups[oldGroupId];if(oldGroup)oldGroup.remove(item)}item.setData(itemData);var groupId=this._getGroupId(item.data);var group=this.groups[groupId];if(!group){item.groupShowing=false}else if(group&&group.data&&group.data.showNested){item.groupShowing=true}if(group){if(oldGroupId!=item.data.group){group.add(item)}else if(oldSubGroupId!=item.data.subgroup){group.changeSubgroup(item,oldSubGroupId)}}};ItemSet.prototype._removeItem=function(item){item.hide();delete this.items[item.id];var index=this.selection.indexOf(item.id);if(index!=-1)this.selection.splice(index,1);item.parent&&item.parent.remove(item)};ItemSet.prototype._constructByEndArray=function(array){var endArray=[];for(var i=0;i<array.length;i++){if(array[i]instanceof RangeItem){endArray.push(array[i])}}return endArray};ItemSet.prototype._onTouch=function(event){this.touchParams.item=this.itemFromTarget(event);this.touchParams.dragLeftItem=event.target.dragLeftItem||false;this.touchParams.dragRightItem=event.target.dragRightItem||false;this.touchParams.itemProps=null};ItemSet.prototype._getGroupIndex=function(groupId){for(var i=0;i<this.groupIds.length;i++){if(groupId==this.groupIds[i])return i}};ItemSet.prototype._onDragStart=function(event){if(this.touchParams.itemIsDragging){return}var item=this.touchParams.item||null;var me=this;var props;if(item&&(item.selected||this.options.itemsAlwaysDraggable)){if(this.options.editable.overrideItems&&!this.options.editable.updateTime&&!this.options.editable.updateGroup){return}if(item.editable!=null&&!item.editable.updateTime&&!item.editable.updateGroup&&!this.options.editable.overrideItems){return}var dragLeftItem=this.touchParams.dragLeftItem;var dragRightItem=this.touchParams.dragRightItem;this.touchParams.itemIsDragging=true;this.touchParams.selectedItem=item;if(dragLeftItem){props={item:dragLeftItem,initialX:event.center.x,dragLeft:true,data:this._cloneItemData(item.data)};this.touchParams.itemProps=[props]}else if(dragRightItem){props={item:dragRightItem,initialX:event.center.x,dragRight:true,data:this._cloneItemData(item.data)};this.touchParams.itemProps=[props]}else{var baseGroupIndex=this._getGroupIndex(item.data.group);var itemsToDrag=this.options.itemsAlwaysDraggable&&!item.selected?[item.id]:this.getSelection();this.touchParams.itemProps=itemsToDrag.map(function(id){var item=me.items[id];var groupIndex=me._getGroupIndex(item.data.group);return{item:item,initialX:event.center.x,groupOffset:baseGroupIndex-groupIndex,data:this._cloneItemData(item.data)}}.bind(this))}event.stopPropagation()}else if(this.options.editable.add&&(event.srcEvent.ctrlKey||event.srcEvent.metaKey)){this._onDragStartAddItem(event)}};ItemSet.prototype._onDragStartAddItem=function(event){var snap=this.options.snap||null;if(this.options.rtl){var xAbs=util.getAbsoluteRight(this.dom.frame);var x=xAbs-event.center.x+10}else{var xAbs=util.getAbsoluteLeft(this.dom.frame);var x=event.center.x-xAbs-10}var time=this.body.util.toTime(x);var scale=this.body.util.getScale();var step=this.body.util.getStep();var start=snap?snap(time,scale,step):time;var end=start;var itemData={type:\"range\",start:start,end:end,content:\"new item\"};var id=util.randomUUID();itemData[this.itemsData._fieldId]=id;var group=this.groupFromTarget(event);if(group){itemData.group=group.groupId}var newItem=new RangeItem(itemData,this.conversion,this.options);newItem.id=id;newItem.data=this._cloneItemData(itemData);this._addItem(newItem);this.touchParams.selectedItem=newItem;var props={item:newItem,initialX:event.center.x,data:newItem.data};if(this.options.rtl){props.dragLeft=true}else{props.dragRight=true}this.touchParams.itemProps=[props];event.stopPropagation()};ItemSet.prototype._onDrag=function(event){if(this.touchParams.itemProps){event.stopPropagation();var me=this;var snap=this.options.snap||null;if(this.options.rtl){var xOffset=this.body.dom.root.offsetLeft+this.body.domProps.right.width}else{var xOffset=this.body.dom.root.offsetLeft+this.body.domProps.left.width}var scale=this.body.util.getScale();var step=this.body.util.getStep();var selectedItem=this.touchParams.selectedItem;var updateGroupAllowed=(this.options.editable.overrideItems||selectedItem.editable==null)&&this.options.editable.updateGroup||!this.options.editable.overrideItems&&selectedItem.editable!=null&&selectedItem.editable.updateGroup;var newGroupBase=null;if(updateGroupAllowed&&selectedItem){if(selectedItem.data.group!=undefined){var group=me.groupFromTarget(event);if(group){newGroupBase=this._getGroupIndex(group.groupId)}}}this.touchParams.itemProps.forEach(function(props){var current=me.body.util.toTime(event.center.x-xOffset);var initial=me.body.util.toTime(props.initialX-xOffset);if(this.options.rtl){var offset=-(current-initial)}else{var offset=current-initial}var itemData=this._cloneItemData(props.item.data);if(props.item.editable!=null&&!props.item.editable.updateTime&&!props.item.editable.updateGroup&&!me.options.editable.overrideItems){return}var updateTimeAllowed=(this.options.editable.overrideItems||selectedItem.editable==null)&&this.options.editable.updateTime||!this.options.editable.overrideItems&&selectedItem.editable!=null&&selectedItem.editable.updateTime;if(updateTimeAllowed){if(props.dragLeft){if(this.options.rtl){if(itemData.end!=undefined){var initialEnd=util.convert(props.data.end,\"Date\");var end=new Date(initialEnd.valueOf()+offset);itemData.end=snap?snap(end,scale,step):end}}else{if(itemData.start!=undefined){var initialStart=util.convert(props.data.start,\"Date\");var start=new Date(initialStart.valueOf()+offset);itemData.start=snap?snap(start,scale,step):start}}}else if(props.dragRight){if(this.options.rtl){if(itemData.start!=undefined){var initialStart=util.convert(props.data.start,\"Date\");var start=new Date(initialStart.valueOf()+offset);itemData.start=snap?snap(start,scale,step):start}}else{if(itemData.end!=undefined){var initialEnd=util.convert(props.data.end,\"Date\");var end=new Date(initialEnd.valueOf()+offset);itemData.end=snap?snap(end,scale,step):end}}}else{if(itemData.start!=undefined){var initialStart=util.convert(props.data.start,\"Date\").valueOf();var start=new Date(initialStart+offset);if(itemData.end!=undefined){var initialEnd=util.convert(props.data.end,\"Date\");var duration=initialEnd.valueOf()-initialStart.valueOf();itemData.start=snap?snap(start,scale,step):start;itemData.end=new Date(itemData.start.valueOf()+duration)}else{itemData.start=snap?snap(start,scale,step):start}}}}if(updateGroupAllowed&&!props.dragLeft&&!props.dragRight&&newGroupBase!=null){if(itemData.group!=undefined){var newOffset=newGroupBase-props.groupOffset;newOffset=Math.max(0,newOffset);newOffset=Math.min(me.groupIds.length-1,newOffset);itemData.group=me.groupIds[newOffset]}}itemData=this._cloneItemData(itemData);me.options.onMoving(itemData,function(itemData){if(itemData){props.item.setData(this._cloneItemData(itemData,\"Date\"))}}.bind(this))}.bind(this));this.stackDirty=true;this.body.emitter.emit(\"_change\")}};ItemSet.prototype._moveToGroup=function(item,groupId){var group=this.groups[groupId];if(group&&group.groupId!=item.data.group){var oldGroup=item.parent;oldGroup.remove(item);oldGroup.order();group.add(item);group.order();item.data.group=group.groupId}};ItemSet.prototype._onDragEnd=function(event){this.touchParams.itemIsDragging=false;if(this.touchParams.itemProps){event.stopPropagation();var me=this;var dataset=this.itemsData.getDataSet();var itemProps=this.touchParams.itemProps;this.touchParams.itemProps=null;itemProps.forEach(function(props){var id=props.item.id;var exists=me.itemsData.get(id,me.itemOptions)!=null;if(!exists){me.options.onAdd(props.item.data,function(itemData){me._removeItem(props.item);if(itemData){me.itemsData.getDataSet().add(itemData)}me.stackDirty=true;me.body.emitter.emit(\"_change\")})}else{var itemData=this._cloneItemData(props.item.data);me.options.onMove(itemData,function(itemData){if(itemData){itemData[dataset._fieldId]=id;dataset.update(itemData)}else{props.item.setData(props.data);me.stackDirty=true;me.body.emitter.emit(\"_change\")}})}}.bind(this))}};ItemSet.prototype._onGroupClick=function(event){var group=this.groupFromTarget(event);if(!group||!group.nestedGroups)return;var groupsData=this.groupsData;if(this.groupsData instanceof DataView){groupsData=this.groupsData.getDataSet()}group.showNested=!group.showNested;var nestedGroups=groupsData.get(group.nestedGroups).map(function(nestedGroup){if(nestedGroup.visible==undefined){nestedGroup.visible=true}nestedGroup.visible=!!group.showNested;return nestedGroup});groupsData.update(nestedGroups);if(group.showNested){util.removeClassName(group.dom.label,\"collapsed\");util.addClassName(group.dom.label,\"expanded\")}else{util.removeClassName(group.dom.label,\"expanded\");var collapsedDirClassName=this.options.rtl?\"collapsed-rtl\":\"collapsed\";util.addClassName(group.dom.label,collapsedDirClassName)}};ItemSet.prototype._onGroupDragStart=function(event){if(this.options.groupEditable.order){this.groupTouchParams.group=this.groupFromTarget(event);if(this.groupTouchParams.group){event.stopPropagation();this.groupTouchParams.originalOrder=this.groupsData.getIds({order:this.options.groupOrder})}}};ItemSet.prototype._onGroupDrag=function(event){if(this.options.groupEditable.order&&this.groupTouchParams.group){event.stopPropagation();var groupsData=this.groupsData;if(this.groupsData instanceof DataView){groupsData=this.groupsData.getDataSet()}var group=this.groupFromTarget(event);if(group&&group.height!=this.groupTouchParams.group.height){var movingUp=group.top<this.groupTouchParams.group.top;var clientY=event.center?event.center.y:event.clientY;var targetGroupTop=util.getAbsoluteTop(group.dom.foreground);var draggedGroupHeight=this.groupTouchParams.group.height;if(movingUp){if(targetGroupTop+draggedGroupHeight<clientY){return}}else{var targetGroupHeight=group.height;if(targetGroupTop+targetGroupHeight-draggedGroupHeight>clientY){return}}}if(group&&group!=this.groupTouchParams.group){var targetGroup=groupsData.get(group.groupId);var draggedGroup=groupsData.get(this.groupTouchParams.group.groupId);if(draggedGroup&&targetGroup){this.options.groupOrderSwap(draggedGroup,targetGroup,groupsData);groupsData.update(draggedGroup);groupsData.update(targetGroup)}var newOrder=groupsData.getIds({order:this.options.groupOrder});if(!util.equalArray(newOrder,this.groupTouchParams.originalOrder)){var origOrder=this.groupTouchParams.originalOrder;var draggedId=this.groupTouchParams.group.groupId;var numGroups=Math.min(origOrder.length,newOrder.length);var curPos=0;var newOffset=0;var orgOffset=0;while(curPos<numGroups){while(curPos+newOffset<numGroups&&curPos+orgOffset<numGroups&&newOrder[curPos+newOffset]==origOrder[curPos+orgOffset]){curPos++}if(curPos+newOffset>=numGroups){break}if(newOrder[curPos+newOffset]==draggedId){newOffset=1;continue}else if(origOrder[curPos+orgOffset]==draggedId){orgOffset=1;continue}else{var slippedPosition=newOrder.indexOf(origOrder[curPos+orgOffset]);var switchGroup=groupsData.get(newOrder[curPos+newOffset]);var shouldBeGroup=groupsData.get(origOrder[curPos+orgOffset]);this.options.groupOrderSwap(switchGroup,shouldBeGroup,groupsData);groupsData.update(switchGroup);groupsData.update(shouldBeGroup);var switchGroupId=newOrder[curPos+newOffset];newOrder[curPos+newOffset]=origOrder[curPos+orgOffset];newOrder[slippedPosition]=switchGroupId;curPos++}}}}}};ItemSet.prototype._onGroupDragEnd=function(event){if(this.options.groupEditable.order&&this.groupTouchParams.group){event.stopPropagation();var me=this;var id=me.groupTouchParams.group.groupId;var dataset=me.groupsData.getDataSet();var groupData=util.extend({},dataset.get(id));me.options.onMoveGroup(groupData,function(groupData){if(groupData){groupData[dataset._fieldId]=id;dataset.update(groupData)}else{var newOrder=dataset.getIds({order:me.options.groupOrder});if(!util.equalArray(newOrder,me.groupTouchParams.originalOrder)){var origOrder=me.groupTouchParams.originalOrder;var numGroups=Math.min(origOrder.length,newOrder.length);var curPos=0;while(curPos<numGroups){while(curPos<numGroups&&newOrder[curPos]==origOrder[curPos]){curPos++}if(curPos>=numGroups){break}var slippedPosition=newOrder.indexOf(origOrder[curPos]);var switchGroup=dataset.get(newOrder[curPos]);var shouldBeGroup=dataset.get(origOrder[curPos]);me.options.groupOrderSwap(switchGroup,shouldBeGroup,dataset);dataset.update(switchGroup);dataset.update(shouldBeGroup);var switchGroupId=newOrder[curPos];newOrder[curPos]=origOrder[curPos];newOrder[slippedPosition]=switchGroupId;curPos++}}}});me.body.emitter.emit(\"groupDragged\",{groupId:id})}};ItemSet.prototype._onSelectItem=function(event){if(!this.options.selectable)return;var ctrlKey=event.srcEvent&&(event.srcEvent.ctrlKey||event.srcEvent.metaKey);var shiftKey=event.srcEvent&&event.srcEvent.shiftKey;if(ctrlKey||shiftKey){this._onMultiSelectItem(event);return}var oldSelection=this.getSelection();var item=this.itemFromTarget(event);var selection=item?[item.id]:[];this.setSelection(selection);var newSelection=this.getSelection();if(newSelection.length>0||oldSelection.length>0){this.body.emitter.emit(\"select\",{items:newSelection,event:event})}};ItemSet.prototype._onMouseOver=function(event){var item=this.itemFromTarget(event);if(!item)return;var related=this.itemFromRelatedTarget(event);if(item===related){return}var title=item.getTitle();if(title){if(this.popup==null){this.popup=new _Popup2[\"default\"](this.body.dom.root,this.options.tooltip.overflowMethod||\"flip\")}this.popup.setText(title);var container=this.body.dom.centerContainer;this.popup.setPosition(event.clientX-util.getAbsoluteLeft(container)+container.offsetLeft,event.clientY-util.getAbsoluteTop(container)+container.offsetTop);this.popup.show()}else{if(this.popup!=null){this.popup.hide()}}this.body.emitter.emit(\"itemover\",{item:item.id,event:event})};ItemSet.prototype._onMouseOut=function(event){var item=this.itemFromTarget(event);if(!item)return;var related=this.itemFromRelatedTarget(event);if(item===related){return}if(this.popup!=null){this.popup.hide()}this.body.emitter.emit(\"itemout\",{item:item.id,event:event})};ItemSet.prototype._onMouseMove=function(event){var item=this.itemFromTarget(event);if(!item)return;if(this.options.tooltip.followMouse){if(this.popup){if(!this.popup.hidden){var container=this.body.dom.centerContainer;this.popup.setPosition(event.clientX-util.getAbsoluteLeft(container)+container.offsetLeft,event.clientY-util.getAbsoluteTop(container)+container.offsetTop);this.popup.show()}}}};ItemSet.prototype._onMouseWheel=function(event){if(this.touchParams.itemIsDragging){this._onDragEnd(event)}};ItemSet.prototype._onUpdateItem=function(item){if(!this.options.selectable)return;if(!this.options.editable.add)return;var me=this;if(item){var itemData=me.itemsData.get(item.id);this.options.onUpdate(itemData,function(itemData){if(itemData){me.itemsData.getDataSet().update(itemData)}})}};ItemSet.prototype._onAddItem=function(event){if(!this.options.selectable)return;if(!this.options.editable.add)return;var me=this;var snap=this.options.snap||null;var item=this.itemFromTarget(event);if(!item){if(this.options.rtl){var xAbs=util.getAbsoluteRight(this.dom.frame);var x=xAbs-event.center.x}else{var xAbs=util.getAbsoluteLeft(this.dom.frame);var x=event.center.x-xAbs}var start=this.body.util.toTime(x);var scale=this.body.util.getScale();var step=this.body.util.getStep();var newItemData={start:snap?snap(start,scale,step):start,content:\"new item\"};if(event.type==\"drop\"){var itemData=JSON.parse(event.dataTransfer.getData(\"text\"));newItemData.content=itemData.content;newItemData.type=itemData.type||\"box\";newItemData[this.itemsData._fieldId]=itemData.id||util.randomUUID();if(itemData.type==\"range\"||itemData.end&&itemData.start){if(!itemData.end){var end=this.body.util.toTime(x+this.props.width/5);newItemData.end=snap?snap(end,scale,step):end}else{newItemData.end=itemData.end;newItemData.start=itemData.start}}}else{newItemData[this.itemsData._fieldId]=util.randomUUID();if(this.options.type===\"range\"){var end=this.body.util.toTime(x+this.props.width/5);newItemData.end=snap?snap(end,scale,step):end}}var group=this.groupFromTarget(event);if(group){newItemData.group=group.groupId}newItemData=this._cloneItemData(newItemData);this.options.onAdd(newItemData,function(item){if(item){me.itemsData.getDataSet().add(item);if(event.type==\"drop\"){me.setSelection([item.id])}}})}};ItemSet.prototype._onMultiSelectItem=function(event){if(!this.options.selectable)return;var item=this.itemFromTarget(event);if(item){var selection=this.options.multiselect?this.getSelection():[];var shiftKey=event.srcEvent&&event.srcEvent.shiftKey||false;if(shiftKey&&this.options.multiselect){var itemGroup=this.itemsData.get(item.id).group;var lastSelectedGroup=undefined;if(this.options.multiselectPerGroup){if(selection.length>0){lastSelectedGroup=this.itemsData.get(selection[0]).group}}if(!this.options.multiselectPerGroup||lastSelectedGroup==undefined||lastSelectedGroup==itemGroup){selection.push(item.id)}var range=ItemSet._getItemRange(this.itemsData.get(selection,this.itemOptions));if(!this.options.multiselectPerGroup||lastSelectedGroup==itemGroup){selection=[];for(var id in this.items){if(this.items.hasOwnProperty(id)){var _item=this.items[id];var start=_item.data.start;var end=_item.data.end!==undefined?_item.data.end:start;if(start>=range.min&&end<=range.max&&(!this.options.multiselectPerGroup||lastSelectedGroup==this.itemsData.get(_item.id).group)&&!(_item instanceof BackgroundItem)){selection.push(_item.id)}}}}}else{var index=selection.indexOf(item.id);if(index==-1){selection.push(item.id)}else{selection.splice(index,1)}}this.setSelection(selection);this.body.emitter.emit(\"select\",{items:this.getSelection(),event:event})}};ItemSet._getItemRange=function(itemsData){var max=null;var min=null;itemsData.forEach(function(data){if(min==null||data.start<min){min=data.start}if(data.end!=undefined){if(max==null||data.end>max){max=data.end}}else{if(max==null||data.start>max){max=data.start}}});return{min:min,max:max}};ItemSet.prototype.itemFromElement=function(element){var cur=element;while(cur){if(cur.hasOwnProperty(\"timeline-item\")){return cur[\"timeline-item\"]}cur=cur.parentNode}return null};ItemSet.prototype.itemFromTarget=function(event){return this.itemFromElement(event.target)};ItemSet.prototype.itemFromRelatedTarget=function(event){return this.itemFromElement(event.relatedTarget)};ItemSet.prototype.groupFromTarget=function(event){var clientY=event.center?event.center.y:event.clientY;for(var i=0;i<this.groupIds.length;i++){var groupId=this.groupIds[i];var group=this.groups[groupId];var foreground=group.dom.foreground;var top=util.getAbsoluteTop(foreground);if(clientY>top&&clientY<top+foreground.offsetHeight){return group}if(this.options.orientation.item===\"top\"){if(i===this.groupIds.length-1&&clientY>top){return group}}else{if(i===0&&clientY<top+foreground.offset){return group}}}return null};ItemSet.itemSetFromTarget=function(event){var target=event.target;while(target){if(target.hasOwnProperty(\"timeline-itemset\")){return target[\"timeline-itemset\"]}target=target.parentNode}return null};ItemSet.prototype._cloneItemData=function(itemData,type){var clone=util.extend({},itemData);if(!type){type=this.itemsData.getDataSet()._options.type}if(clone.start!=undefined){clone.start=util.convert(clone.start,type&&type.start||\"Date\")}if(clone.end!=undefined){clone.end=util.convert(clone.end,type&&type.end||\"Date\")}return clone};module.exports=ItemSet},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Popup=function(){function Popup(container,overflowMethod){(0,_classCallCheck3[\"default\"])(this,Popup);this.container=container;this.overflowMethod=overflowMethod||\"cap\";this.x=0;this.y=0;this.padding=5;this.hidden=false;this.frame=document.createElement(\"div\");this.frame.className=\"vis-tooltip\";this.container.appendChild(this.frame)}(0,_createClass3[\"default\"])(Popup,[{key:\"setPosition\",value:function setPosition(x,y){this.x=parseInt(x);this.y=parseInt(y)}},{key:\"setText\",value:function setText(content){if(content instanceof Element){this.frame.innerHTML=\"\";this.frame.appendChild(content)}else{this.frame.innerHTML=content}}},{key:\"show\",value:function show(doShow){if(doShow===undefined){doShow=true}if(doShow===true){var height=this.frame.clientHeight;var width=this.frame.clientWidth;var maxHeight=this.frame.parentNode.clientHeight;var maxWidth=this.frame.parentNode.clientWidth;var left=0,top=0;if(this.overflowMethod==\"flip\"){var isLeft=false,isTop=true;if(this.y-height<this.padding){isTop=false}if(this.x+width>maxWidth-this.padding){isLeft=true}if(isLeft){left=this.x-width}else{left=this.x}if(isTop){top=this.y-height}else{top=this.y}}else{top=this.y-height;if(top+height+this.padding>maxHeight){top=maxHeight-height-this.padding}if(top<this.padding){top=this.padding}left=this.x;if(left+width+this.padding>maxWidth){left=maxWidth-width-this.padding}if(left<this.padding){left=this.padding}}this.frame.style.left=left+\"px\";this.frame.style.top=top+\"px\";this.frame.style.visibility=\"visible\";this.hidden=false}else{this.hide()}}},{key:\"hide\",value:function hide(){this.hidden=true;this.frame.style.visibility=\"hidden\"}},{key:\"destroy\",value:function destroy(){this.frame.parentNode.removeChild(this.frame)}}]);return Popup}();exports[\"default\"]=Popup},function(module,exports,__webpack_require__){\"use strict\";var moment=__webpack_require__(82);var DateUtil=__webpack_require__(129);var util=__webpack_require__(1);function TimeStep(start,end,minimumStep,hiddenDates){this.moment=moment;this.current=this.moment();this._start=this.moment();this._end=this.moment();this.autoScale=true;this.scale=\"day\";this.step=1;this.setRange(start,end,minimumStep);this.switchedDay=false;this.switchedMonth=false;this.switchedYear=false;if(Array.isArray(hiddenDates)){this.hiddenDates=hiddenDates}else if(hiddenDates!=undefined){this.hiddenDates=[hiddenDates]}else{this.hiddenDates=[]}this.format=TimeStep.FORMAT}TimeStep.FORMAT={minorLabels:{millisecond:\"SSS\",second:\"s\",minute:\"HH:mm\",hour:\"HH:mm\",weekday:\"ddd D\",day:\"D\",month:\"MMM\",year:\"YYYY\"},majorLabels:{millisecond:\"HH:mm:ss\",second:\"D MMMM HH:mm\",minute:\"ddd D MMMM\",hour:\"ddd D MMMM\",weekday:\"MMMM YYYY\",day:\"MMMM YYYY\",month:\"YYYY\",year:\"\"}};TimeStep.prototype.setMoment=function(moment){this.moment=moment;this.current=this.moment(this.current.valueOf());this._start=this.moment(this._start.valueOf());this._end=this.moment(this._end.valueOf())};TimeStep.prototype.setFormat=function(format){var defaultFormat=util.deepExtend({},TimeStep.FORMAT);this.format=util.deepExtend(defaultFormat,format)};TimeStep.prototype.setRange=function(start,end,minimumStep){if(!(start instanceof Date)||!(end instanceof Date)){throw\"No legal start or end date in method setRange\"}this._start=start!=undefined?this.moment(start.valueOf()):new Date;this._end=end!=undefined?this.moment(end.valueOf()):new Date;if(this.autoScale){this.setMinimumStep(minimumStep)}};TimeStep.prototype.start=function(){this.current=this._start.clone();this.roundToMinor()};TimeStep.prototype.roundToMinor=function(){switch(this.scale){case\"year\":this.current.year(this.step*Math.floor(this.current.year()/this.step));this.current.month(0);case\"month\":this.current.date(1);case\"day\":case\"weekday\":this.current.hours(0);case\"hour\":this.current.minutes(0);case\"minute\":this.current.seconds(0);case\"second\":this.current.milliseconds(0)}if(this.step!=1){switch(this.scale){case\"millisecond\":this.current.subtract(this.current.milliseconds()%this.step,\"milliseconds\");break;case\"second\":this.current.subtract(this.current.seconds()%this.step,\"seconds\");break;case\"minute\":this.current.subtract(this.current.minutes()%this.step,\"minutes\");break;case\"hour\":this.current.subtract(this.current.hours()%this.step,\"hours\");break;case\"weekday\":case\"day\":this.current.subtract((this.current.date()-1)%this.step,\"day\");break;case\"month\":this.current.subtract(this.current.month()%this.step,\"month\");break;case\"year\":this.current.subtract(this.current.year()%this.step,\"year\");break;default:break}}};TimeStep.prototype.hasNext=function(){return this.current.valueOf()<=this._end.valueOf()};TimeStep.prototype.next=function(){var prev=this.current.valueOf();switch(this.scale){case\"millisecond\":this.current.add(this.step,\"millisecond\");break;case\"second\":this.current.add(this.step,\"second\");break;case\"minute\":this.current.add(this.step,\"minute\");break;case\"hour\":this.current.add(this.step,\"hour\");if(this.current.month()<6){this.current.subtract(this.current.hours()%this.step,\"hour\")}else{if(this.current.hours()%this.step!==0){this.current.add(this.step-this.current.hours()%this.step,\"hour\")}}break;case\"weekday\":case\"day\":this.current.add(this.step,\"day\");break;case\"month\":this.current.add(this.step,\"month\");break;case\"year\":this.current.add(this.step,\"year\");break;default:break}if(this.step!=1){switch(this.scale){case\"millisecond\":if(this.current.milliseconds()>0&&this.current.milliseconds()<this.step)this.current.milliseconds(0);break;case\"second\":if(this.current.seconds()>0&&this.current.seconds()<this.step)this.current.seconds(0);break;case\"minute\":if(this.current.minutes()>0&&this.current.minutes()<this.step)this.current.minutes(0);break;case\"hour\":if(this.current.hours()>0&&this.current.hours()<this.step)this.current.hours(0);break;case\"weekday\":case\"day\":if(this.current.date()<this.step+1)this.current.date(1);break;case\"month\":if(this.current.month()<this.step)this.current.month(0);break;case\"year\":break;default:break}}if(this.current.valueOf()==prev){this.current=this._end.clone()}this.switchedDay=false;this.switchedMonth=false;this.switchedYear=false;DateUtil.stepOverHiddenDates(this.moment,this,prev)};TimeStep.prototype.getCurrent=function(){return this.current};TimeStep.prototype.setScale=function(params){if(params&&typeof params.scale==\"string\"){this.scale=params.scale;this.step=params.step>0?params.step:1;this.autoScale=false}};TimeStep.prototype.setAutoScale=function(enable){this.autoScale=enable};TimeStep.prototype.setMinimumStep=function(minimumStep){if(minimumStep==undefined){return}var stepYear=1e3*60*60*24*30*12;var stepMonth=1e3*60*60*24*30;var stepDay=1e3*60*60*24;var stepHour=1e3*60*60;var stepMinute=1e3*60;var stepSecond=1e3;var stepMillisecond=1;if(stepYear*1e3>minimumStep){this.scale=\"year\";this.step=1e3}if(stepYear*500>minimumStep){this.scale=\"year\";this.step=500}if(stepYear*100>minimumStep){this.scale=\"year\";this.step=100}if(stepYear*50>minimumStep){this.scale=\"year\";this.step=50}if(stepYear*10>minimumStep){this.scale=\"year\";this.step=10}if(stepYear*5>minimumStep){this.scale=\"year\";this.step=5}if(stepYear>minimumStep){this.scale=\"year\";this.step=1}if(stepMonth*3>minimumStep){this.scale=\"month\";this.step=3}if(stepMonth>minimumStep){this.scale=\"month\";this.step=1}if(stepDay*5>minimumStep){this.scale=\"day\";this.step=5}if(stepDay*2>minimumStep){this.scale=\"day\";this.step=2}if(stepDay>minimumStep){this.scale=\"day\";this.step=1}if(stepDay/2>minimumStep){this.scale=\"weekday\";this.step=1}if(stepHour*4>minimumStep){this.scale=\"hour\";this.step=4}if(stepHour>minimumStep){this.scale=\"hour\";this.step=1}if(stepMinute*15>minimumStep){this.scale=\"minute\";this.step=15}if(stepMinute*10>minimumStep){this.scale=\"minute\";this.step=10}if(stepMinute*5>minimumStep){this.scale=\"minute\";this.step=5}if(stepMinute>minimumStep){this.scale=\"minute\";this.step=1}if(stepSecond*15>minimumStep){this.scale=\"second\";this.step=15}if(stepSecond*10>minimumStep){this.scale=\"second\";this.step=10}if(stepSecond*5>minimumStep){this.scale=\"second\";this.step=5}if(stepSecond>minimumStep){this.scale=\"second\";this.step=1}if(stepMillisecond*200>minimumStep){this.scale=\"millisecond\";this.step=200}if(stepMillisecond*100>minimumStep){this.scale=\"millisecond\";this.step=100}if(stepMillisecond*50>minimumStep){this.scale=\"millisecond\";this.step=50}if(stepMillisecond*10>minimumStep){this.scale=\"millisecond\";this.step=10}if(stepMillisecond*5>minimumStep){this.scale=\"millisecond\";this.step=5}if(stepMillisecond>minimumStep){this.scale=\"millisecond\";this.step=1}};TimeStep.snap=function(date,scale,step){var clone=moment(date);if(scale==\"year\"){var year=clone.year()+Math.round(clone.month()/12);clone.year(Math.round(year/step)*step);clone.month(0);clone.date(0);clone.hours(0);clone.minutes(0);clone.seconds(0);clone.milliseconds(0)}else if(scale==\"month\"){if(clone.date()>15){clone.date(1);clone.add(1,\"month\")}else{clone.date(1)}clone.hours(0);clone.minutes(0);clone.seconds(0);clone.milliseconds(0)}else if(scale==\"day\"){switch(step){case 5:case 2:clone.hours(Math.round(clone.hours()/24)*24);break;default:clone.hours(Math.round(clone.hours()/12)*12);break}clone.minutes(0);clone.seconds(0);clone.milliseconds(0)}else if(scale==\"weekday\"){switch(step){case 5:case 2:clone.hours(Math.round(clone.hours()/12)*12);break;default:clone.hours(Math.round(clone.hours()/6)*6);break}clone.minutes(0);clone.seconds(0);clone.milliseconds(0)}else if(scale==\"hour\"){switch(step){case 4:clone.minutes(Math.round(clone.minutes()/60)*60);break;default:clone.minutes(Math.round(clone.minutes()/30)*30);break}clone.seconds(0);clone.milliseconds(0)}else if(scale==\"minute\"){switch(step){case 15:case 10:clone.minutes(Math.round(clone.minutes()/5)*5);clone.seconds(0);break;case 5:clone.seconds(Math.round(clone.seconds()/60)*60);break;default:clone.seconds(Math.round(clone.seconds()/30)*30);break}clone.milliseconds(0)}else if(scale==\"second\"){switch(step){case 15:case 10:clone.seconds(Math.round(clone.seconds()/5)*5);clone.milliseconds(0);break;case 5:clone.milliseconds(Math.round(clone.milliseconds()/1e3)*1e3);break;default:clone.milliseconds(Math.round(clone.milliseconds()/500)*500);break}}else if(scale==\"millisecond\"){var _step=step>5?step/2:1;clone.milliseconds(Math.round(clone.milliseconds()/_step)*_step)}return clone};TimeStep.prototype.isMajor=function(){if(this.switchedYear==true){switch(this.scale){case\"year\":case\"month\":case\"weekday\":case\"day\":case\"hour\":case\"minute\":case\"second\":case\"millisecond\":return true;default:return false}}else if(this.switchedMonth==true){switch(this.scale){case\"weekday\":case\"day\":case\"hour\":case\"minute\":case\"second\":case\"millisecond\":return true;default:return false}}else if(this.switchedDay==true){switch(this.scale){case\"millisecond\":case\"second\":case\"minute\":case\"hour\":return true;default:return false}}var date=this.moment(this.current);switch(this.scale){case\"millisecond\":return date.milliseconds()==0;case\"second\":return date.seconds()==0;case\"minute\":return date.hours()==0&&date.minutes()==0;case\"hour\":return date.hours()==0;case\"weekday\":case\"day\":return date.date()==1;case\"month\":return date.month()==0;case\"year\":return false;default:return false}};TimeStep.prototype.getLabelMinor=function(date){if(date==undefined){date=this.current}if(date instanceof Date){date=this.moment(date)}if(typeof this.format.minorLabels===\"function\"){return this.format.minorLabels(date,this.scale,this.step)}var format=this.format.minorLabels[this.scale];return format&&format.length>0?this.moment(date).format(format):\"\"};TimeStep.prototype.getLabelMajor=function(date){if(date==undefined){date=this.current}if(date instanceof Date){date=this.moment(date)}if(typeof this.format.majorLabels===\"function\"){return this.format.majorLabels(date,this.scale,this.step)}var format=this.format.majorLabels[this.scale];return format&&format.length>0?this.moment(date).format(format):\"\"};TimeStep.prototype.getClassName=function(){var _moment=this.moment;var m=this.moment(this.current);var current=m.locale?m.locale(\"en\"):m.lang(\"en\");var step=this.step;function even(value){return value/step%2==0?\" vis-even\":\" vis-odd\"}function today(date){if(date.isSame(new Date,\"day\")){return\" vis-today\"}if(date.isSame(_moment().add(1,\"day\"),\"day\")){return\" vis-tomorrow\"}if(date.isSame(_moment().add(-1,\"day\"),\"day\")){return\" vis-yesterday\"}return\"\"}function currentWeek(date){return date.isSame(new Date,\"week\")?\" vis-current-week\":\"\"}function currentMonth(date){return date.isSame(new Date,\"month\")?\" vis-current-month\":\"\"}function currentYear(date){return date.isSame(new Date,\"year\")?\" vis-current-year\":\"\"}switch(this.scale){case\"millisecond\":return today(current)+even(current.milliseconds()).trim();case\"second\":return today(current)+even(current.seconds()).trim();case\"minute\":return today(current)+even(current.minutes()).trim();case\"hour\":return\"vis-h\"+current.hours()+(this.step==4?\"-h\"+(current.hours()+4):\"\")+today(current)+even(current.hours());case\"weekday\":return\"vis-\"+current.format(\"dddd\").toLowerCase()+today(current)+currentWeek(current)+even(current.date());case\"day\":return\"vis-day\"+current.date()+\" vis-\"+current.format(\"MMMM\").toLowerCase()+today(current)+currentMonth(current)+(this.step<=2?today(current):\"\")+(this.step<=2?\" vis-\"+current.format(\"dddd\").toLowerCase():\"\"+even(current.date()-1));case\"month\":return\"vis-\"+current.format(\"MMMM\").toLowerCase()+currentMonth(current)+even(current.month());case\"year\":var year=current.year();return\"vis-year\"+year+currentYear(current)+even(year);default:return\"\"}};module.exports=TimeStep},function(module,exports,__webpack_require__){\"use strict\";var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var stack=__webpack_require__(135);var RangeItem=__webpack_require__(136);function Group(groupId,data,itemSet){this.groupId=groupId;this.subgroups={};this.subgroupIndex=0;this.subgroupOrderer=data&&data.subgroupOrder;this.itemSet=itemSet;this.isVisible=null;if(data&&data.nestedGroups){this.nestedGroups=data.nestedGroups;if(data.showNested==false){this.showNested=false}else{this.showNested=true}}this.nestedInGroup=null;this.dom={};this.props={label:{width:0,height:0}};this.className=null;this.items={};this.visibleItems=[];this.itemsInRange=[];this.orderedItems={byStart:[],byEnd:[]};this.checkRangedItems=false;var me=this;this.itemSet.body.emitter.on(\"checkRangedItems\",function(){me.checkRangedItems=true});this._create();this.setData(data)}Group.prototype._create=function(){var label=document.createElement(\"div\");if(this.itemSet.options.groupEditable.order){label.className=\"vis-label draggable\"}else{label.className=\"vis-label\"}this.dom.label=label;var inner=document.createElement(\"div\");inner.className=\"vis-inner\";label.appendChild(inner);this.dom.inner=inner;var foreground=document.createElement(\"div\");foreground.className=\"vis-group\";foreground[\"timeline-group\"]=this;this.dom.foreground=foreground;this.dom.background=document.createElement(\"div\");this.dom.background.className=\"vis-group\";this.dom.axis=document.createElement(\"div\");this.dom.axis.className=\"vis-group\";this.dom.marker=document.createElement(\"div\");this.dom.marker.style.visibility=\"hidden\";this.dom.marker.style.position=\"absolute\";this.dom.marker.innerHTML=\"\";this.dom.background.appendChild(this.dom.marker)};Group.prototype.setData=function(data){var content;var templateFunction;if(this.itemSet.options&&this.itemSet.options.groupTemplate){templateFunction=this.itemSet.options.groupTemplate.bind(this);content=templateFunction(data,this.dom.inner)}else{content=data&&data.content}if(content instanceof Element){this.dom.inner.appendChild(content);while(this.dom.inner.firstChild){this.dom.inner.removeChild(this.dom.inner.firstChild)}this.dom.inner.appendChild(content)}else if(content instanceof Object){templateFunction(data,this.dom.inner)}else if(content!==undefined&&content!==null){this.dom.inner.innerHTML=content}else{this.dom.inner.innerHTML=this.groupId||\"\"}this.dom.label.title=data&&data.title||\"\";if(!this.dom.inner.firstChild){util.addClassName(this.dom.inner,\"vis-hidden\")}else{util.removeClassName(this.dom.inner,\"vis-hidden\")}if(data&&data.nestedGroups){if(!this.nestedGroups||this.nestedGroups!=data.nestedGroups){this.nestedGroups=data.nestedGroups}if(data.showNested!==undefined||this.showNested===undefined){if(data.showNested==false){this.showNested=false}else{this.showNested=true}}util.addClassName(this.dom.label,\"vis-nesting-group\");var collapsedDirClassName=this.itemSet.options.rtl?\"collapsed-rtl\":\"collapsed\";if(this.showNested){util.removeClassName(this.dom.label,collapsedDirClassName);util.addClassName(this.dom.label,\"expanded\")}else{util.removeClassName(this.dom.label,\"expanded\");util.addClassName(this.dom.label,collapsedDirClassName)}}else if(this.nestedGroups){this.nestedGroups=null;var collapsedDirClassName=this.itemSet.options.rtl?\"collapsed-rtl\":\"collapsed\";util.removeClassName(this.dom.label,collapsedDirClassName);util.removeClassName(this.dom.label,\"expanded\");util.removeClassName(this.dom.label,\"vis-nesting-group\")}if(data&&data.nestedInGroup){util.addClassName(this.dom.label,\"vis-nested-group\");if(this.itemSet.options&&this.itemSet.options.rtl){this.dom.inner.style.paddingRight=\"30px\"}else{this.dom.inner.style.paddingLeft=\"30px\"}}var className=data&&data.className||null;if(className!=this.className){if(this.className){util.removeClassName(this.dom.label,this.className);util.removeClassName(this.dom.foreground,this.className);util.removeClassName(this.dom.background,this.className);util.removeClassName(this.dom.axis,this.className)}util.addClassName(this.dom.label,className);util.addClassName(this.dom.foreground,className);util.addClassName(this.dom.background,className);util.addClassName(this.dom.axis,className);this.className=className}if(this.style){util.removeCssText(this.dom.label,this.style);this.style=null}if(data&&data.style){util.addCssText(this.dom.label,data.style);this.style=data.style}};Group.prototype.getLabelWidth=function(){return this.props.label.width};Group.prototype.redraw=function(range,margin,restack){var resized=false;var markerHeight=this.dom.marker.clientHeight;if(markerHeight!=this.lastMarkerHeight){this.lastMarkerHeight=markerHeight;util.forEach(this.items,function(item){item.dirty=true;if(item.displayed)item.redraw()});restack=true}this._calculateSubGroupHeights(margin);var foreground=this.dom.foreground;this.top=foreground.offsetTop;this.right=foreground.offsetLeft;this.width=foreground.offsetWidth;this.isVisible=this._isGroupVisible(range,margin);if(typeof this.itemSet.options.order===\"function\"){if(restack){var me=this;var limitSize=false;util.forEach(this.items,function(item){if(!item.displayed){item.redraw();me.visibleItems.push(item)}item.repositionX(limitSize)});var customOrderedItems=this.orderedItems.byStart.slice().sort(function(a,b){return me.itemSet.options.order(a.data,b.data)});stack.stack(customOrderedItems,margin,true)}this.visibleItems=this._updateItemsInRange(this.orderedItems,this.visibleItems,range)}else{this.visibleItems=this._updateItemsInRange(this.orderedItems,this.visibleItems,range);if(this.itemSet.options.stack){stack.stack(this.visibleItems,margin,restack)}else{stack.nostack(this.visibleItems,margin,this.subgroups,this.itemSet.options.stackSubgroups)}}this._updateSubgroupsSizes();var height=this._calculateHeight(margin);var foreground=this.dom.foreground;this.top=foreground.offsetTop;this.right=foreground.offsetLeft;this.width=foreground.offsetWidth;resized=util.updateProperty(this,\"height\",height)||resized;resized=util.updateProperty(this.props.label,\"width\",this.dom.inner.clientWidth)||resized;resized=util.updateProperty(this.props.label,\"height\",this.dom.inner.clientHeight)||resized;this.dom.background.style.height=height+\"px\";this.dom.foreground.style.height=height+\"px\";this.dom.label.style.height=height+\"px\";for(var i=0,ii=this.visibleItems.length;i<ii;i++){var item=this.visibleItems[i];item.repositionY(margin);if(!this.isVisible&&this.groupId!=\"__background__\"){if(item.displayed)item.hide()}}if(!this.isVisible&&this.height){return resized=false}return resized};Group.prototype._calculateSubGroupHeights=function(margin){if((0,_keys2[\"default\"])(this.subgroups).length>0){var me=this;this.resetSubgroups();util.forEach(this.visibleItems,function(item){if(item.data.subgroup!==undefined){me.subgroups[item.data.subgroup].height=Math.max(me.subgroups[item.data.subgroup].height,item.height+margin.item.vertical);me.subgroups[item.data.subgroup].visible=true}})}};Group.prototype._isGroupVisible=function(range,margin){var isVisible=this.top<=range.body.domProps.centerContainer.height-range.body.domProps.scrollTop+margin.axis&&this.top+this.height+margin.axis>=-range.body.domProps.scrollTop;return isVisible};Group.prototype._calculateHeight=function(margin){var height;var itemsInRange=this.visibleItems;if(itemsInRange.length>0){var min=itemsInRange[0].top;var max=itemsInRange[0].top+itemsInRange[0].height;util.forEach(itemsInRange,function(item){min=Math.min(min,item.top);max=Math.max(max,item.top+item.height)});if(min>margin.axis){var offset=min-margin.axis;max-=offset;util.forEach(itemsInRange,function(item){item.top-=offset})}height=max+margin.item.vertical/2}else{height=0}height=Math.max(height,this.props.label.height);return height};Group.prototype.show=function(){if(!this.dom.label.parentNode){this.itemSet.dom.labelSet.appendChild(this.dom.label)}if(!this.dom.foreground.parentNode){this.itemSet.dom.foreground.appendChild(this.dom.foreground)}if(!this.dom.background.parentNode){this.itemSet.dom.background.appendChild(this.dom.background)}if(!this.dom.axis.parentNode){this.itemSet.dom.axis.appendChild(this.dom.axis)}};Group.prototype.hide=function(){var label=this.dom.label;if(label.parentNode){label.parentNode.removeChild(label)}var foreground=this.dom.foreground;if(foreground.parentNode){foreground.parentNode.removeChild(foreground)}var background=this.dom.background;if(background.parentNode){background.parentNode.removeChild(background)}var axis=this.dom.axis;if(axis.parentNode){axis.parentNode.removeChild(axis)}};Group.prototype.add=function(item){this.items[item.id]=item;item.setParent(this);if(item.data.subgroup!==undefined){this._addToSubgroup(item);this.orderSubgroups()}if(this.visibleItems.indexOf(item)==-1){var range=this.itemSet.body.range;this._checkIfVisible(item,this.visibleItems,range)}};Group.prototype._addToSubgroup=function(item,subgroupId){subgroupId=subgroupId||item.data.subgroup;if(subgroupId!=undefined&&this.subgroups[subgroupId]===undefined){this.subgroups[subgroupId]={height:0,top:0,start:item.data.start,end:item.data.end,visible:false,index:this.subgroupIndex,items:[]};this.subgroupIndex++}if(new Date(item.data.start)<new Date(this.subgroups[subgroupId].start)){this.subgroups[subgroupId].start=item.data.start}if(new Date(item.data.end)>new Date(this.subgroups[subgroupId].end)){this.subgroups[subgroupId].end=item.data.end}this.subgroups[subgroupId].items.push(item)};Group.prototype._updateSubgroupsSizes=function(){var me=this;if(me.subgroups){for(var subgroup in me.subgroups){var newStart=me.subgroups[subgroup].items[0].data.start;var newEnd=me.subgroups[subgroup].items[0].data.end;me.subgroups[subgroup].items.forEach(function(item){if(new Date(item.data.start)<new Date(newStart)){newStart=item.data.start}if(new Date(item.data.end)>new Date(newEnd)){newEnd=item.data.end}});me.subgroups[subgroup].start=newStart;me.subgroups[subgroup].end=newEnd}}};Group.prototype.orderSubgroups=function(){if(this.subgroupOrderer!==undefined){var sortArray=[];if(typeof this.subgroupOrderer==\"string\"){for(var subgroup in this.subgroups){sortArray.push({subgroup:subgroup,sortField:this.subgroups[subgroup].items[0].data[this.subgroupOrderer]})}sortArray.sort(function(a,b){return a.sortField-b.sortField})}else if(typeof this.subgroupOrderer==\"function\"){for(var subgroup in this.subgroups){sortArray.push(this.subgroups[subgroup].items[0].data)}sortArray.sort(this.subgroupOrderer)}if(sortArray.length>0){for(var i=0;i<sortArray.length;i++){this.subgroups[sortArray[i].subgroup].index=i}}}};Group.prototype.resetSubgroups=function(){for(var subgroup in this.subgroups){if(this.subgroups.hasOwnProperty(subgroup)){this.subgroups[subgroup].visible=false}}};Group.prototype.remove=function(item){delete this.items[item.id];item.setParent(null);var index=this.visibleItems.indexOf(item);if(index!=-1)this.visibleItems.splice(index,1);if(item.data.subgroup!==undefined){this._removeFromSubgroup(item);this.orderSubgroups()}};Group.prototype._removeFromSubgroup=function(item,subgroupId){subgroupId=subgroupId||item.data.subgroup;if(subgroupId!=undefined){var subgroup=this.subgroups[subgroupId];if(subgroup){var itemIndex=subgroup.items.indexOf(item);if(itemIndex>=0){subgroup.items.splice(itemIndex,1);if(!subgroup.items.length){delete this.subgroups[subgroupId]}else{this._updateSubgroupsSizes()}}}}};Group.prototype.removeFromDataSet=function(item){this.itemSet.removeItem(item.id)};Group.prototype.order=function(){var array=util.toArray(this.items);var startArray=[];var endArray=[];for(var i=0;i<array.length;i++){if(array[i].data.end!==undefined){endArray.push(array[i])}startArray.push(array[i])}this.orderedItems={byStart:startArray,byEnd:endArray};stack.orderByStart(this.orderedItems.byStart);stack.orderByEnd(this.orderedItems.byEnd)};Group.prototype._updateItemsInRange=function(orderedItems,oldVisibleItems,range){var visibleItems=[];var visibleItemsLookup={};var interval=(range.end-range.start)/4;var lowerBound=range.start-interval;var upperBound=range.end+interval;var searchFunction=function searchFunction(value){if(value<lowerBound){return-1}else if(value<=upperBound){return 0}else{return 1}};if(oldVisibleItems.length>0){for(var i=0;i<oldVisibleItems.length;i++){this._checkIfVisibleWithReference(oldVisibleItems[i],visibleItems,visibleItemsLookup,range)}}var initialPosByStart=util.binarySearchCustom(orderedItems.byStart,searchFunction,\"data\",\"start\");this._traceVisible(initialPosByStart,orderedItems.byStart,visibleItems,visibleItemsLookup,function(item){return item.data.start<lowerBound||item.data.start>upperBound});if(this.checkRangedItems==true){this.checkRangedItems=false;for(i=0;i<orderedItems.byEnd.length;i++){this._checkIfVisibleWithReference(orderedItems.byEnd[i],visibleItems,visibleItemsLookup,range)}}else{var initialPosByEnd=util.binarySearchCustom(orderedItems.byEnd,searchFunction,\"data\",\"end\");this._traceVisible(initialPosByEnd,orderedItems.byEnd,visibleItems,visibleItemsLookup,function(item){return item.data.end<lowerBound||item.data.end>upperBound})}for(var i=0;i<visibleItems.length;i++){var item=visibleItems[i];if(!item.displayed)item.show();item.repositionX()}return visibleItems};Group.prototype._traceVisible=function(initialPos,items,visibleItems,visibleItemsLookup,breakCondition){if(initialPos!=-1){for(var i=initialPos;i>=0;i--){var item=items[i];if(breakCondition(item)){break}else{if(visibleItemsLookup[item.id]===undefined){visibleItemsLookup[item.id]=true;visibleItems.push(item)}}}for(var i=initialPos+1;i<items.length;i++){var item=items[i];if(breakCondition(item)){break}else{if(visibleItemsLookup[item.id]===undefined){visibleItemsLookup[item.id]=true;visibleItems.push(item)}}}}};Group.prototype._checkIfVisible=function(item,visibleItems,range){if(item.isVisible(range)){if(!item.displayed)item.show();item.repositionX();visibleItems.push(item)}else{if(item.displayed)item.hide()}};Group.prototype._checkIfVisibleWithReference=function(item,visibleItems,visibleItemsLookup,range){if(item.isVisible(range)){if(visibleItemsLookup[item.id]===undefined){visibleItemsLookup[item.id]=true;visibleItems.push(item)}}else{if(item.displayed)item.hide()}};Group.prototype.changeSubgroup=function(item,oldSubgroup,newSubgroup){this._removeFromSubgroup(item,oldSubgroup);this._addToSubgroup(item,newSubgroup);this.orderSubgroups()};module.exports=Group},function(module,exports){\"use strict\";var EPSILON=.001;exports.orderByStart=function(items){items.sort(function(a,b){return a.data.start-b.data.start})};exports.orderByEnd=function(items){items.sort(function(a,b){var aTime=\"end\"in a.data?a.data.end:a.data.start,bTime=\"end\"in b.data?b.data.end:b.data.start;return aTime-bTime})};exports.stack=function(items,margin,force){if(force){for(var i=0;i<items.length;i++){items[i].top=null}}for(var i=0;i<items.length;i++){var item=items[i];if(item.stack&&item.top===null){item.top=margin.axis;do{var collidingItem=null;for(var j=0,jj=items.length;j<jj;j++){var other=items[j];if(other.top!==null&&other!==item&&other.stack&&exports.collision(item,other,margin.item,other.options.rtl)){collidingItem=other;break}}if(collidingItem!=null){item.top=collidingItem.top+collidingItem.height+margin.item.vertical}}while(collidingItem)}}};exports.nostack=function(items,margin,subgroups,stackSubgroups){for(var i=0;i<items.length;i++){if(items[i].data.subgroup==undefined){items[i].top=margin.item.vertical}else if(items[i].data.subgroup!==undefined&&stackSubgroups){var newTop=0;for(var subgroup in subgroups){if(subgroups.hasOwnProperty(subgroup)){if(subgroups[subgroup].visible==true&&subgroups[subgroup].index<subgroups[items[i].data.subgroup].index){newTop+=subgroups[subgroup].height;subgroups[items[i].data.subgroup].top=newTop}}}items[i].top=newTop+.5*margin.item.vertical}}if(!stackSubgroups){exports.stackSubgroups(items,margin,subgroups)}};exports.stackSubgroups=function(items,margin,subgroups){for(var subgroup in subgroups){if(subgroups.hasOwnProperty(subgroup)){subgroups[subgroup].top=0;do{var collidingItem=null;for(var otherSubgroup in subgroups){if(subgroups[otherSubgroup].top!==null&&otherSubgroup!==subgroup&&subgroups[subgroup].index>subgroups[otherSubgroup].index&&exports.collisionByTimes(subgroups[subgroup],subgroups[otherSubgroup])){collidingItem=subgroups[otherSubgroup];break}}if(collidingItem!=null){subgroups[subgroup].top=collidingItem.top+collidingItem.height}}while(collidingItem)}}for(var i=0;i<items.length;i++){if(items[i].data.subgroup!==undefined){items[i].top=subgroups[items[i].data.subgroup].top+.5*margin.item.vertical}}};exports.collision=function(a,b,margin,rtl){if(rtl){return a.right-margin.horizontal+EPSILON<b.right+b.width&&a.right+a.width+margin.horizontal-EPSILON>b.right&&a.top-margin.vertical+EPSILON<b.top+b.height&&a.top+a.height+margin.vertical-EPSILON>b.top}else{return a.left-margin.horizontal+EPSILON<b.left+b.width&&a.left+a.width+margin.horizontal-EPSILON>b.left&&a.top-margin.vertical+EPSILON<b.top+b.height&&a.top+a.height+margin.vertical-EPSILON>b.top}};exports.collisionByTimes=function(a,b){return a.start<=b.start&&a.end>=b.start&&a.top<b.top+b.height&&a.top+a.height>b.top||b.start<=a.start&&b.end>=a.start&&b.top<a.top+a.height&&b.top+b.height>a.top}},function(module,exports,__webpack_require__){\"use strict\";var Hammer=__webpack_require__(112);var Item=__webpack_require__(137);function RangeItem(data,conversion,options){this.props={content:{width:0}};this.overflow=false;this.options=options;if(data){if(data.start==undefined){throw new Error('Property \"start\" missing in item '+data.id)}if(data.end==undefined){throw new Error('Property \"end\" missing in item '+data.id)}}Item.call(this,data,conversion,options)}RangeItem.prototype=new Item(null,null,null);RangeItem.prototype.baseClassName=\"vis-item vis-range\";RangeItem.prototype.isVisible=function(range){return this.data.start<range.end&&this.data.end>range.start};RangeItem.prototype.redraw=function(){var dom=this.dom;if(!dom){this.dom={};dom=this.dom;dom.box=document.createElement(\"div\");dom.frame=document.createElement(\"div\");dom.frame.className=\"vis-item-overflow\";dom.box.appendChild(dom.frame);dom.visibleFrame=document.createElement(\"div\");dom.visibleFrame.className=\"vis-item-visible-frame\";dom.box.appendChild(dom.visibleFrame);dom.content=document.createElement(\"div\");dom.content.className=\"vis-item-content\";dom.frame.appendChild(dom.content);dom.box[\"timeline-item\"]=this;this.dirty=true}if(!this.parent){throw new Error(\"Cannot redraw item: no parent attached\")}if(!dom.box.parentNode){var foreground=this.parent.dom.foreground;if(!foreground){throw new Error(\"Cannot redraw item: parent has no foreground container element\")}foreground.appendChild(dom.box)}this.displayed=true;if(this.dirty){this._updateContents(this.dom.content);this._updateDataAttributes(this.dom.box);this._updateStyle(this.dom.box);var editable=this.editable.updateTime||this.editable.updateGroup;var className=(this.data.className?\" \"+this.data.className:\"\")+(this.selected?\" vis-selected\":\"\")+(editable?\" vis-editable\":\" vis-readonly\");dom.box.className=this.baseClassName+className;this.overflow=window.getComputedStyle(dom.frame).overflow!==\"hidden\";this.dom.content.style.maxWidth=\"none\";this.props.content.width=this.dom.content.offsetWidth;this.height=this.dom.box.offsetHeight;this.dom.content.style.maxWidth=\"\";this.dirty=false}this._repaintOnItemUpdateTimeTooltip(dom.box);this._repaintDeleteButton(dom.box);this._repaintDragCenter();this._repaintDragLeft();this._repaintDragRight()};RangeItem.prototype.show=function(){if(!this.displayed){this.redraw()}};RangeItem.prototype.hide=function(){if(this.displayed){var box=this.dom.box;if(box.parentNode){box.parentNode.removeChild(box)}this.displayed=false}};RangeItem.prototype.repositionX=function(limitSize){var parentWidth=this.parent.width;var start=this.conversion.toScreen(this.data.start);var end=this.conversion.toScreen(this.data.end);var contentStartPosition;var contentWidth;if(limitSize===undefined||limitSize===true){if(start<-parentWidth){start=-parentWidth}if(end>2*parentWidth){end=2*parentWidth}}var boxWidth=Math.max(end-start+.5,1);if(this.overflow){if(this.options.rtl){this.right=start}else{this.left=start}this.width=boxWidth+this.props.content.width;contentWidth=this.props.content.width}else{if(this.options.rtl){this.right=start}else{this.left=start}this.width=boxWidth;contentWidth=Math.min(end-start,this.props.content.width)}if(this.options.rtl){this.dom.box.style.right=this.right+\"px\"}else{this.dom.box.style.left=this.left+\"px\"}this.dom.box.style.width=boxWidth+\"px\";switch(this.options.align){case\"left\":if(this.options.rtl){this.dom.content.style.right=\"0\"}else{this.dom.content.style.left=\"0\"}break;case\"right\":if(this.options.rtl){this.dom.content.style.right=Math.max(boxWidth-contentWidth,0)+\"px\"}else{this.dom.content.style.left=Math.max(boxWidth-contentWidth,0)+\"px\"}break;case\"center\":if(this.options.rtl){this.dom.content.style.right=Math.max((boxWidth-contentWidth)/2,0)+\"px\"}else{this.dom.content.style.left=Math.max((boxWidth-contentWidth)/2,0)+\"px\"}break;default:if(this.overflow){if(end>0){contentStartPosition=Math.max(-start,0)}else{contentStartPosition=-contentWidth}}else{if(start<0){contentStartPosition=-start}else{contentStartPosition=0}}if(this.options.rtl){this.dom.content.style.right=contentStartPosition+\"px\"}else{this.dom.content.style.left=contentStartPosition+\"px\";this.dom.content.style.width=\"calc(100% - \"+contentStartPosition+\"px)\"}}};RangeItem.prototype.repositionY=function(){var orientation=this.options.orientation.item;var box=this.dom.box;if(orientation==\"top\"){box.style.top=this.top+\"px\"}else{box.style.top=this.parent.height-this.top-this.height+\"px\"}};RangeItem.prototype._repaintDragLeft=function(){if(this.selected&&this.options.editable.updateTime&&!this.dom.dragLeft){var dragLeft=document.createElement(\"div\");dragLeft.className=\"vis-drag-left\";dragLeft.dragLeftItem=this;this.dom.box.appendChild(dragLeft);this.dom.dragLeft=dragLeft}else if(!this.selected&&this.dom.dragLeft){if(this.dom.dragLeft.parentNode){this.dom.dragLeft.parentNode.removeChild(this.dom.dragLeft)}this.dom.dragLeft=null}};RangeItem.prototype._repaintDragRight=function(){if(this.selected&&this.options.editable.updateTime&&!this.dom.dragRight){var dragRight=document.createElement(\"div\");dragRight.className=\"vis-drag-right\";dragRight.dragRightItem=this;this.dom.box.appendChild(dragRight);this.dom.dragRight=dragRight}else if(!this.selected&&this.dom.dragRight){if(this.dom.dragRight.parentNode){this.dom.dragRight.parentNode.removeChild(this.dom.dragRight)}this.dom.dragRight=null}};module.exports=RangeItem},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Hammer=__webpack_require__(112);var util=__webpack_require__(1);var moment=__webpack_require__(82);function Item(data,conversion,options){this.id=null;this.parent=null;this.data=data;this.dom=null;this.conversion=conversion||{};this.options=options||{};this.selected=false;this.displayed=false;this.groupShowing=true;this.dirty=true;this.top=null;this.right=null;this.left=null;this.width=null;this.height=null;this.editable=null;this._updateEditStatus()}Item.prototype.stack=true;Item.prototype.select=function(){this.selected=true;this.dirty=true;if(this.displayed)this.redraw()};Item.prototype.unselect=function(){this.selected=false;this.dirty=true;if(this.displayed)this.redraw()};Item.prototype.setData=function(data){var groupChanged=data.group!=undefined&&this.data.group!=data.group;if(groupChanged){this.parent.itemSet._moveToGroup(this,data.group)}this.data=data;this._updateEditStatus();this.dirty=true;if(this.displayed)this.redraw()};Item.prototype.setParent=function(parent){if(this.displayed){this.hide();this.parent=parent;if(this.parent){this.show()}}else{this.parent=parent}};Item.prototype.isVisible=function(range){return false};Item.prototype.show=function(){return false};Item.prototype.hide=function(){return false};Item.prototype.redraw=function(){};Item.prototype.repositionX=function(){};Item.prototype.repositionY=function(){};Item.prototype._repaintDragCenter=function(){if(this.selected&&this.options.editable.updateTime&&!this.dom.dragCenter){var me=this;var dragCenter=document.createElement(\"div\");dragCenter.className=\"vis-drag-center\";dragCenter.dragCenterItem=this;new Hammer(dragCenter).on(\"doubletap\",function(event){event.stopPropagation();me.parent.itemSet._onUpdateItem(me)});if(this.dom.box){this.dom.box.appendChild(dragCenter)}else if(this.dom.point){this.dom.point.appendChild(dragCenter)}this.dom.dragCenter=dragCenter}else if(!this.selected&&this.dom.dragCenter){if(this.dom.dragCenter.parentNode){this.dom.dragCenter.parentNode.removeChild(this.dom.dragCenter)}this.dom.dragCenter=null}};Item.prototype._repaintDeleteButton=function(anchor){var editable=(this.options.editable.overrideItems||this.editable==null)&&this.options.editable.remove||!this.options.editable.overrideItems&&this.editable!=null&&this.editable.remove;if(this.selected&&editable&&!this.dom.deleteButton){var me=this;var deleteButton=document.createElement(\"div\");if(this.options.rtl){deleteButton.className=\"vis-delete-rtl\"}else{deleteButton.className=\"vis-delete\"}deleteButton.title=\"Delete this item\";new Hammer(deleteButton).on(\"tap\",function(event){event.stopPropagation();me.parent.removeFromDataSet(me)});anchor.appendChild(deleteButton);this.dom.deleteButton=deleteButton}else if(!this.selected&&this.dom.deleteButton){if(this.dom.deleteButton.parentNode){this.dom.deleteButton.parentNode.removeChild(this.dom.deleteButton)}this.dom.deleteButton=null}};Item.prototype._repaintOnItemUpdateTimeTooltip=function(anchor){if(!this.options.tooltipOnItemUpdateTime)return;var editable=(this.options.editable.updateTime||this.data.editable===true)&&this.data.editable!==false;if(this.selected&&editable&&!this.dom.onItemUpdateTimeTooltip){var me=this;var onItemUpdateTimeTooltip=document.createElement(\"div\");onItemUpdateTimeTooltip.className=\"vis-onUpdateTime-tooltip\";anchor.appendChild(onItemUpdateTimeTooltip);this.dom.onItemUpdateTimeTooltip=onItemUpdateTimeTooltip}else if(!this.selected&&this.dom.onItemUpdateTimeTooltip){if(this.dom.onItemUpdateTimeTooltip.parentNode){this.dom.onItemUpdateTimeTooltip.parentNode.removeChild(this.dom.onItemUpdateTimeTooltip)}this.dom.onItemUpdateTimeTooltip=null}if(this.dom.onItemUpdateTimeTooltip){this.dom.onItemUpdateTimeTooltip.style.visibility=this.parent.itemSet.touchParams.itemIsDragging?\"visible\":\"hidden\";if(this.options.rtl){this.dom.onItemUpdateTimeTooltip.style.right=this.dom.content.style.right}else{this.dom.onItemUpdateTimeTooltip.style.left=this.dom.content.style.left}var tooltipOffset=50;var scrollTop=this.parent.itemSet.body.domProps.scrollTop;var itemDistanceFromTop;if(this.options.orientation.item==\"top\"){itemDistanceFromTop=this.top}else{itemDistanceFromTop=this.parent.height-this.top-this.height}var isCloseToTop=itemDistanceFromTop+this.parent.top-tooltipOffset<-scrollTop;if(isCloseToTop){this.dom.onItemUpdateTimeTooltip.style.bottom=\"\";this.dom.onItemUpdateTimeTooltip.style.top=this.height+2+\"px\"}else{this.dom.onItemUpdateTimeTooltip.style.top=\"\";this.dom.onItemUpdateTimeTooltip.style.bottom=this.height+2+\"px\"}var content;var templateFunction;if(this.options.tooltipOnItemUpdateTime&&this.options.tooltipOnItemUpdateTime.template){templateFunction=this.options.tooltipOnItemUpdateTime.template.bind(this);content=templateFunction(this.data)}else{content=\"start: \"+moment(this.data.start).format(\"MM/DD/YYYY hh:mm\");if(this.data.end){content+=\"<br> end: \"+moment(this.data.end).format(\"MM/DD/YYYY hh:mm\")}}this.dom.onItemUpdateTimeTooltip.innerHTML=content}};Item.prototype._updateContents=function(element){var content;var templateFunction;var itemVisibleFrameContent;var visibleFrameTemplateFunction;var itemData=this.parent.itemSet.itemsData.get(this.id);var frameElement=this.dom.box||this.dom.point;var itemVisibleFrameContentElement=frameElement.getElementsByClassName(\"vis-item-visible-frame\")[0];if(this.options.visibleFrameTemplate){visibleFrameTemplateFunction=this.options.visibleFrameTemplate.bind(this);itemVisibleFrameContent=visibleFrameTemplateFunction(itemData,frameElement)}else{itemVisibleFrameContent=\"\"}if(itemVisibleFrameContentElement){if(itemVisibleFrameContent instanceof Object&&!(itemVisibleFrameContent instanceof Element)){visibleFrameTemplateFunction(itemData,itemVisibleFrameContentElement)}else{var changed=this._contentToString(this.itemVisibleFrameContent)!==this._contentToString(itemVisibleFrameContent);if(changed){if(itemVisibleFrameContent instanceof Element){itemVisibleFrameContentElement.innerHTML=\"\";itemVisibleFrameContentElement.appendChild(itemVisibleFrameContent)}else if(itemVisibleFrameContent!=undefined){itemVisibleFrameContentElement.innerHTML=itemVisibleFrameContent}else{if(!(this.data.type==\"background\"&&this.data.content===undefined)){throw new Error('Property \"content\" missing in item '+this.id)}}this.itemVisibleFrameContent=itemVisibleFrameContent}}}if(this.options.template){templateFunction=this.options.template.bind(this);content=templateFunction(itemData,element,this.data)}else{content=this.data.content}if(content instanceof Object&&!(content instanceof Element)){templateFunction(itemData,element)}else{var changed=this._contentToString(this.content)!==this._contentToString(content);if(changed){if(content instanceof Element){element.innerHTML=\"\";element.appendChild(content)}else if(content!=undefined){element.innerHTML=content}else{if(!(this.data.type==\"background\"&&this.data.content===undefined)){throw new Error('Property \"content\" missing in item '+this.id)}}this.content=content}}};Item.prototype._updateDataAttributes=function(element){if(this.options.dataAttributes&&this.options.dataAttributes.length>0){var attributes=[];if(Array.isArray(this.options.dataAttributes)){attributes=this.options.dataAttributes}else if(this.options.dataAttributes==\"all\"){attributes=(0,_keys2[\"default\"])(this.data)}else{return}for(var i=0;i<attributes.length;i++){var name=attributes[i];var value=this.data[name];if(value!=null){element.setAttribute(\"data-\"+name,value)}else{element.removeAttribute(\"data-\"+name)}}}};Item.prototype._updateStyle=function(element){if(this.style){util.removeCssText(element,this.style);this.style=null}if(this.data.style){util.addCssText(element,this.data.style);this.style=this.data.style}};Item.prototype._contentToString=function(content){if(typeof content===\"string\")return content;if(content&&\"outerHTML\"in content)return content.outerHTML;return content};Item.prototype._updateEditStatus=function(){if(this.options){if(typeof this.options.editable===\"boolean\"){this.editable={updateTime:this.options.editable,updateGroup:this.options.editable,remove:this.options.editable}}else if((0,_typeof3[\"default\"])(this.options.editable)===\"object\"){this.editable={};util.selectiveExtend([\"updateTime\",\"updateGroup\",\"remove\"],this.editable,this.options.editable)}}if(!this.options||!this.options.editable||this.options.editable.overrideItems!==true){if(this.data){if(typeof this.data.editable===\"boolean\"){this.editable={updateTime:this.data.editable,updateGroup:this.data.editable,remove:this.data.editable}}else if((0,_typeof3[\"default\"])(this.data.editable)===\"object\"){this.editable={};util.selectiveExtend([\"updateTime\",\"updateGroup\",\"remove\"],this.editable,this.data.editable)}}}};Item.prototype.getWidthLeft=function(){return 0};Item.prototype.getWidthRight=function(){return 0};Item.prototype.getTitle=function(){return this.data.title};module.exports=Item},function(module,exports,__webpack_require__){\"use strict\";var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Group=__webpack_require__(134);function BackgroundGroup(groupId,data,itemSet){Group.call(this,groupId,data,itemSet);this.width=0;this.height=0;this.top=0;this.left=0}BackgroundGroup.prototype=(0,_create2[\"default\"])(Group.prototype);BackgroundGroup.prototype.redraw=function(range,margin,restack){var resized=false;this.visibleItems=this._updateItemsInRange(this.orderedItems,this.visibleItems,range);this.width=this.dom.background.offsetWidth;this.dom.background.style.height=\"0\";for(var i=0,ii=this.visibleItems.length;i<ii;i++){var item=this.visibleItems[i];item.repositionY(margin)}return resized};BackgroundGroup.prototype.show=function(){if(!this.dom.background.parentNode){this.itemSet.dom.background.appendChild(this.dom.background)}};module.exports=BackgroundGroup},function(module,exports,__webpack_require__){\"use strict\";var Item=__webpack_require__(137);var util=__webpack_require__(1);function BoxItem(data,conversion,options){this.props={dot:{width:0,height:0},line:{width:0,height:0}};this.options=options;if(data){if(data.start==undefined){throw new Error('Property \"start\" missing in item '+data)}}Item.call(this,data,conversion,options)}BoxItem.prototype=new Item(null,null,null);BoxItem.prototype.isVisible=function(range){var isVisible;var align=this.options.align;var widthInMs=this.width*range.getMillisecondsPerPixel();if(align==\"right\"){isVisible=this.data.start.getTime()>range.start&&this.data.start.getTime()-widthInMs<range.end}else if(align==\"left\"){isVisible=this.data.start.getTime()+widthInMs>range.start&&this.data.start.getTime()<range.end}else{isVisible=this.data.start.getTime()+widthInMs/2>range.start&&this.data.start.getTime()-widthInMs/2<range.end}return isVisible};BoxItem.prototype.redraw=function(){var dom=this.dom;if(!dom){this.dom={};dom=this.dom;dom.box=document.createElement(\"DIV\");dom.content=document.createElement(\"DIV\");dom.content.className=\"vis-item-content\";dom.box.appendChild(dom.content);dom.line=document.createElement(\"DIV\");dom.line.className=\"vis-line\";dom.dot=document.createElement(\"DIV\");dom.dot.className=\"vis-dot\";dom.box[\"timeline-item\"]=this;this.dirty=true}if(!this.parent){throw new Error(\"Cannot redraw item: no parent attached\")}if(!dom.box.parentNode){var foreground=this.parent.dom.foreground;if(!foreground)throw new Error(\"Cannot redraw item: parent has no foreground container element\");foreground.appendChild(dom.box)}if(!dom.line.parentNode){var background=this.parent.dom.background;if(!background)throw new Error(\"Cannot redraw item: parent has no background container element\");background.appendChild(dom.line)}if(!dom.dot.parentNode){var axis=this.parent.dom.axis;if(!background)throw new Error(\"Cannot redraw item: parent has no axis container element\");axis.appendChild(dom.dot)}this.displayed=true;if(this.dirty){this._updateContents(this.dom.content);this._updateDataAttributes(this.dom.box);this._updateStyle(this.dom.box);var editable=this.editable.updateTime||this.editable.updateGroup;var className=(this.data.className?\" \"+this.data.className:\"\")+(this.selected?\" vis-selected\":\"\")+(editable?\" vis-editable\":\" vis-readonly\");dom.box.className=\"vis-item vis-box\"+className;dom.line.className=\"vis-item vis-line\"+className;dom.dot.className=\"vis-item vis-dot\"+className;var previousRight=dom.box.style.right;var previousLeft=dom.box.style.left;if(this.options.rtl){dom.box.style.right=\"0px\"}else{dom.box.style.left=\"0px\"}this.props.dot.height=dom.dot.offsetHeight;this.props.dot.width=dom.dot.offsetWidth;this.props.line.width=dom.line.offsetWidth;this.width=dom.box.offsetWidth;this.height=dom.box.offsetHeight;if(this.options.rtl){dom.box.style.right=previousRight}else{dom.box.style.left=previousLeft}this.dirty=false}this._repaintOnItemUpdateTimeTooltip(dom.box);this._repaintDragCenter();this._repaintDeleteButton(dom.box)};BoxItem.prototype.show=function(){if(!this.displayed){this.redraw()}};BoxItem.prototype.hide=function(){if(this.displayed){var dom=this.dom;if(dom.box.parentNode)dom.box.parentNode.removeChild(dom.box);if(dom.line.parentNode)dom.line.parentNode.removeChild(dom.line);if(dom.dot.parentNode)dom.dot.parentNode.removeChild(dom.dot);this.displayed=false}};BoxItem.prototype.repositionX=function(){var start=this.conversion.toScreen(this.data.start);var align=this.options.align;if(align==\"right\"){if(this.options.rtl){this.right=start-this.width;this.dom.box.style.right=this.right+\"px\";this.dom.line.style.right=start-this.props.line.width+\"px\";this.dom.dot.style.right=start-this.props.line.width/2-this.props.dot.width/2+\"px\"}else{this.left=start-this.width;this.dom.box.style.left=this.left+\"px\";this.dom.line.style.left=start-this.props.line.width+\"px\";this.dom.dot.style.left=start-this.props.line.width/2-this.props.dot.width/2+\"px\"}}else if(align==\"left\"){if(this.options.rtl){this.right=start;this.dom.box.style.right=this.right+\"px\";this.dom.line.style.right=start+\"px\";this.dom.dot.style.right=start+this.props.line.width/2-this.props.dot.width/2+\"px\"}else{this.left=start;this.dom.box.style.left=this.left+\"px\";this.dom.line.style.left=start+\"px\";this.dom.dot.style.left=start+this.props.line.width/2-this.props.dot.width/2+\"px\"}}else{if(this.options.rtl){this.right=start-this.width/2;this.dom.box.style.right=this.right+\"px\";this.dom.line.style.right=start-this.props.line.width+\"px\";this.dom.dot.style.right=start-this.props.dot.width/2+\"px\"}else{this.left=start-this.width/2;this.dom.box.style.left=this.left+\"px\";this.dom.line.style.left=start-this.props.line.width/2+\"px\";this.dom.dot.style.left=start-this.props.dot.width/2+\"px\"}}};BoxItem.prototype.repositionY=function(){var orientation=this.options.orientation.item;var box=this.dom.box;var line=this.dom.line;var dot=this.dom.dot;if(orientation==\"top\"){box.style.top=(this.top||0)+\"px\";line.style.top=\"0\";line.style.height=this.parent.top+this.top+1+\"px\";line.style.bottom=\"\"}else{var itemSetHeight=this.parent.itemSet.props.height;var lineHeight=itemSetHeight-this.parent.top-this.parent.height+this.top;box.style.top=(this.parent.height-this.top-this.height||0)+\"px\";line.style.top=itemSetHeight-lineHeight+\"px\";line.style.bottom=\"0\"}dot.style.top=-this.props.dot.height/2+\"px\"};BoxItem.prototype.getWidthLeft=function(){return this.width/2};BoxItem.prototype.getWidthRight=function(){return this.width/2};module.exports=BoxItem},function(module,exports,__webpack_require__){\"use strict\";var Item=__webpack_require__(137);function PointItem(data,conversion,options){this.props={dot:{top:0,width:0,height:0},content:{height:0,marginLeft:0,marginRight:0}};this.options=options;if(data){if(data.start==undefined){throw new Error('Property \"start\" missing in item '+data)}}Item.call(this,data,conversion,options)}PointItem.prototype=new Item(null,null,null);PointItem.prototype.isVisible=function(range){var widthInMs=this.width*range.getMillisecondsPerPixel();return this.data.start.getTime()+widthInMs>range.start&&this.data.start<range.end};PointItem.prototype.redraw=function(){var dom=this.dom;if(!dom){this.dom={};dom=this.dom;dom.point=document.createElement(\"div\");dom.content=document.createElement(\"div\");dom.content.className=\"vis-item-content\";dom.point.appendChild(dom.content);dom.dot=document.createElement(\"div\");dom.point.appendChild(dom.dot);dom.point[\"timeline-item\"]=this;this.dirty=true}if(!this.parent){throw new Error(\"Cannot redraw item: no parent attached\")}if(!dom.point.parentNode){var foreground=this.parent.dom.foreground;if(!foreground){throw new Error(\"Cannot redraw item: parent has no foreground container element\")}foreground.appendChild(dom.point)}this.displayed=true;if(this.dirty){this._updateContents(this.dom.content);this._updateDataAttributes(this.dom.point);this._updateStyle(this.dom.point);var editable=this.editable.updateTime||this.editable.updateGroup;var className=(this.data.className?\" \"+this.data.className:\"\")+(this.selected?\" vis-selected\":\"\")+(editable?\" vis-editable\":\" vis-readonly\");dom.point.className=\"vis-item vis-point\"+className;dom.dot.className=\"vis-item vis-dot\"+className;this.props.dot.width=dom.dot.offsetWidth;this.props.dot.height=dom.dot.offsetHeight;this.props.content.height=dom.content.offsetHeight;if(this.options.rtl){dom.content.style.marginRight=2*this.props.dot.width+\"px\"}else{dom.content.style.marginLeft=2*this.props.dot.width+\"px\"}this.width=dom.point.offsetWidth;this.height=dom.point.offsetHeight;dom.dot.style.top=(this.height-this.props.dot.height)/2+\"px\";if(this.options.rtl){dom.dot.style.right=this.props.dot.width/2+\"px\"}else{dom.dot.style.left=this.props.dot.width/2+\"px\"}this.dirty=false}this._repaintOnItemUpdateTimeTooltip(dom.point);this._repaintDragCenter();this._repaintDeleteButton(dom.point)};PointItem.prototype.show=function(){if(!this.displayed){this.redraw()}};PointItem.prototype.hide=function(){if(this.displayed){if(this.dom.point.parentNode){this.dom.point.parentNode.removeChild(this.dom.point)}this.displayed=false}};PointItem.prototype.repositionX=function(){var start=this.conversion.toScreen(this.data.start);if(this.options.rtl){this.right=start-this.props.dot.width;this.dom.point.style.right=this.right+\"px\"}else{this.left=start-this.props.dot.width;this.dom.point.style.left=this.left+\"px\"}};PointItem.prototype.repositionY=function(){var orientation=this.options.orientation.item;var point=this.dom.point;if(orientation==\"top\"){point.style.top=this.top+\"px\"}else{point.style.top=this.parent.height-this.top-this.height+\"px\"}};PointItem.prototype.getWidthLeft=function(){return this.props.dot.width};PointItem.prototype.getWidthRight=function(){return this.props.dot.width};module.exports=PointItem},function(module,exports,__webpack_require__){\"use strict\";var Hammer=__webpack_require__(112);var Item=__webpack_require__(137);var BackgroundGroup=__webpack_require__(138);var RangeItem=__webpack_require__(136);function BackgroundItem(data,conversion,options){this.props={content:{width:0}};this.overflow=false;if(data){if(data.start==undefined){throw new Error('Property \"start\" missing in item '+data.id)}if(data.end==undefined){throw new Error('Property \"end\" missing in item '+data.id)}}Item.call(this,data,conversion,options)}BackgroundItem.prototype=new Item(null,null,null);BackgroundItem.prototype.baseClassName=\"vis-item vis-background\";BackgroundItem.prototype.stack=false;BackgroundItem.prototype.isVisible=function(range){return this.data.start<range.end&&this.data.end>range.start};BackgroundItem.prototype.redraw=function(){var dom=this.dom;if(!dom){this.dom={};dom=this.dom;dom.box=document.createElement(\"div\");dom.frame=document.createElement(\"div\");dom.frame.className=\"vis-item-overflow\";dom.box.appendChild(dom.frame);dom.content=document.createElement(\"div\");dom.content.className=\"vis-item-content\";dom.frame.appendChild(dom.content);this.dirty=true}if(!this.parent){throw new Error(\"Cannot redraw item: no parent attached\")}if(!dom.box.parentNode){var background=this.parent.dom.background;if(!background){throw new Error(\"Cannot redraw item: parent has no background container element\")}background.appendChild(dom.box)}this.displayed=true;if(this.dirty){this._updateContents(this.dom.content);this._updateDataAttributes(this.dom.content);this._updateStyle(this.dom.box);var className=(this.data.className?\" \"+this.data.className:\"\")+(this.selected?\" vis-selected\":\"\");dom.box.className=this.baseClassName+className;this.overflow=window.getComputedStyle(dom.content).overflow!==\"hidden\";this.props.content.width=this.dom.content.offsetWidth;this.height=0;this.dirty=false}};BackgroundItem.prototype.show=RangeItem.prototype.show;BackgroundItem.prototype.hide=RangeItem.prototype.hide;BackgroundItem.prototype.repositionX=RangeItem.prototype.repositionX;BackgroundItem.prototype.repositionY=function(margin){var height;var orientation=this.options.orientation.item;if(this.data.subgroup!==undefined){var itemSubgroup=this.data.subgroup;var subgroups=this.parent.subgroups;var subgroupIndex=subgroups[itemSubgroup].index;this.dom.box.style.height=this.parent.subgroups[itemSubgroup].height+\"px\";if(orientation==\"top\"){this.dom.box.style.top=this.parent.top+this.parent.subgroups[itemSubgroup].top+\"px\"}else{this.dom.box.style.top=this.parent.top+this.parent.height-this.parent.subgroups[itemSubgroup].top-this.parent.subgroups[itemSubgroup].height+\"px\"}this.dom.box.style.bottom=\"\"}else{if(this.parent instanceof BackgroundGroup){height=Math.max(this.parent.height,this.parent.itemSet.body.domProps.center.height,this.parent.itemSet.body.domProps.centerContainer.height);this.dom.box.style.bottom=orientation==\"bottom\"?\"0\":\"\";this.dom.box.style.top=orientation==\"top\"?\"0\":\"\"}else{height=this.parent.height;this.dom.box.style.top=this.parent.top+\"px\";this.dom.box.style.bottom=\"\"}}this.dom.box.style.height=height+\"px\"};module.exports=BackgroundItem},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Component=__webpack_require__(128);var TimeStep=__webpack_require__(133);var DateUtil=__webpack_require__(129);var moment=__webpack_require__(82);function TimeAxis(body,options){this.dom={foreground:null,lines:[],majorTexts:[],minorTexts:[],redundant:{lines:[],majorTexts:[],minorTexts:[]}};this.props={range:{start:0,end:0,minimumStep:0},lineTop:0};this.defaultOptions={orientation:{axis:\"bottom\"},showMinorLabels:true,showMajorLabels:true,maxMinorChars:7,format:TimeStep.FORMAT,moment:moment,timeAxis:null};this.options=util.extend({},this.defaultOptions);this.body=body;this._create();this.setOptions(options)}TimeAxis.prototype=new Component;TimeAxis.prototype.setOptions=function(options){if(options){util.selectiveExtend([\"showMinorLabels\",\"showMajorLabels\",\"maxMinorChars\",\"hiddenDates\",\"timeAxis\",\"moment\",\"rtl\"],this.options,options);util.selectiveDeepExtend([\"format\"],this.options,options);if(\"orientation\"in options){if(typeof options.orientation===\"string\"){this.options.orientation.axis=options.orientation}else if((0,_typeof3[\"default\"])(options.orientation)===\"object\"&&\"axis\"in options.orientation){this.options.orientation.axis=options.orientation.axis}}if(\"locale\"in options){if(typeof moment.locale===\"function\"){moment.locale(options.locale)}else{moment.lang(options.locale)}}}};TimeAxis.prototype._create=function(){this.dom.foreground=document.createElement(\"div\");this.dom.background=document.createElement(\"div\");this.dom.foreground.className=\"vis-time-axis vis-foreground\";this.dom.background.className=\"vis-time-axis vis-background\"};TimeAxis.prototype.destroy=function(){if(this.dom.foreground.parentNode){this.dom.foreground.parentNode.removeChild(this.dom.foreground)}if(this.dom.background.parentNode){this.dom.background.parentNode.removeChild(this.dom.background)}this.body=null};TimeAxis.prototype.redraw=function(){var props=this.props;var foreground=this.dom.foreground;var background=this.dom.background;var parent=this.options.orientation.axis==\"top\"?this.body.dom.top:this.body.dom.bottom;var parentChanged=foreground.parentNode!==parent;this._calculateCharSize();var showMinorLabels=this.options.showMinorLabels&&this.options.orientation.axis!==\"none\";var showMajorLabels=this.options.showMajorLabels&&this.options.orientation.axis!==\"none\";props.minorLabelHeight=showMinorLabels?props.minorCharHeight:0;props.majorLabelHeight=showMajorLabels?props.majorCharHeight:0;props.height=props.minorLabelHeight+props.majorLabelHeight;props.width=foreground.offsetWidth;props.minorLineHeight=this.body.domProps.root.height-props.majorLabelHeight-(this.options.orientation.axis==\"top\"?this.body.domProps.bottom.height:this.body.domProps.top.height);props.minorLineWidth=1;props.majorLineHeight=props.minorLineHeight+props.majorLabelHeight;props.majorLineWidth=1;var foregroundNextSibling=foreground.nextSibling;var backgroundNextSibling=background.nextSibling;foreground.parentNode&&foreground.parentNode.removeChild(foreground);background.parentNode&&background.parentNode.removeChild(background);foreground.style.height=this.props.height+\"px\";this._repaintLabels();if(foregroundNextSibling){parent.insertBefore(foreground,foregroundNextSibling)}else{parent.appendChild(foreground)}if(backgroundNextSibling){this.body.dom.backgroundVertical.insertBefore(background,backgroundNextSibling)}else{this.body.dom.backgroundVertical.appendChild(background)}return this._isResized()||parentChanged};TimeAxis.prototype._repaintLabels=function(){var orientation=this.options.orientation.axis;var start=util.convert(this.body.range.start,\"Number\");var end=util.convert(this.body.range.end,\"Number\");var timeLabelsize=this.body.util.toTime((this.props.minorCharWidth||10)*this.options.maxMinorChars).valueOf();var minimumStep=timeLabelsize-DateUtil.getHiddenDurationBefore(this.options.moment,this.body.hiddenDates,this.body.range,timeLabelsize);minimumStep-=this.body.util.toTime(0).valueOf();var step=new TimeStep(new Date(start),new Date(end),minimumStep,this.body.hiddenDates);step.setMoment(this.options.moment);if(this.options.format){step.setFormat(this.options.format)}if(this.options.timeAxis){step.setScale(this.options.timeAxis)}this.step=step;var dom=this.dom;dom.redundant.lines=dom.lines;dom.redundant.majorTexts=dom.majorTexts;dom.redundant.minorTexts=dom.minorTexts;dom.lines=[];dom.majorTexts=[];dom.minorTexts=[];var current;var next;var x;var xNext;var isMajor,nextIsMajor;var width=0,prevWidth;var line;var labelMinor;var xFirstMajorLabel=undefined;var count=0;var MAX=1e3;var className;step.start();next=step.getCurrent();xNext=this.body.util.toScreen(next);while(step.hasNext()&&count<MAX){count++;isMajor=step.isMajor();className=step.getClassName();labelMinor=step.getLabelMinor();current=next;x=xNext;step.next();next=step.getCurrent();nextIsMajor=step.isMajor();xNext=this.body.util.toScreen(next);prevWidth=width;width=xNext-x;var showMinorGrid=width>=prevWidth*.4;if(this.options.showMinorLabels&&showMinorGrid){var label=this._repaintMinorText(x,labelMinor,orientation,className);label.style.width=width+\"px\"}if(isMajor&&this.options.showMajorLabels){if(x>0){if(xFirstMajorLabel==undefined){xFirstMajorLabel=x}label=this._repaintMajorText(x,step.getLabelMajor(),orientation,className)}line=this._repaintMajorLine(x,width,orientation,className)}else{if(showMinorGrid){line=this._repaintMinorLine(x,width,orientation,className)}else{if(line){line.style.width=parseInt(line.style.width)+width+\"px\"}}}}if(count===MAX&&!warnedForOverflow){console.warn(\"Something is wrong with the Timeline scale. Limited drawing of grid lines to \"+MAX+\" lines.\");warnedForOverflow=true}if(this.options.showMajorLabels){var leftTime=this.body.util.toTime(0),leftText=step.getLabelMajor(leftTime),widthText=leftText.length*(this.props.majorCharWidth||10)+10;if(xFirstMajorLabel==undefined||widthText<xFirstMajorLabel){this._repaintMajorText(0,leftText,orientation,className)}}util.forEach(this.dom.redundant,function(arr){while(arr.length){var elem=arr.pop();if(elem&&elem.parentNode){elem.parentNode.removeChild(elem)}}})};TimeAxis.prototype._repaintMinorText=function(x,text,orientation,className){var label=this.dom.redundant.minorTexts.shift();if(!label){var content=document.createTextNode(\"\");label=document.createElement(\"div\");label.appendChild(content);this.dom.foreground.appendChild(label)}this.dom.minorTexts.push(label);label.innerHTML=text;label.style.top=orientation==\"top\"?this.props.majorLabelHeight+\"px\":\"0\";if(this.options.rtl){label.style.left=\"\";label.style.right=x+\"px\"}else{label.style.left=x+\"px\"}label.className=\"vis-text vis-minor \"+className;return label};TimeAxis.prototype._repaintMajorText=function(x,text,orientation,className){var label=this.dom.redundant.majorTexts.shift();if(!label){var content=document.createElement(\"div\");label=document.createElement(\"div\");label.appendChild(content);this.dom.foreground.appendChild(label)}label.childNodes[0].innerHTML=text;label.className=\"vis-text vis-major \"+className;label.style.top=orientation==\"top\"?\"0\":this.props.minorLabelHeight+\"px\";if(this.options.rtl){label.style.left=\"\";label.style.right=x+\"px\"}else{label.style.left=x+\"px\"}this.dom.majorTexts.push(label);return label};TimeAxis.prototype._repaintMinorLine=function(x,width,orientation,className){var line=this.dom.redundant.lines.shift();if(!line){line=document.createElement(\"div\");this.dom.background.appendChild(line)}this.dom.lines.push(line);var props=this.props;if(orientation==\"top\"){line.style.top=props.majorLabelHeight+\"px\"}else{line.style.top=this.body.domProps.top.height+\"px\"}line.style.height=props.minorLineHeight+\"px\";if(this.options.rtl){line.style.left=\"\";line.style.right=x-props.minorLineWidth/2+\"px\";line.className=\"vis-grid vis-vertical-rtl vis-minor \"+className}else{line.style.left=x-props.minorLineWidth/2+\"px\";line.className=\"vis-grid vis-vertical vis-minor \"+className}line.style.width=width+\"px\";return line};TimeAxis.prototype._repaintMajorLine=function(x,width,orientation,className){var line=this.dom.redundant.lines.shift();if(!line){line=document.createElement(\"div\");this.dom.background.appendChild(line)}this.dom.lines.push(line);var props=this.props;if(orientation==\"top\"){line.style.top=\"0\"}else{line.style.top=this.body.domProps.top.height+\"px\"}if(this.options.rtl){line.style.left=\"\";line.style.right=x-props.majorLineWidth/2+\"px\";line.className=\"vis-grid vis-vertical-rtl vis-major \"+className}else{line.style.left=x-props.majorLineWidth/2+\"px\";line.className=\"vis-grid vis-vertical vis-major \"+className}line.style.height=props.majorLineHeight+\"px\";line.style.width=width+\"px\";return line};TimeAxis.prototype._calculateCharSize=function(){if(!this.dom.measureCharMinor){this.dom.measureCharMinor=document.createElement(\"DIV\");this.dom.measureCharMinor.className=\"vis-text vis-minor vis-measure\";this.dom.measureCharMinor.style.position=\"absolute\";this.dom.measureCharMinor.appendChild(document.createTextNode(\"0\"));this.dom.foreground.appendChild(this.dom.measureCharMinor)}this.props.minorCharHeight=this.dom.measureCharMinor.clientHeight;this.props.minorCharWidth=this.dom.measureCharMinor.clientWidth;if(!this.dom.measureCharMajor){this.dom.measureCharMajor=document.createElement(\"DIV\");this.dom.measureCharMajor.className=\"vis-text vis-major vis-measure\";this.dom.measureCharMajor.style.position=\"absolute\";this.dom.measureCharMajor.appendChild(document.createTextNode(\"0\"));this.dom.foreground.appendChild(this.dom.measureCharMajor)}this.props.majorCharHeight=this.dom.measureCharMajor.clientHeight;this.props.majorCharWidth=this.dom.measureCharMajor.clientWidth};var warnedForOverflow=false;module.exports=TimeAxis},function(module,exports,__webpack_require__){\"use strict\";var keycharm=__webpack_require__(115);var Emitter=__webpack_require__(99);var Hammer=__webpack_require__(112);var util=__webpack_require__(1);function Activator(container){this.active=false;this.dom={container:container};this.dom.overlay=document.createElement(\"div\");this.dom.overlay.className=\"vis-overlay\";this.dom.container.appendChild(this.dom.overlay);this.hammer=Hammer(this.dom.overlay);this.hammer.on(\"tap\",this._onTapOverlay.bind(this));var me=this;var events=[\"tap\",\"doubletap\",\"press\",\"pinch\",\"pan\",\"panstart\",\"panmove\",\"panend\"];events.forEach(function(event){me.hammer.on(event,function(event){event.stopPropagation()})});if(document&&document.body){this.onClick=function(event){if(!_hasParent(event.target,container)){me.deactivate()}};document.body.addEventListener(\"click\",this.onClick)}if(this.keycharm!==undefined){this.keycharm.destroy()}this.keycharm=keycharm();this.escListener=this.deactivate.bind(this)}Emitter(Activator.prototype);Activator.current=null;Activator.prototype.destroy=function(){this.deactivate();this.dom.overlay.parentNode.removeChild(this.dom.overlay);if(this.onClick){document.body.removeEventListener(\"click\",this.onClick)}this.hammer.destroy();this.hammer=null};Activator.prototype.activate=function(){if(Activator.current){Activator.current.deactivate()}Activator.current=this;this.active=true;this.dom.overlay.style.display=\"none\";util.addClassName(this.dom.container,\"vis-active\");this.emit(\"change\");this.emit(\"activate\");this.keycharm.bind(\"esc\",this.escListener)};Activator.prototype.deactivate=function(){this.active=false;this.dom.overlay.style.display=\"\";util.removeClassName(this.dom.container,\"vis-active\");this.keycharm.unbind(\"esc\",this.escListener);this.emit(\"change\");this.emit(\"deactivate\")};Activator.prototype._onTapOverlay=function(event){this.activate();event.stopPropagation()};function _hasParent(element,parent){while(element){if(element===parent){return true}element=element.parentNode}return false}module.exports=Activator},function(module,exports,__webpack_require__){\"use strict\";var Hammer=__webpack_require__(112);var util=__webpack_require__(1);var Component=__webpack_require__(128);var moment=__webpack_require__(82);var locales=__webpack_require__(145);function CustomTime(body,options){this.body=body;this.defaultOptions={moment:moment,locales:locales,locale:\"en\",id:undefined,title:undefined};this.options=util.extend({},this.defaultOptions);if(options&&options.time){this.customTime=options.time}else{this.customTime=new Date}this.eventParams={};this.setOptions(options);this._create()}CustomTime.prototype=new Component;CustomTime.prototype.setOptions=function(options){if(options){util.selectiveExtend([\"moment\",\"locale\",\"locales\",\"id\"],this.options,options)}};CustomTime.prototype._create=function(){var bar=document.createElement(\"div\");bar[\"custom-time\"]=this;bar.className=\"vis-custom-time \"+(this.options.id||\"\");bar.style.position=\"absolute\";bar.style.top=\"0px\";bar.style.height=\"100%\";this.bar=bar;var drag=document.createElement(\"div\");drag.style.position=\"relative\";drag.style.top=\"0px\";drag.style.left=\"-10px\";drag.style.height=\"100%\";drag.style.width=\"20px\";function onMouseWheel(e){this.body.range._onMouseWheel(e)}if(drag.addEventListener){drag.addEventListener(\"mousewheel\",onMouseWheel.bind(this),false);drag.addEventListener(\"DOMMouseScroll\",onMouseWheel.bind(this),false)}else{drag.attachEvent(\"onmousewheel\",onMouseWheel.bind(this))}bar.appendChild(drag);this.hammer=new Hammer(drag);this.hammer.on(\"panstart\",this._onDragStart.bind(this));this.hammer.on(\"panmove\",this._onDrag.bind(this));this.hammer.on(\"panend\",this._onDragEnd.bind(this));this.hammer.get(\"pan\").set({threshold:5,direction:Hammer.DIRECTION_HORIZONTAL})};CustomTime.prototype.destroy=function(){this.hide();this.hammer.destroy();this.hammer=null;this.body=null};CustomTime.prototype.redraw=function(){var parent=this.body.dom.backgroundVertical;if(this.bar.parentNode!=parent){if(this.bar.parentNode){this.bar.parentNode.removeChild(this.bar)}parent.appendChild(this.bar)}var x=this.body.util.toScreen(this.customTime);var locale=this.options.locales[this.options.locale];if(!locale){if(!this.warned){console.log(\"WARNING: options.locales['\"+this.options.locale+\"'] not found. See http://visjs.org/docs/timeline/#Localization\");this.warned=true}locale=this.options.locales[\"en\"]}var title=this.options.title;if(title===undefined){title=locale.time+\": \"+this.options.moment(this.customTime).format(\"dddd, MMMM Do YYYY, H:mm:ss\");title=title.charAt(0).toUpperCase()+title.substring(1)}else if(typeof title===\"function\"){title=title.call(this.customTime)}this.bar.style.left=x+\"px\";this.bar.title=title;return false};CustomTime.prototype.hide=function(){if(this.bar.parentNode){this.bar.parentNode.removeChild(this.bar)}};CustomTime.prototype.setCustomTime=function(time){this.customTime=util.convert(time,\"Date\");this.redraw()};CustomTime.prototype.getCustomTime=function(){return new Date(this.customTime.valueOf())};CustomTime.prototype.setCustomTitle=function(title){this.options.title=title};CustomTime.prototype._onDragStart=function(event){this.eventParams.dragging=true;this.eventParams.customTime=this.customTime;event.stopPropagation()};CustomTime.prototype._onDrag=function(event){if(!this.eventParams.dragging)return;var x=this.body.util.toScreen(this.eventParams.customTime)+event.deltaX;var time=this.body.util.toTime(x);this.setCustomTime(time);this.body.emitter.emit(\"timechange\",{id:this.options.id,time:new Date(this.customTime.valueOf()),event:util.elementsCensor(event)});event.stopPropagation()};CustomTime.prototype._onDragEnd=function(event){if(!this.eventParams.dragging)return;this.body.emitter.emit(\"timechanged\",{id:this.options.id,time:new Date(this.customTime.valueOf()),event:util.elementsCensor(event)});event.stopPropagation()};CustomTime.customTimeFromTarget=function(event){var target=event.target;while(target){if(target.hasOwnProperty(\"custom-time\")){return target[\"custom-time\"]}target=target.parentNode}return null};module.exports=CustomTime},function(module,exports){\"use strict\";exports[\"en\"]={current:\"current\",time:\"time\"};exports[\"en_EN\"]=exports[\"en\"];exports[\"en_US\"]=exports[\"en\"];exports[\"it\"]={current:\"attuale\",time:\"tempo\"};exports[\"it_IT\"]=exports[\"it\"];exports[\"it_CH\"]=exports[\"it\"];exports[\"nl\"]={current:\"huidige\",time:\"tijd\"};exports[\"nl_NL\"]=exports[\"nl\"];exports[\"nl_BE\"]=exports[\"nl\"];exports[\"de\"]={current:\"Aktuelle\",time:\"Zeit\"};exports[\"de_DE\"]=exports[\"de\"];exports[\"fr\"]={current:\"actuel\",time:\"heure\"};exports[\"fr_FR\"]=exports[\"fr\"];exports[\"fr_CA\"]=exports[\"fr\"];exports[\"fr_BE\"]=exports[\"fr\"];exports[\"es\"]={current:\"corriente\",time:\"hora\"};exports[\"es_ES\"]=exports[\"es\"]},function(module,exports,__webpack_require__){\"use strict\";var util=__webpack_require__(1);var Component=__webpack_require__(128);var moment=__webpack_require__(82);var locales=__webpack_require__(145);function CurrentTime(body,options){this.body=body;this.defaultOptions={rtl:false,showCurrentTime:true,moment:moment,locales:locales,locale:\"en\"};this.options=util.extend({},this.defaultOptions);this.offset=0;this._create();this.setOptions(options)}CurrentTime.prototype=new Component;CurrentTime.prototype._create=function(){var bar=document.createElement(\"div\");bar.className=\"vis-current-time\";bar.style.position=\"absolute\";bar.style.top=\"0px\";bar.style.height=\"100%\";this.bar=bar};CurrentTime.prototype.destroy=function(){this.options.showCurrentTime=false;this.redraw();this.body=null};CurrentTime.prototype.setOptions=function(options){if(options){util.selectiveExtend([\"rtl\",\"showCurrentTime\",\"moment\",\"locale\",\"locales\"],this.options,options)}};CurrentTime.prototype.redraw=function(){if(this.options.showCurrentTime){var parent=this.body.dom.backgroundVertical;if(this.bar.parentNode!=parent){if(this.bar.parentNode){this.bar.parentNode.removeChild(this.bar)}parent.appendChild(this.bar);this.start()}var now=this.options.moment((new Date).valueOf()+this.offset);var x=this.body.util.toScreen(now);var locale=this.options.locales[this.options.locale];if(!locale){if(!this.warned){console.log(\"WARNING: options.locales['\"+this.options.locale+\"'] not found. See http://visjs.org/docs/timeline/#Localization\");this.warned=true}locale=this.options.locales[\"en\"]}var title=locale.current+\" \"+locale.time+\": \"+now.format(\"dddd, MMMM Do YYYY, H:mm:ss\");title=title.charAt(0).toUpperCase()+title.substring(1);if(this.options.rtl){this.bar.style.right=x+\"px\"}else{this.bar.style.left=x+\"px\"}this.bar.title=title}else{if(this.bar.parentNode){this.bar.parentNode.removeChild(this.bar)}this.stop()}return false};CurrentTime.prototype.start=function(){var me=this;function update(){me.stop();var scale=me.body.range.conversion(me.body.domProps.center.width).scale;var interval=1/scale/10;if(interval<30)interval=30;if(interval>1e3)interval=1e3;me.redraw();me.body.emitter.emit(\"currentTimeTick\");me.currentTimeTimer=setTimeout(update,interval)}update()};CurrentTime.prototype.stop=function(){if(this.currentTimeTimer!==undefined){clearTimeout(this.currentTimeTimer);delete this.currentTimeTimer}};CurrentTime.prototype.setCurrentTime=function(time){var t=util.convert(time,\"Date\").valueOf();var now=(new Date).valueOf();this.offset=t-now;this.redraw()};CurrentTime.prototype.getCurrentTime=function(){return new Date((new Date).valueOf()+this.offset)};module.exports=CurrentTime},function(module,exports){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var string=\"string\";var bool=\"boolean\";var number=\"number\";var array=\"array\";var date=\"date\";var object=\"object\";var dom=\"dom\";var moment=\"moment\";var any=\"any\";var allOptions={configure:{enabled:{boolean:bool},filter:{boolean:bool,function:\"function\"},container:{dom:dom},__type__:{object:object,boolean:bool,function:\"function\"}},align:{string:string},rtl:{boolean:bool,undefined:\"undefined\"},rollingMode:{boolean:bool,undefined:\"undefined\"},verticalScroll:{boolean:bool,undefined:\"undefined\"},horizontalScroll:{boolean:bool,undefined:\"undefined\"},autoResize:{boolean:bool},throttleRedraw:{number:number},clickToUse:{boolean:bool},dataAttributes:{string:string,array:array},editable:{add:{boolean:bool,undefined:\"undefined\"},remove:{boolean:bool,undefined:\"undefined\"},updateGroup:{boolean:bool,undefined:\"undefined\"},updateTime:{boolean:bool,undefined:\"undefined\"},overrideItems:{boolean:bool,undefined:\"undefined\"},__type__:{boolean:bool,object:object}},end:{number:number,date:date,string:string,moment:moment},format:{minorLabels:{millisecond:{string:string,undefined:\"undefined\"},second:{string:string,undefined:\"undefined\"},minute:{string:string,undefined:\"undefined\"},hour:{string:string,undefined:\"undefined\"},weekday:{string:string,undefined:\"undefined\"},day:{string:string,undefined:\"undefined\"},month:{string:string,undefined:\"undefined\"},year:{string:string,undefined:\"undefined\"},__type__:{object:object,function:\"function\"}},majorLabels:{millisecond:{string:string,undefined:\"undefined\"},second:{string:string,undefined:\"undefined\"},minute:{string:string,undefined:\"undefined\"},hour:{string:string,undefined:\"undefined\"},weekday:{string:string,undefined:\"undefined\"},day:{string:string,undefined:\"undefined\"},month:{string:string,undefined:\"undefined\"},year:{string:string,undefined:\"undefined\"},__type__:{object:object,function:\"function\"}},__type__:{object:object}},moment:{function:\"function\"},groupOrder:{string:string,function:\"function\"},groupEditable:{add:{boolean:bool,undefined:\"undefined\"},remove:{boolean:bool,undefined:\"undefined\"},order:{boolean:bool,undefined:\"undefined\"},__type__:{boolean:bool,object:object}},groupOrderSwap:{function:\"function\"},height:{string:string,number:number},hiddenDates:{start:{date:date,number:number,string:string,moment:moment},end:{date:date,number:number,string:string,moment:moment},repeat:{string:string},__type__:{object:object,array:array}},itemsAlwaysDraggable:{boolean:bool},locale:{string:string},locales:{__any__:{any:any},__type__:{object:object}},margin:{axis:{number:number},item:{horizontal:{number:number,undefined:\"undefined\"},vertical:{number:number,undefined:\"undefined\"},__type__:{object:object,number:number}},__type__:{object:object,number:number}},max:{date:date,number:number,string:string,moment:moment},maxHeight:{number:number,string:string},maxMinorChars:{number:number},min:{date:date,number:number,string:string,moment:moment},minHeight:{number:number,string:string},moveable:{boolean:bool},multiselect:{boolean:bool},multiselectPerGroup:{boolean:bool},onAdd:{function:\"function\"},onUpdate:{function:\"function\"},onMove:{function:\"function\"},onMoving:{function:\"function\"},onRemove:{function:\"function\"},onAddGroup:{function:\"function\"},onMoveGroup:{function:\"function\"},onRemoveGroup:{function:\"function\"},order:{function:\"function\"},orientation:{axis:{string:string,undefined:\"undefined\"},item:{string:string,undefined:\"undefined\"},__type__:{string:string,object:object}},selectable:{boolean:bool},showCurrentTime:{boolean:bool},showMajorLabels:{boolean:bool},showMinorLabels:{boolean:bool},stack:{boolean:bool},stackSubgroups:{boolean:bool},snap:{function:\"function\",null:\"null\"},start:{date:date,number:number,string:string,moment:moment},template:{function:\"function\"},groupTemplate:{function:\"function\"},visibleFrameTemplate:{string:string,function:\"function\"},tooltip:{followMouse:{boolean:bool},overflowMethod:{string:[\"cap\",\"flip\"]},__type__:{object:object}},tooltipOnItemUpdateTime:{template:{function:\"function\"},__type__:{boolean:bool,object:object}},timeAxis:{scale:{string:string,undefined:\"undefined\"},step:{number:number,undefined:\"undefined\"},__type__:{object:object}},type:{string:string},width:{string:string,number:number},zoomable:{boolean:bool},zoomKey:{string:[\"ctrlKey\",\"altKey\",\"metaKey\",\"\"]},zoomMax:{number:number},zoomMin:{number:number},__type__:{object:object}};var configureOptions={global:{align:[\"center\",\"left\",\"right\"],direction:false,autoResize:true,clickToUse:false,editable:{add:false,remove:false,updateGroup:false,updateTime:false},end:\"\",format:{minorLabels:{millisecond:\"SSS\",second:\"s\",minute:\"HH:mm\",hour:\"HH:mm\",weekday:\"ddd D\",day:\"D\",month:\"MMM\",year:\"YYYY\"},majorLabels:{millisecond:\"HH:mm:ss\",second:\"D MMMM HH:mm\",minute:\"ddd D MMMM\",hour:\"ddd D MMMM\",weekday:\"MMMM YYYY\",day:\"MMMM YYYY\",month:\"YYYY\",year:\"\"}},groupsDraggable:false,height:\"\",locale:\"\",margin:{axis:[20,0,100,1],item:{horizontal:[10,0,100,1],vertical:[10,0,100,1]}},max:\"\",maxHeight:\"\",maxMinorChars:[7,0,20,1],min:\"\",minHeight:\"\",moveable:false,multiselect:false,multiselectPerGroup:false,orientation:{axis:[\"both\",\"bottom\",\"top\"],item:[\"bottom\",\"top\"]},selectable:true,showCurrentTime:false,showMajorLabels:true,showMinorLabels:true,stack:true,stackSubgroups:true,start:\"\",tooltip:{followMouse:false,overflowMethod:\"flip\"},tooltipOnItemUpdateTime:false,type:[\"box\",\"point\",\"range\",\"background\"],width:\"100%\",zoomable:true,zoomKey:[\"ctrlKey\",\"altKey\",\"metaKey\",\"\"],zoomMax:[31536e10,10,31536e10,1],zoomMin:[10,10,31536e10,1]}};exports.allOptions=allOptions;exports.configureOptions=configureOptions},function(module,exports,__webpack_require__){\"use strict\";var _Configurator=__webpack_require__(118);var _Configurator2=_interopRequireDefault(_Configurator);var _Validator=__webpack_require__(126);var _Validator2=_interopRequireDefault(_Validator);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Emitter=__webpack_require__(99);var Hammer=__webpack_require__(112);var moment=__webpack_require__(82);var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var Range=__webpack_require__(127);var Core=__webpack_require__(130);var TimeAxis=__webpack_require__(142);var CurrentTime=__webpack_require__(146);var CustomTime=__webpack_require__(144);var LineGraph=__webpack_require__(149);var printStyle=__webpack_require__(126).printStyle;var allOptions=__webpack_require__(157).allOptions;var configureOptions=__webpack_require__(157).configureOptions;function Graph2d(container,items,groups,options){if(!(Array.isArray(groups)||groups instanceof DataSet||groups instanceof DataView)&&groups instanceof Object){var forthArgument=options;options=groups;groups=forthArgument}if(options&&options.throttleRedraw){console.warn('Graph2d option \"throttleRedraw\" is DEPRICATED and no longer supported. It will be removed in the next MAJOR release.')}var me=this;this.defaultOptions={start:null,end:null,autoResize:true,orientation:{axis:\"bottom\",item:\"bottom\"},moment:moment,width:null,height:null,maxHeight:null,minHeight:null};this.options=util.deepExtend({},this.defaultOptions);this._create(container);this.components=[];this.body={dom:this.dom,domProps:this.props,emitter:{on:this.on.bind(this),off:this.off.bind(this),emit:this.emit.bind(this)},hiddenDates:[],util:{toScreen:me._toScreen.bind(me),toGlobalScreen:me._toGlobalScreen.bind(me),toTime:me._toTime.bind(me),toGlobalTime:me._toGlobalTime.bind(me)}};this.range=new Range(this.body);this.components.push(this.range);this.body.range=this.range;this.timeAxis=new TimeAxis(this.body);this.components.push(this.timeAxis);this.currentTime=new CurrentTime(this.body);this.components.push(this.currentTime);this.linegraph=new LineGraph(this.body);this.components.push(this.linegraph);this.itemsData=null;this.groupsData=null;this.on(\"tap\",function(event){me.emit(\"click\",me.getEventProperties(event))});this.on(\"doubletap\",function(event){me.emit(\"doubleClick\",me.getEventProperties(event))});this.dom.root.oncontextmenu=function(event){me.emit(\"contextmenu\",me.getEventProperties(event))};if(options){this.setOptions(options)}if(groups){this.setGroups(groups)}if(items){this.setItems(items)}this._redraw()}Graph2d.prototype=new Core;Graph2d.prototype.setOptions=function(options){var errorFound=_Validator2[\"default\"].validate(options,allOptions);if(errorFound===true){console.log(\"%cErrors have been found in the supplied options object.\",printStyle)}Core.prototype.setOptions.call(this,options)};Graph2d.prototype.setItems=function(items){var initialLoad=this.itemsData==null;var newDataSet;if(!items){newDataSet=null}else if(items instanceof DataSet||items instanceof DataView){newDataSet=items}else{newDataSet=new DataSet(items,{type:{start:\"Date\",end:\"Date\"}})}this.itemsData=newDataSet;this.linegraph&&this.linegraph.setItems(newDataSet);if(initialLoad){if(this.options.start!=undefined||this.options.end!=undefined){var start=this.options.start!=undefined?this.options.start:null;var end=this.options.end!=undefined?this.options.end:null;this.setWindow(start,end,{animation:false})}else{this.fit({animation:false})}}};Graph2d.prototype.setGroups=function(groups){var newDataSet;if(!groups){newDataSet=null}else if(groups instanceof DataSet||groups instanceof DataView){newDataSet=groups}else{newDataSet=new DataSet(groups)}this.groupsData=newDataSet;this.linegraph.setGroups(newDataSet)};Graph2d.prototype.getLegend=function(groupId,width,height){if(width===undefined){width=15}if(height===undefined){height=15}if(this.linegraph.groups[groupId]!==undefined){return this.linegraph.groups[groupId].getLegend(width,height)}else{return\"cannot find group:'\"+groupId+\"'\"}};Graph2d.prototype.isGroupVisible=function(groupId){if(this.linegraph.groups[groupId]!==undefined){return this.linegraph.groups[groupId].visible&&(this.linegraph.options.groups.visibility[groupId]===undefined||this.linegraph.options.groups.visibility[groupId]==true)}else{return false}};Graph2d.prototype.getDataRange=function(){var min=null;var max=null;for(var groupId in this.linegraph.groups){if(this.linegraph.groups.hasOwnProperty(groupId)){if(this.linegraph.groups[groupId].visible==true){for(var i=0;i<this.linegraph.groups[groupId].itemsData.length;i++){var item=this.linegraph.groups[groupId].itemsData[i];var value=util.convert(item.x,\"Date\").valueOf();min=min==null?value:min>value?value:min;max=max==null?value:max<value?value:max}}}}return{min:min!=null?new Date(min):null,max:max!=null?new Date(max):null}};Graph2d.prototype.getEventProperties=function(event){var clientX=event.center?event.center.x:event.clientX;var clientY=event.center?event.center.y:event.clientY;var x=clientX-util.getAbsoluteLeft(this.dom.centerContainer);var y=clientY-util.getAbsoluteTop(this.dom.centerContainer);var time=this._toTime(x);var customTime=CustomTime.customTimeFromTarget(event);var element=util.getTarget(event);var what=null;if(util.hasParent(element,this.timeAxis.dom.foreground)){what=\"axis\"}else if(this.timeAxis2&&util.hasParent(element,this.timeAxis2.dom.foreground)){what=\"axis\"}else if(util.hasParent(element,this.linegraph.yAxisLeft.dom.frame)){what=\"data-axis\"}else if(util.hasParent(element,this.linegraph.yAxisRight.dom.frame)){what=\"data-axis\"}else if(util.hasParent(element,this.linegraph.legendLeft.dom.frame)){what=\"legend\"}else if(util.hasParent(element,this.linegraph.legendRight.dom.frame)){what=\"legend\"}else if(customTime!=null){what=\"custom-time\"}else if(util.hasParent(element,this.currentTime.bar)){what=\"current-time\"}else if(util.hasParent(element,this.dom.center)){what=\"background\"}var value=[];var yAxisLeft=this.linegraph.yAxisLeft;var yAxisRight=this.linegraph.yAxisRight;if(!yAxisLeft.hidden&&this.itemsData.length>0){value.push(yAxisLeft.screenToValue(y))}if(!yAxisRight.hidden&&this.itemsData.length>0){value.push(yAxisRight.screenToValue(y))}return{event:event,what:what,pageX:event.srcEvent?event.srcEvent.pageX:event.pageX,pageY:event.srcEvent?event.srcEvent.pageY:event.pageY,x:x,y:y,time:time,value:value}};Graph2d.prototype._createConfigurator=function(){return new _Configurator2[\"default\"](this,this.dom.container,configureOptions)};module.exports=Graph2d},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DOMutil=__webpack_require__(88);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var Component=__webpack_require__(128);var DataAxis=__webpack_require__(150);var GraphGroup=__webpack_require__(152);var Legend=__webpack_require__(156);var Bars=__webpack_require__(153);var Lines=__webpack_require__(155);var Points=__webpack_require__(154);var UNGROUPED=\"__ungrouped__\";function LineGraph(body,options){this.id=util.randomUUID();this.body=body;this.defaultOptions={yAxisOrientation:\"left\",defaultGroup:\"default\",sort:true,sampling:true,stack:false,graphHeight:\"400px\",shaded:{enabled:false,orientation:\"bottom\"},style:\"line\",barChart:{width:50,sideBySide:false,align:\"center\"},interpolation:{enabled:true,parametrization:\"centripetal\",alpha:.5},drawPoints:{enabled:true,size:6,style:\"square\"},dataAxis:{},legend:{},groups:{visibility:{}}};this.options=util.extend({},this.defaultOptions);this.dom={};this.props={};this.hammer=null;this.groups={};this.abortedGraphUpdate=false;this.updateSVGheight=false;this.updateSVGheightOnResize=false;this.forceGraphUpdate=true;var me=this;this.itemsData=null;this.groupsData=null;this.itemListeners={add:function add(event,params,senderId){me._onAdd(params.items)},update:function update(event,params,senderId){me._onUpdate(params.items)},remove:function remove(event,params,senderId){me._onRemove(params.items)}};this.groupListeners={add:function add(event,params,senderId){me._onAddGroups(params.items)},update:function update(event,params,senderId){me._onUpdateGroups(params.items)},remove:function remove(event,params,senderId){me._onRemoveGroups(params.items)}};this.items={};this.selection=[];this.lastStart=this.body.range.start;this.touchParams={};this.svgElements={};this.setOptions(options);this.groupsUsingDefaultStyles=[0];this.body.emitter.on(\"rangechanged\",function(){me.lastStart=me.body.range.start;me.svg.style.left=util.option.asSize(-me.props.width);me.forceGraphUpdate=true;me.redraw.call(me)});this._create();this.framework={svg:this.svg,svgElements:this.svgElements,options:this.options,groups:this.groups}}LineGraph.prototype=new Component;LineGraph.prototype._create=function(){var frame=document.createElement(\"div\");frame.className=\"vis-line-graph\";this.dom.frame=frame;this.svg=document.createElementNS(\"http://www.w3.org/2000/svg\",\"svg\");this.svg.style.position=\"relative\";this.svg.style.height=(\"\"+this.options.graphHeight).replace(\"px\",\"\")+\"px\";this.svg.style.display=\"block\";frame.appendChild(this.svg);this.options.dataAxis.orientation=\"left\";this.yAxisLeft=new DataAxis(this.body,this.options.dataAxis,this.svg,this.options.groups);this.options.dataAxis.orientation=\"right\";this.yAxisRight=new DataAxis(this.body,this.options.dataAxis,this.svg,this.options.groups);delete this.options.dataAxis.orientation;this.legendLeft=new Legend(this.body,this.options.legend,\"left\",this.options.groups);this.legendRight=new Legend(this.body,this.options.legend,\"right\",this.options.groups);this.show()};LineGraph.prototype.setOptions=function(options){if(options){var fields=[\"sampling\",\"defaultGroup\",\"stack\",\"height\",\"graphHeight\",\"yAxisOrientation\",\"style\",\"barChart\",\"dataAxis\",\"sort\",\"groups\"];if(options.graphHeight===undefined&&options.height!==undefined){this.updateSVGheight=true;this.updateSVGheightOnResize=true}else if(this.body.domProps.centerContainer.height!==undefined&&options.graphHeight!==undefined){if(parseInt((options.graphHeight+\"\").replace(\"px\",\"\"))<this.body.domProps.centerContainer.height){this.updateSVGheight=true}}util.selectiveDeepExtend(fields,this.options,options);util.mergeOptions(this.options,options,\"interpolation\");util.mergeOptions(this.options,options,\"drawPoints\");util.mergeOptions(this.options,options,\"shaded\");util.mergeOptions(this.options,options,\"legend\");if(options.interpolation){if((0,_typeof3[\"default\"])(options.interpolation)==\"object\"){if(options.interpolation.parametrization){if(options.interpolation.parametrization==\"uniform\"){this.options.interpolation.alpha=0}else if(options.interpolation.parametrization==\"chordal\"){this.options.interpolation.alpha=1}else{this.options.interpolation.parametrization=\"centripetal\";this.options.interpolation.alpha=.5}}}}if(this.yAxisLeft){if(options.dataAxis!==undefined){this.yAxisLeft.setOptions(this.options.dataAxis);this.yAxisRight.setOptions(this.options.dataAxis)}}if(this.legendLeft){if(options.legend!==undefined){this.legendLeft.setOptions(this.options.legend);this.legendRight.setOptions(this.options.legend)}}if(this.groups.hasOwnProperty(UNGROUPED)){this.groups[UNGROUPED].setOptions(options)}}if(this.dom.frame){this.forceGraphUpdate=true;this.body.emitter.emit(\"_change\",{queue:true})}};LineGraph.prototype.hide=function(){if(this.dom.frame.parentNode){this.dom.frame.parentNode.removeChild(this.dom.frame)}};LineGraph.prototype.show=function(){if(!this.dom.frame.parentNode){this.body.dom.center.appendChild(this.dom.frame)}};LineGraph.prototype.setItems=function(items){var me=this,ids,oldItemsData=this.itemsData;if(!items){this.itemsData=null}else if(items instanceof DataSet||items instanceof DataView){this.itemsData=items}else{throw new TypeError(\"Data must be an instance of DataSet or DataView\")}if(oldItemsData){util.forEach(this.itemListeners,function(callback,event){oldItemsData.off(event,callback)});ids=oldItemsData.getIds();this._onRemove(ids)}if(this.itemsData){var id=this.id;util.forEach(this.itemListeners,function(callback,event){me.itemsData.on(event,callback,id)});ids=this.itemsData.getIds();this._onAdd(ids)}};LineGraph.prototype.setGroups=function(groups){var me=this;var ids;if(this.groupsData){util.forEach(this.groupListeners,function(callback,event){me.groupsData.off(event,callback)});ids=this.groupsData.getIds();this.groupsData=null;for(var i=0;i<ids.length;i++){this._removeGroup(ids[i])}}if(!groups){this.groupsData=null}else if(groups instanceof DataSet||groups instanceof DataView){this.groupsData=groups}else{throw new TypeError(\"Data must be an instance of DataSet or DataView\")}if(this.groupsData){var id=this.id;util.forEach(this.groupListeners,function(callback,event){me.groupsData.on(event,callback,id)});ids=this.groupsData.getIds();this._onAddGroups(ids)}};LineGraph.prototype._onUpdate=function(ids){this._updateAllGroupData(ids)};LineGraph.prototype._onAdd=function(ids){this._onUpdate(ids)};LineGraph.prototype._onRemove=function(ids){this._onUpdate(ids)};LineGraph.prototype._onUpdateGroups=function(groupIds){this._updateAllGroupData(null,groupIds)};LineGraph.prototype._onAddGroups=function(groupIds){this._onUpdateGroups(groupIds)};LineGraph.prototype._onRemoveGroups=function(groupIds){for(var i=0;i<groupIds.length;i++){this._removeGroup(groupIds[i])}this.forceGraphUpdate=true;this.body.emitter.emit(\"_change\",{queue:true})};LineGraph.prototype._removeGroup=function(groupId){if(this.groups.hasOwnProperty(groupId)){if(this.groups[groupId].options.yAxisOrientation==\"right\"){this.yAxisRight.removeGroup(groupId);this.legendRight.removeGroup(groupId);this.legendRight.redraw()}else{this.yAxisLeft.removeGroup(groupId);this.legendLeft.removeGroup(groupId);this.legendLeft.redraw()}delete this.groups[groupId]}};LineGraph.prototype._updateGroup=function(group,groupId){if(!this.groups.hasOwnProperty(groupId)){this.groups[groupId]=new GraphGroup(group,groupId,this.options,this.groupsUsingDefaultStyles);if(this.groups[groupId].options.yAxisOrientation==\"right\"){this.yAxisRight.addGroup(groupId,this.groups[groupId]);this.legendRight.addGroup(groupId,this.groups[groupId])}else{this.yAxisLeft.addGroup(groupId,this.groups[groupId]);this.legendLeft.addGroup(groupId,this.groups[groupId])}}else{this.groups[groupId].update(group);if(this.groups[groupId].options.yAxisOrientation==\"right\"){this.yAxisRight.updateGroup(groupId,this.groups[groupId]);this.legendRight.updateGroup(groupId,this.groups[groupId]);this.yAxisLeft.removeGroup(groupId);this.legendLeft.removeGroup(groupId)}else{this.yAxisLeft.updateGroup(groupId,this.groups[groupId]);this.legendLeft.updateGroup(groupId,this.groups[groupId]);this.yAxisRight.removeGroup(groupId);this.legendRight.removeGroup(groupId)}}this.legendLeft.redraw();this.legendRight.redraw()};LineGraph.prototype._updateAllGroupData=function(ids,groupIds){if(this.itemsData!=null){var groupsContent={};var items=this.itemsData.get();var fieldId=this.itemsData._fieldId;var idMap={};if(ids){ids.map(function(id){idMap[id]=id})}var groupCounts={};for(var i=0;i<items.length;i++){var item=items[i];var groupId=item.group;if(groupId===null||groupId===undefined){groupId=UNGROUPED}groupCounts.hasOwnProperty(groupId)?groupCounts[groupId]++:groupCounts[groupId]=1}if(!groupIds&&ids){for(var groupId in this.groups){if(this.groups.hasOwnProperty(groupId)){var group=this.groups[groupId];var existing_items=group.getItems();groupsContent[groupId]=existing_items.filter(function(item){return item[fieldId]!==idMap[item[fieldId]]});var newLength=groupCounts[groupId];groupCounts[groupId]-=groupsContent[groupId].length;if(groupsContent[groupId].length<newLength){groupsContent[groupId][newLength-1]={}}}}}for(var i=0;i<items.length;i++){var item=items[i];var groupId=item.group;if(groupId===null||groupId===undefined){groupId=UNGROUPED}if(!groupIds&&ids&&item[fieldId]!==idMap[item[fieldId]]){continue}if(!groupsContent.hasOwnProperty(groupId)){groupsContent[groupId]=new Array(groupCounts[groupId])}var extended=util.bridgeObject(item);extended.x=util.convert(item.x,\"Date\");extended.end=util.convert(item.end,\"Date\");extended.orginalY=item.y;extended.y=Number(item.y);extended[fieldId]=item[fieldId];var index=groupsContent[groupId].length-groupCounts[groupId]--;groupsContent[groupId][index]=extended}for(var groupId in this.groups){if(this.groups.hasOwnProperty(groupId)){if(!groupsContent.hasOwnProperty(groupId)){groupsContent[groupId]=new Array(0)}}}for(var groupId in groupsContent){if(groupsContent.hasOwnProperty(groupId)){if(groupsContent[groupId].length==0){if(this.groups.hasOwnProperty(groupId)){this._removeGroup(groupId)}}else{var group=undefined;if(this.groupsData!=undefined){group=this.groupsData.get(groupId)}if(group==undefined){group={id:groupId,content:this.options.defaultGroup+groupId}}this._updateGroup(group,groupId);this.groups[groupId].setItems(groupsContent[groupId])}}}this.forceGraphUpdate=true;this.body.emitter.emit(\"_change\",{queue:true})}};LineGraph.prototype.redraw=function(){var resized=false;this.props.width=this.dom.frame.offsetWidth;this.props.height=this.body.domProps.centerContainer.height-this.body.domProps.border.top-this.body.domProps.border.bottom;resized=this._isResized()||resized;var visibleInterval=this.body.range.end-this.body.range.start;var zoomed=visibleInterval!=this.lastVisibleInterval;this.lastVisibleInterval=visibleInterval;if(resized==true){this.svg.style.width=util.option.asSize(3*this.props.width);this.svg.style.left=util.option.asSize(-this.props.width);if((this.options.height+\"\").indexOf(\"%\")!=-1||this.updateSVGheightOnResize==true){this.updateSVGheight=true}}if(this.updateSVGheight==true){if(this.options.graphHeight!=this.props.height+\"px\"){this.options.graphHeight=this.props.height+\"px\";this.svg.style.height=this.props.height+\"px\"}this.updateSVGheight=false}else{this.svg.style.height=(\"\"+this.options.graphHeight).replace(\"px\",\"\")+\"px\"}if(resized==true||zoomed==true||this.abortedGraphUpdate==true||this.forceGraphUpdate==true){resized=this._updateGraph()||resized;this.forceGraphUpdate=false}else{if(this.lastStart!=0){var offset=this.body.range.start-this.lastStart;var range=this.body.range.end-this.body.range.start;if(this.props.width!=0){var rangePerPixelInv=this.props.width/range;var xOffset=offset*rangePerPixelInv;this.svg.style.left=-this.props.width-xOffset+\"px\"}}}this.legendLeft.redraw();this.legendRight.redraw();return resized};LineGraph.prototype._getSortedGroupIds=function(){var grouplist=[];for(var groupId in this.groups){if(this.groups.hasOwnProperty(groupId)){var group=this.groups[groupId];if(group.visible==true&&(this.options.groups.visibility[groupId]===undefined||this.options.groups.visibility[groupId]==true)){grouplist.push({id:groupId,zIndex:group.options.zIndex})}}}util.insertSort(grouplist,function(a,b){var az=a.zIndex;var bz=b.zIndex;if(az===undefined)az=0;if(bz===undefined)bz=0;return az==bz?0:az<bz?-1:1});var groupIds=new Array(grouplist.length);for(var i=0;i<grouplist.length;i++){groupIds[i]=grouplist[i].id}return groupIds};LineGraph.prototype._updateGraph=function(){DOMutil.prepareElements(this.svgElements);if(this.props.width!=0&&this.itemsData!=null){var group,i;var groupRanges={};var changeCalled=false;var minDate=this.body.util.toGlobalTime(-this.body.domProps.root.width);var maxDate=this.body.util.toGlobalTime(2*this.body.domProps.root.width);var groupIds=this._getSortedGroupIds();if(groupIds.length>0){var groupsData={};this._getRelevantData(groupIds,groupsData,minDate,maxDate);this._applySampling(groupIds,groupsData);for(i=0;i<groupIds.length;i++){this._convertXcoordinates(groupsData[groupIds[i]])}this._getYRanges(groupIds,groupsData,groupRanges);changeCalled=this._updateYAxis(groupIds,groupRanges);if(changeCalled==true){DOMutil.cleanupElements(this.svgElements);this.abortedGraphUpdate=true;return true}this.abortedGraphUpdate=false;var below=undefined;for(i=0;i<groupIds.length;i++){group=this.groups[groupIds[i]];if(this.options.stack===true&&this.options.style===\"line\"){if(group.options.excludeFromStacking==undefined||!group.options.excludeFromStacking){if(below!=undefined){this._stack(groupsData[group.id],groupsData[below.id]);if(group.options.shaded.enabled==true&&group.options.shaded.orientation!==\"group\"){if(group.options.shaded.orientation==\"top\"&&below.options.shaded.orientation!==\"group\"){below.options.shaded.orientation=\"group\";below.options.shaded.groupId=group.id}else{group.options.shaded.orientation=\"group\";group.options.shaded.groupId=below.id}}}below=group}}this._convertYcoordinates(groupsData[groupIds[i]],group)}var paths={};for(i=0;i<groupIds.length;i++){group=this.groups[groupIds[i]];if(group.options.style===\"line\"&&group.options.shaded.enabled==true){var dataset=groupsData[groupIds[i]];if(dataset==null||dataset.length==0){continue}if(!paths.hasOwnProperty(groupIds[i])){paths[groupIds[i]]=Lines.calcPath(dataset,group)}if(group.options.shaded.orientation===\"group\"){var subGroupId=group.options.shaded.groupId;if(groupIds.indexOf(subGroupId)===-1){console.log(group.id+\": Unknown shading group target given:\"+subGroupId);continue}if(!paths.hasOwnProperty(subGroupId)){paths[subGroupId]=Lines.calcPath(groupsData[subGroupId],this.groups[subGroupId])}Lines.drawShading(paths[groupIds[i]],group,paths[subGroupId],this.framework)}else{Lines.drawShading(paths[groupIds[i]],group,undefined,this.framework)}}}Bars.draw(groupIds,groupsData,this.framework);for(i=0;i<groupIds.length;i++){group=this.groups[groupIds[i]];if(groupsData[groupIds[i]].length>0){switch(group.options.style){case\"line\":if(!paths.hasOwnProperty(groupIds[i])){paths[groupIds[i]]=Lines.calcPath(groupsData[groupIds[i]],group)}Lines.draw(paths[groupIds[i]],group,this.framework);case\"point\":case\"points\":if(group.options.style==\"point\"||group.options.style==\"points\"||group.options.drawPoints.enabled==true){Points.draw(groupsData[groupIds[i]],group,this.framework)}break;case\"bar\":default:}}}}}DOMutil.cleanupElements(this.svgElements);return false};LineGraph.prototype._stack=function(data,subData){var index,dx,dy,subPrevPoint,subNextPoint;index=0;for(var j=0;j<data.length;j++){subPrevPoint=undefined;subNextPoint=undefined;for(var k=index;k<subData.length;k++){if(subData[k].x===data[j].x){subPrevPoint=subData[k];subNextPoint=subData[k];index=k;break}else if(subData[k].x>data[j].x){subNextPoint=subData[k];if(k==0){subPrevPoint=subNextPoint}else{subPrevPoint=subData[k-1]}index=k;break}}if(subNextPoint===undefined){subPrevPoint=subData[subData.length-1];subNextPoint=subData[subData.length-1]}dx=subNextPoint.x-subPrevPoint.x;dy=subNextPoint.y-subPrevPoint.y;if(dx==0){data[j].y=data[j].orginalY+subNextPoint.y}else{data[j].y=data[j].orginalY+dy/dx*(data[j].x-subPrevPoint.x)+subPrevPoint.y}}};LineGraph.prototype._getRelevantData=function(groupIds,groupsData,minDate,maxDate){var group,i,j,item;if(groupIds.length>0){for(i=0;i<groupIds.length;i++){group=this.groups[groupIds[i]];var itemsData=group.getItems();if(group.options.sort==true){var dateComparator=function dateComparator(a,b){return a.getTime()==b.getTime()?0:a<b?-1:1};var first=Math.max(0,util.binarySearchValue(itemsData,minDate,\"x\",\"before\",dateComparator));var last=Math.min(itemsData.length,util.binarySearchValue(itemsData,maxDate,\"x\",\"after\",dateComparator)+1);if(last<=0){last=itemsData.length}var dataContainer=new Array(last-first);for(j=first;j<last;j++){item=group.itemsData[j];dataContainer[j-first]=item}groupsData[groupIds[i]]=dataContainer}else{groupsData[groupIds[i]]=group.itemsData}}}};LineGraph.prototype._applySampling=function(groupIds,groupsData){var group;if(groupIds.length>0){for(var i=0;i<groupIds.length;i++){group=this.groups[groupIds[i]];if(group.options.sampling==true){var dataContainer=groupsData[groupIds[i]];if(dataContainer.length>0){var increment=1;var amountOfPoints=dataContainer.length;var xDistance=this.body.util.toGlobalScreen(dataContainer[dataContainer.length-1].x)-this.body.util.toGlobalScreen(dataContainer[0].x);var pointsPerPixel=amountOfPoints/xDistance;increment=Math.min(Math.ceil(.2*amountOfPoints),Math.max(1,Math.round(pointsPerPixel)));var sampledData=new Array(amountOfPoints);for(var j=0;j<amountOfPoints;j+=increment){var idx=Math.round(j/increment);sampledData[idx]=dataContainer[j]}groupsData[groupIds[i]]=sampledData.splice(0,Math.round(amountOfPoints/increment))}}}}};LineGraph.prototype._getYRanges=function(groupIds,groupsData,groupRanges){var groupData,group,i;var combinedDataLeft=[];var combinedDataRight=[];var options;if(groupIds.length>0){for(i=0;i<groupIds.length;i++){groupData=groupsData[groupIds[i]];options=this.groups[groupIds[i]].options;if(groupData.length>0){group=this.groups[groupIds[i]];if(options.stack===true&&options.style===\"bar\"){if(options.yAxisOrientation===\"left\"){combinedDataLeft=combinedDataLeft.concat(groupData)}else{combinedDataRight=combinedDataRight.concat(groupData)}}else{groupRanges[groupIds[i]]=group.getYRange(groupData,groupIds[i])}}}Bars.getStackedYRange(combinedDataLeft,groupRanges,groupIds,\"__barStackLeft\",\"left\");Bars.getStackedYRange(combinedDataRight,groupRanges,groupIds,\"__barStackRight\",\"right\")}};LineGraph.prototype._updateYAxis=function(groupIds,groupRanges){var resized=false;var yAxisLeftUsed=false;var yAxisRightUsed=false;var minLeft=1e9,minRight=1e9,maxLeft=-1e9,maxRight=-1e9,minVal,maxVal;if(groupIds.length>0){for(var i=0;i<groupIds.length;i++){var group=this.groups[groupIds[i]];if(group&&group.options.yAxisOrientation!=\"right\"){yAxisLeftUsed=true;minLeft=1e9;maxLeft=-1e9}else if(group&&group.options.yAxisOrientation){yAxisRightUsed=true;minRight=1e9;maxRight=-1e9}}for(var i=0;i<groupIds.length;i++){if(groupRanges.hasOwnProperty(groupIds[i])){if(groupRanges[groupIds[i]].ignore!==true){minVal=groupRanges[groupIds[i]].min;maxVal=groupRanges[groupIds[i]].max;if(groupRanges[groupIds[i]].yAxisOrientation!=\"right\"){yAxisLeftUsed=true;minLeft=minLeft>minVal?minVal:minLeft;maxLeft=maxLeft<maxVal?maxVal:maxLeft}else{yAxisRightUsed=true;minRight=minRight>minVal?minVal:minRight;maxRight=maxRight<maxVal?maxVal:maxRight}}}}if(yAxisLeftUsed==true){this.yAxisLeft.setRange(minLeft,maxLeft)}if(yAxisRightUsed==true){this.yAxisRight.setRange(minRight,maxRight)}}resized=this._toggleAxisVisiblity(yAxisLeftUsed,this.yAxisLeft)||resized;resized=this._toggleAxisVisiblity(yAxisRightUsed,this.yAxisRight)||resized;if(yAxisRightUsed==true&&yAxisLeftUsed==true){this.yAxisLeft.drawIcons=true;this.yAxisRight.drawIcons=true}else{this.yAxisLeft.drawIcons=false;this.yAxisRight.drawIcons=false}this.yAxisRight.master=!yAxisLeftUsed;this.yAxisRight.masterAxis=this.yAxisLeft;if(this.yAxisRight.master==false){if(yAxisRightUsed==true){this.yAxisLeft.lineOffset=this.yAxisRight.width}else{this.yAxisLeft.lineOffset=0}resized=this.yAxisLeft.redraw()||resized;resized=this.yAxisRight.redraw()||resized}else{resized=this.yAxisRight.redraw()||resized}var tempGroups=[\"__barStackLeft\",\"__barStackRight\",\"__lineStackLeft\",\"__lineStackRight\"];for(var i=0;i<tempGroups.length;i++){if(groupIds.indexOf(tempGroups[i])!=-1){groupIds.splice(groupIds.indexOf(tempGroups[i]),1)}}return resized};LineGraph.prototype._toggleAxisVisiblity=function(axisUsed,axis){var changed=false;if(axisUsed==false){if(axis.dom.frame.parentNode&&axis.hidden==false){axis.hide();changed=true}}else{if(!axis.dom.frame.parentNode&&axis.hidden==true){axis.show();changed=true}}return changed};LineGraph.prototype._convertXcoordinates=function(datapoints){var toScreen=this.body.util.toScreen;for(var i=0;i<datapoints.length;i++){datapoints[i].screen_x=toScreen(datapoints[i].x)+this.props.width;datapoints[i].screen_y=datapoints[i].y;if(datapoints[i].end!=undefined){datapoints[i].screen_end=toScreen(datapoints[i].end)+this.props.width}else{datapoints[i].screen_end=undefined}}};LineGraph.prototype._convertYcoordinates=function(datapoints,group){var axis=this.yAxisLeft;var svgHeight=Number(this.svg.style.height.replace(\"px\",\"\"));if(group.options.yAxisOrientation==\"right\"){axis=this.yAxisRight}for(var i=0;i<datapoints.length;i++){datapoints[i].screen_y=Math.round(axis.convertValue(datapoints[i].y))}group.setZeroPosition(Math.min(svgHeight,axis.convertValue(0)))};module.exports=LineGraph},function(module,exports,__webpack_require__){\"use strict\";var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DOMutil=__webpack_require__(88);var Component=__webpack_require__(128);var DataScale=__webpack_require__(151);function DataAxis(body,options,svg,linegraphOptions){this.id=util.randomUUID();this.body=body;this.defaultOptions={orientation:\"left\",showMinorLabels:true,showMajorLabels:true,icons:false,majorLinesOffset:7,minorLinesOffset:4,labelOffsetX:10,labelOffsetY:2,iconWidth:20,width:\"40px\",visible:true,alignZeros:true,left:{range:{min:undefined,max:undefined},format:function format(value){return\"\"+parseFloat(value.toPrecision(3))},title:{text:undefined,style:undefined}},right:{range:{min:undefined,max:undefined},format:function format(value){return\"\"+parseFloat(value.toPrecision(3))},title:{text:undefined,style:undefined}}};this.linegraphOptions=linegraphOptions;this.linegraphSVG=svg;this.props={};this.DOMelements={lines:{},labels:{},title:{}};this.dom={};this.scale=undefined;this.range={start:0,end:0};this.options=util.extend({},this.defaultOptions);this.conversionFactor=1;this.setOptions(options);this.width=Number((\"\"+this.options.width).replace(\"px\",\"\"));this.minWidth=this.width;this.height=this.linegraphSVG.getBoundingClientRect().height;this.hidden=false;this.stepPixels=25;this.zeroCrossing=-1;this.amountOfSteps=-1;this.lineOffset=0;this.master=true;this.masterAxis=null;this.svgElements={};this.iconsRemoved=false;this.groups={};this.amountOfGroups=0;this._create();this.framework={svg:this.svg,svgElements:this.svgElements,options:this.options,groups:this.groups};var me=this;this.body.emitter.on(\"verticalDrag\",function(){me.dom.lineContainer.style.top=me.body.domProps.scrollTop+\"px\"})}DataAxis.prototype=new Component;DataAxis.prototype.addGroup=function(label,graphOptions){if(!this.groups.hasOwnProperty(label)){this.groups[label]=graphOptions}this.amountOfGroups+=1};DataAxis.prototype.updateGroup=function(label,graphOptions){if(!this.groups.hasOwnProperty(label)){this.amountOfGroups+=1}this.groups[label]=graphOptions};DataAxis.prototype.removeGroup=function(label){if(this.groups.hasOwnProperty(label)){delete this.groups[label];this.amountOfGroups-=1}};DataAxis.prototype.setOptions=function(options){if(options){var redraw=false;if(this.options.orientation!=options.orientation&&options.orientation!==undefined){redraw=true}var fields=[\"orientation\",\"showMinorLabels\",\"showMajorLabels\",\"icons\",\"majorLinesOffset\",\"minorLinesOffset\",\"labelOffsetX\",\"labelOffsetY\",\"iconWidth\",\"width\",\"visible\",\"left\",\"right\",\"alignZeros\"];util.selectiveDeepExtend(fields,this.options,options);this.minWidth=Number((\"\"+this.options.width).replace(\"px\",\"\"));if(redraw===true&&this.dom.frame){this.hide();this.show()}}};DataAxis.prototype._create=function(){this.dom.frame=document.createElement(\"div\");this.dom.frame.style.width=this.options.width;this.dom.frame.style.height=this.height;this.dom.lineContainer=document.createElement(\"div\");this.dom.lineContainer.style.width=\"100%\";this.dom.lineContainer.style.height=this.height;this.dom.lineContainer.style.position=\"relative\";this.svg=document.createElementNS(\"http://www.w3.org/2000/svg\",\"svg\");this.svg.style.position=\"absolute\";this.svg.style.top=\"0px\";this.svg.style.height=\"100%\";this.svg.style.width=\"100%\";this.svg.style.display=\"block\";this.dom.frame.appendChild(this.svg)};DataAxis.prototype._redrawGroupIcons=function(){DOMutil.prepareElements(this.svgElements);var x;var iconWidth=this.options.iconWidth;var iconHeight=15;var iconOffset=4;var y=iconOffset+.5*iconHeight;if(this.options.orientation===\"left\"){x=iconOffset}else{x=this.width-iconWidth-iconOffset}var groupArray=(0,_keys2[\"default\"])(this.groups);groupArray.sort(function(a,b){return a<b?-1:1});for(var i=0;i<groupArray.length;i++){var groupId=groupArray[i];if(this.groups[groupId].visible===true&&(this.linegraphOptions.visibility[groupId]===undefined||this.linegraphOptions.visibility[groupId]===true)){this.groups[groupId].getLegend(iconWidth,iconHeight,this.framework,x,y);y+=iconHeight+iconOffset}}DOMutil.cleanupElements(this.svgElements);this.iconsRemoved=false};DataAxis.prototype._cleanupIcons=function(){if(this.iconsRemoved===false){DOMutil.prepareElements(this.svgElements);DOMutil.cleanupElements(this.svgElements);this.iconsRemoved=true}};DataAxis.prototype.show=function(){this.hidden=false;if(!this.dom.frame.parentNode){if(this.options.orientation===\"left\"){this.body.dom.left.appendChild(this.dom.frame)}else{this.body.dom.right.appendChild(this.dom.frame)}}if(!this.dom.lineContainer.parentNode){this.body.dom.backgroundHorizontal.appendChild(this.dom.lineContainer)}};DataAxis.prototype.hide=function(){this.hidden=true;if(this.dom.frame.parentNode){this.dom.frame.parentNode.removeChild(this.dom.frame)}if(this.dom.lineContainer.parentNode){this.dom.lineContainer.parentNode.removeChild(this.dom.lineContainer)}};DataAxis.prototype.setRange=function(start,end){this.range.start=start;this.range.end=end};DataAxis.prototype.redraw=function(){var resized=false;var activeGroups=0;this.dom.lineContainer.style.top=this.body.domProps.scrollTop+\"px\";for(var groupId in this.groups){if(this.groups.hasOwnProperty(groupId)){if(this.groups[groupId].visible===true&&(this.linegraphOptions.visibility[groupId]===undefined||this.linegraphOptions.visibility[groupId]===true)){activeGroups++}}}if(this.amountOfGroups===0||activeGroups===0){this.hide()}else{this.show();this.height=Number(this.linegraphSVG.style.height.replace(\"px\",\"\"));this.dom.lineContainer.style.height=this.height+\"px\";this.width=this.options.visible===true?Number((\"\"+this.options.width).replace(\"px\",\"\")):0;var props=this.props;var frame=this.dom.frame;frame.className=\"vis-data-axis\";this._calculateCharSize();var orientation=this.options.orientation;var showMinorLabels=this.options.showMinorLabels;var showMajorLabels=this.options.showMajorLabels;props.minorLabelHeight=showMinorLabels?props.minorCharHeight:0;props.majorLabelHeight=showMajorLabels?props.majorCharHeight:0;props.minorLineWidth=this.body.dom.backgroundHorizontal.offsetWidth-this.lineOffset-this.width+2*this.options.minorLinesOffset;props.minorLineHeight=1;props.majorLineWidth=this.body.dom.backgroundHorizontal.offsetWidth-this.lineOffset-this.width+2*this.options.majorLinesOffset;props.majorLineHeight=1;if(orientation===\"left\"){frame.style.top=\"0\";frame.style.left=\"0\";frame.style.bottom=\"\";frame.style.width=this.width+\"px\";frame.style.height=this.height+\"px\";this.props.width=this.body.domProps.left.width;this.props.height=this.body.domProps.left.height}else{frame.style.top=\"\";frame.style.bottom=\"0\";frame.style.left=\"0\";frame.style.width=this.width+\"px\";frame.style.height=this.height+\"px\";this.props.width=this.body.domProps.right.width;this.props.height=this.body.domProps.right.height}resized=this._redrawLabels();resized=this._isResized()||resized;if(this.options.icons===true){this._redrawGroupIcons()}else{this._cleanupIcons()}this._redrawTitle(orientation)}return resized};DataAxis.prototype._redrawLabels=function(){var _this=this;var resized=false;DOMutil.prepareElements(this.DOMelements.lines);DOMutil.prepareElements(this.DOMelements.labels);var orientation=this.options[\"orientation\"];var customRange=this.options[orientation].range!=undefined?this.options[orientation].range:{};var autoScaleEnd=true;if(customRange.max!=undefined){this.range.end=customRange.max;autoScaleEnd=false}var autoScaleStart=true;if(customRange.min!=undefined){this.range.start=customRange.min;autoScaleStart=false}this.scale=new DataScale(this.range.start,this.range.end,autoScaleStart,autoScaleEnd,this.dom.frame.offsetHeight,this.props.majorCharHeight,this.options.alignZeros,this.options[orientation].format);if(this.master===false&&this.masterAxis!=undefined){this.scale.followScale(this.masterAxis.scale)}this.maxLabelSize=0;var lines=this.scale.getLines();lines.forEach(function(line){var y=line.y;var isMajor=line.major;if(_this.options[\"showMinorLabels\"]&&isMajor===false){_this._redrawLabel(y-2,line.val,orientation,\"vis-y-axis vis-minor\",_this.props.minorCharHeight)}if(isMajor){if(y>=0){_this._redrawLabel(y-2,line.val,orientation,\"vis-y-axis vis-major\",_this.props.majorCharHeight)}}if(_this.master===true){if(isMajor){_this._redrawLine(y,orientation,\"vis-grid vis-horizontal vis-major\",_this.options.majorLinesOffset,_this.props.majorLineWidth)}else{_this._redrawLine(y,orientation,\"vis-grid vis-horizontal vis-minor\",_this.options.minorLinesOffset,_this.props.minorLineWidth)}}});var titleWidth=0;if(this.options[orientation].title!==undefined&&this.options[orientation].title.text!==undefined){titleWidth=this.props.titleCharHeight}var offset=this.options.icons===true?Math.max(this.options.iconWidth,titleWidth)+this.options.labelOffsetX+15:titleWidth+this.options.labelOffsetX+15;if(this.maxLabelSize>this.width-offset&&this.options.visible===true){this.width=this.maxLabelSize+offset;this.options.width=this.width+\"px\";DOMutil.cleanupElements(this.DOMelements.lines);DOMutil.cleanupElements(this.DOMelements.labels);this.redraw();resized=true}else if(this.maxLabelSize<this.width-offset&&this.options.visible===true&&this.width>this.minWidth){this.width=Math.max(this.minWidth,this.maxLabelSize+offset);this.options.width=this.width+\"px\";DOMutil.cleanupElements(this.DOMelements.lines);DOMutil.cleanupElements(this.DOMelements.labels);this.redraw();resized=true}else{DOMutil.cleanupElements(this.DOMelements.lines);DOMutil.cleanupElements(this.DOMelements.labels);resized=false}return resized};DataAxis.prototype.convertValue=function(value){return this.scale.convertValue(value)};DataAxis.prototype.screenToValue=function(x){return this.scale.screenToValue(x)};DataAxis.prototype._redrawLabel=function(y,text,orientation,className,characterHeight){var label=DOMutil.getDOMElement(\"div\",this.DOMelements.labels,this.dom.frame);label.className=className;label.innerHTML=text;if(orientation===\"left\"){label.style.left=\"-\"+this.options.labelOffsetX+\"px\";label.style.textAlign=\"right\"}else{label.style.right=\"-\"+this.options.labelOffsetX+\"px\";label.style.textAlign=\"left\"}label.style.top=y-.5*characterHeight+this.options.labelOffsetY+\"px\";text+=\"\";var largestWidth=Math.max(this.props.majorCharWidth,this.props.minorCharWidth);if(this.maxLabelSize<text.length*largestWidth){this.maxLabelSize=text.length*largestWidth}};DataAxis.prototype._redrawLine=function(y,orientation,className,offset,width){if(this.master===true){var line=DOMutil.getDOMElement(\"div\",this.DOMelements.lines,this.dom.lineContainer);line.className=className;line.innerHTML=\"\";if(orientation===\"left\"){line.style.left=this.width-offset+\"px\"}else{line.style.right=this.width-offset+\"px\"}line.style.width=width+\"px\";line.style.top=y+\"px\"}};DataAxis.prototype._redrawTitle=function(orientation){DOMutil.prepareElements(this.DOMelements.title);if(this.options[orientation].title!==undefined&&this.options[orientation].title.text!==undefined){var title=DOMutil.getDOMElement(\"div\",this.DOMelements.title,this.dom.frame);title.className=\"vis-y-axis vis-title vis-\"+orientation;title.innerHTML=this.options[orientation].title.text;if(this.options[orientation].title.style!==undefined){util.addCssText(title,this.options[orientation].title.style)}if(orientation===\"left\"){title.style.left=this.props.titleCharHeight+\"px\"}else{title.style.right=this.props.titleCharHeight+\"px\"}title.style.width=this.height+\"px\"}DOMutil.cleanupElements(this.DOMelements.title)};DataAxis.prototype._calculateCharSize=function(){if(!(\"minorCharHeight\"in this.props)){var textMinor=document.createTextNode(\"0\");var measureCharMinor=document.createElement(\"div\");measureCharMinor.className=\"vis-y-axis vis-minor vis-measure\";measureCharMinor.appendChild(textMinor);this.dom.frame.appendChild(measureCharMinor);this.props.minorCharHeight=measureCharMinor.clientHeight;this.props.minorCharWidth=measureCharMinor.clientWidth;this.dom.frame.removeChild(measureCharMinor)}if(!(\"majorCharHeight\"in this.props)){var textMajor=document.createTextNode(\"0\");var measureCharMajor=document.createElement(\"div\");measureCharMajor.className=\"vis-y-axis vis-major vis-measure\";measureCharMajor.appendChild(textMajor);this.dom.frame.appendChild(measureCharMajor);this.props.majorCharHeight=measureCharMajor.clientHeight;this.props.majorCharWidth=measureCharMajor.clientWidth;this.dom.frame.removeChild(measureCharMajor)}if(!(\"titleCharHeight\"in this.props)){var textTitle=document.createTextNode(\"0\");var measureCharTitle=document.createElement(\"div\");measureCharTitle.className=\"vis-y-axis vis-title vis-measure\";measureCharTitle.appendChild(textTitle);this.dom.frame.appendChild(measureCharTitle);this.props.titleCharHeight=measureCharTitle.clientHeight;this.props.titleCharWidth=measureCharTitle.clientWidth;this.dom.frame.removeChild(measureCharTitle)}};module.exports=DataAxis},function(module,exports){\"use strict\";function DataScale(start,end,autoScaleStart,autoScaleEnd,containerHeight,majorCharHeight){var zeroAlign=arguments.length>6&&arguments[6]!==undefined?arguments[6]:false;var formattingFunction=arguments.length>7&&arguments[7]!==undefined?arguments[7]:false;this.majorSteps=[1,2,5,10];this.minorSteps=[.25,.5,1,2];this.customLines=null;this.containerHeight=containerHeight;this.majorCharHeight=majorCharHeight;this._start=start;this._end=end;this.scale=1;this.minorStepIdx=-1;this.magnitudefactor=1;this.determineScale();this.zeroAlign=zeroAlign;this.autoScaleStart=autoScaleStart;this.autoScaleEnd=autoScaleEnd;this.formattingFunction=formattingFunction;if(autoScaleStart||autoScaleEnd){var me=this;var roundToMinor=function roundToMinor(value){var rounded=value-value%(me.magnitudefactor*me.minorSteps[me.minorStepIdx]);if(value%(me.magnitudefactor*me.minorSteps[me.minorStepIdx])>.5*(me.magnitudefactor*me.minorSteps[me.minorStepIdx])){return rounded+me.magnitudefactor*me.minorSteps[me.minorStepIdx]}else{return rounded}};if(autoScaleStart){this._start-=this.magnitudefactor*2*this.minorSteps[this.minorStepIdx];this._start=roundToMinor(this._start)}if(autoScaleEnd){this._end+=this.magnitudefactor*this.minorSteps[this.minorStepIdx];this._end=roundToMinor(this._end)}this.determineScale()}}DataScale.prototype.setCharHeight=function(majorCharHeight){this.majorCharHeight=majorCharHeight};DataScale.prototype.setHeight=function(containerHeight){this.containerHeight=containerHeight};DataScale.prototype.determineScale=function(){var range=this._end-this._start;this.scale=this.containerHeight/range;var minimumStepValue=this.majorCharHeight/this.scale;var orderOfMagnitude=range>0?Math.round(Math.log(range)/Math.LN10):0;this.minorStepIdx=-1;this.magnitudefactor=Math.pow(10,orderOfMagnitude);var start=0;if(orderOfMagnitude<0){start=orderOfMagnitude}var solutionFound=false;for(var l=start;Math.abs(l)<=Math.abs(orderOfMagnitude);l++){this.magnitudefactor=Math.pow(10,l);for(var j=0;j<this.minorSteps.length;j++){var stepSize=this.magnitudefactor*this.minorSteps[j];if(stepSize>=minimumStepValue){solutionFound=true;this.minorStepIdx=j;break}}if(solutionFound===true){break}}};DataScale.prototype.is_major=function(value){return value%(this.magnitudefactor*this.majorSteps[this.minorStepIdx])===0};DataScale.prototype.getStep=function(){return this.magnitudefactor*this.minorSteps[this.minorStepIdx]};DataScale.prototype.getFirstMajor=function(){var majorStep=this.magnitudefactor*this.majorSteps[this.minorStepIdx];return this.convertValue(this._start+(majorStep-this._start%majorStep)%majorStep)};DataScale.prototype.formatValue=function(current){var returnValue=current.toPrecision(5);if(typeof this.formattingFunction===\"function\"){returnValue=this.formattingFunction(current)}if(typeof returnValue===\"number\"){return\"\"+returnValue}else if(typeof returnValue===\"string\"){return returnValue}else{return current.toPrecision(5)}};DataScale.prototype.getLines=function(){var lines=[];var step=this.getStep();var bottomOffset=(step-this._start%step)%step;for(var i=this._start+bottomOffset;this._end-i>1e-5;i+=step){if(i!=this._start){lines.push({major:this.is_major(i),y:this.convertValue(i),val:this.formatValue(i)})}}return lines};DataScale.prototype.followScale=function(other){var oldStepIdx=this.minorStepIdx;var oldStart=this._start;var oldEnd=this._end;var me=this;var increaseMagnitude=function increaseMagnitude(){me.magnitudefactor*=2};var decreaseMagnitude=function decreaseMagnitude(){me.magnitudefactor/=2};if(other.minorStepIdx<=1&&this.minorStepIdx<=1||other.minorStepIdx>1&&this.minorStepIdx>1){}else if(other.minorStepIdx<this.minorStepIdx){this.minorStepIdx=1;if(oldStepIdx==2){increaseMagnitude()}else{increaseMagnitude();increaseMagnitude()}}else{this.minorStepIdx=2;if(oldStepIdx==1){decreaseMagnitude()}else{decreaseMagnitude();decreaseMagnitude()}}var lines=other.getLines();var otherZero=other.convertValue(0);var otherStep=other.getStep()*other.scale;var done=false;var count=0;while(!done&&count++<5){this.scale=otherStep/(this.minorSteps[this.minorStepIdx]*this.magnitudefactor);var newRange=this.containerHeight/this.scale;this._start=oldStart;this._end=this._start+newRange;var myOriginalZero=this._end*this.scale;var majorStep=this.magnitudefactor*this.majorSteps[this.minorStepIdx];var majorOffset=this.getFirstMajor()-other.getFirstMajor();if(this.zeroAlign){var zeroOffset=otherZero-myOriginalZero;this._end+=zeroOffset/this.scale;this._start=this._end-newRange}else{if(!this.autoScaleStart){this._start+=majorStep-majorOffset/this.scale;this._end=this._start+newRange}else{this._start-=majorOffset/this.scale;this._end=this._start+newRange}}if(!this.autoScaleEnd&&this._end>oldEnd+1e-5){decreaseMagnitude();done=false;continue}if(!this.autoScaleStart&&this._start<oldStart-1e-5){if(this.zeroAlign&&oldStart>=0){console.warn(\"Can't adhere to given 'min' range, due to zeroalign\")}else{decreaseMagnitude();done=false;continue}}if(this.autoScaleStart&&this.autoScaleEnd&&newRange<oldEnd-oldStart){increaseMagnitude();done=false;continue}done=true}};DataScale.prototype.convertValue=function(value){return this.containerHeight-(value-this._start)*this.scale};DataScale.prototype.screenToValue=function(pixels){return(this.containerHeight-pixels)/this.scale+this._start};module.exports=DataScale},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DOMutil=__webpack_require__(88);var Bars=__webpack_require__(153);var Lines=__webpack_require__(155);var Points=__webpack_require__(154);function GraphGroup(group,groupId,options,groupsUsingDefaultStyles){this.id=groupId;var fields=[\"sampling\",\"style\",\"sort\",\"yAxisOrientation\",\"barChart\",\"drawPoints\",\"shaded\",\"interpolation\",\"zIndex\",\"excludeFromStacking\",\"excludeFromLegend\"];this.options=util.selectiveBridgeObject(fields,options);this.usingDefaultStyle=group.className===undefined;this.groupsUsingDefaultStyles=groupsUsingDefaultStyles;this.zeroPosition=0;this.update(group);if(this.usingDefaultStyle==true){this.groupsUsingDefaultStyles[0]+=1}this.itemsData=[];this.visible=group.visible===undefined?true:group.visible}GraphGroup.prototype.setItems=function(items){if(items!=null){this.itemsData=items;if(this.options.sort==true){util.insertSort(this.itemsData,function(a,b){return a.x>b.x?1:-1})}}else{this.itemsData=[]}};GraphGroup.prototype.getItems=function(){return this.itemsData};GraphGroup.prototype.setZeroPosition=function(pos){this.zeroPosition=pos};GraphGroup.prototype.setOptions=function(options){if(options!==undefined){var fields=[\"sampling\",\"style\",\"sort\",\"yAxisOrientation\",\"barChart\",\"zIndex\",\"excludeFromStacking\",\"excludeFromLegend\"];util.selectiveDeepExtend(fields,this.options,options);if(typeof options.drawPoints==\"function\"){options.drawPoints={onRender:options.drawPoints}}util.mergeOptions(this.options,options,\"interpolation\");util.mergeOptions(this.options,options,\"drawPoints\");util.mergeOptions(this.options,options,\"shaded\");if(options.interpolation){if((0,_typeof3[\"default\"])(options.interpolation)==\"object\"){if(options.interpolation.parametrization){if(options.interpolation.parametrization==\"uniform\"){this.options.interpolation.alpha=0}else if(options.interpolation.parametrization==\"chordal\"){this.options.interpolation.alpha=1}else{this.options.interpolation.parametrization=\"centripetal\";this.options.interpolation.alpha=.5}}}}}};GraphGroup.prototype.update=function(group){this.group=group;this.content=group.content||\"graph\";this.className=group.className||this.className||\"vis-graph-group\"+this.groupsUsingDefaultStyles[0]%10;this.visible=group.visible===undefined?true:group.visible;this.style=group.style;this.setOptions(group.options)};GraphGroup.prototype.getLegend=function(iconWidth,iconHeight,framework,x,y){if(framework==undefined||framework==null){var svg=document.createElementNS(\"http://www.w3.org/2000/svg\",\"svg\");framework={svg:svg,svgElements:{},options:this.options,groups:[this]}}if(x==undefined||x==null){x=0}if(y==undefined||y==null){y=.5*iconHeight}switch(this.options.style){case\"line\":Lines.drawIcon(this,x,y,iconWidth,iconHeight,framework);break;case\"points\":case\"point\":Points.drawIcon(this,x,y,iconWidth,iconHeight,framework);break;case\"bar\":Bars.drawIcon(this,x,y,iconWidth,iconHeight,framework);break}return{icon:framework.svg,label:this.content,orientation:this.options.yAxisOrientation}};GraphGroup.prototype.getYRange=function(groupData){var yMin=groupData[0].y;var yMax=groupData[0].y;for(var j=0;j<groupData.length;j++){yMin=yMin>groupData[j].y?groupData[j].y:yMin;yMax=yMax<groupData[j].y?groupData[j].y:yMax}return{min:yMin,max:yMax,yAxisOrientation:this.options.yAxisOrientation}};module.exports=GraphGroup},function(module,exports,__webpack_require__){\"use strict\";var DOMutil=__webpack_require__(88);var Points=__webpack_require__(154);function Bargraph(groupId,options){}Bargraph.drawIcon=function(group,x,y,iconWidth,iconHeight,framework){var fillHeight=iconHeight*.5;var path,fillPath;var outline=DOMutil.getSVGElement(\"rect\",framework.svgElements,framework.svg);outline.setAttributeNS(null,\"x\",x);outline.setAttributeNS(null,\"y\",y-fillHeight);outline.setAttributeNS(null,\"width\",iconWidth);outline.setAttributeNS(null,\"height\",2*fillHeight);outline.setAttributeNS(null,\"class\",\"vis-outline\");var barWidth=Math.round(.3*iconWidth);var originalWidth=group.options.barChart.width;var scale=originalWidth/barWidth;var bar1Height=Math.round(.4*iconHeight);var bar2Height=Math.round(.75*iconHeight);var offset=Math.round((iconWidth-2*barWidth)/3);DOMutil.drawBar(x+.5*barWidth+offset,y+fillHeight-bar1Height-1,barWidth,bar1Height,group.className+\" vis-bar\",framework.svgElements,framework.svg,group.style);DOMutil.drawBar(x+1.5*barWidth+offset+2,y+fillHeight-bar2Height-1,barWidth,bar2Height,group.className+\" vis-bar\",framework.svgElements,framework.svg,group.style);if(group.options.drawPoints.enabled==true){var groupTemplate={style:group.options.drawPoints.style,styles:group.options.drawPoints.styles,size:group.options.drawPoints.size/scale,className:group.className};DOMutil.drawPoint(x+.5*barWidth+offset,y+fillHeight-bar1Height-1,groupTemplate,framework.svgElements,framework.svg);DOMutil.drawPoint(x+1.5*barWidth+offset+2,y+fillHeight-bar2Height-1,groupTemplate,framework.svgElements,framework.svg)}};Bargraph.draw=function(groupIds,processedGroupData,framework){var combinedData=[];var intersections={};var coreDistance;var key,drawData;var group;var i,j;var barPoints=0;for(i=0;i<groupIds.length;i++){group=framework.groups[groupIds[i]];if(group.options.style===\"bar\"){if(group.visible===true&&(framework.options.groups.visibility[groupIds[i]]===undefined||framework.options.groups.visibility[groupIds[i]]===true)){for(j=0;j<processedGroupData[groupIds[i]].length;j++){combinedData.push({screen_x:processedGroupData[groupIds[i]][j].screen_x,screen_end:processedGroupData[groupIds[i]][j].screen_end,screen_y:processedGroupData[groupIds[i]][j].screen_y,x:processedGroupData[groupIds[i]][j].x,end:processedGroupData[groupIds[i]][j].end,y:processedGroupData[groupIds[i]][j].y,groupId:groupIds[i],label:processedGroupData[groupIds[i]][j].label});barPoints+=1}}}}if(barPoints===0){return}combinedData.sort(function(a,b){if(a.screen_x===b.screen_x){return a.groupId<b.groupId?-1:1}else{return a.screen_x-b.screen_x}});Bargraph._getDataIntersections(intersections,combinedData);for(i=0;i<combinedData.length;i++){group=framework.groups[combinedData[i].groupId];var minWidth=group.options.barChart.minWidth!=undefined?group.options.barChart.minWidth:.1*group.options.barChart.width;key=combinedData[i].screen_x;var heightOffset=0;if(intersections[key]===undefined){if(i+1<combinedData.length){coreDistance=Math.abs(combinedData[i+1].screen_x-key)}drawData=Bargraph._getSafeDrawData(coreDistance,group,minWidth)}else{var nextKey=i+(intersections[key].amount-intersections[key].resolved);var prevKey=i-(intersections[key].resolved+1);if(nextKey<combinedData.length){coreDistance=Math.abs(combinedData[nextKey].screen_x-key)}drawData=Bargraph._getSafeDrawData(coreDistance,group,minWidth);intersections[key].resolved+=1;if(group.options.stack===true&&group.options.excludeFromStacking!==true){if(combinedData[i].screen_y<group.zeroPosition){heightOffset=intersections[key].accumulatedNegative;intersections[key].accumulatedNegative+=group.zeroPosition-combinedData[i].screen_y}else{heightOffset=intersections[key].accumulatedPositive;intersections[key].accumulatedPositive+=group.zeroPosition-combinedData[i].screen_y}}else if(group.options.barChart.sideBySide===true){drawData.width=drawData.width/intersections[key].amount;drawData.offset+=intersections[key].resolved*drawData.width-.5*drawData.width*(intersections[key].amount+1)}}var dataWidth=drawData.width;var start=combinedData[i].screen_x;if(combinedData[i].screen_end!=undefined){dataWidth=combinedData[i].screen_end-combinedData[i].screen_x;start+=dataWidth*.5}else{start+=drawData.offset}DOMutil.drawBar(start,combinedData[i].screen_y-heightOffset,dataWidth,group.zeroPosition-combinedData[i].screen_y,group.className+\" vis-bar\",framework.svgElements,framework.svg,group.style);if(group.options.drawPoints.enabled===true){var pointData={screen_x:combinedData[i].screen_x,screen_y:combinedData[i].screen_y-heightOffset,x:combinedData[i].x,y:combinedData[i].y,groupId:combinedData[i].groupId,label:combinedData[i].label};Points.draw([pointData],group,framework,drawData.offset)}}};Bargraph._getDataIntersections=function(intersections,combinedData){var coreDistance;for(var i=0;i<combinedData.length;i++){if(i+1<combinedData.length){coreDistance=Math.abs(combinedData[i+1].screen_x-combinedData[i].screen_x)}if(i>0){coreDistance=Math.min(coreDistance,Math.abs(combinedData[i-1].screen_x-combinedData[i].screen_x))}if(coreDistance===0){if(intersections[combinedData[i].screen_x]===undefined){intersections[combinedData[i].screen_x]={amount:0,resolved:0,accumulatedPositive:0,accumulatedNegative:0}}intersections[combinedData[i].screen_x].amount+=1}}};Bargraph._getSafeDrawData=function(coreDistance,group,minWidth){var width,offset;if(coreDistance<group.options.barChart.width&&coreDistance>0){width=coreDistance<minWidth?minWidth:coreDistance;offset=0;if(group.options.barChart.align===\"left\"){offset-=.5*coreDistance}else if(group.options.barChart.align===\"right\"){offset+=.5*coreDistance}}else{width=group.options.barChart.width;offset=0;if(group.options.barChart.align===\"left\"){offset-=.5*group.options.barChart.width}else if(group.options.barChart.align===\"right\"){offset+=.5*group.options.barChart.width}}return{width:width,offset:offset}};Bargraph.getStackedYRange=function(combinedData,groupRanges,groupIds,groupLabel,orientation){if(combinedData.length>0){combinedData.sort(function(a,b){if(a.screen_x===b.screen_x){return a.groupId<b.groupId?-1:1}else{return a.screen_x-b.screen_x}});var intersections={};Bargraph._getDataIntersections(intersections,combinedData);groupRanges[groupLabel]=Bargraph._getStackedYRange(intersections,combinedData);groupRanges[groupLabel].yAxisOrientation=orientation;groupIds.push(groupLabel)}};Bargraph._getStackedYRange=function(intersections,combinedData){var key;var yMin=combinedData[0].screen_y;var yMax=combinedData[0].screen_y;for(var i=0;i<combinedData.length;i++){key=combinedData[i].screen_x;if(intersections[key]===undefined){yMin=yMin>combinedData[i].screen_y?combinedData[i].screen_y:yMin;yMax=yMax<combinedData[i].screen_y?combinedData[i].screen_y:yMax}else{if(combinedData[i].screen_y<0){intersections[key].accumulatedNegative+=combinedData[i].screen_y}else{intersections[key].accumulatedPositive+=combinedData[i].screen_y}}}for(var xpos in intersections){if(intersections.hasOwnProperty(xpos)){yMin=yMin>intersections[xpos].accumulatedNegative?intersections[xpos].accumulatedNegative:yMin;yMin=yMin>intersections[xpos].accumulatedPositive?intersections[xpos].accumulatedPositive:yMin;yMax=yMax<intersections[xpos].accumulatedNegative?intersections[xpos].accumulatedNegative:yMax;yMax=yMax<intersections[xpos].accumulatedPositive?intersections[xpos].accumulatedPositive:yMax}}return{min:yMin,max:yMax}};module.exports=Bargraph},function(module,exports,__webpack_require__){\"use strict\";var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var DOMutil=__webpack_require__(88);function Points(groupId,options){}Points.draw=function(dataset,group,framework,offset){offset=offset||0;var callback=getCallback(framework,group);for(var i=0;i<dataset.length;i++){if(!callback){DOMutil.drawPoint(dataset[i].screen_x+offset,dataset[i].screen_y,getGroupTemplate(group),framework.svgElements,framework.svg,dataset[i].label)}else{var callbackResult=callback(dataset[i],group);if(callbackResult===true||(typeof callbackResult===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(callbackResult))===\"object\"){DOMutil.drawPoint(dataset[i].screen_x+offset,dataset[i].screen_y,getGroupTemplate(group,callbackResult),framework.svgElements,framework.svg,dataset[i].label)}}}};Points.drawIcon=function(group,x,y,iconWidth,iconHeight,framework){var fillHeight=iconHeight*.5;var path,fillPath;var outline=DOMutil.getSVGElement(\"rect\",framework.svgElements,framework.svg);outline.setAttributeNS(null,\"x\",x);outline.setAttributeNS(null,\"y\",y-fillHeight);outline.setAttributeNS(null,\"width\",iconWidth);outline.setAttributeNS(null,\"height\",2*fillHeight);outline.setAttributeNS(null,\"class\",\"vis-outline\");DOMutil.drawPoint(x+.5*iconWidth,y,getGroupTemplate(group),framework.svgElements,framework.svg)};function getGroupTemplate(group,callbackResult){callbackResult=typeof callbackResult===\"undefined\"?{}:callbackResult;return{style:callbackResult.style||group.options.drawPoints.style,styles:callbackResult.styles||group.options.drawPoints.styles,size:callbackResult.size||group.options.drawPoints.size,className:callbackResult.className||group.className}}function getCallback(framework,group){var callback=undefined;if(framework.options&&framework.options.drawPoints&&framework.options.drawPoints.onRender&&typeof framework.options.drawPoints.onRender==\"function\"){callback=framework.options.drawPoints.onRender}if(group.group.options&&group.group.options.drawPoints&&group.group.options.drawPoints.onRender&&typeof group.group.options.drawPoints.onRender==\"function\"){callback=group.group.options.drawPoints.onRender}return callback}module.exports=Points},function(module,exports,__webpack_require__){\"use strict\";var DOMutil=__webpack_require__(88);function Line(groupId,options){}Line.calcPath=function(dataset,group){if(dataset!=null){if(dataset.length>0){var d=[];if(group.options.interpolation.enabled==true){d=Line._catmullRom(dataset,group)}else{d=Line._linear(dataset)}return d}}};Line.drawIcon=function(group,x,y,iconWidth,iconHeight,framework){var fillHeight=iconHeight*.5;var path,fillPath;var outline=DOMutil.getSVGElement(\"rect\",framework.svgElements,framework.svg);outline.setAttributeNS(null,\"x\",x);outline.setAttributeNS(null,\"y\",y-fillHeight);outline.setAttributeNS(null,\"width\",iconWidth);outline.setAttributeNS(null,\"height\",2*fillHeight);outline.setAttributeNS(null,\"class\",\"vis-outline\");path=DOMutil.getSVGElement(\"path\",framework.svgElements,framework.svg);path.setAttributeNS(null,\"class\",group.className);if(group.style!==undefined){path.setAttributeNS(null,\"style\",group.style)}path.setAttributeNS(null,\"d\",\"M\"+x+\",\"+y+\" L\"+(x+iconWidth)+\",\"+y+\"\");if(group.options.shaded.enabled==true){fillPath=DOMutil.getSVGElement(\"path\",framework.svgElements,framework.svg);if(group.options.shaded.orientation==\"top\"){fillPath.setAttributeNS(null,\"d\",\"M\"+x+\", \"+(y-fillHeight)+\"L\"+x+\",\"+y+\" L\"+(x+iconWidth)+\",\"+y+\" L\"+(x+iconWidth)+\",\"+(y-fillHeight))}else{fillPath.setAttributeNS(null,\"d\",\"M\"+x+\",\"+y+\" \"+\"L\"+x+\",\"+(y+fillHeight)+\" \"+\"L\"+(x+iconWidth)+\",\"+(y+fillHeight)+\"L\"+(x+iconWidth)+\",\"+y)}fillPath.setAttributeNS(null,\"class\",group.className+\" vis-icon-fill\");if(group.options.shaded.style!==undefined&&group.options.shaded.style!==\"\"){fillPath.setAttributeNS(null,\"style\",group.options.shaded.style)}}if(group.options.drawPoints.enabled==true){var groupTemplate={style:group.options.drawPoints.style,styles:group.options.drawPoints.styles,size:group.options.drawPoints.size,className:group.className};DOMutil.drawPoint(x+.5*iconWidth,y,groupTemplate,framework.svgElements,framework.svg)}};Line.drawShading=function(pathArray,group,subPathArray,framework){if(group.options.shaded.enabled==true){var svgHeight=Number(framework.svg.style.height.replace(\"px\",\"\"));var fillPath=DOMutil.getSVGElement(\"path\",framework.svgElements,framework.svg);var type=\"L\";if(group.options.interpolation.enabled==true){type=\"C\"}var dFill;var zero=0;if(group.options.shaded.orientation==\"top\"){zero=0}else if(group.options.shaded.orientation==\"bottom\"){zero=svgHeight}else{zero=Math.min(Math.max(0,group.zeroPosition),svgHeight)}if(group.options.shaded.orientation==\"group\"&&subPathArray!=null&&subPathArray!=undefined){dFill=\"M\"+pathArray[0][0]+\",\"+pathArray[0][1]+\" \"+this.serializePath(pathArray,type,false)+\" L\"+subPathArray[subPathArray.length-1][0]+\",\"+subPathArray[subPathArray.length-1][1]+\" \"+this.serializePath(subPathArray,type,true)+subPathArray[0][0]+\",\"+subPathArray[0][1]+\" Z\"}else{dFill=\"M\"+pathArray[0][0]+\",\"+pathArray[0][1]+\" \"+this.serializePath(pathArray,type,false)+\" V\"+zero+\" H\"+pathArray[0][0]+\" Z\"}fillPath.setAttributeNS(null,\"class\",group.className+\" vis-fill\");if(group.options.shaded.style!==undefined){fillPath.setAttributeNS(null,\"style\",group.options.shaded.style)}fillPath.setAttributeNS(null,\"d\",dFill)}};Line.draw=function(pathArray,group,framework){if(pathArray!=null&&pathArray!=undefined){var path=DOMutil.getSVGElement(\"path\",framework.svgElements,framework.svg);path.setAttributeNS(null,\"class\",group.className);if(group.style!==undefined){path.setAttributeNS(null,\"style\",group.style)}var type=\"L\";if(group.options.interpolation.enabled==true){type=\"C\"}path.setAttributeNS(null,\"d\",\"M\"+pathArray[0][0]+\",\"+pathArray[0][1]+\" \"+this.serializePath(pathArray,type,false))}};Line.serializePath=function(pathArray,type,inverse){if(pathArray.length<2){return\"\"}var d=type;if(inverse){for(var i=pathArray.length-2;i>0;i--){d+=pathArray[i][0]+\",\"+pathArray[i][1]+\" \"}}else{for(var i=1;i<pathArray.length;i++){d+=pathArray[i][0]+\",\"+pathArray[i][1]+\" \"}}return d};Line._catmullRomUniform=function(data){var p0,p1,p2,p3,bp1,bp2;var d=[];d.push([Math.round(data[0].screen_x),Math.round(data[0].screen_y)]);var normalization=1/6;var length=data.length;for(var i=0;i<length-1;i++){p0=i==0?data[0]:data[i-1];p1=data[i];p2=data[i+1];p3=i+2<length?data[i+2]:p2;bp1={screen_x:(-p0.screen_x+6*p1.screen_x+p2.screen_x)*normalization,screen_y:(-p0.screen_y+6*p1.screen_y+p2.screen_y)*normalization};bp2={screen_x:(p1.screen_x+6*p2.screen_x-p3.screen_x)*normalization,screen_y:(p1.screen_y+6*p2.screen_y-p3.screen_y)*normalization};d.push([bp1.screen_x,bp1.screen_y]);d.push([bp2.screen_x,bp2.screen_y]);d.push([p2.screen_x,p2.screen_y])}return d};Line._catmullRom=function(data,group){var alpha=group.options.interpolation.alpha;if(alpha==0||alpha===undefined){return this._catmullRomUniform(data)}else{var p0,p1,p2,p3,bp1,bp2,d1,d2,d3,A,B,N,M;var d3powA,d2powA,d3pow2A,d2pow2A,d1pow2A,d1powA;var d=[];d.push([Math.round(data[0].screen_x),Math.round(data[0].screen_y)]);var length=data.length;for(var i=0;i<length-1;i++){p0=i==0?data[0]:data[i-1];p1=data[i];p2=data[i+1];p3=i+2<length?data[i+2]:p2;d1=Math.sqrt(Math.pow(p0.screen_x-p1.screen_x,2)+Math.pow(p0.screen_y-p1.screen_y,2));d2=Math.sqrt(Math.pow(p1.screen_x-p2.screen_x,2)+Math.pow(p1.screen_y-p2.screen_y,2));d3=Math.sqrt(Math.pow(p2.screen_x-p3.screen_x,2)+Math.pow(p2.screen_y-p3.screen_y,2));d3powA=Math.pow(d3,alpha);d3pow2A=Math.pow(d3,2*alpha);d2powA=Math.pow(d2,alpha);d2pow2A=Math.pow(d2,2*alpha);d1powA=Math.pow(d1,alpha);d1pow2A=Math.pow(d1,2*alpha);A=2*d1pow2A+3*d1powA*d2powA+d2pow2A;B=2*d3pow2A+3*d3powA*d2powA+d2pow2A;N=3*d1powA*(d1powA+d2powA);if(N>0){N=1/N}M=3*d3powA*(d3powA+d2powA);if(M>0){M=1/M}bp1={screen_x:(-d2pow2A*p0.screen_x+A*p1.screen_x+d1pow2A*p2.screen_x)*N,screen_y:(-d2pow2A*p0.screen_y+A*p1.screen_y+d1pow2A*p2.screen_y)*N};bp2={screen_x:(d3pow2A*p1.screen_x+B*p2.screen_x-d2pow2A*p3.screen_x)*M,screen_y:(d3pow2A*p1.screen_y+B*p2.screen_y-d2pow2A*p3.screen_y)*M};if(bp1.screen_x==0&&bp1.screen_y==0){bp1=p1}if(bp2.screen_x==0&&bp2.screen_y==0){bp2=p2}d.push([bp1.screen_x,bp1.screen_y]);d.push([bp2.screen_x,bp2.screen_y]);d.push([p2.screen_x,p2.screen_y])}return d}};Line._linear=function(data){var d=[];for(var i=0;i<data.length;i++){d.push([data[i].screen_x,data[i].screen_y])}return d};module.exports=Line},function(module,exports,__webpack_require__){\"use strict\";var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DOMutil=__webpack_require__(88);var Component=__webpack_require__(128);function Legend(body,options,side,linegraphOptions){this.body=body;this.defaultOptions={enabled:false,icons:true,iconSize:20,iconSpacing:6,left:{visible:true,position:\"top-left\"},right:{visible:true,position:\"top-right\"}};this.side=side;this.options=util.extend({},this.defaultOptions);this.linegraphOptions=linegraphOptions;this.svgElements={};this.dom={};this.groups={};this.amountOfGroups=0;this._create();this.framework={svg:this.svg,svgElements:this.svgElements,options:this.options,groups:this.groups};this.setOptions(options)}Legend.prototype=new Component;Legend.prototype.clear=function(){this.groups={};this.amountOfGroups=0};Legend.prototype.addGroup=function(label,graphOptions){if(graphOptions.options.excludeFromLegend!=true){if(!this.groups.hasOwnProperty(label)){this.groups[label]=graphOptions}this.amountOfGroups+=1}};Legend.prototype.updateGroup=function(label,graphOptions){this.groups[label]=graphOptions};Legend.prototype.removeGroup=function(label){if(this.groups.hasOwnProperty(label)){delete this.groups[label];this.amountOfGroups-=1}};Legend.prototype._create=function(){this.dom.frame=document.createElement(\"div\");this.dom.frame.className=\"vis-legend\";this.dom.frame.style.position=\"absolute\";this.dom.frame.style.top=\"10px\";this.dom.frame.style.display=\"block\";this.dom.textArea=document.createElement(\"div\");this.dom.textArea.className=\"vis-legend-text\";this.dom.textArea.style.position=\"relative\";this.dom.textArea.style.top=\"0px\";this.svg=document.createElementNS(\"http://www.w3.org/2000/svg\",\"svg\");this.svg.style.position=\"absolute\";this.svg.style.top=0+\"px\";this.svg.style.width=this.options.iconSize+5+\"px\";this.svg.style.height=\"100%\";this.dom.frame.appendChild(this.svg);this.dom.frame.appendChild(this.dom.textArea)};Legend.prototype.hide=function(){if(this.dom.frame.parentNode){this.dom.frame.parentNode.removeChild(this.dom.frame)}};Legend.prototype.show=function(){if(!this.dom.frame.parentNode){this.body.dom.center.appendChild(this.dom.frame)}};Legend.prototype.setOptions=function(options){var fields=[\"enabled\",\"orientation\",\"icons\",\"left\",\"right\"];util.selectiveDeepExtend(fields,this.options,options)};Legend.prototype.redraw=function(){var activeGroups=0;var groupArray=(0,_keys2[\"default\"])(this.groups);groupArray.sort(function(a,b){return a<b?-1:1});for(var i=0;i<groupArray.length;i++){var groupId=groupArray[i];if(this.groups[groupId].visible==true&&(this.linegraphOptions.visibility[groupId]===undefined||this.linegraphOptions.visibility[groupId]==true)){activeGroups++}}if(this.options[this.side].visible==false||this.amountOfGroups==0||this.options.enabled==false||activeGroups==0){this.hide()}else{this.show();if(this.options[this.side].position==\"top-left\"||this.options[this.side].position==\"bottom-left\"){this.dom.frame.style.left=\"4px\";this.dom.frame.style.textAlign=\"left\";this.dom.textArea.style.textAlign=\"left\";this.dom.textArea.style.left=this.options.iconSize+15+\"px\";this.dom.textArea.style.right=\"\";this.svg.style.left=0+\"px\";this.svg.style.right=\"\"}else{this.dom.frame.style.right=\"4px\";this.dom.frame.style.textAlign=\"right\";this.dom.textArea.style.textAlign=\"right\";this.dom.textArea.style.right=this.options.iconSize+15+\"px\";this.dom.textArea.style.left=\"\";this.svg.style.right=0+\"px\";this.svg.style.left=\"\"}if(this.options[this.side].position==\"top-left\"||this.options[this.side].position==\"top-right\"){this.dom.frame.style.top=4-Number(this.body.dom.center.style.top.replace(\"px\",\"\"))+\"px\";this.dom.frame.style.bottom=\"\"}else{var scrollableHeight=this.body.domProps.center.height-this.body.domProps.centerContainer.height;this.dom.frame.style.bottom=4+scrollableHeight+Number(this.body.dom.center.style.top.replace(\"px\",\"\"))+\"px\";this.dom.frame.style.top=\"\"}if(this.options.icons==false){this.dom.frame.style.width=this.dom.textArea.offsetWidth+10+\"px\";this.dom.textArea.style.right=\"\";this.dom.textArea.style.left=\"\";this.svg.style.width=\"0px\"}else{this.dom.frame.style.width=this.options.iconSize+15+this.dom.textArea.offsetWidth+10+\"px\";this.drawLegendIcons()}var content=\"\";for(var i=0;i<groupArray.length;i++){var groupId=groupArray[i];if(this.groups[groupId].visible==true&&(this.linegraphOptions.visibility[groupId]===undefined||this.linegraphOptions.visibility[groupId]==true)){content+=this.groups[groupId].content+\"<br />\"}}this.dom.textArea.innerHTML=content;this.dom.textArea.style.lineHeight=.75*this.options.iconSize+this.options.iconSpacing+\"px\"}};Legend.prototype.drawLegendIcons=function(){if(this.dom.frame.parentNode){var groupArray=(0,_keys2[\"default\"])(this.groups);groupArray.sort(function(a,b){return a<b?-1:1});DOMutil.resetElements(this.svgElements);var padding=window.getComputedStyle(this.dom.frame).paddingTop;var iconOffset=Number(padding.replace(\"px\",\"\"));var x=iconOffset;var iconWidth=this.options.iconSize;var iconHeight=.75*this.options.iconSize;var y=iconOffset+.5*iconHeight+3;this.svg.style.width=iconWidth+5+iconOffset+\"px\";for(var i=0;i<groupArray.length;i++){var groupId=groupArray[i];if(this.groups[groupId].visible==true&&(this.linegraphOptions.visibility[groupId]===undefined||this.linegraphOptions.visibility[groupId]==true)){this.groups[groupId].getLegend(iconWidth,iconHeight,this.framework,x,y);y+=iconHeight+this.options.iconSpacing}}}};module.exports=Legend},function(module,exports){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var string=\"string\";var bool=\"boolean\";var number=\"number\";var array=\"array\";var date=\"date\";var object=\"object\";var dom=\"dom\";var moment=\"moment\";var any=\"any\";var allOptions={configure:{enabled:{boolean:bool},filter:{boolean:bool,function:\"function\"},container:{dom:dom},__type__:{object:object,boolean:bool,function:\"function\"}},yAxisOrientation:{string:[\"left\",\"right\"]},defaultGroup:{string:string},sort:{boolean:bool},sampling:{boolean:bool},stack:{boolean:bool},graphHeight:{string:string,number:number},shaded:{enabled:{boolean:bool},orientation:{string:[\"bottom\",\"top\",\"zero\",\"group\"]},groupId:{object:object},__type__:{boolean:bool,object:object}},style:{string:[\"line\",\"bar\",\"points\"]},barChart:{width:{number:number},minWidth:{number:number},sideBySide:{boolean:bool},align:{string:[\"left\",\"center\",\"right\"]},__type__:{object:object}},interpolation:{enabled:{boolean:bool},parametrization:{string:[\"centripetal\",\"chordal\",\"uniform\"]},alpha:{number:number},__type__:{object:object,boolean:bool}},drawPoints:{enabled:{boolean:bool},onRender:{function:\"function\"},size:{number:number},style:{string:[\"square\",\"circle\"]},__type__:{object:object,boolean:bool,function:\"function\"}},dataAxis:{showMinorLabels:{boolean:bool},showMajorLabels:{boolean:bool},icons:{boolean:bool},width:{string:string,number:number},visible:{boolean:bool},alignZeros:{boolean:bool},left:{range:{min:{number:number,undefined:\"undefined\"},max:{number:number,undefined:\"undefined\"},__type__:{object:object}},format:{function:\"function\"},title:{text:{string:string,number:number,undefined:\"undefined\"},style:{string:string,undefined:\"undefined\"},__type__:{object:object}},__type__:{object:object}},right:{range:{min:{number:number,undefined:\"undefined\"},max:{number:number,undefined:\"undefined\"},__type__:{object:object}},format:{function:\"function\"},title:{text:{string:string,number:number,undefined:\"undefined\"},style:{string:string,undefined:\"undefined\"},__type__:{object:object}},__type__:{object:object}},__type__:{object:object}},legend:{enabled:{boolean:bool},icons:{boolean:bool},left:{visible:{boolean:bool},position:{string:[\"top-right\",\"bottom-right\",\"top-left\",\"bottom-left\"]},__type__:{object:object}},right:{visible:{boolean:bool},position:{string:[\"top-right\",\"bottom-right\",\"top-left\",\"bottom-left\"]},__type__:{object:object}},__type__:{object:object,boolean:bool}},groups:{visibility:{any:any},__type__:{object:object}},autoResize:{boolean:bool},throttleRedraw:{number:number},clickToUse:{boolean:bool},end:{number:number,date:date,string:string,moment:moment},format:{minorLabels:{millisecond:{string:string,undefined:\"undefined\"},second:{string:string,undefined:\"undefined\"},minute:{string:string,undefined:\"undefined\"},hour:{string:string,undefined:\"undefined\"},weekday:{string:string,undefined:\"undefined\"},day:{string:string,undefined:\"undefined\"},month:{string:string,undefined:\"undefined\"},year:{string:string,undefined:\"undefined\"},__type__:{object:object}},majorLabels:{millisecond:{string:string,undefined:\"undefined\"},second:{string:string,undefined:\"undefined\"},minute:{string:string,undefined:\"undefined\"},hour:{string:string,undefined:\"undefined\"},weekday:{string:string,undefined:\"undefined\"},day:{string:string,undefined:\"undefined\"},month:{string:string,undefined:\"undefined\"},year:{string:string,undefined:\"undefined\"},__type__:{object:object}},__type__:{object:object}},moment:{function:\"function\"},height:{string:string,number:number},hiddenDates:{start:{date:date,number:number,string:string,moment:moment},end:{date:date,number:number,string:string,moment:moment},repeat:{string:string},__type__:{object:object,array:array}},locale:{string:string},locales:{__any__:{any:any},__type__:{object:object}},max:{date:date,number:number,string:string,moment:moment},maxHeight:{number:number,string:string},maxMinorChars:{number:number},min:{date:date,number:number,string:string,moment:moment},minHeight:{number:number,string:string},moveable:{boolean:bool},multiselect:{boolean:bool},orientation:{string:string},showCurrentTime:{boolean:bool},showMajorLabels:{boolean:bool},showMinorLabels:{boolean:bool},start:{date:date,number:number,string:string,moment:moment},timeAxis:{scale:{string:string,undefined:\"undefined\"},step:{number:number,undefined:\"undefined\"},__type__:{object:object}},width:{string:string,number:number},zoomable:{boolean:bool},zoomKey:{string:[\"ctrlKey\",\"altKey\",\"metaKey\",\"\"]},zoomMax:{number:number},zoomMin:{number:number},zIndex:{number:number},__type__:{object:object}};var configureOptions={global:{sort:true,sampling:true,stack:false,shaded:{enabled:false,orientation:[\"zero\",\"top\",\"bottom\",\"group\"]},style:[\"line\",\"bar\",\"points\"],barChart:{width:[50,5,100,5],minWidth:[50,5,100,5],sideBySide:false,align:[\"left\",\"center\",\"right\"]},interpolation:{enabled:true,parametrization:[\"centripetal\",\"chordal\",\"uniform\"]},drawPoints:{enabled:true,size:[6,2,30,1],style:[\"square\",\"circle\"]},dataAxis:{showMinorLabels:true,showMajorLabels:true,icons:false,width:[40,0,200,1],visible:true,alignZeros:true,left:{title:{text:\"\",style:\"\"}},right:{title:{text:\"\",style:\"\"}}},legend:{enabled:false,icons:true,left:{visible:true,position:[\"top-right\",\"bottom-right\",\"top-left\",\"bottom-left\"]},right:{visible:true,position:[\"top-right\",\"bottom-right\",\"top-left\",\"bottom-left\"]}},autoResize:true,clickToUse:false,end:\"\",format:{minorLabels:{millisecond:\"SSS\",second:\"s\",minute:\"HH:mm\",hour:\"HH:mm\",weekday:\"ddd D\",day:\"D\",month:\"MMM\",year:\"YYYY\"},majorLabels:{millisecond:\"HH:mm:ss\",second:\"D MMMM HH:mm\",minute:\"ddd D MMMM\",hour:\"ddd D MMMM\",weekday:\"MMMM YYYY\",day:\"MMMM YYYY\",month:\"YYYY\",year:\"\"}},height:\"\",locale:\"\",max:\"\",maxHeight:\"\",maxMinorChars:[7,0,20,1],min:\"\",minHeight:\"\",moveable:true,orientation:[\"both\",\"bottom\",\"top\"],showCurrentTime:false,showMajorLabels:true,showMinorLabels:true,start:\"\",width:\"100%\",zoomable:true,zoomKey:[\"ctrlKey\",\"altKey\",\"metaKey\",\"\"],zoomMax:[31536e10,10,31536e10,1],zoomMin:[10,10,31536e10,1],zIndex:0}};exports.allOptions=allOptions;exports.configureOptions=configureOptions},function(module,exports,__webpack_require__){\"use strict\";exports.util=__webpack_require__(1);exports.DOMutil=__webpack_require__(88);exports.DataSet=__webpack_require__(89);exports.DataView=__webpack_require__(93);exports.Queue=__webpack_require__(92);exports.Network=__webpack_require__(159);exports.network={Images:__webpack_require__(160),dotparser:__webpack_require__(228),gephiParser:__webpack_require__(229),allOptions:__webpack_require__(224)};exports.network.convertDot=function(input){return exports.network.dotparser.DOTToGraph(input)};exports.network.convertGephi=function(input,options){return exports.network.gephiParser.parseGephi(input,options)};exports.moment=__webpack_require__(82);exports.Hammer=__webpack_require__(112);exports.keycharm=__webpack_require__(115)},function(module,exports,__webpack_require__){\"use strict\";var _Images=__webpack_require__(160);var _Images2=_interopRequireDefault(_Images);var _Groups=__webpack_require__(161);var _Groups2=_interopRequireDefault(_Groups);var _NodesHandler=__webpack_require__(162);var _NodesHandler2=_interopRequireDefault(_NodesHandler);var _EdgesHandler=__webpack_require__(195);var _EdgesHandler2=_interopRequireDefault(_EdgesHandler);var _PhysicsEngine=__webpack_require__(204);var _PhysicsEngine2=_interopRequireDefault(_PhysicsEngine);var _Clustering=__webpack_require__(213);var _Clustering2=_interopRequireDefault(_Clustering);var _CanvasRenderer=__webpack_require__(216);var _CanvasRenderer2=_interopRequireDefault(_CanvasRenderer);var _Canvas=__webpack_require__(217);var _Canvas2=_interopRequireDefault(_Canvas);var _View=__webpack_require__(218);var _View2=_interopRequireDefault(_View);var _InteractionHandler=__webpack_require__(219);var _InteractionHandler2=_interopRequireDefault(_InteractionHandler);var _SelectionHandler=__webpack_require__(221);var _SelectionHandler2=_interopRequireDefault(_SelectionHandler);var _LayoutEngine=__webpack_require__(222);var _LayoutEngine2=_interopRequireDefault(_LayoutEngine);var _ManipulationSystem=__webpack_require__(223);var _ManipulationSystem2=_interopRequireDefault(_ManipulationSystem);var _Configurator=__webpack_require__(118);var _Configurator2=_interopRequireDefault(_Configurator);var _Validator=__webpack_require__(126);var _Validator2=_interopRequireDefault(_Validator);var _options=__webpack_require__(224);var _KamadaKawai=__webpack_require__(225);var _KamadaKawai2=_interopRequireDefault(_KamadaKawai);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}__webpack_require__(227);var Emitter=__webpack_require__(99);var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var dotparser=__webpack_require__(228);var gephiParser=__webpack_require__(229);var Activator=__webpack_require__(143);var locales=__webpack_require__(230);function Network(container,data,options){var _this=this;if(!(this instanceof Network)){throw new SyntaxError(\"Constructor must be called with the new operator\")}this.options={};this.defaultOptions={locale:\"en\",locales:locales,clickToUse:false};util.extend(this.options,this.defaultOptions);this.body={container:container,nodes:{},nodeIndices:[],edges:{},edgeIndices:[],emitter:{on:this.on.bind(this),off:this.off.bind(this),emit:this.emit.bind(this),once:this.once.bind(this)},eventListeners:{onTap:function onTap(){},onTouch:function onTouch(){},onDoubleTap:function onDoubleTap(){},onHold:function onHold(){},onDragStart:function onDragStart(){},onDrag:function onDrag(){},onDragEnd:function onDragEnd(){},onMouseWheel:function onMouseWheel(){},onPinch:function onPinch(){},onMouseMove:function onMouseMove(){},onRelease:function onRelease(){},onContext:function onContext(){}},data:{nodes:null,edges:null},functions:{createNode:function createNode(){},createEdge:function createEdge(){},getPointer:function getPointer(){}},modules:{},view:{scale:1,translation:{x:0,y:0}}};this.bindEventListeners();this.images=new _Images2[\"default\"](function(){return _this.body.emitter.emit(\"_requestRedraw\")});this.groups=new _Groups2[\"default\"];this.canvas=new _Canvas2[\"default\"](this.body);this.selectionHandler=new _SelectionHandler2[\"default\"](this.body,this.canvas);this.interactionHandler=new _InteractionHandler2[\"default\"](this.body,this.canvas,this.selectionHandler);this.view=new _View2[\"default\"](this.body,this.canvas);this.renderer=new _CanvasRenderer2[\"default\"](this.body,this.canvas);this.physics=new _PhysicsEngine2[\"default\"](this.body);this.layoutEngine=new _LayoutEngine2[\"default\"](this.body);this.clustering=new _Clustering2[\"default\"](this.body);this.manipulation=new _ManipulationSystem2[\"default\"](this.body,this.canvas,this.selectionHandler);this.nodesHandler=new _NodesHandler2[\"default\"](this.body,this.images,this.groups,this.layoutEngine);this.edgesHandler=new _EdgesHandler2[\"default\"](this.body,this.images,this.groups);this.body.modules[\"kamadaKawai\"]=new _KamadaKawai2[\"default\"](this.body,150,.05);this.body.modules[\"clustering\"]=this.clustering;this.canvas._create();this.setOptions(options);this.setData(data)}Emitter(Network.prototype);Network.prototype.setOptions=function(options){var _this2=this;if(options!==undefined){var errorFound=_Validator2[\"default\"].validate(options,_options.allOptions);if(errorFound===true){console.log(\"%cErrors have been found in the supplied options object.\",_Validator.printStyle)}var fields=[\"locale\",\"locales\",\"clickToUse\"];util.selectiveDeepExtend(fields,this.options,options);options=this.layoutEngine.setOptions(options.layout,options);this.canvas.setOptions(options);this.groups.setOptions(options.groups);this.nodesHandler.setOptions(options.nodes);this.edgesHandler.setOptions(options.edges);this.physics.setOptions(options.physics);this.manipulation.setOptions(options.manipulation,options,this.options);this.interactionHandler.setOptions(options.interaction);this.renderer.setOptions(options.interaction);this.selectionHandler.setOptions(options.interaction);if(options.groups!==undefined){this.body.emitter.emit(\"refreshNodes\")}if(\"configure\"in options){if(!this.configurator){this.configurator=new _Configurator2[\"default\"](this,this.body.container,_options.configureOptions,this.canvas.pixelRatio)}this.configurator.setOptions(options.configure)}if(this.configurator&&this.configurator.options.enabled===true){var networkOptions={nodes:{},edges:{},layout:{},interaction:{},manipulation:{},physics:{},global:{}};util.deepExtend(networkOptions.nodes,this.nodesHandler.options);util.deepExtend(networkOptions.edges,this.edgesHandler.options);util.deepExtend(networkOptions.layout,this.layoutEngine.options);util.deepExtend(networkOptions.interaction,this.selectionHandler.options);util.deepExtend(networkOptions.interaction,this.renderer.options);util.deepExtend(networkOptions.interaction,this.interactionHandler.options);util.deepExtend(networkOptions.manipulation,this.manipulation.options);util.deepExtend(networkOptions.physics,this.physics.options);util.deepExtend(networkOptions.global,this.canvas.options);util.deepExtend(networkOptions.global,this.options);this.configurator.setModuleOptions(networkOptions)}if(options.clickToUse!==undefined){if(options.clickToUse===true){if(this.activator===undefined){this.activator=new Activator(this.canvas.frame);this.activator.on(\"change\",function(){_this2.body.emitter.emit(\"activate\")})}}else{if(this.activator!==undefined){this.activator.destroy();delete this.activator}this.body.emitter.emit(\"activate\")}}else{this.body.emitter.emit(\"activate\")}this.canvas.setSize();this.body.emitter.emit(\"startSimulation\")}};Network.prototype._updateVisibleIndices=function(){var nodes=this.body.nodes;var edges=this.body.edges;this.body.nodeIndices=[];this.body.edgeIndices=[];for(var nodeId in nodes){if(nodes.hasOwnProperty(nodeId)){if(nodes[nodeId].options.hidden===false){this.body.nodeIndices.push(nodes[nodeId].id)}}}for(var edgeId in edges){if(edges.hasOwnProperty(edgeId)){if(edges[edgeId].options.hidden===false){this.body.edgeIndices.push(edges[edgeId].id)}}}};Network.prototype.bindEventListeners=function(){var _this3=this;this.body.emitter.on(\"_dataChanged\",function(){_this3._updateVisibleIndices();_this3.body.emitter.emit(\"_requestRedraw\");_this3.body.emitter.emit(\"_dataUpdated\")});this.body.emitter.on(\"_dataUpdated\",function(){_this3._updateValueRange(_this3.body.nodes);_this3._updateValueRange(_this3.body.edges);_this3.body.emitter.emit(\"startSimulation\");_this3.body.emitter.emit(\"_requestRedraw\")})};Network.prototype.setData=function(data){this.body.emitter.emit(\"resetPhysics\");this.body.emitter.emit(\"_resetData\");this.selectionHandler.unselectAll();if(data&&data.dot&&(data.nodes||data.edges)){throw new SyntaxError('Data must contain either parameter \"dot\" or '+' parameter pair \"nodes\" and \"edges\", but not both.')}this.setOptions(data&&data.options);if(data&&data.dot){console.log(\"The dot property has been deprecated. Please use the static convertDot method to convert DOT into vis.network format and use the normal data format with nodes and edges. This converter is used like this: var data = vis.network.convertDot(dotString);\");var dotData=dotparser.DOTToGraph(data.dot);this.setData(dotData);return}else if(data&&data.gephi){console.log(\"The gephi property has been deprecated. Please use the static convertGephi method to convert gephi into vis.network format and use the normal data format with nodes and edges. This converter is used like this: var data = vis.network.convertGephi(gephiJson);\");var gephiData=gephiParser.parseGephi(data.gephi);this.setData(gephiData);return}else{this.nodesHandler.setData(data&&data.nodes,true);this.edgesHandler.setData(data&&data.edges,true)}this.body.emitter.emit(\"_dataChanged\");this.body.emitter.emit(\"_dataLoaded\");this.body.emitter.emit(\"initPhysics\")};Network.prototype.destroy=function(){this.body.emitter.emit(\"destroy\");this.body.emitter.off();this.off();delete this.groups;delete this.canvas;delete this.selectionHandler;delete this.interactionHandler;delete this.view;delete this.renderer;delete this.physics;delete this.layoutEngine;delete this.clustering;delete this.manipulation;delete this.nodesHandler;delete this.edgesHandler;delete this.configurator;delete this.images;for(var nodeId in this.body.nodes){delete this.body.nodes[nodeId]}for(var edgeId in this.body.edges){delete this.body.edges[edgeId]}util.recursiveDOMDelete(this.body.container)};Network.prototype._updateValueRange=function(obj){var id;var valueMin=undefined;var valueMax=undefined;var valueTotal=0;for(id in obj){if(obj.hasOwnProperty(id)){var value=obj[id].getValue();if(value!==undefined){valueMin=valueMin===undefined?value:Math.min(value,valueMin);valueMax=valueMax===undefined?value:Math.max(value,valueMax);valueTotal+=value}}}if(valueMin!==undefined&&valueMax!==undefined){for(id in obj){if(obj.hasOwnProperty(id)){obj[id].setValueRange(valueMin,valueMax,valueTotal)}}}};Network.prototype.isActive=function(){return!this.activator||this.activator.active};Network.prototype.setSize=function(){return this.canvas.setSize.apply(this.canvas,arguments)};Network.prototype.canvasToDOM=function(){return this.canvas.canvasToDOM.apply(this.canvas,arguments)};Network.prototype.DOMtoCanvas=function(){return this.canvas.DOMtoCanvas.apply(this.canvas,arguments)};Network.prototype.findNode=function(){return this.clustering.findNode.apply(this.clustering,arguments)};Network.prototype.isCluster=function(){return this.clustering.isCluster.apply(this.clustering,arguments)};Network.prototype.openCluster=function(){return this.clustering.openCluster.apply(this.clustering,arguments)};Network.prototype.cluster=function(){return this.clustering.cluster.apply(this.clustering,arguments)};Network.prototype.getNodesInCluster=function(){return this.clustering.getNodesInCluster.apply(this.clustering,arguments)};Network.prototype.clusterByConnection=function(){return this.clustering.clusterByConnection.apply(this.clustering,arguments)};Network.prototype.clusterByHubsize=function(){return this.clustering.clusterByHubsize.apply(this.clustering,arguments)};Network.prototype.clusterOutliers=function(){return this.clustering.clusterOutliers.apply(this.clustering,arguments)};Network.prototype.getSeed=function(){return this.layoutEngine.getSeed.apply(this.layoutEngine,arguments)};Network.prototype.enableEditMode=function(){return this.manipulation.enableEditMode.apply(this.manipulation,arguments)};Network.prototype.disableEditMode=function(){return this.manipulation.disableEditMode.apply(this.manipulation,arguments)};Network.prototype.addNodeMode=function(){return this.manipulation.addNodeMode.apply(this.manipulation,arguments)};Network.prototype.editNode=function(){return this.manipulation.editNode.apply(this.manipulation,arguments)};Network.prototype.editNodeMode=function(){console.log(\"Deprecated: Please use editNode instead of editNodeMode.\");return this.manipulation.editNode.apply(this.manipulation,arguments)};Network.prototype.addEdgeMode=function(){return this.manipulation.addEdgeMode.apply(this.manipulation,arguments)};Network.prototype.editEdgeMode=function(){return this.manipulation.editEdgeMode.apply(this.manipulation,arguments)};Network.prototype.deleteSelected=function(){return this.manipulation.deleteSelected.apply(this.manipulation,arguments)};Network.prototype.getPositions=function(){return this.nodesHandler.getPositions.apply(this.nodesHandler,arguments)};Network.prototype.storePositions=function(){return this.nodesHandler.storePositions.apply(this.nodesHandler,arguments)};Network.prototype.moveNode=function(){return this.nodesHandler.moveNode.apply(this.nodesHandler,arguments)};Network.prototype.getBoundingBox=function(){return this.nodesHandler.getBoundingBox.apply(this.nodesHandler,arguments)};Network.prototype.getConnectedNodes=function(objectId){if(this.body.nodes[objectId]!==undefined){return this.nodesHandler.getConnectedNodes.apply(this.nodesHandler,arguments)}else{return this.edgesHandler.getConnectedNodes.apply(this.edgesHandler,arguments)}};Network.prototype.getConnectedEdges=function(){return this.nodesHandler.getConnectedEdges.apply(this.nodesHandler,arguments)};Network.prototype.startSimulation=function(){return this.physics.startSimulation.apply(this.physics,arguments)};Network.prototype.stopSimulation=function(){return this.physics.stopSimulation.apply(this.physics,arguments)};Network.prototype.stabilize=function(){return this.physics.stabilize.apply(this.physics,arguments)};Network.prototype.getSelection=function(){return this.selectionHandler.getSelection.apply(this.selectionHandler,arguments)};Network.prototype.setSelection=function(){return this.selectionHandler.setSelection.apply(this.selectionHandler,arguments)};Network.prototype.getSelectedNodes=function(){return this.selectionHandler.getSelectedNodes.apply(this.selectionHandler,arguments)};Network.prototype.getSelectedEdges=function(){return this.selectionHandler.getSelectedEdges.apply(this.selectionHandler,arguments)};Network.prototype.getNodeAt=function(){var node=this.selectionHandler.getNodeAt.apply(this.selectionHandler,arguments);if(node!==undefined&&node.id!==undefined){return node.id}return node};Network.prototype.getEdgeAt=function(){var edge=this.selectionHandler.getEdgeAt.apply(this.selectionHandler,arguments);if(edge!==undefined&&edge.id!==undefined){return edge.id}return edge};Network.prototype.selectNodes=function(){return this.selectionHandler.selectNodes.apply(this.selectionHandler,arguments)};Network.prototype.selectEdges=function(){return this.selectionHandler.selectEdges.apply(this.selectionHandler,arguments)};Network.prototype.unselectAll=function(){this.selectionHandler.unselectAll.apply(this.selectionHandler,arguments);this.redraw()};Network.prototype.redraw=function(){return this.renderer.redraw.apply(this.renderer,arguments)};Network.prototype.getScale=function(){return this.view.getScale.apply(this.view,arguments)};Network.prototype.getViewPosition=function(){return this.view.getViewPosition.apply(this.view,arguments)};Network.prototype.fit=function(){return this.view.fit.apply(this.view,arguments)};Network.prototype.moveTo=function(){return this.view.moveTo.apply(this.view,arguments)};Network.prototype.focus=function(){return this.view.focus.apply(this.view,arguments)};Network.prototype.releaseNode=function(){return this.view.releaseNode.apply(this.view,arguments)};Network.prototype.getOptionsFromConfigurator=function(){var options={};if(this.configurator){options=this.configurator.getOptions.apply(this.configurator)}return options};module.exports=Network},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Images=function(){function Images(callback){(0,_classCallCheck3[\"default\"])(this,Images);this.images={};this.imageBroken={};this.callback=callback}(0,_createClass3[\"default\"])(Images,[{key:\"_addImageToCache\",value:function _addImageToCache(url,imageToCache){if(imageToCache.width===0){document.body.appendChild(imageToCache);imageToCache.width=imageToCache.offsetWidth;imageToCache.height=imageToCache.offsetHeight;document.body.removeChild(imageToCache)}this.images[url]=imageToCache}},{key:\"_tryloadBrokenUrl\",value:function _tryloadBrokenUrl(url,brokenUrl,imageToLoadBrokenUrlOn){var _this=this;if(url===undefined||brokenUrl===undefined||imageToLoadBrokenUrlOn===undefined)return;imageToLoadBrokenUrlOn.onerror=function(){console.error(\"Could not load brokenImage:\",brokenUrl);_this._addImageToCache(url,new Image)};imageToLoadBrokenUrlOn.src=brokenUrl}},{key:\"_redrawWithImage\",value:function _redrawWithImage(imageToRedrawWith){if(this.callback){this.callback(imageToRedrawWith)}}},{key:\"load\",value:function load(url,brokenUrl,id){var _this2=this;var cachedImage=this.images[url];if(cachedImage)return cachedImage;var img=new Image;img.onload=function(){_this2._addImageToCache(url,img);_this2._redrawWithImage(img)};img.onerror=function(){console.error(\"Could not load image:\",url);_this2._tryloadBrokenUrl(url,brokenUrl,img)};img.src=url;return img}}]);return Images}();exports[\"default\"]=Images},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Groups=function(){function Groups(){(0,_classCallCheck3[\"default\"])(this,Groups);this.clear();this.defaultIndex=0;this.groupsArray=[];this.groupIndex=0;this.defaultGroups=[{border:\"#2B7CE9\",background:\"#97C2FC\",highlight:{border:\"#2B7CE9\",background:\"#D2E5FF\"},hover:{border:\"#2B7CE9\",background:\"#D2E5FF\"}},{border:\"#FFA500\",background:\"#FFFF00\",highlight:{border:\"#FFA500\",background:\"#FFFFA3\"},hover:{border:\"#FFA500\",background:\"#FFFFA3\"}},{border:\"#FA0A10\",background:\"#FB7E81\",highlight:{border:\"#FA0A10\",background:\"#FFAFB1\"},hover:{border:\"#FA0A10\",background:\"#FFAFB1\"}},{border:\"#41A906\",background:\"#7BE141\",highlight:{border:\"#41A906\",background:\"#A1EC76\"},hover:{border:\"#41A906\",background:\"#A1EC76\"}},{border:\"#E129F0\",background:\"#EB7DF4\",highlight:{border:\"#E129F0\",background:\"#F0B3F5\"},hover:{border:\"#E129F0\",background:\"#F0B3F5\"}},{border:\"#7C29F0\",background:\"#AD85E4\",highlight:{border:\"#7C29F0\",background:\"#D3BDF0\"},hover:{border:\"#7C29F0\",background:\"#D3BDF0\"}},{border:\"#C37F00\",background:\"#FFA807\",highlight:{border:\"#C37F00\",background:\"#FFCA66\"},hover:{border:\"#C37F00\",background:\"#FFCA66\"}},{border:\"#4220FB\",background:\"#6E6EFD\",highlight:{border:\"#4220FB\",background:\"#9B9BFD\"},hover:{border:\"#4220FB\",background:\"#9B9BFD\"}},{border:\"#FD5A77\",background:\"#FFC0CB\",highlight:{border:\"#FD5A77\",background:\"#FFD1D9\"},hover:{border:\"#FD5A77\",background:\"#FFD1D9\"}},{border:\"#4AD63A\",background:\"#C2FABC\",highlight:{border:\"#4AD63A\",background:\"#E6FFE3\"},hover:{border:\"#4AD63A\",background:\"#E6FFE3\"}},{border:\"#990000\",background:\"#EE0000\",highlight:{border:\"#BB0000\",background:\"#FF3333\"},hover:{border:\"#BB0000\",background:\"#FF3333\"}},{border:\"#FF6000\",background:\"#FF6000\",highlight:{border:\"#FF6000\",background:\"#FF6000\"},hover:{border:\"#FF6000\",background:\"#FF6000\"}},{border:\"#97C2FC\",background:\"#2B7CE9\",highlight:{border:\"#D2E5FF\",background:\"#2B7CE9\"},hover:{border:\"#D2E5FF\",background:\"#2B7CE9\"}},{border:\"#399605\",background:\"#255C03\",highlight:{border:\"#399605\",background:\"#255C03\"},hover:{border:\"#399605\",background:\"#255C03\"}},{border:\"#B70054\",background:\"#FF007E\",highlight:{border:\"#B70054\",background:\"#FF007E\"},hover:{border:\"#B70054\",background:\"#FF007E\"}},{border:\"#AD85E4\",background:\"#7C29F0\",highlight:{border:\"#D3BDF0\",background:\"#7C29F0\"},hover:{border:\"#D3BDF0\",background:\"#7C29F0\"}},{border:\"#4557FA\",background:\"#000EA1\",highlight:{border:\"#6E6EFD\",background:\"#000EA1\"},hover:{border:\"#6E6EFD\",background:\"#000EA1\"}},{border:\"#FFC0CB\",background:\"#FD5A77\",highlight:{border:\"#FFD1D9\",background:\"#FD5A77\"},hover:{border:\"#FFD1D9\",background:\"#FD5A77\"}},{border:\"#C2FABC\",background:\"#74D66A\",highlight:{border:\"#E6FFE3\",background:\"#74D66A\"},hover:{border:\"#E6FFE3\",background:\"#74D66A\"}},{border:\"#EE0000\",background:\"#990000\",highlight:{border:\"#FF3333\",background:\"#BB0000\"},hover:{border:\"#FF3333\",background:\"#BB0000\"}}];this.options={};this.defaultOptions={useDefaultGroups:true};util.extend(this.options,this.defaultOptions)}(0,_createClass3[\"default\"])(Groups,[{key:\"setOptions\",value:function setOptions(options){var optionFields=[\"useDefaultGroups\"];if(options!==undefined){for(var groupName in options){if(options.hasOwnProperty(groupName)){if(optionFields.indexOf(groupName)===-1){var group=options[groupName];this.add(groupName,group)}}}}}},{key:\"clear\",value:function clear(){this.groups={};this.groupsArray=[]}},{key:\"get\",value:function get(groupname){var group=this.groups[groupname];if(group===undefined){if(this.options.useDefaultGroups===false&&this.groupsArray.length>0){var index=this.groupIndex%this.groupsArray.length;this.groupIndex++;group={};group.color=this.groups[this.groupsArray[index]];this.groups[groupname]=group}else{var _index=this.defaultIndex%this.defaultGroups.length;this.defaultIndex++;group={};group.color=this.defaultGroups[_index];this.groups[groupname]=group}}return group}},{key:\"add\",value:function add(groupName,style){this.groups[groupName]=style;this.groupsArray.push(groupName);return style}}]);return Groups}();exports[\"default\"]=Groups},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _Node=__webpack_require__(163);var _Node2=_interopRequireDefault(_Node);var _Label=__webpack_require__(164);var _Label2=_interopRequireDefault(_Label);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var NodesHandler=function(){function NodesHandler(body,images,groups,layoutEngine){var _this=this;(0,_classCallCheck3[\"default\"])(this,NodesHandler);this.body=body;this.images=images;this.groups=groups;this.layoutEngine=layoutEngine;this.body.functions.createNode=this.create.bind(this);this.nodesListeners={add:function add(event,params){_this.add(params.items)},update:function update(event,params){_this.update(params.items,params.data)},remove:function remove(event,params){_this.remove(params.items)}};this.options={};this.defaultOptions={borderWidth:1,borderWidthSelected:2,brokenImage:undefined,color:{border:\"#2B7CE9\",background:\"#97C2FC\",highlight:{border:\"#2B7CE9\",background:\"#D2E5FF\"},hover:{border:\"#2B7CE9\",background:\"#D2E5FF\"}},fixed:{x:false,y:false},font:{color:\"#343434\",size:14,face:\"arial\",background:\"none\",strokeWidth:0,strokeColor:\"#ffffff\",align:\"center\",vadjust:0,multi:false,bold:{mod:\"bold\"},boldital:{mod:\"bold italic\"},ital:{mod:\"italic\"},mono:{mod:\"\",size:15,face:\"courier new\",vadjust:2}},group:undefined,hidden:false,icon:{face:\"FontAwesome\",code:undefined,size:50,color:\"#2B7CE9\"},image:undefined,label:undefined,labelHighlightBold:true,level:undefined,margin:{top:5,right:5,bottom:5,left:5},mass:1,physics:true,scaling:{min:10,max:30,label:{enabled:false,min:14,max:30,maxVisible:30,drawThreshold:5},customScalingFunction:function customScalingFunction(min,max,total,value){if(max===min){return.5}else{var scale=1/(max-min);return Math.max(0,(value-min)*scale)}}},shadow:{enabled:false,color:\"rgba(0,0,0,0.5)\",size:10,x:5,y:5},shape:\"ellipse\",shapeProperties:{borderDashes:false,borderRadius:6,interpolation:true,useImageSize:false,useBorderWithImage:false},size:25,title:undefined,value:undefined,x:undefined,y:undefined};util.extend(this.options,this.defaultOptions);this.bindEventListeners()}(0,_createClass3[\"default\"])(NodesHandler,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this2=this;this.body.emitter.on(\"refreshNodes\",this.refresh.bind(this));this.body.emitter.on(\"refresh\",this.refresh.bind(this));this.body.emitter.on(\"destroy\",function(){util.forEach(_this2.nodesListeners,function(callback,event){if(_this2.body.data.nodes)_this2.body.data.nodes.off(event,callback)});delete _this2.body.functions.createNode;delete _this2.nodesListeners.add;delete _this2.nodesListeners.update;delete _this2.nodesListeners.remove;delete _this2.nodesListeners})}},{key:\"setOptions\",value:function setOptions(options){this.nodeOptions=options;if(options!==undefined){_Node2[\"default\"].parseOptions(this.options,options);if(options.shape!==undefined){for(var nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){this.body.nodes[nodeId].updateShape()}}}if(options.font!==undefined){_Label2[\"default\"].parseOptions(this.options.font,options);for(var _nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(_nodeId)){this.body.nodes[_nodeId].updateLabelModule();this.body.nodes[_nodeId]._reset()}}}if(options.size!==undefined){for(var _nodeId2 in this.body.nodes){if(this.body.nodes.hasOwnProperty(_nodeId2)){this.body.nodes[_nodeId2]._reset()}}}if(options.hidden!==undefined||options.physics!==undefined){this.body.emitter.emit(\"_dataChanged\")}}}},{key:\"setData\",value:function setData(nodes){var doNotEmit=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var oldNodesData=this.body.data.nodes;if(nodes instanceof DataSet||nodes instanceof DataView){this.body.data.nodes=nodes}else if(Array.isArray(nodes)){this.body.data.nodes=new DataSet;this.body.data.nodes.add(nodes)}else if(!nodes){this.body.data.nodes=new DataSet}else{throw new TypeError(\"Array or DataSet expected\")}if(oldNodesData){util.forEach(this.nodesListeners,function(callback,event){oldNodesData.off(event,callback)})}this.body.nodes={};if(this.body.data.nodes){var me=this;util.forEach(this.nodesListeners,function(callback,event){me.body.data.nodes.on(event,callback)});var ids=this.body.data.nodes.getIds();this.add(ids,true)}if(doNotEmit===false){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"add\",value:function add(ids){var doNotEmit=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var id=void 0;var newNodes=[];for(var i=0;i<ids.length;i++){id=ids[i];var properties=this.body.data.nodes.get(id);var node=this.create(properties);newNodes.push(node);this.body.nodes[id]=node}this.layoutEngine.positionInitially(newNodes);if(doNotEmit===false){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"update\",value:function update(ids,changedData){var nodes=this.body.nodes;var dataChanged=false;for(var i=0;i<ids.length;i++){var id=ids[i];var node=nodes[id];var data=changedData[i];if(node!==undefined){dataChanged=node.setOptions(data)}else{dataChanged=true;node=this.create(data);nodes[id]=node}}if(dataChanged===true){this.body.emitter.emit(\"_dataChanged\")}else{this.body.emitter.emit(\"_dataUpdated\")}}},{key:\"remove\",value:function remove(ids){var nodes=this.body.nodes;for(var i=0;i<ids.length;i++){var id=ids[i];delete nodes[id]}this.body.emitter.emit(\"_dataChanged\")}},{key:\"create\",value:function create(properties){var constructorClass=arguments.length>1&&arguments[1]!==undefined?arguments[1]:_Node2[\"default\"];return new constructorClass(properties,this.body,this.images,this.groups,this.options,this.defaultOptions,this.nodeOptions)}},{key:\"refresh\",value:function refresh(){var clearPositions=arguments.length>0&&arguments[0]!==undefined?arguments[0]:false;var nodes=this.body.nodes;for(var nodeId in nodes){var node=undefined;if(nodes.hasOwnProperty(nodeId)){node=nodes[nodeId]}var data=this.body.data.nodes._data[nodeId];if(node!==undefined&&data!==undefined){if(clearPositions===true){node.setOptions({x:null,y:null})}node.setOptions({fixed:false});node.setOptions(data)}}}},{key:\"getPositions\",value:function getPositions(ids){var dataArray={};if(ids!==undefined){if(Array.isArray(ids)===true){for(var i=0;i<ids.length;i++){if(this.body.nodes[ids[i]]!==undefined){var node=this.body.nodes[ids[i]];dataArray[ids[i]]={x:Math.round(node.x),y:Math.round(node.y)}}}}else{if(this.body.nodes[ids]!==undefined){var _node=this.body.nodes[ids];dataArray[ids]={x:Math.round(_node.x),y:Math.round(_node.y)}}}}else{for(var _i=0;_i<this.body.nodeIndices.length;_i++){var _node2=this.body.nodes[this.body.nodeIndices[_i]];dataArray[this.body.nodeIndices[_i]]={x:Math.round(_node2.x),y:Math.round(_node2.y)}}}return dataArray}},{key:\"storePositions\",value:function storePositions(){var dataArray=[];var dataset=this.body.data.nodes.getDataSet();for(var nodeId in dataset._data){if(dataset._data.hasOwnProperty(nodeId)){var node=this.body.nodes[nodeId];if(dataset._data[nodeId].x!=Math.round(node.x)||dataset._data[nodeId].y!=Math.round(node.y)){dataArray.push({id:node.id,x:Math.round(node.x),y:Math.round(node.y)})}}}dataset.update(dataArray)}},{key:\"getBoundingBox\",value:function getBoundingBox(nodeId){if(this.body.nodes[nodeId]!==undefined){return this.body.nodes[nodeId].shape.boundingBox}}},{key:\"getConnectedNodes\",value:function getConnectedNodes(nodeId){var nodeList=[];if(this.body.nodes[nodeId]!==undefined){var node=this.body.nodes[nodeId];var nodeObj={};for(var i=0;i<node.edges.length;i++){var edge=node.edges[i];if(edge.toId==node.id){if(nodeObj[edge.fromId]===undefined){nodeList.push(edge.fromId);nodeObj[edge.fromId]=true}}else if(edge.fromId==node.id){if(nodeObj[edge.toId]===undefined){nodeList.push(edge.toId);nodeObj[edge.toId]=true}}}}return nodeList}},{key:\"getConnectedEdges\",value:function getConnectedEdges(nodeId){var edgeList=[];if(this.body.nodes[nodeId]!==undefined){var node=this.body.nodes[nodeId];for(var i=0;i<node.edges.length;i++){edgeList.push(node.edges[i].id)}}else{console.log(\"NodeId provided for getConnectedEdges does not exist. Provided: \",nodeId)}return edgeList}},{key:\"moveNode\",value:function moveNode(nodeId,x,y){var _this3=this;if(this.body.nodes[nodeId]!==undefined){this.body.nodes[nodeId].x=Number(x);this.body.nodes[nodeId].y=Number(y);setTimeout(function(){_this3.body.emitter.emit(\"startSimulation\")},0)}else{console.log(\"Node id supplied to moveNode does not exist. Provided: \",nodeId)}}}]);return NodesHandler}();exports[\"default\"]=NodesHandler},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _Label=__webpack_require__(164);var _Label2=_interopRequireDefault(_Label);var _Box=__webpack_require__(169);var _Box2=_interopRequireDefault(_Box);var _Circle=__webpack_require__(180);var _Circle2=_interopRequireDefault(_Circle);var _CircularImage=__webpack_require__(182);var _CircularImage2=_interopRequireDefault(_CircularImage);var _Database=__webpack_require__(183);var _Database2=_interopRequireDefault(_Database);var _Diamond=__webpack_require__(184);var _Diamond2=_interopRequireDefault(_Diamond);var _Dot=__webpack_require__(186);var _Dot2=_interopRequireDefault(_Dot);var _Ellipse=__webpack_require__(187);var _Ellipse2=_interopRequireDefault(_Ellipse);var _Icon=__webpack_require__(188);var _Icon2=_interopRequireDefault(_Icon);var _Image=__webpack_require__(189);var _Image2=_interopRequireDefault(_Image);var _Square=__webpack_require__(190);var _Square2=_interopRequireDefault(_Square);var _Star=__webpack_require__(191);var _Star2=_interopRequireDefault(_Star);var _Text=__webpack_require__(192);var _Text2=_interopRequireDefault(_Text);var _Triangle=__webpack_require__(193);var _Triangle2=_interopRequireDefault(_Triangle);var _TriangleDown=__webpack_require__(194);var _TriangleDown2=_interopRequireDefault(_TriangleDown);var _Validator=__webpack_require__(126);var _Validator2=_interopRequireDefault(_Validator);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Node=function(){function Node(options,body,imagelist,grouplist,globalOptions,defaultOptions,nodeOptions){(0,_classCallCheck3[\"default\"])(this,Node);this.options=util.bridgeObject(globalOptions);this.globalOptions=globalOptions;this.defaultOptions=defaultOptions;this.nodeOptions=nodeOptions;this.body=body;this.edges=[];this.id=undefined;this.imagelist=imagelist;this.grouplist=grouplist;this.x=undefined;this.y=undefined;this.baseSize=this.options.size;this.baseFontSize=this.options.font.size;this.predefinedPosition=false;this.selected=false;this.hover=false;this.labelModule=new _Label2[\"default\"](this.body,this.options,false);this.setOptions(options)}(0,_createClass3[\"default\"])(Node,[{key:\"attachEdge\",value:function attachEdge(edge){if(this.edges.indexOf(edge)===-1){this.edges.push(edge)}}},{key:\"detachEdge\",value:function detachEdge(edge){var index=this.edges.indexOf(edge);if(index!=-1){this.edges.splice(index,1)}}},{key:\"setOptions\",value:function setOptions(options){var currentShape=this.options.shape;if(!options){return}if(options.id!==undefined){this.id=options.id}if(this.id===undefined){throw\"Node must have an id\"}if(options.x!==undefined){if(options.x===null){this.x=undefined;this.predefinedPosition=false}else{this.x=parseInt(options.x);this.predefinedPosition=true}}if(options.y!==undefined){if(options.y===null){this.y=undefined;this.predefinedPosition=false}else{this.y=parseInt(options.y);this.predefinedPosition=true}}if(options.size!==undefined){this.baseSize=options.size}if(options.value!==undefined){options.value=parseFloat(options.value)}if(typeof options.group===\"number\"||typeof options.group===\"string\"&&options.group!=\"\"){var groupObj=this.grouplist.get(options.group);util.deepExtend(this.options,groupObj);this.options.color=util.parseColor(this.options.color)}Node.parseOptions(this.options,options,true,this.globalOptions);this.choosify(options);if(this.options.image!==undefined){if(this.imagelist){if(typeof this.options.image===\"string\"){this.imageObj=this.imagelist.load(this.options.image,this.options.brokenImage,this.id)}else{this.imageObj=this.imagelist.load(this.options.image.unselected,this.options.brokenImage,this.id);this.imageObjAlt=this.imagelist.load(this.options.image.selected,this.options.brokenImage,this.id)}}else{throw\"No imagelist provided\"}}this.updateLabelModule(options);this.updateShape(currentShape);this.labelModule.propagateFonts(this.nodeOptions,options,this.defaultOptions);if(options.hidden!==undefined||options.physics!==undefined){return true}return false}},{key:\"choosify\",value:function choosify(options){this.chooser=true;var pile=[options,this.options,this.defaultOptions];var chosen=util.topMost(pile,\"chosen\");if(typeof chosen===\"boolean\"){this.chooser=chosen}else if((typeof chosen===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(chosen))===\"object\"){var chosenNode=util.topMost(pile,[\"chosen\",\"node\"]);if(typeof chosenNode===\"boolean\"||typeof chosenNode===\"function\"){this.chooser=chosenNode}}}},{key:\"getFormattingValues\",value:function getFormattingValues(){var values={color:this.options.color.background,borderWidth:this.options.borderWidth,borderColor:this.options.color.border,size:this.options.size,borderDashes:this.options.shapeProperties.borderDashes,borderRadius:this.options.shapeProperties.borderRadius,shadow:this.options.shadow.enabled,shadowColor:this.options.shadow.color,shadowSize:this.options.shadow.size,shadowX:this.options.shadow.x,shadowY:this.options.shadow.y};if(this.selected||this.hover){if(this.chooser===true){if(this.selected){values.borderWidth*=2;values.color=this.options.color.highlight.background;values.borderColor=this.options.color.highlight.border;values.shadow=this.options.shadow.enabled}else if(this.hover){values.color=this.options.color.hover.background;values.borderColor=this.options.color.hover.border;values.shadow=this.options.shadow.enabled}}else if(typeof this.chooser===\"function\"){this.chooser(values,this.options.id,this.selected,this.hover);if(values.shadow===false){if(values.shadowColor!==this.options.shadow.color||values.shadowSize!==this.options.shadow.size||values.shadowX!==this.options.shadow.x||values.shadowY!==this.options.shadow.y){values.shadow=true}}}}else{values.shadow=this.options.shadow.enabled}return values}},{key:\"updateLabelModule\",value:function updateLabelModule(options){if(this.options.label===undefined||this.options.label===null){this.options.label=\"\"}this.labelModule.setOptions(this.options,true);if(this.labelModule.baseSize!==undefined){this.baseFontSize=this.labelModule.baseSize}this.labelModule.constrain(this.nodeOptions,options,this.defaultOptions);this.labelModule.choosify(this.nodeOptions,options,this.defaultOptions)}},{key:\"updateShape\",value:function updateShape(currentShape){if(currentShape===this.options.shape&&this.shape){this.shape.setOptions(this.options,this.imageObj,this.imageObjAlt)}else{switch(this.options.shape){case\"box\":this.shape=new _Box2[\"default\"](this.options,this.body,this.labelModule);break;case\"circle\":this.shape=new _Circle2[\"default\"](this.options,this.body,this.labelModule);break;case\"circularImage\":this.shape=new _CircularImage2[\"default\"](this.options,this.body,this.labelModule,this.imageObj,this.imageObjAlt);break;case\"database\":this.shape=new _Database2[\"default\"](this.options,this.body,this.labelModule);break;case\"diamond\":this.shape=new _Diamond2[\"default\"](this.options,this.body,this.labelModule);break;case\"dot\":this.shape=new _Dot2[\"default\"](this.options,this.body,this.labelModule);break;case\"ellipse\":this.shape=new _Ellipse2[\"default\"](this.options,this.body,this.labelModule);break;case\"icon\":this.shape=new _Icon2[\"default\"](this.options,this.body,this.labelModule);break;case\"image\":this.shape=new _Image2[\"default\"](this.options,this.body,this.labelModule,this.imageObj,this.imageObjAlt);break;case\"square\":this.shape=new _Square2[\"default\"](this.options,this.body,this.labelModule);break;case\"star\":this.shape=new _Star2[\"default\"](this.options,this.body,this.labelModule);break;case\"text\":this.shape=new _Text2[\"default\"](this.options,this.body,this.labelModule);break;case\"triangle\":this.shape=new _Triangle2[\"default\"](this.options,this.body,this.labelModule);break;case\"triangleDown\":this.shape=new _TriangleDown2[\"default\"](this.options,this.body,this.labelModule);break;default:this.shape=new _Ellipse2[\"default\"](this.options,this.body,this.labelModule);break}}this._reset()}},{key:\"select\",value:function select(){this.selected=true;this._reset()}},{key:\"unselect\",value:function unselect(){this.selected=false;this._reset()}},{key:\"_reset\",value:function _reset(){this.shape.width=undefined;this.shape.height=undefined}},{key:\"getTitle\",value:function getTitle(){return this.options.title}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this.shape.distanceToBorder(ctx,angle)}},{key:\"isFixed\",value:function isFixed(){return this.options.fixed.x&&this.options.fixed.y}},{key:\"isSelected\",value:function isSelected(){return this.selected}},{key:\"getValue\",value:function getValue(){return this.options.value}},{key:\"setValueRange\",value:function setValueRange(min,max,total){if(this.options.value!==undefined){var scale=this.options.scaling.customScalingFunction(min,max,total,this.options.value);var sizeDiff=this.options.scaling.max-this.options.scaling.min;if(this.options.scaling.label.enabled===true){var fontDiff=this.options.scaling.label.max-this.options.scaling.label.min;this.options.font.size=this.options.scaling.label.min+scale*fontDiff}this.options.size=this.options.scaling.min+scale*sizeDiff}else{this.options.size=this.baseSize;this.options.font.size=this.baseFontSize}this.updateLabelModule()}},{key:\"draw\",value:function draw(ctx){var values=this.getFormattingValues();this.shape.draw(ctx,this.x,this.y,this.selected,this.hover,values)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(ctx){this.shape.updateBoundingBox(this.x,this.y,ctx)}},{key:\"resize\",value:function resize(ctx){var values=this.getFormattingValues();this.shape.resize(ctx,this.selected,this.hover,values)}},{key:\"isOverlappingWith\",value:function isOverlappingWith(obj){return this.shape.left<obj.right&&this.shape.left+this.shape.width>obj.left&&this.shape.top<obj.bottom&&this.shape.top+this.shape.height>obj.top}},{key:\"isBoundingBoxOverlappingWith\",value:function isBoundingBoxOverlappingWith(obj){return this.shape.boundingBox.left<obj.right&&this.shape.boundingBox.right>obj.left&&this.shape.boundingBox.top<obj.bottom&&this.shape.boundingBox.bottom>obj.top}}],[{key:\"parseOptions\",value:function parseOptions(parentOptions,newOptions){var allowDeletion=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var globalOptions=arguments.length>3&&arguments[3]!==undefined?arguments[3]:{};var fields=[\"color\",\"font\",\"fixed\",\"shadow\"];util.selectiveNotDeepExtend(fields,parentOptions,newOptions,allowDeletion);util.mergeOptions(parentOptions,newOptions,\"shadow\",allowDeletion,globalOptions);if(newOptions.color!==undefined&&newOptions.color!==null){var parsedColor=util.parseColor(newOptions.color);util.fillIfDefined(parentOptions.color,parsedColor)}else if(allowDeletion===true&&newOptions.color===null){parentOptions.color=util.bridgeObject(globalOptions.color)}if(newOptions.fixed!==undefined&&newOptions.fixed!==null){if(typeof newOptions.fixed===\"boolean\"){parentOptions.fixed.x=newOptions.fixed;parentOptions.fixed.y=newOptions.fixed}else{if(newOptions.fixed.x!==undefined&&typeof newOptions.fixed.x===\"boolean\"){parentOptions.fixed.x=newOptions.fixed.x}if(newOptions.fixed.y!==undefined&&typeof newOptions.fixed.y===\"boolean\"){parentOptions.fixed.y=newOptions.fixed.y}}}if(newOptions.font!==undefined&&newOptions.font!==null){_Label2[\"default\"].parseOptions(parentOptions.font,newOptions)}else if(allowDeletion===true&&newOptions.font===null){parentOptions.font=util.bridgeObject(globalOptions.font)}if(newOptions.scaling!==undefined){util.mergeOptions(parentOptions.scaling,newOptions.scaling,\"label\",allowDeletion,globalOptions.scaling)}}}]);return Node}();exports[\"default\"]=Node},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _getIterator2=__webpack_require__(2);var _getIterator3=_interopRequireDefault(_getIterator2);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Label=function(){function Label(body,options){var edgelabel=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;(0,_classCallCheck3[\"default\"])(this,Label);this.body=body;this.pointToSelf=false;this.baseSize=undefined;this.fontOptions={};this.setOptions(options);this.size={top:0,left:0,width:0,height:0,yLine:0};this.isEdgeLabel=edgelabel}(0,_createClass3[\"default\"])(Label,[{key:\"setOptions\",value:function setOptions(options){var allowDeletion=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;this.elementOptions=options;this.fontOptions=util.deepExtend({},options.font,true);if(options.label!==undefined){this.labelDirty=true}if(options.font!==undefined){Label.parseOptions(this.fontOptions,options,allowDeletion);if(typeof options.font===\"string\"){this.baseSize=this.fontOptions.size}else if((0,_typeof3[\"default\"])(options.font)===\"object\"){if(options.font.size!==undefined){this.baseSize=options.font.size}}}}},{key:\"constrain\",value:function constrain(elementOptions,options,defaultOptions){this.fontOptions.constrainWidth=false;this.fontOptions.maxWdt=-1;this.fontOptions.minWdt=-1;var pile=[options,elementOptions,defaultOptions];var widthConstraint=util.topMost(pile,\"widthConstraint\");if(typeof widthConstraint===\"number\"){this.fontOptions.maxWdt=Number(widthConstraint);this.fontOptions.minWdt=Number(widthConstraint)}else if((typeof widthConstraint===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(widthConstraint))===\"object\"){var widthConstraintMaximum=util.topMost(pile,[\"widthConstraint\",\"maximum\"]);if(typeof widthConstraintMaximum===\"number\"){this.fontOptions.maxWdt=Number(widthConstraintMaximum)}var widthConstraintMinimum=util.topMost(pile,[\"widthConstraint\",\"minimum\"]);if(typeof widthConstraintMinimum===\"number\"){this.fontOptions.minWdt=Number(widthConstraintMinimum)}}this.fontOptions.constrainHeight=false;this.fontOptions.minHgt=-1;this.fontOptions.valign=\"middle\";var heightConstraint=util.topMost(pile,\"heightConstraint\");if(typeof heightConstraint===\"number\"){this.fontOptions.minHgt=Number(heightConstraint)}else if((typeof heightConstraint===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(heightConstraint))===\"object\"){var heightConstraintMinimum=util.topMost(pile,[\"heightConstraint\",\"minimum\"]);if(typeof heightConstraintMinimum===\"number\"){this.fontOptions.minHgt=Number(heightConstraintMinimum)}var heightConstraintValign=util.topMost(pile,[\"heightConstraint\",\"valign\"]);if(typeof heightConstraintValign===\"string\"){if(heightConstraintValign===\"top\"||heightConstraintValign===\"bottom\"){this.fontOptions.valign=heightConstraintValign}}}}},{key:\"choosify\",value:function choosify(elementOptions,options,defaultOptions){this.fontOptions.chooser=true;var pile=[options,elementOptions,defaultOptions];var chosen=util.topMost(pile,\"chosen\");if(typeof chosen===\"boolean\"){this.fontOptions.chooser=chosen}else if((typeof chosen===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(chosen))===\"object\"){var chosenLabel=util.topMost(pile,[\"chosen\",\"label\"]);if(typeof chosenLabel===\"boolean\"||typeof chosenLabel===\"function\"){this.fontOptions.chooser=chosenLabel}}}},{key:\"adjustSizes\",value:function adjustSizes(margins){var widthBias=margins?margins.right+margins.left:0;if(this.fontOptions.constrainWidth){this.fontOptions.maxWdt-=widthBias;this.fontOptions.minWdt-=widthBias}var heightBias=margins?margins.top+margins.bottom:0;if(this.fontOptions.constrainHeight){this.fontOptions.minHgt-=heightBias}}},{key:\"propagateFonts\",value:function propagateFonts(options,groupOptions,defaultOptions){if(this.fontOptions.multi){var mods=[\"bold\",\"ital\",\"boldital\",\"mono\"];var _iteratorNormalCompletion=true;var _didIteratorError=false;var _iteratorError=undefined;try{for(var _iterator=(0,_getIterator3[\"default\"])(mods),_step;!(_iteratorNormalCompletion=(_step=_iterator.next()).done);_iteratorNormalCompletion=true){var mod=_step.value;var optionsFontMod=void 0;if(options.font){optionsFontMod=options.font[mod]}if(typeof optionsFontMod===\"string\"){var modOptionsArray=optionsFontMod.split(\" \");this.fontOptions[mod].size=modOptionsArray[0].replace(\"px\",\"\");this.fontOptions[mod].face=modOptionsArray[1];this.fontOptions[mod].color=modOptionsArray[2];this.fontOptions[mod].vadjust=this.fontOptions.vadjust;this.fontOptions[mod].mod=defaultOptions.font[mod].mod}else{if(optionsFontMod&&optionsFontMod.hasOwnProperty(\"face\")){this.fontOptions[mod].face=optionsFontMod.face}else if(groupOptions.font&&groupOptions.font[mod]&&groupOptions.font[mod].hasOwnProperty(\"face\")){this.fontOptions[mod].face=groupOptions.font[mod].face}else if(mod===\"mono\"){this.fontOptions[mod].face=defaultOptions.font[mod].face}else if(groupOptions.font&&groupOptions.font.hasOwnProperty(\"face\")){this.fontOptions[mod].face=groupOptions.font.face}else{this.fontOptions[mod].face=this.fontOptions.face}if(optionsFontMod&&optionsFontMod.hasOwnProperty(\"color\")){this.fontOptions[mod].color=optionsFontMod.color}else if(groupOptions.font&&groupOptions.font[mod]&&groupOptions.font[mod].hasOwnProperty(\"color\")){this.fontOptions[mod].color=groupOptions.font[mod].color}else if(groupOptions.font&&groupOptions.font.hasOwnProperty(\"color\")){this.fontOptions[mod].color=groupOptions.font.color}else{this.fontOptions[mod].color=this.fontOptions.color}if(optionsFontMod&&optionsFontMod.hasOwnProperty(\"mod\")){this.fontOptions[mod].mod=optionsFontMod.mod}else if(groupOptions.font&&groupOptions.font[mod]&&groupOptions.font[mod].hasOwnProperty(\"mod\")){this.fontOptions[mod].mod=groupOptions.font[mod].mod}else if(groupOptions.font&&groupOptions.font.hasOwnProperty(\"mod\")){this.fontOptions[mod].mod=groupOptions.font.mod}else{this.fontOptions[mod].mod=defaultOptions.font[mod].mod}if(optionsFontMod&&optionsFontMod.hasOwnProperty(\"size\")){this.fontOptions[mod].size=optionsFontMod.size}else if(groupOptions.font&&groupOptions.font[mod]&&groupOptions.font[mod].hasOwnProperty(\"size\")){this.fontOptions[mod].size=groupOptions.font[mod].size}else if(this.fontOptions[mod].face===defaultOptions.font[mod].face&&this.fontOptions.face===defaultOptions.font.face){var ratio=this.fontOptions.size/Number(defaultOptions.font.size);this.fontOptions[mod].size=defaultOptions.font[mod].size*ratio}else if(groupOptions.font&&groupOptions.font.hasOwnProperty(\"size\")){this.fontOptions[mod].size=groupOptions.font.size}else{this.fontOptions[mod].size=this.fontOptions.size}if(optionsFontMod&&optionsFontMod.hasOwnProperty(\"vadjust\")){this.fontOptions[mod].vadjust=optionsFontMod.vadjust}else if(groupOptions.font&&groupOptions.font[mod]&&groupOptions.font[mod].hasOwnProperty(\"vadjust\")){this.fontOptions[mod].vadjust=groupOptions.font[mod].vadjust}else if(this.fontOptions[mod].face===defaultOptions.font[mod].face&&this.fontOptions.face===defaultOptions.font.face){var _ratio=this.fontOptions.size/Number(defaultOptions.font.size);this.fontOptions[mod].vadjust=defaultOptions.font[mod].vadjust*Math.round(_ratio)}else if(groupOptions.font&&groupOptions.font.hasOwnProperty(\"vadjust\")){this.fontOptions[mod].vadjust=groupOptions.font.vadjust}else{this.fontOptions[mod].vadjust=this.fontOptions.vadjust}}this.fontOptions[mod].size=Number(this.fontOptions[mod].size);this.fontOptions[mod].vadjust=Number(this.fontOptions[mod].vadjust)}}catch(err){_didIteratorError=true;_iteratorError=err}finally{try{if(!_iteratorNormalCompletion&&_iterator[\"return\"]){_iterator[\"return\"]()}}finally{if(_didIteratorError){throw _iteratorError}}}}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover){var baseline=arguments.length>5&&arguments[5]!==undefined?arguments[5]:\"middle\";if(this.elementOptions.label===undefined)return;var viewFontSize=this.fontOptions.size*this.body.view.scale;if(this.elementOptions.label&&viewFontSize<this.elementOptions.scaling.label.drawThreshold-1)return;this.calculateLabelSize(ctx,selected,hover,x,y,baseline);this._drawBackground(ctx);this._drawText(ctx,selected,hover,x,y,baseline)}},{key:\"_drawBackground\",value:function _drawBackground(ctx){if(this.fontOptions.background!==undefined&&this.fontOptions.background!==\"none\"){ctx.fillStyle=this.fontOptions.background;var lineMargin=2;if(this.isEdgeLabel){switch(this.fontOptions.align){case\"middle\":ctx.fillRect(-this.size.width*.5,-this.size.height*.5,this.size.width,this.size.height);break;case\"top\":ctx.fillRect(-this.size.width*.5,-(this.size.height+lineMargin),this.size.width,this.size.height);break;case\"bottom\":ctx.fillRect(-this.size.width*.5,lineMargin,this.size.width,this.size.height);break;default:ctx.fillRect(this.size.left,this.size.top-.5*lineMargin,this.size.width,this.size.height);break}}else{ctx.fillRect(this.size.left,this.size.top-.5*lineMargin,this.size.width,this.size.height)}}}},{key:\"_drawText\",value:function _drawText(ctx,selected,hover,x,y){var baseline=arguments.length>5&&arguments[5]!==undefined?arguments[5]:\"middle\";var fontSize=this.fontOptions.size;var viewFontSize=fontSize*this.body.view.scale;if(viewFontSize>=this.elementOptions.scaling.label.maxVisible){fontSize=Number(this.elementOptions.scaling.label.maxVisible)/this.body.view.scale}var yLine=this.size.yLine;var _setAlignment2=this._setAlignment(ctx,x,yLine,baseline);var _setAlignment3=(0,_slicedToArray3[\"default\"])(_setAlignment2,2);x=_setAlignment3[0];yLine=_setAlignment3[1];ctx.textAlign=\"left\";x=x-this.size.width/2;if(this.fontOptions.valign&&this.size.height>this.size.labelHeight){if(this.fontOptions.valign===\"top\"){yLine-=(this.size.height-this.size.labelHeight)/2}if(this.fontOptions.valign===\"bottom\"){yLine+=(this.size.height-this.size.labelHeight)/2}}for(var i=0;i<this.lineCount;i++){if(this.lines[i]&&this.lines[i].blocks){var width=0;if(this.isEdgeLabel||this.fontOptions.align===\"center\"){width+=(this.size.width-this.lines[i].width)/2}else if(this.fontOptions.align===\"right\"){width+=this.size.width-this.lines[i].width}for(var j=0;j<this.lines[i].blocks.length;j++){var block=this.lines[i].blocks[j];ctx.font=block.font;var _getColor2=this._getColor(block.color,viewFontSize,block.strokeColor),_getColor3=(0,_slicedToArray3[\"default\"])(_getColor2,2),fontColor=_getColor3[0],strokeColor=_getColor3[1];if(block.strokeWidth>0){ctx.lineWidth=block.strokeWidth;ctx.strokeStyle=strokeColor;ctx.lineJoin=\"round\"}ctx.fillStyle=fontColor;if(block.strokeWidth>0){ctx.strokeText(block.text,x+width,yLine+block.vadjust)}ctx.fillText(block.text,x+width,yLine+block.vadjust);width+=block.width}yLine+=this.lines[i].height}}}},{key:\"_setAlignment\",value:function _setAlignment(ctx,x,yLine,baseline){if(this.isEdgeLabel&&this.fontOptions.align!==\"horizontal\"&&this.pointToSelf===false){x=0;yLine=0;var lineMargin=2;if(this.fontOptions.align===\"top\"){ctx.textBaseline=\"alphabetic\";yLine-=2*lineMargin}else if(this.fontOptions.align===\"bottom\"){ctx.textBaseline=\"hanging\";yLine+=2*lineMargin}else{ctx.textBaseline=\"middle\"}}else{ctx.textBaseline=baseline}return[x,yLine]}},{key:\"_getColor\",value:function _getColor(color,viewFontSize,initialStrokeColor){var fontColor=color||\"#000000\";var strokeColor=initialStrokeColor||\"#ffffff\";if(viewFontSize<=this.elementOptions.scaling.label.drawThreshold){var opacity=Math.max(0,Math.min(1,1-(this.elementOptions.scaling.label.drawThreshold-viewFontSize)));fontColor=util.overrideOpacity(fontColor,opacity);strokeColor=util.overrideOpacity(strokeColor,opacity)}return[fontColor,strokeColor]}},{key:\"getTextSize\",value:function getTextSize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;this._processLabel(ctx,selected,hover);return{width:this.size.width,height:this.size.height,lineCount:this.lineCount}}},{key:\"calculateLabelSize\",value:function calculateLabelSize(ctx,selected,hover){var x=arguments.length>3&&arguments[3]!==undefined?arguments[3]:0;var y=arguments.length>4&&arguments[4]!==undefined?arguments[4]:0;var baseline=arguments.length>5&&arguments[5]!==undefined?arguments[5]:\"middle\";if(this.labelDirty===true){this._processLabel(ctx,selected,hover)}this.size.left=x-this.size.width*.5;this.size.top=y-this.size.height*.5;this.size.yLine=y+(1-this.lineCount)*.5*this.fontOptions.size;if(baseline===\"hanging\"){this.size.top+=.5*this.fontOptions.size;this.size.top+=4;this.size.yLine+=4}this.labelDirty=false}},{key:\"decodeMarkupSystem\",value:function decodeMarkupSystem(markupSystem){var system=\"none\";if(markupSystem===\"markdown\"||markupSystem===\"md\"){system=\"markdown\"}else if(markupSystem===true||markupSystem===\"html\"){system=\"html\"}return system}},{key:\"splitBlocks\",value:function splitBlocks(text,markupSystem){var system=this.decodeMarkupSystem(markupSystem);if(system===\"none\"){return[{text:text,mod:\"normal\"}]}else if(system===\"markdown\"){return this.splitMarkdownBlocks(text)}else if(system===\"html\"){return this.splitHtmlBlocks(text)}}},{key:\"splitMarkdownBlocks\",value:function splitMarkdownBlocks(text){var blocks=[];var s={bold:false,ital:false,mono:false,beginable:true,spacing:false,position:0,buffer:\"\",modStack:[]};s.mod=function(){return this.modStack.length===0?\"normal\":this.modStack[0]};s.modName=function(){if(this.modStack.length===0)return\"normal\";else if(this.modStack[0]===\"mono\")return\"mono\";else{if(s.bold&&s.ital){return\"boldital\"}else if(s.bold){return\"bold\"}else if(s.ital){return\"ital\"}}};s.emitBlock=function(){var override=arguments.length>0&&arguments[0]!==undefined?arguments[0]:false;if(this.spacing){this.add(\" \");this.spacing=false}if(this.buffer.length>0){blocks.push({text:this.buffer,mod:this.modName()});this.buffer=\"\"}};s.add=function(text){if(text===\" \"){s.spacing=true}if(s.spacing){this.buffer+=\" \";this.spacing=false}if(text!=\" \"){this.buffer+=text}};while(s.position<text.length){var ch=text.charAt(s.position);if(/[ \\t]/.test(ch)){if(!s.mono){s.spacing=true}else{s.add(ch)}s.beginable=true}else if(/\\\\/.test(ch)){if(s.position<text.length+1){s.position++;ch=text.charAt(s.position);if(/ \\t/.test(ch)){s.spacing=true}else{s.add(ch);s.beginable=false}}}else if(!s.mono&&!s.bold&&(s.beginable||s.spacing)&&/\\*/.test(ch)){s.emitBlock();s.bold=true;s.modStack.unshift(\"bold\")}else if(!s.mono&&!s.ital&&(s.beginable||s.spacing)&&/\\_/.test(ch)){s.emitBlock();s.ital=true;s.modStack.unshift(\"ital\")}else if(!s.mono&&(s.beginable||s.spacing)&&/`/.test(ch)){s.emitBlock();s.mono=true;s.modStack.unshift(\"mono\")}else if(!s.mono&&s.mod()===\"bold\"&&/\\*/.test(ch)){if(s.position===text.length-1||/[.,_` \\t\\n]/.test(text.charAt(s.position+1))){s.emitBlock();s.bold=false;s.modStack.shift()}else{s.add(ch)}}else if(!s.mono&&s.mod()===\"ital\"&&/\\_/.test(ch)){if(s.position===text.length-1||/[.,*` \\t\\n]/.test(text.charAt(s.position+1))){s.emitBlock();s.ital=false;s.modStack.shift()}else{s.add(ch)}}else if(s.mono&&s.mod()===\"mono\"&&/`/.test(ch)){if(s.position===text.length-1||/[.,*_ \\t\\n]/.test(text.charAt(s.position+1))){s.emitBlock();s.mono=false;s.modStack.shift()}else{s.add(ch)}}else{s.add(ch);s.beginable=false}s.position++}s.emitBlock();return blocks}},{key:\"splitHtmlBlocks\",value:function splitHtmlBlocks(text){var blocks=[];var s={bold:false,ital:false,mono:false,spacing:false,position:0,buffer:\"\",modStack:[]};s.mod=function(){return this.modStack.length===0?\"normal\":this.modStack[0]};s.modName=function(){if(this.modStack.length===0)return\"normal\";else if(this.modStack[0]===\"mono\")return\"mono\";else{if(s.bold&&s.ital){return\"boldital\"}else if(s.bold){return\"bold\"}else if(s.ital){return\"ital\"}}};s.emitBlock=function(){var override=arguments.length>0&&arguments[0]!==undefined?arguments[0]:false;if(this.spacing){this.add(\" \");this.spacing=false}if(this.buffer.length>0){blocks.push({text:this.buffer,mod:this.modName()});this.buffer=\"\"}};s.add=function(text){if(text===\" \"){s.spacing=true}if(s.spacing){this.buffer+=\" \";this.spacing=false}if(text!=\" \"){this.buffer+=text}};while(s.position<text.length){var ch=text.charAt(s.position);if(/[ \\t]/.test(ch)){if(!s.mono){s.spacing=true}else{s.add(ch)}}else if(/</.test(ch)){if(!s.mono&&!s.bold&&/<b>/.test(text.substr(s.position,3))){s.emitBlock();s.bold=true;s.modStack.unshift(\"bold\");s.position+=2}else if(!s.mono&&!s.ital&&/<i>/.test(text.substr(s.position,3))){s.emitBlock();s.ital=true;s.modStack.unshift(\"ital\");s.position+=2}else if(!s.mono&&/<code>/.test(text.substr(s.position,6))){s.emitBlock();s.mono=true;s.modStack.unshift(\"mono\");s.position+=5}else if(!s.mono&&s.mod()===\"bold\"&&/<\\/b>/.test(text.substr(s.position,4))){s.emitBlock();s.bold=false;s.modStack.shift();s.position+=3}else if(!s.mono&&s.mod()===\"ital\"&&/<\\/i>/.test(text.substr(s.position,4))){s.emitBlock();s.ital=false;s.modStack.shift();s.position+=3}else if(s.mod()===\"mono\"&&/<\\/code>/.test(text.substr(s.position,7))){s.emitBlock();s.mono=false;s.modStack.shift();s.position+=6}else{s.add(ch)}}else if(/&/.test(ch)){if(/</.test(text.substr(s.position,4))){s.add(\"<\");s.position+=3}else if(/&/.test(text.substr(s.position,5))){s.add(\"&\");s.position+=4}else{s.add(\"&\")}}else{s.add(ch)}s.position++}s.emitBlock();return blocks}},{key:\"getFormattingValues\",value:function getFormattingValues(ctx,selected,hover,mod){var values={color:mod===\"normal\"?this.fontOptions.color:this.fontOptions[mod].color,size:mod===\"normal\"?this.fontOptions.size:this.fontOptions[mod].size,face:mod===\"normal\"?this.fontOptions.face:this.fontOptions[mod].face,mod:mod===\"normal\"?\"\":this.fontOptions[mod].mod,vadjust:mod===\"normal\"?this.fontOptions.vadjust:this.fontOptions[mod].vadjust,strokeWidth:this.fontOptions.strokeWidth,strokeColor:this.fontOptions.strokeColor};if(mod===\"normal\"){if(selected||hover){if(this.fontOptions.chooser===true&&this.elementOptions.labelHighlightBold){values.mod=\"bold\"}else if(typeof this.fontOptions.chooser===\"function\"){this.fontOptions.chooser(ctx,values,this.elementOptions.id,selected,hover)}}}else{if((selected||hover)&&typeof this.fontOptions.chooser===\"function\"){this.fontOptions.chooser(ctx,values,this.elementOptions.id,selected,hover)}}ctx.font=(values.mod+\" \"+values.size+\"px \"+values.face).replace(/\"/g,\"\");values.font=ctx.font;values.height=values.size;return values}},{key:\"differentState\",value:function differentState(selected,hover){return selected!==this.fontOptions.selectedState&&hover!==this.fontOptions.hoverState}},{key:\"_processLabel\",value:function _processLabel(ctx,selected,hover){var width=0;var height=0;var nlLines=[];var lines=[];var k=0;lines.add=function(l,text,font,color,width,height,vadjust,mod,strokeWidth,strokeColor){if(this.length==l){this[l]={width:0,height:0,blocks:[]}}this[l].blocks.push({text:text,font:font,color:color,width:width,height:height,vadjust:vadjust,mod:mod,strokeWidth:strokeWidth,strokeColor:strokeColor})};lines.accumulate=function(l,width,height){this[l].width+=width;this[l].height=height>this[l].height?height:this[l].height};lines.addAndAccumulate=function(l,text,font,color,width,height,vadjust,mod,strokeWidth,strokeColor){this.add(l,text,font,color,width,height,vadjust,mod,strokeWidth,strokeColor);this.accumulate(l,width,height)};if(this.elementOptions.label!==undefined){var _nlLines=String(this.elementOptions.label).split(\"\\n\");var lineCount=_nlLines.length;if(this.elementOptions.font.multi){for(var i=0;i<lineCount;i++){var blocks=this.splitBlocks(_nlLines[i],this.elementOptions.font.multi);var lineWidth=0;var lineHeight=0;if(blocks){if(blocks.length==0){var values=this.getFormattingValues(ctx,selected,hover,\"normal\");lines.addAndAccumulate(k,\"\",values.font,values.color,0,values.size,values.vadjust,\"normal\",values.strokeWidth,values.strokeColor);height+=lines[k].height;k++;continue}for(var j=0;j<blocks.length;j++){if(this.fontOptions.maxWdt>0){var _values=this.getFormattingValues(ctx,selected,hover,blocks[j].mod);var words=blocks[j].text.split(\" \");var atStart=true;var text=\"\";var measure={width:0};var lastMeasure=void 0;var w=0;while(w<words.length){var pre=atStart?\"\":\" \";lastMeasure=measure;measure=ctx.measureText(text+pre+words[w]);if(lineWidth+measure.width>this.fontOptions.maxWdt&&lastMeasure.width!=0){lineHeight=_values.height>lineHeight?_values.height:lineHeight;lines.add(k,text,_values.font,_values.color,lastMeasure.width,_values.height,_values.vadjust,blocks[j].mod,_values.strokeWidth,_values.strokeColor);lines.accumulate(k,lastMeasure.width,lineHeight);text=\"\";atStart=true;lineWidth=0;width=lines[k].width>width?lines[k].width:width;height+=lines[k].height;k++}else{text=text+pre+words[w];if(w===words.length-1){lineHeight=_values.height>lineHeight?_values.height:lineHeight;lineWidth+=measure.width;lines.add(k,text,_values.font,_values.color,measure.width,_values.height,_values.vadjust,blocks[j].mod,_values.strokeWidth,_values.strokeColor);lines.accumulate(k,measure.width,lineHeight);if(j===blocks.length-1){width=lines[k].width>width?lines[k].width:width;height+=lines[k].height;k++}}w++;atStart=false}}}else{var _values2=this.getFormattingValues(ctx,selected,hover,blocks[j].mod);var _measure=ctx.measureText(blocks[j].text);lines.addAndAccumulate(k,blocks[j].text,_values2.font,_values2.color,_measure.width,_values2.height,_values2.vadjust,blocks[j].mod,_values2.strokeWidth,_values2.strokeColor);width=lines[k].width>width?lines[k].width:width;if(blocks.length-1===j){height+=lines[k].height;k++}}}}}}else{for(var _i=0;_i<lineCount;_i++){var _values3=this.getFormattingValues(ctx,selected,hover,\"normal\");if(this.fontOptions.maxWdt>0){var _words=_nlLines[_i].split(\" \");var _text=\"\";var _measure2={width:0};var _lastMeasure=void 0;var _w=0;while(_w<_words.length){var _pre=_text===\"\"?\"\":\" \";_lastMeasure=_measure2;_measure2=ctx.measureText(_text+_pre+_words[_w]);if(_measure2.width>this.fontOptions.maxWdt&&_lastMeasure.width!=0){lines.addAndAccumulate(k,_text,_values3.font,_values3.color,_lastMeasure.width,_values3.size,_values3.vadjust,\"normal\",_values3.strokeWidth,_values3.strokeColor);width=lines[k].width>width?lines[k].width:width;height+=lines[k].height;_text=\"\";k++}else{_text=_text+_pre+_words[_w];if(_w===_words.length-1){lines.addAndAccumulate(k,_text,_values3.font,_values3.color,_measure2.width,_values3.size,_values3.vadjust,\"normal\",_values3.strokeWidth,_values3.strokeColor);width=lines[k].width>width?lines[k].width:width;height+=lines[k].height;k++}_w++}}}else{var _text2=_nlLines[_i];var _measure3=ctx.measureText(_text2);lines.addAndAccumulate(k,_text2,_values3.font,_values3.color,_measure3.width,_values3.size,_values3.vadjust,\"normal\",_values3.strokeWidth,_values3.strokeColor);width=lines[k].width>width?lines[k].width:width;height+=lines[k].height;k++}}}}if(this.fontOptions.minWdt>0&&width<this.fontOptions.minWdt){width=this.fontOptions.minWdt}this.size.labelHeight=height;if(this.fontOptions.minHgt>0&&height<this.fontOptions.minHgt){height=this.fontOptions.minHgt}this.lines=lines;this.lineCount=lines.length;this.size.width=width;this.size.height=height;this.selectedState=selected;this.hoverState=hover}}],[{key:\"parseOptions\",value:function parseOptions(parentOptions,newOptions){var allowDeletion=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;if(typeof newOptions.font===\"string\"){var newOptionsArray=newOptions.font.split(\" \");parentOptions.size=newOptionsArray[0].replace(\"px\",\"\");parentOptions.face=newOptionsArray[1];parentOptions.color=newOptionsArray[2];parentOptions.vadjust=0}else if((0,_typeof3[\"default\"])(newOptions.font)===\"object\"){util.fillIfDefined(parentOptions,newOptions.font,allowDeletion)}parentOptions.size=Number(parentOptions.size);parentOptions.vadjust=Number(parentOptions.vadjust)}}]);return Label}();exports[\"default\"]=Label},function(module,exports,__webpack_require__){\"use strict\";exports.__esModule=true;var _isIterable2=__webpack_require__(166);var _isIterable3=_interopRequireDefault(_isIterable2);var _getIterator2=__webpack_require__(2);var _getIterator3=_interopRequireDefault(_getIterator2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}exports.default=function(){function sliceIterator(arr,i){var _arr=[];var _n=true;var _d=false;var _e=undefined;try{for(var _i=(0,_getIterator3.default)(arr),_s;!(_n=(_s=_i.next()).done);_n=true){_arr.push(_s.value);if(i&&_arr.length===i)break}}catch(err){_d=true;_e=err}finally{try{if(!_n&&_i[\"return\"])_i[\"return\"]()}finally{if(_d)throw _e}}return _arr}return function(arr,i){if(Array.isArray(arr)){return arr}else if((0,_isIterable3.default)(Object(arr))){return sliceIterator(arr,i)}else{throw new TypeError(\"Invalid attempt to destructure non-iterable instance\")}}}()},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(167),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(4);__webpack_require__(50);module.exports=__webpack_require__(168)},function(module,exports,__webpack_require__){var classof=__webpack_require__(54),ITERATOR=__webpack_require__(47)(\"iterator\"),Iterators=__webpack_require__(8);module.exports=__webpack_require__(17).isIterable=function(it){var O=Object(it);return O[ITERATOR]!==undefined||\"@@iterator\"in O||Iterators.hasOwnProperty(classof(O))}},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Box=function(_NodeBase){(0,_inherits3[\"default\"])(Box,_NodeBase);function Box(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Box);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Box.__proto__||(0,_getPrototypeOf2[\"default\"])(Box)).call(this,options,body,labelModule));_this._setMargins(labelModule);return _this}(0,_createClass3[\"default\"])(Box,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;if(this.width===undefined||this.labelModule.differentState(selected,hover)){this.textSize=this.labelModule.getTextSize(ctx,selected,hover);this.width=this.textSize.width+this.margin.right+this.margin.left;this.height=this.textSize.height+this.margin.top+this.margin.bottom;this.radius=this.width/2}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;ctx.strokeStyle=values.borderColor;ctx.lineWidth=values.borderWidth;ctx.lineWidth/=this.body.view.scale;ctx.lineWidth=Math.min(this.width,ctx.lineWidth);ctx.fillStyle=values.color;ctx.roundRect(this.left,this.top,this.width,this.height,values.borderRadius);this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values);ctx.save();if(values.borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore();this.updateBoundingBox(x,y,ctx,selected,hover);this.labelModule.draw(ctx,this.left+this.textSize.width/2+this.margin.left,this.top+this.textSize.height/2+this.margin.top,selected,hover)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y,ctx,selected,hover){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;var borderRadius=this.options.shapeProperties.borderRadius;this.boundingBox.left=this.left-borderRadius;this.boundingBox.top=this.top-borderRadius;this.boundingBox.bottom=this.top+this.height+borderRadius;this.boundingBox.right=this.left+this.width+borderRadius}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){this.resize(ctx);var borderWidth=this.options.borderWidth;return Math.min(Math.abs(this.width/2/Math.cos(angle)),Math.abs(this.height/2/Math.sin(angle)))+borderWidth}}]);return Box}(_NodeBase3[\"default\"]);exports[\"default\"]=Box},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(171),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(172);module.exports=__webpack_require__(17).Object.getPrototypeOf},function(module,exports,__webpack_require__){var toObject=__webpack_require__(49),$getPrototypeOf=__webpack_require__(48);__webpack_require__(61)(\"getPrototypeOf\",function(){return function getPrototypeOf(it){return $getPrototypeOf(toObject(it))}})},function(module,exports,__webpack_require__){\"use strict\";exports.__esModule=true;var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}exports.default=function(self,call){if(!self){throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\")}return call&&((typeof call===\"undefined\"?\"undefined\":(0,_typeof3.default)(call))===\"object\"||typeof call===\"function\")?call:self}},function(module,exports,__webpack_require__){\"use strict\";exports.__esModule=true;var _setPrototypeOf=__webpack_require__(175);var _setPrototypeOf2=_interopRequireDefault(_setPrototypeOf);var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}exports.default=function(subClass,superClass){if(typeof superClass!==\"function\"&&superClass!==null){throw new TypeError(\"Super expression must either be null or a function, not \"+(typeof superClass===\"undefined\"?\"undefined\":(0,_typeof3.default)(superClass)))}subClass.prototype=(0,_create2.default)(superClass&&superClass.prototype,{constructor:{value:subClass,enumerable:false,writable:true,configurable:true}});if(superClass)_setPrototypeOf2.default?(0,_setPrototypeOf2.default)(subClass,superClass):subClass.__proto__=superClass}},function(module,exports,__webpack_require__){module.exports={default:__webpack_require__(176),__esModule:true}},function(module,exports,__webpack_require__){__webpack_require__(177);module.exports=__webpack_require__(17).Object.setPrototypeOf},function(module,exports,__webpack_require__){var $export=__webpack_require__(15);$export($export.S,\"Object\",{setPrototypeOf:__webpack_require__(178).set})},function(module,exports,__webpack_require__){var isObject=__webpack_require__(23),anObject=__webpack_require__(22);var check=function(O,proto){anObject(O);if(!isObject(proto)&&proto!==null)throw TypeError(proto+\": can't set as prototype!\")};module.exports={set:Object.setPrototypeOf||(\"__proto__\"in{}?function(test,buggy,set){try{set=__webpack_require__(18)(Function.call,__webpack_require__(78).f(Object.prototype,\"__proto__\").set,2);set(test,[]);buggy=!(test instanceof Array)}catch(e){buggy=true}return function setPrototypeOf(O,proto){check(O,proto);if(buggy)O.__proto__=proto;else set(O,proto);return O}}({},false):undefined),check:check}},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var NodeBase=function(){function NodeBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,NodeBase);this.body=body;this.labelModule=labelModule;this.setOptions(options);this.top=undefined;this.left=undefined;this.height=undefined;this.width=undefined;this.radius=undefined;this.margin=undefined;this.boundingBox={top:0,left:0,right:0,bottom:0}}(0,_createClass3[\"default\"])(NodeBase,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"_setMargins\",value:function _setMargins(labelModule){this.margin={};if(this.options.margin){if((0,_typeof3[\"default\"])(this.options.margin)==\"object\"){this.margin.top=this.options.margin.top;this.margin.right=this.options.margin.right;this.margin.bottom=this.options.margin.bottom;this.margin.left=this.options.margin.left}else{this.margin.top=this.options.margin;this.margin.right=this.options.margin;this.margin.bottom=this.options.margin;this.margin.left=this.options.margin}}labelModule.adjustSizes(this.margin)}},{key:\"_distanceToBorder\",value:function _distanceToBorder(ctx,angle){var borderWidth=this.options.borderWidth;this.resize(ctx);return Math.min(Math.abs(this.width/2/Math.cos(angle)),Math.abs(this.height/2/Math.sin(angle)))+borderWidth}},{key:\"enableShadow\",value:function enableShadow(ctx,values){if(values.shadow){ctx.shadowColor=values.shadowColor;ctx.shadowBlur=values.shadowSize;ctx.shadowOffsetX=values.shadowX;ctx.shadowOffsetY=values.shadowY}}},{key:\"disableShadow\",value:function disableShadow(ctx,values){if(values.shadow){ctx.shadowColor=\"rgba(0,0,0,0)\";ctx.shadowBlur=0;ctx.shadowOffsetX=0;ctx.shadowOffsetY=0}}},{key:\"enableBorderDashes\",value:function enableBorderDashes(ctx,values){if(values.borderDashes!==false){if(ctx.setLineDash!==undefined){var dashes=values.borderDashes;if(dashes===true){dashes=[5,15]}ctx.setLineDash(dashes)}else{console.warn(\"setLineDash is not supported in this browser. The dashed borders cannot be used.\");this.options.shapeProperties.borderDashes=false;values.borderDashes=false}}}},{key:\"disableBorderDashes\",value:function disableBorderDashes(ctx,values){if(values.borderDashes!==false){if(ctx.setLineDash!==undefined){ctx.setLineDash([0])}else{console.warn(\"setLineDash is not supported in this browser. The dashed borders cannot be used.\");this.options.shapeProperties.borderDashes=false;values.borderDashes=false}}}}]);return NodeBase}();exports[\"default\"]=NodeBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _CircleImageBase2=__webpack_require__(181);var _CircleImageBase3=_interopRequireDefault(_CircleImageBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Circle=function(_CircleImageBase){(0,_inherits3[\"default\"])(Circle,_CircleImageBase);function Circle(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Circle);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Circle.__proto__||(0,_getPrototypeOf2[\"default\"])(Circle)).call(this,options,body,labelModule));_this._setMargins(labelModule);return _this}(0,_createClass3[\"default\"])(Circle,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;var values=arguments.length>3&&arguments[3]!==undefined?arguments[3]:{size:this.options.size};if(this.width===undefined||this.labelModule.differentState(selected,hover)){this.textSize=this.labelModule.getTextSize(ctx,selected,hover);var diameter=Math.max(this.textSize.width+this.margin.right+this.margin.left,this.textSize.height+this.margin.top+this.margin.bottom);this.options.size=diameter/2;this.width=diameter;this.height=diameter;this.radius=this.width/2}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;this._drawRawCircle(ctx,x,y,selected,hover,values);this.boundingBox.top=y-values.size;this.boundingBox.left=x-values.size;this.boundingBox.right=x+values.size;this.boundingBox.bottom=y+values.size;this.updateBoundingBox(x,y);this.labelModule.draw(ctx,this.left+this.textSize.width/2+this.margin.left,y,selected,hover)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y){this.boundingBox.top=y-this.options.size;this.boundingBox.left=x-this.options.size;this.boundingBox.right=x+this.options.size;this.boundingBox.bottom=y+this.options.size}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){this.resize(ctx);return this.width*.5}}]);return Circle}(_CircleImageBase3[\"default\"]);exports[\"default\"]=Circle},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var CircleImageBase=function(_NodeBase){(0,_inherits3[\"default\"])(CircleImageBase,_NodeBase);function CircleImageBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,CircleImageBase);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(CircleImageBase.__proto__||(0,_getPrototypeOf2[\"default\"])(CircleImageBase)).call(this,options,body,labelModule));_this.labelOffset=0;_this.imageLoaded=false;_this.selected=false;return _this}(0,_createClass3[\"default\"])(CircleImageBase,[{key:\"setOptions\",value:function setOptions(options,imageObj,imageObjAlt){this.options=options;this.setImages(imageObj,imageObjAlt)}},{key:\"setImages\",value:function setImages(imageObj,imageObjAlt){if(imageObj){this.imageObj=imageObj;if(imageObjAlt){this.imageObjAlt=imageObjAlt}}}},{key:\"switchImages\",value:function switchImages(selected){if(selected&&!this.selected||!selected&&this.selected){var imageTmp=this.imageObj;this.imageObj=this.imageObjAlt;this.imageObjAlt=imageTmp}this.selected=selected}},{key:\"_resizeImage\",value:function _resizeImage(){var force=false;if(!this.imageObj.width||!this.imageObj.height){this.imageLoaded=false}else if(this.imageLoaded===false){this.imageLoaded=true;force=true}if(!this.width||!this.height||force===true){var width,height,ratio;if(this.imageObj.width&&this.imageObj.height){width=0;height=0}if(this.options.shapeProperties.useImageSize===false){if(this.imageObj.width>this.imageObj.height){ratio=this.imageObj.width/this.imageObj.height;width=this.options.size*2*ratio||this.imageObj.width;height=this.options.size*2||this.imageObj.height}else{if(this.imageObj.width&&this.imageObj.height){ratio=this.imageObj.height/this.imageObj.width}else{ratio=1}width=this.options.size*2;height=this.options.size*2*ratio}}else{width=this.imageObj.width;height=this.imageObj.height}this.width=width;this.height=height;this.radius=.5*this.width}}},{key:\"_drawRawCircle\",value:function _drawRawCircle(ctx,x,y,selected,hover,values){var borderWidth=values.borderWidth/this.body.view.scale;ctx.lineWidth=Math.min(this.width,borderWidth);ctx.strokeStyle=values.borderColor;ctx.fillStyle=values.color;ctx.circle(x,y,values.size);this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values);ctx.save();if(borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore()}},{key:\"_drawImageAtPosition\",value:function _drawImageAtPosition(ctx,values){if(this.imageObj.width!=0){ctx.globalAlpha=1;this.enableShadow(ctx,values);var factor=this.imageObj.width/this.width/this.body.view.scale;if(factor>2&&this.options.shapeProperties.interpolation===true){var w=this.imageObj.width;var h=this.imageObj.height;var can2=document.createElement(\"canvas\");can2.width=w;can2.height=w;var ctx2=can2.getContext(\"2d\");factor*=.5;w*=.5;h*=.5;ctx2.drawImage(this.imageObj,0,0,w,h);var distance=0;var iterations=1;while(factor>2&&iterations<4){ctx2.drawImage(can2,distance,0,w,h,distance+w,0,w/2,h/2);distance+=w;factor*=.5;w*=.5;h*=.5;iterations+=1}ctx.drawImage(can2,distance,0,w,h,this.left,this.top,this.width,this.height)}else{ctx.drawImage(this.imageObj,this.left,this.top,this.width,this.height)}this.disableShadow(ctx,values)}}},{key:\"_drawImageLabel\",value:function _drawImageLabel(ctx,x,y,selected,hover){var yLabel;var offset=0;if(this.height!==undefined){offset=this.height*.5;var labelDimensions=this.labelModule.getTextSize(ctx,selected,hover);if(labelDimensions.lineCount>=1){offset+=labelDimensions.height/2}}yLabel=y+offset;if(this.options.label){this.labelOffset=offset}this.labelModule.draw(ctx,x,yLabel,selected,hover,\"hanging\")}}]);return CircleImageBase}(_NodeBase3[\"default\"]);exports[\"default\"]=CircleImageBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _CircleImageBase2=__webpack_require__(181);var _CircleImageBase3=_interopRequireDefault(_CircleImageBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var CircularImage=function(_CircleImageBase){(0,_inherits3[\"default\"])(CircularImage,_CircleImageBase);function CircularImage(options,body,labelModule,imageObj,imageObjAlt){(0,_classCallCheck3[\"default\"])(this,CircularImage);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(CircularImage.__proto__||(0,_getPrototypeOf2[\"default\"])(CircularImage)).call(this,options,body,labelModule));_this.setImages(imageObj,imageObjAlt);_this._swapToImageResizeWhenImageLoaded=true;return _this}(0,_createClass3[\"default\"])(CircularImage,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;if(this.imageObj.src===undefined||this.imageObj.width===undefined||this.imageObj.height===undefined||this.labelModule.differentState(selected,hover)){var diameter=this.options.size*2;this.width=diameter;this.height=diameter;this._swapToImageResizeWhenImageLoaded=true;this.radius=.5*this.width}else{if(this._swapToImageResizeWhenImageLoaded){this.width=undefined;this.height=undefined;this._swapToImageResizeWhenImageLoaded=false}this._resizeImage()}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){if(this.imageObjAlt){this.switchImages(selected)}this.resize();this.left=x-this.width/2;this.top=y-this.height/2;var size=Math.min(.5*this.height,.5*this.width);this._drawRawCircle(ctx,x,y,selected,hover,values);ctx.save();ctx.clip();this._drawImageAtPosition(ctx,values);ctx.restore();this._drawImageLabel(ctx,x,y,selected,hover);this.updateBoundingBox(x,y)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y){this.boundingBox.top=y-this.options.size;this.boundingBox.left=x-this.options.size;this.boundingBox.right=x+this.options.size;this.boundingBox.bottom=y+this.options.size;this.boundingBox.left=Math.min(this.boundingBox.left,this.labelModule.size.left);this.boundingBox.right=Math.max(this.boundingBox.right,this.labelModule.size.left+this.labelModule.size.width);this.boundingBox.bottom=Math.max(this.boundingBox.bottom,this.boundingBox.bottom+this.labelOffset)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){this.resize(ctx);return this.width*.5}}]);return CircularImage}(_CircleImageBase3[\"default\"]);exports[\"default\"]=CircularImage},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Database=function(_NodeBase){(0,_inherits3[\"default\"])(Database,_NodeBase);function Database(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Database);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Database.__proto__||(0,_getPrototypeOf2[\"default\"])(Database)).call(this,options,body,labelModule));_this._setMargins(labelModule);return _this}(0,_createClass3[\"default\"])(Database,[{key:\"resize\",value:function resize(ctx,selected,hover){if(this.width===undefined||this.labelModule.differentState(selected,hover)){this.textSize=this.labelModule.getTextSize(ctx,selected,hover);var size=this.textSize.width+this.margin.right+this.margin.left;this.width=size;this.height=size;this.radius=this.width/2}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;var borderWidth=values.borderWidth/this.body.view.scale;ctx.lineWidth=Math.min(this.width,borderWidth);ctx.strokeStyle=values.borderColor;ctx.fillStyle=values.color;ctx.database(x-this.width/2,y-this.height/2,this.width,this.height);this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values);ctx.save();if(borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore();this.updateBoundingBox(x,y,ctx,selected,hover);this.labelModule.draw(ctx,this.left+this.textSize.width/2+this.margin.left,this.top+this.textSize.height/2+this.margin.top,selected,hover)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y,ctx,selected,hover){this.resize(ctx,selected,hover);this.left=x-this.width*.5;this.top=y-this.height*.5;this.boundingBox.left=this.left;this.boundingBox.top=this.top;this.boundingBox.bottom=this.top+this.height;this.boundingBox.right=this.left+this.width}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Database}(_NodeBase3[\"default\"]);exports[\"default\"]=Database},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Diamond=function(_ShapeBase){(0,_inherits3[\"default\"])(Diamond,_ShapeBase);function Diamond(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Diamond);return(0,_possibleConstructorReturn3[\"default\"])(this,(Diamond.__proto__||(0,_getPrototypeOf2[\"default\"])(Diamond)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Diamond,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;var values=arguments[3];this._resizeShape(selected,hover,values)}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"diamond\",4,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Diamond}(_ShapeBase3[\"default\"]);exports[\"default\"]=Diamond},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var ShapeBase=function(_NodeBase){(0,_inherits3[\"default\"])(ShapeBase,_NodeBase);function ShapeBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,ShapeBase);return(0,_possibleConstructorReturn3[\"default\"])(this,(ShapeBase.__proto__||(0,_getPrototypeOf2[\"default\"])(ShapeBase)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(ShapeBase,[{key:\"_resizeShape\",value:function _resizeShape(){var selected=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.selected;var hover=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.hover;var values=arguments.length>2&&arguments[2]!==undefined?arguments[2]:{size:this.options.size};if(this.width===undefined||this.labelModule.differentState(selected,hover)){var size=2*values.size;this.width=size;this.height=size;this.radius=.5*this.width}}},{key:\"_drawShape\",value:function _drawShape(ctx,shape,sizeMultiplier,x,y,selected,hover,values){this._resizeShape(selected,hover,values);this.left=x-this.width/2;this.top=y-this.height/2;var borderWidth=values.borderWidth/this.body.view.scale;ctx.lineWidth=Math.min(this.width,borderWidth);ctx.strokeStyle=values.borderColor;ctx.fillStyle=values.color;ctx[shape](x,y,values.size);this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values);ctx.save();if(borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore();if(this.options.label!==undefined){var yLabel=y+.5*this.height+3;this.labelModule.draw(ctx,x,yLabel,selected,hover,\"hanging\")}this.updateBoundingBox(x,y)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y){this.boundingBox.top=y-this.options.size;this.boundingBox.left=x-this.options.size;this.boundingBox.right=x+this.options.size;this.boundingBox.bottom=y+this.options.size;if(this.options.label!==undefined&&this.labelModule.size.width>0){this.boundingBox.left=Math.min(this.boundingBox.left,this.labelModule.size.left);this.boundingBox.right=Math.max(this.boundingBox.right,this.labelModule.size.left+this.labelModule.size.width);this.boundingBox.bottom=Math.max(this.boundingBox.bottom,this.boundingBox.bottom+this.labelModule.size.height+3)}}}]);return ShapeBase}(_NodeBase3[\"default\"]);exports[\"default\"]=ShapeBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Dot=function(_ShapeBase){(0,_inherits3[\"default\"])(Dot,_ShapeBase);function Dot(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Dot);return(0,_possibleConstructorReturn3[\"default\"])(this,(Dot.__proto__||(0,_getPrototypeOf2[\"default\"])(Dot)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Dot,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;var values=arguments[3];this._resizeShape(selected,hover,values)}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"circle\",2,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){this.resize(ctx);return this.options.size}}]);return Dot}(_ShapeBase3[\"default\"]);exports[\"default\"]=Dot},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Ellipse=function(_NodeBase){(0,_inherits3[\"default\"])(Ellipse,_NodeBase);function Ellipse(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Ellipse);return(0,_possibleConstructorReturn3[\"default\"])(this,(Ellipse.__proto__||(0,_getPrototypeOf2[\"default\"])(Ellipse)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Ellipse,[{key:\"resize\",value:function resize(ctx){var selected=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.selected;var hover=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this.hover;if(this.width===undefined||this.labelModule.differentState(selected,hover)){var textSize=this.labelModule.getTextSize(ctx,selected,hover);this.height=textSize.height*2;this.width=textSize.width+this.height;this.radius=.5*this.width}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.left=x-this.width*.5;this.top=y-this.height*.5;var borderWidth=values.borderWidth/this.body.view.scale;ctx.lineWidth=Math.min(this.width,borderWidth);ctx.strokeStyle=values.borderColor;ctx.fillStyle=values.color;ctx.ellipse(this.left,this.top,this.width,this.height);this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values);ctx.save();if(borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore();this.updateBoundingBox(x,y,ctx,selected,hover);this.labelModule.draw(ctx,x,y,selected,hover)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y,ctx,selected,hover){this.resize(ctx,selected,hover);this.left=x-this.width*.5;this.top=y-this.height*.5;this.boundingBox.left=this.left;this.boundingBox.top=this.top;this.boundingBox.bottom=this.top+this.height;this.boundingBox.right=this.left+this.width}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){this.resize(ctx);var a=this.width*.5;var b=this.height*.5;var w=Math.sin(angle)*a;var h=Math.cos(angle)*b;return a*b/Math.sqrt(w*w+h*h)}}]);return Ellipse}(_NodeBase3[\"default\"]);exports[\"default\"]=Ellipse},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Icon=function(_NodeBase){(0,_inherits3[\"default\"])(Icon,_NodeBase);function Icon(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Icon);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Icon.__proto__||(0,_getPrototypeOf2[\"default\"])(Icon)).call(this,options,body,labelModule));_this._setMargins(labelModule);return _this}(0,_createClass3[\"default\"])(Icon,[{key:\"resize\",value:function resize(ctx,selected,hover){if(this.width===undefined||this.labelModule.differentState(selected,hover)){this.iconSize={width:Number(this.options.icon.size),height:Number(this.options.icon.size)};this.width=this.iconSize.width+this.margin.right+this.margin.left;this.height=this.iconSize.height+this.margin.top+this.margin.bottom;this.radius=.5*this.width}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.options.icon.size=this.options.icon.size||50;this.left=x-this.width/2;this.top=y-this.height/2;this._icon(ctx,x,y,selected,hover,values);if(this.options.label!==undefined){var iconTextSpacing=5;this.labelModule.draw(ctx,this.left+this.iconSize.width/2+this.margin.left,y+this.height/2+iconTextSpacing,selected)}this.updateBoundingBox(x,y)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y){this.boundingBox.top=y-this.options.icon.size*.5;this.boundingBox.left=x-this.options.icon.size*.5;this.boundingBox.right=x+this.options.icon.size*.5;this.boundingBox.bottom=y+this.options.icon.size*.5;if(this.options.label!==undefined&&this.labelModule.size.width>0){var iconTextSpacing=5;this.boundingBox.left=Math.min(this.boundingBox.left,this.labelModule.size.left);this.boundingBox.right=Math.max(this.boundingBox.right,this.labelModule.size.left+this.labelModule.size.width);this.boundingBox.bottom=Math.max(this.boundingBox.bottom,this.boundingBox.bottom+this.labelModule.size.height+iconTextSpacing)}}},{key:\"_icon\",value:function _icon(ctx,x,y,selected,hover,values){var iconSize=Number(this.options.icon.size);if(this.options.icon.code!==undefined){ctx.font=(selected?\"bold \":\"\")+iconSize+\"px \"+this.options.icon.face;ctx.fillStyle=this.options.icon.color||\"black\";ctx.textAlign=\"center\";ctx.textBaseline=\"middle\";this.enableShadow(ctx,values);ctx.fillText(this.options.icon.code,x,y);this.disableShadow(ctx,values)}else{console.error(\"When using the icon shape, you need to define the code in the icon options object. This can be done per node or globally.\")}}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Icon}(_NodeBase3[\"default\"]);exports[\"default\"]=Icon},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _CircleImageBase2=__webpack_require__(181);var _CircleImageBase3=_interopRequireDefault(_CircleImageBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Image=function(_CircleImageBase){(0,_inherits3[\"default\"])(Image,_CircleImageBase);function Image(options,body,labelModule,imageObj,imageObjAlt){(0,_classCallCheck3[\"default\"])(this,Image);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Image.__proto__||(0,_getPrototypeOf2[\"default\"])(Image)).call(this,options,body,labelModule));_this.setImages(imageObj,imageObjAlt);return _this}(0,_createClass3[\"default\"])(Image,[{key:\"resize\",value:function resize(){this._resizeImage()}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){if(this.imageObjAlt){this.switchImages(selected)}this.selected=selected;this.resize();this.left=x-this.width/2;this.top=y-this.height/2;if(this.options.shapeProperties.useBorderWithImage===true){var neutralborderWidth=this.options.borderWidth;var selectionLineWidth=this.options.borderWidthSelected||2*this.options.borderWidth;var borderWidth=(selected?selectionLineWidth:neutralborderWidth)/this.body.view.scale;ctx.lineWidth=Math.min(this.width,borderWidth);ctx.beginPath();ctx.strokeStyle=selected?this.options.color.highlight.border:hover?this.options.color.hover.border:this.options.color.border;ctx.fillStyle=selected?this.options.color.highlight.background:hover?this.options.color.hover.background:this.options.color.background;ctx.rect(this.left-.5*ctx.lineWidth,this.top-.5*ctx.lineWidth,this.width+ctx.lineWidth,this.height+ctx.lineWidth);ctx.fill();ctx.save();if(borderWidth>0){this.enableBorderDashes(ctx,values);ctx.stroke();this.disableBorderDashes(ctx,values)}ctx.restore();ctx.closePath()}this._drawImageAtPosition(ctx,values);this._drawImageLabel(ctx,x,y,selected,hover);this.updateBoundingBox(x,y)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y){this.resize();this.left=x-this.width/2;this.top=y-this.height/2;this.boundingBox.top=this.top;this.boundingBox.left=this.left;this.boundingBox.right=this.left+this.width;this.boundingBox.bottom=this.top+this.height;if(this.options.label!==undefined&&this.labelModule.size.width>0){this.boundingBox.left=Math.min(this.boundingBox.left,this.labelModule.size.left);this.boundingBox.right=Math.max(this.boundingBox.right,this.labelModule.size.left+this.labelModule.size.width);this.boundingBox.bottom=Math.max(this.boundingBox.bottom,this.boundingBox.bottom+this.labelOffset)}}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Image}(_CircleImageBase3[\"default\"]);exports[\"default\"]=Image},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Square=function(_ShapeBase){(0,_inherits3[\"default\"])(Square,_ShapeBase);function Square(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Square);return(0,_possibleConstructorReturn3[\"default\"])(this,(Square.__proto__||(0,_getPrototypeOf2[\"default\"])(Square)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Square,[{key:\"resize\",value:function resize(){this._resizeShape()}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"square\",2,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Square}(_ShapeBase3[\"default\"]);exports[\"default\"]=Square},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Star=function(_ShapeBase){(0,_inherits3[\"default\"])(Star,_ShapeBase);function Star(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Star);return(0,_possibleConstructorReturn3[\"default\"])(this,(Star.__proto__||(0,_getPrototypeOf2[\"default\"])(Star)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Star,[{key:\"resize\",value:function resize(ctx,selected,hover,values){this._resizeShape(selected,hover,values)}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"star\",4,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Star}(_ShapeBase3[\"default\"]);exports[\"default\"]=Star},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _NodeBase2=__webpack_require__(179);var _NodeBase3=_interopRequireDefault(_NodeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Text=function(_NodeBase){(0,_inherits3[\"default\"])(Text,_NodeBase);function Text(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Text);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Text.__proto__||(0,_getPrototypeOf2[\"default\"])(Text)).call(this,options,body,labelModule));_this._setMargins(labelModule);return _this}(0,_createClass3[\"default\"])(Text,[{key:\"resize\",value:function resize(ctx,selected,hover){if(this.width===undefined||this.labelModule.differentState(selected,hover)){this.textSize=this.labelModule.getTextSize(ctx,selected,hover);this.width=this.textSize.width+this.margin.right+this.margin.left;this.height=this.textSize.height+this.margin.top+this.margin.bottom;this.radius=.5*this.width}}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;this.enableShadow(ctx,values);this.labelModule.draw(ctx,this.left+this.textSize.width/2+this.margin.left,this.top+this.textSize.height/2+this.margin.top,selected,hover);this.disableShadow(ctx,values);this.updateBoundingBox(x,y,ctx,selected,hover)}},{key:\"updateBoundingBox\",value:function updateBoundingBox(x,y,ctx,selected,hover){this.resize(ctx,selected,hover);this.left=x-this.width/2;this.top=y-this.height/2;this.boundingBox.top=this.top;this.boundingBox.left=this.left;this.boundingBox.right=this.left+this.width;this.boundingBox.bottom=this.top+this.height}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Text}(_NodeBase3[\"default\"]);exports[\"default\"]=Text},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Triangle=function(_ShapeBase){(0,_inherits3[\"default\"])(Triangle,_ShapeBase);function Triangle(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,Triangle);return(0,_possibleConstructorReturn3[\"default\"])(this,(Triangle.__proto__||(0,_getPrototypeOf2[\"default\"])(Triangle)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(Triangle,[{key:\"resize\",value:function resize(ctx){this._resizeShape()}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"triangle\",3,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return Triangle}(_ShapeBase3[\"default\"]);exports[\"default\"]=Triangle},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _ShapeBase2=__webpack_require__(185);var _ShapeBase3=_interopRequireDefault(_ShapeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var TriangleDown=function(_ShapeBase){(0,_inherits3[\"default\"])(TriangleDown,_ShapeBase);function TriangleDown(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,TriangleDown);return(0,_possibleConstructorReturn3[\"default\"])(this,(TriangleDown.__proto__||(0,_getPrototypeOf2[\"default\"])(TriangleDown)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(TriangleDown,[{key:\"resize\",value:function resize(ctx){this._resizeShape()}},{key:\"draw\",value:function draw(ctx,x,y,selected,hover,values){this._drawShape(ctx,\"triangleDown\",3,x,y,selected,hover,values)}},{key:\"distanceToBorder\",value:function distanceToBorder(ctx,angle){return this._distanceToBorder(ctx,angle)}}]);return TriangleDown}(_ShapeBase3[\"default\"]);exports[\"default\"]=TriangleDown},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _Edge=__webpack_require__(196);var _Edge2=_interopRequireDefault(_Edge);var _Label=__webpack_require__(164);var _Label2=_interopRequireDefault(_Label);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var DataSet=__webpack_require__(89);var DataView=__webpack_require__(93);var EdgesHandler=function(){function EdgesHandler(body,images,groups){var _this=this;(0,_classCallCheck3[\"default\"])(this,EdgesHandler);this.body=body;this.images=images;this.groups=groups;this.body.functions.createEdge=this.create.bind(this);this.edgesListeners={add:function add(event,params){_this.add(params.items)},update:function update(event,params){_this.update(params.items)},remove:function remove(event,params){_this.remove(params.items)}};this.options={};this.defaultOptions={arrows:{to:{enabled:false,scaleFactor:1,type:\"arrow\"},middle:{enabled:false,scaleFactor:1,type:\"arrow\"},from:{enabled:false,scaleFactor:1,type:\"arrow\"}},arrowStrikethrough:true,color:{color:\"#848484\",highlight:\"#848484\",hover:\"#848484\",inherit:\"from\",opacity:1},dashes:false,font:{color:\"#343434\",size:14,face:\"arial\",background:\"none\",strokeWidth:2,strokeColor:\"#ffffff\",align:\"horizontal\",multi:false,vadjust:0,bold:{mod:\"bold\"},boldital:{mod:\"bold italic\"},ital:{mod:\"italic\"},mono:{mod:\"\",size:15,face:\"courier new\",vadjust:2}},hidden:false,hoverWidth:1.5,label:undefined,labelHighlightBold:true,length:undefined,physics:true,scaling:{min:1,max:15,label:{enabled:true,min:14,max:30,maxVisible:30,drawThreshold:5},customScalingFunction:function customScalingFunction(min,max,total,value){if(max===min){return.5}else{var scale=1/(max-min);return Math.max(0,(value-min)*scale)}}},selectionWidth:1.5,selfReferenceSize:20,shadow:{enabled:false,color:\"rgba(0,0,0,0.5)\",size:10,x:5,y:5},smooth:{enabled:true,type:\"dynamic\",forceDirection:\"none\",roundness:.5},title:undefined,width:1,value:undefined};util.extend(this.options,this.defaultOptions);this.bindEventListeners()}(0,_createClass3[\"default\"])(EdgesHandler,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this2=this;this.body.emitter.on(\"_forceDisableDynamicCurves\",function(type){if(type===\"dynamic\"){type=\"continuous\"}var emitChange=false;for(var edgeId in _this2.body.edges){if(_this2.body.edges.hasOwnProperty(edgeId)){var edge=_this2.body.edges[edgeId];var edgeData=_this2.body.data.edges._data[edgeId];if(edgeData!==undefined){var edgeOptions=edgeData.smooth;if(edgeOptions!==undefined){if(edgeOptions.enabled===true&&edgeOptions.type===\"dynamic\"){if(type===undefined){edge.setOptions({smooth:false})}else{edge.setOptions({smooth:{type:type}})}emitChange=true}}}}}if(emitChange===true){_this2.body.emitter.emit(\"_dataChanged\")}});this.body.emitter.on(\"_dataUpdated\",function(){_this2.reconnectEdges()});this.body.emitter.on(\"refreshEdges\",this.refresh.bind(this));this.body.emitter.on(\"refresh\",this.refresh.bind(this));this.body.emitter.on(\"destroy\",function(){util.forEach(_this2.edgesListeners,function(callback,event){if(_this2.body.data.edges)_this2.body.data.edges.off(event,callback)});delete _this2.body.functions.createEdge;delete _this2.edgesListeners.add;delete _this2.edgesListeners.update;delete _this2.edgesListeners.remove;delete _this2.edgesListeners})}},{key:\"setOptions\",value:function setOptions(options){this.edgeOptions=options;if(options!==undefined){_Edge2[\"default\"].parseOptions(this.options,options);var dataChanged=false;if(options.smooth!==undefined){for(var edgeId in this.body.edges){if(this.body.edges.hasOwnProperty(edgeId)){dataChanged=this.body.edges[edgeId].updateEdgeType()||dataChanged}}}if(options.font!==undefined){_Label2[\"default\"].parseOptions(this.options.font,options);for(var _edgeId in this.body.edges){if(this.body.edges.hasOwnProperty(_edgeId)){this.body.edges[_edgeId].updateLabelModule()}}}if(options.hidden!==undefined||options.physics!==undefined||dataChanged===true){this.body.emitter.emit(\"_dataChanged\")}}}},{key:\"setData\",value:function setData(edges){var _this3=this;var doNotEmit=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var oldEdgesData=this.body.data.edges;if(edges instanceof DataSet||edges instanceof DataView){this.body.data.edges=edges}else if(Array.isArray(edges)){this.body.data.edges=new DataSet;this.body.data.edges.add(edges)}else if(!edges){this.body.data.edges=new DataSet}else{throw new TypeError(\"Array or DataSet expected\")}if(oldEdgesData){util.forEach(this.edgesListeners,function(callback,event){oldEdgesData.off(event,callback)})}this.body.edges={};if(this.body.data.edges){util.forEach(this.edgesListeners,function(callback,event){_this3.body.data.edges.on(event,callback)});var ids=this.body.data.edges.getIds();this.add(ids,true)}if(doNotEmit===false){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"add\",value:function add(ids){var doNotEmit=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var edges=this.body.edges;var edgesData=this.body.data.edges;for(var i=0;i<ids.length;i++){var id=ids[i];var oldEdge=edges[id];if(oldEdge){oldEdge.disconnect()}var data=edgesData.get(id,{showInternalIds:true});edges[id]=this.create(data)}if(doNotEmit===false){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"update\",value:function update(ids){var edges=this.body.edges;var edgesData=this.body.data.edges;var dataChanged=false;for(var i=0;i<ids.length;i++){var id=ids[i];var data=edgesData.get(id);var edge=edges[id];if(edge!==undefined){edge.disconnect();dataChanged=edge.setOptions(data)||dataChanged;edge.connect()}else{this.body.edges[id]=this.create(data);dataChanged=true}}if(dataChanged===true){this.body.emitter.emit(\"_dataChanged\")}else{this.body.emitter.emit(\"_dataUpdated\")}}},{key:\"remove\",value:function remove(ids){var edges=this.body.edges;for(var i=0;i<ids.length;i++){var id=ids[i];var edge=edges[id];if(edge!==undefined){edge.cleanup();edge.disconnect();delete edges[id]}}this.body.emitter.emit(\"_dataChanged\")}},{key:\"refresh\",value:function refresh(){var edges=this.body.edges;for(var edgeId in edges){var edge=undefined;if(edges.hasOwnProperty(edgeId)){edge=edges[edgeId]}var data=this.body.data.edges._data[edgeId];if(edge!==undefined&&data!==undefined){edge.setOptions(data)}}}},{key:\"create\",value:function create(properties){return new _Edge2[\"default\"](properties,this.body,this.options,this.defaultOptions,this.edgeOptions)}},{key:\"reconnectEdges\",value:function reconnectEdges(){var id;var nodes=this.body.nodes;var edges=this.body.edges;for(id in nodes){if(nodes.hasOwnProperty(id)){nodes[id].edges=[]}}for(id in edges){if(edges.hasOwnProperty(id)){var edge=edges[id];edge.from=null;edge.to=null;edge.connect()}}}},{key:\"getConnectedNodes\",value:function getConnectedNodes(edgeId){var nodeList=[];if(this.body.edges[edgeId]!==undefined){var edge=this.body.edges[edgeId];if(edge.fromId){nodeList.push(edge.fromId)}if(edge.toId){nodeList.push(edge.toId)}}return nodeList}}]);return EdgesHandler}();exports[\"default\"]=EdgesHandler},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _Label=__webpack_require__(164);var _Label2=_interopRequireDefault(_Label);var _CubicBezierEdge=__webpack_require__(197);var _CubicBezierEdge2=_interopRequireDefault(_CubicBezierEdge);var _BezierEdgeDynamic=__webpack_require__(201);var _BezierEdgeDynamic2=_interopRequireDefault(_BezierEdgeDynamic);var _BezierEdgeStatic=__webpack_require__(202);var _BezierEdgeStatic2=_interopRequireDefault(_BezierEdgeStatic);var _StraightEdge=__webpack_require__(203);var _StraightEdge2=_interopRequireDefault(_StraightEdge);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Edge=function(){function Edge(options,body,globalOptions,defaultOptions,edgeOptions){(0,_classCallCheck3[\"default\"])(this,Edge);if(body===undefined){throw\"No body provided\"}this.options=util.bridgeObject(globalOptions);this.globalOptions=globalOptions;this.defaultOptions=defaultOptions;this.edgeOptions=edgeOptions;this.body=body;this.id=undefined;this.fromId=undefined;this.toId=undefined;this.selected=false;this.hover=false;this.labelDirty=true;this.baseWidth=this.options.width;this.baseFontSize=this.options.font.size;this.from=undefined;this.to=undefined;this.edgeType=undefined;this.connected=false;this.labelModule=new _Label2[\"default\"](this.body,this.options,true);this.setOptions(options)}(0,_createClass3[\"default\"])(Edge,[{key:\"setOptions\",value:function setOptions(options){if(!options){return}Edge.parseOptions(this.options,options,true,this.globalOptions);if(options.id!==undefined){this.id=options.id}if(options.from!==undefined){this.fromId=options.from}if(options.to!==undefined){this.toId=options.to}if(options.title!==undefined){this.title=options.title}if(options.value!==undefined){options.value=parseFloat(options.value)}this.choosify(options);this.updateLabelModule(options);this.labelModule.propagateFonts(this.edgeOptions,options,this.defaultOptions);var dataChanged=this.updateEdgeType();this._setInteractionWidths();this.connect();if(options.hidden!==undefined||options.physics!==undefined){dataChanged=true}return dataChanged}},{key:\"choosify\",value:function choosify(options){this.chooser=true;var pile=[options,this.options,this.defaultOptions];var chosen=util.topMost(pile,\"chosen\");if(typeof chosen===\"boolean\"){this.chooser=chosen}else if((typeof chosen===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(chosen))===\"object\"){var chosenEdge=util.topMost(pile,[\"chosen\",\"edge\"]);if(typeof chosenEdge===\"boolean\"||typeof chosenEdge===\"function\"){this.chooser=chosenEdge}}}},{key:\"getFormattingValues\",value:function getFormattingValues(){var toArrow=this.options.arrows.to===true||this.options.arrows.to.enabled===true;var fromArrow=this.options.arrows.from===true||this.options.arrows.from.enabled===true;var middleArrow=this.options.arrows.middle===true||this.options.arrows.middle.enabled===true;var inheritsColor=this.options.color.inherit;var values={toArrow:toArrow,toArrowScale:this.options.arrows.to.scaleFactor,toArrowType:this.options.arrows.to.type,middleArrow:middleArrow,middleArrowScale:this.options.arrows.middle.scaleFactor,middleArrowType:this.options.arrows.middle.type,fromArrow:fromArrow,fromArrowScale:this.options.arrows.from.scaleFactor,fromArrowType:this.options.arrows.from.type,arrowStrikethrough:this.options.arrowStrikethrough,color:inheritsColor?undefined:this.options.color.color,inheritsColor:inheritsColor,opacity:this.options.color.opacity,hidden:this.options.hidden,length:this.options.length,shadow:this.options.shadow.enabled,shadowColor:this.options.shadow.color,shadowSize:this.options.shadow.size,shadowX:this.options.shadow.x,shadowY:this.options.shadow.y,dashes:this.options.dashes,width:this.options.width};if(this.selected||this.hover){if(this.chooser===true){if(this.selected){var selectedWidth=this.options.selectionWidth;if(typeof selectedWidth===\"function\"){values.width=selectedWidth(values.width)}else if(typeof selectedWidth===\"number\"){values.width+=selectedWidth}values.width=Math.max(values.width,.3/this.body.view.scale);values.color=this.options.color.highlight;values.shadow=this.options.shadow.enabled}else if(this.hover){var hoverWidth=this.options.hoverWidth;if(typeof hoverWidth===\"function\"){values.width=hoverWidth(values.width)}else if(typeof hoverWidth===\"number\"){values.width+=hoverWidth}values.width=Math.max(values.width,.3/this.body.view.scale);values.color=this.options.color.hover;values.shadow=this.options.shadow.enabled}}else if(typeof this.chooser===\"function\"){this.chooser(values,this.options.id,this.selected,this.hover);if(values.color!==undefined){values.inheritsColor=false}if(values.shadow===false){if(values.shadowColor!==this.options.shadow.color||values.shadowSize!==this.options.shadow.size||values.shadowX!==this.options.shadow.x||values.shadowY!==this.options.shadow.y){values.shadow=true}}}}else{values.shadow=this.options.shadow.enabled;values.width=Math.max(values.width,.3/this.body.view.scale)}return values}},{key:\"updateLabelModule\",value:function updateLabelModule(options){this.labelModule.setOptions(this.options,true);if(this.labelModule.baseSize!==undefined){this.baseFontSize=this.labelModule.baseSize}this.labelModule.constrain(this.edgeOptions,options,this.defaultOptions);this.labelModule.choosify(this.edgeOptions,options,this.defaultOptions)}},{key:\"updateEdgeType\",value:function updateEdgeType(){var smooth=this.options.smooth;var dataChanged=false;var changeInType=true;if(this.edgeType!==undefined){if(this.edgeType instanceof _BezierEdgeDynamic2[\"default\"]&&smooth.enabled===true&&smooth.type===\"dynamic\"||this.edgeType instanceof _CubicBezierEdge2[\"default\"]&&smooth.enabled===true&&smooth.type===\"cubicBezier\"||this.edgeType instanceof _BezierEdgeStatic2[\"default\"]&&smooth.enabled===true&&smooth.type!==\"dynamic\"&&smooth.type!==\"cubicBezier\"||this.edgeType instanceof _StraightEdge2[\"default\"]&&smooth.type.enabled===false){changeInType=false}if(changeInType===true){dataChanged=this.cleanup()}}if(changeInType===true){if(smooth.enabled===true){if(smooth.type===\"dynamic\"){dataChanged=true;this.edgeType=new _BezierEdgeDynamic2[\"default\"](this.options,this.body,this.labelModule)}else if(smooth.type===\"cubicBezier\"){this.edgeType=new _CubicBezierEdge2[\"default\"](this.options,this.body,this.labelModule)}else{this.edgeType=new _BezierEdgeStatic2[\"default\"](this.options,this.body,this.labelModule)}}else{this.edgeType=new _StraightEdge2[\"default\"](this.options,this.body,this.labelModule)}}else{this.edgeType.setOptions(this.options)}return dataChanged}},{key:\"connect\",value:function connect(){this.disconnect();this.from=this.body.nodes[this.fromId]||undefined;this.to=this.body.nodes[this.toId]||undefined;this.connected=this.from!==undefined&&this.to!==undefined;if(this.connected===true){this.from.attachEdge(this);this.to.attachEdge(this)}else{if(this.from){this.from.detachEdge(this)}if(this.to){this.to.detachEdge(this)}}this.edgeType.connect()}},{key:\"disconnect\",value:function disconnect(){if(this.from){this.from.detachEdge(this);this.from=undefined}if(this.to){this.to.detachEdge(this);this.to=undefined}this.connected=false}},{key:\"getTitle\",value:function getTitle(){return this.title}},{key:\"isSelected\",value:function isSelected(){return this.selected}},{key:\"getValue\",value:function getValue(){return this.options.value}},{key:\"setValueRange\",value:function setValueRange(min,max,total){if(this.options.value!==undefined){var scale=this.options.scaling.customScalingFunction(min,max,total,this.options.value);var widthDiff=this.options.scaling.max-this.options.scaling.min;if(this.options.scaling.label.enabled===true){var fontDiff=this.options.scaling.label.max-this.options.scaling.label.min;this.options.font.size=this.options.scaling.label.min+scale*fontDiff}this.options.width=this.options.scaling.min+scale*widthDiff}else{this.options.width=this.baseWidth;this.options.font.size=this.baseFontSize}this._setInteractionWidths();this.updateLabelModule()}},{key:\"_setInteractionWidths\",value:function _setInteractionWidths(){if(typeof this.options.hoverWidth===\"function\"){this.edgeType.hoverWidth=this.options.hoverWidth(this.options.width)}else{this.edgeType.hoverWidth=this.options.hoverWidth+this.options.width}if(typeof this.options.selectionWidth===\"function\"){this.edgeType.selectionWidth=this.options.selectionWidth(this.options.width)}else{this.edgeType.selectionWidth=this.options.selectionWidth+this.options.width}}},{key:\"draw\",value:function draw(ctx){var values=this.getFormattingValues();if(values.hidden){return}var viaNode=this.edgeType.getViaNode();var arrowData={};this.edgeType.fromPoint=this.edgeType.from;this.edgeType.toPoint=this.edgeType.to;if(values.fromArrow){arrowData.from=this.edgeType.getArrowData(ctx,\"from\",viaNode,this.selected,this.hover,values);if(values.arrowStrikethrough===false)this.edgeType.fromPoint=arrowData.from.core}if(values.toArrow){arrowData.to=this.edgeType.getArrowData(ctx,\"to\",viaNode,this.selected,this.hover,values);if(values.arrowStrikethrough===false)this.edgeType.toPoint=arrowData.to.core}if(values.middleArrow){arrowData.middle=this.edgeType.getArrowData(ctx,\"middle\",viaNode,this.selected,this.hover,values)}this.edgeType.drawLine(ctx,values,this.selected,this.hover,viaNode);this.drawArrows(ctx,arrowData,values);this.drawLabel(ctx,viaNode)}},{key:\"drawArrows\",value:function drawArrows(ctx,arrowData,values){if(values.fromArrow){this.edgeType.drawArrowHead(ctx,values,this.selected,this.hover,arrowData.from)}if(values.middleArrow){this.edgeType.drawArrowHead(ctx,values,this.selected,this.hover,arrowData.middle)}if(values.toArrow){this.edgeType.drawArrowHead(ctx,values,this.selected,this.hover,arrowData.to)}}},{key:\"drawLabel\",value:function drawLabel(ctx,viaNode){if(this.options.label!==undefined){var node1=this.from;var node2=this.to;var selected=this.from.selected||this.to.selected||this.selected;if(node1.id!=node2.id){this.labelModule.pointToSelf=false;var point=this.edgeType.getPoint(.5,viaNode);ctx.save();if(this.options.font.align!==\"horizontal\"){this.labelModule.calculateLabelSize(ctx,selected,this.hover,point.x,point.y);ctx.translate(point.x,this.labelModule.size.yLine);this._rotateForLabelAlignment(ctx)}this.labelModule.draw(ctx,point.x,point.y,selected,this.hover);ctx.restore()}else{this.labelModule.pointToSelf=true;var x,y;var radius=this.options.selfReferenceSize;if(node1.shape.width>node1.shape.height){x=node1.x+node1.shape.width*.5;y=node1.y-radius}else{x=node1.x+radius;y=node1.y-node1.shape.height*.5}point=this._pointOnCircle(x,y,radius,.125);this.labelModule.draw(ctx,point.x,point.y,selected,this.hover)}}}},{key:\"isOverlappingWith\",value:function isOverlappingWith(obj){if(this.connected){var distMax=10;var xFrom=this.from.x;var yFrom=this.from.y;var xTo=this.to.x;var yTo=this.to.y;var xObj=obj.left;var yObj=obj.top;var dist=this.edgeType.getDistanceToEdge(xFrom,yFrom,xTo,yTo,xObj,yObj);return dist<distMax}else{return false}}},{key:\"_rotateForLabelAlignment\",value:function _rotateForLabelAlignment(ctx){var dy=this.from.y-this.to.y;var dx=this.from.x-this.to.x;var angleInDegrees=Math.atan2(dy,dx);if(angleInDegrees<-1&&dx<0||angleInDegrees>0&&dx<0){angleInDegrees=angleInDegrees+Math.PI}ctx.rotate(angleInDegrees)}},{key:\"_pointOnCircle\",value:function _pointOnCircle(x,y,radius,percentage){var angle=percentage*2*Math.PI;return{x:x+radius*Math.cos(angle),y:y-radius*Math.sin(angle)}}},{key:\"select\",value:function select(){this.selected=true}},{key:\"unselect\",value:function unselect(){this.selected=false}},{key:\"cleanup\",value:function cleanup(){return this.edgeType.cleanup()}}],[{key:\"parseOptions\",value:function parseOptions(parentOptions,newOptions){var allowDeletion=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var globalOptions=arguments.length>3&&arguments[3]!==undefined?arguments[3]:{};var fields=[\"arrowStrikethrough\",\"id\",\"from\",\"hidden\",\"hoverWidth\",\"label\",\"labelHighlightBold\",\"length\",\"line\",\"opacity\",\"physics\",\"scaling\",\"selectionWidth\",\"selfReferenceSize\",\"to\",\"title\",\"value\",\"width\"];util.selectiveDeepExtend(fields,parentOptions,newOptions,allowDeletion);util.mergeOptions(parentOptions,newOptions,\"smooth\",allowDeletion,globalOptions);util.mergeOptions(parentOptions,newOptions,\"shadow\",allowDeletion,globalOptions);if(newOptions.dashes!==undefined&&newOptions.dashes!==null){parentOptions.dashes=newOptions.dashes}else if(allowDeletion===true&&newOptions.dashes===null){parentOptions.dashes=(0,_create2[\"default\"])(globalOptions.dashes)}if(newOptions.scaling!==undefined&&newOptions.scaling!==null){if(newOptions.scaling.min!==undefined){parentOptions.scaling.min=newOptions.scaling.min}if(newOptions.scaling.max!==undefined){parentOptions.scaling.max=newOptions.scaling.max}util.mergeOptions(parentOptions.scaling,newOptions.scaling,\"label\",allowDeletion,globalOptions.scaling)}else if(allowDeletion===true&&newOptions.scaling===null){parentOptions.scaling=(0,_create2[\"default\"])(globalOptions.scaling)}if(newOptions.arrows!==undefined&&newOptions.arrows!==null){if(typeof newOptions.arrows===\"string\"){var arrows=newOptions.arrows.toLowerCase();parentOptions.arrows.to.enabled=arrows.indexOf(\"to\")!=-1;parentOptions.arrows.middle.enabled=arrows.indexOf(\"middle\")!=-1;parentOptions.arrows.from.enabled=arrows.indexOf(\"from\")!=-1}else if((0,_typeof3[\"default\"])(newOptions.arrows)===\"object\"){util.mergeOptions(parentOptions.arrows,newOptions.arrows,\"to\",allowDeletion,globalOptions.arrows);util.mergeOptions(parentOptions.arrows,newOptions.arrows,\"middle\",allowDeletion,globalOptions.arrows);util.mergeOptions(parentOptions.arrows,newOptions.arrows,\"from\",allowDeletion,globalOptions.arrows)}else{throw new Error(\"The arrow newOptions can only be an object or a string. Refer to the documentation. You used:\"+(0,_stringify2[\"default\"])(newOptions.arrows))}}else if(allowDeletion===true&&newOptions.arrows===null){parentOptions.arrows=(0,_create2[\"default\"])(globalOptions.arrows)}if(newOptions.color!==undefined&&newOptions.color!==null){parentOptions.color=util.deepExtend({},parentOptions.color,true);if(util.isString(newOptions.color)){parentOptions.color.color=newOptions.color;parentOptions.color.highlight=newOptions.color;parentOptions.color.hover=newOptions.color;parentOptions.color.inherit=false}else{var colorsDefined=false;if(newOptions.color.color!==undefined){parentOptions.color.color=newOptions.color.color;colorsDefined=true}if(newOptions.color.highlight!==undefined){parentOptions.color.highlight=newOptions.color.highlight;colorsDefined=true}if(newOptions.color.hover!==undefined){parentOptions.color.hover=newOptions.color.hover;colorsDefined=true}if(newOptions.color.inherit!==undefined){parentOptions.color.inherit=newOptions.color.inherit}if(newOptions.color.opacity!==undefined){parentOptions.color.opacity=Math.min(1,Math.max(0,newOptions.color.opacity))}if(newOptions.color.inherit===undefined&&colorsDefined===true){parentOptions.color.inherit=false}}}else if(allowDeletion===true&&newOptions.color===null){parentOptions.color=util.bridgeObject(globalOptions.color)}if(newOptions.font!==undefined&&newOptions.font!==null){_Label2[\"default\"].parseOptions(parentOptions.font,newOptions)}else if(allowDeletion===true&&newOptions.font===null){parentOptions.font=util.bridgeObject(globalOptions.font)}}}]);return Edge}();exports[\"default\"]=Edge},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _CubicBezierEdgeBase2=__webpack_require__(198);var _CubicBezierEdgeBase3=_interopRequireDefault(_CubicBezierEdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var CubicBezierEdge=function(_CubicBezierEdgeBase){(0,_inherits3[\"default\"])(CubicBezierEdge,_CubicBezierEdgeBase);function CubicBezierEdge(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,CubicBezierEdge);return(0,_possibleConstructorReturn3[\"default\"])(this,(CubicBezierEdge.__proto__||(0,_getPrototypeOf2[\"default\"])(CubicBezierEdge)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(CubicBezierEdge,[{key:\"_line\",value:function _line(ctx,values,viaNodes){var via1=viaNodes[0];var via2=viaNodes[1];ctx.beginPath();ctx.moveTo(this.fromPoint.x,this.fromPoint.y);if(viaNodes===undefined||via1.x===undefined){ctx.lineTo(this.toPoint.x,this.toPoint.y)}else{ctx.bezierCurveTo(via1.x,via1.y,via2.x,via2.y,this.toPoint.x,this.toPoint.y)}this.enableShadow(ctx,values);ctx.stroke();this.disableShadow(ctx,values)}},{key:\"_getViaCoordinates\",value:function _getViaCoordinates(){var dx=this.from.x-this.to.x;var dy=this.from.y-this.to.y;var x1=void 0,y1=void 0,x2=void 0,y2=void 0;var roundness=this.options.smooth.roundness;if((Math.abs(dx)>Math.abs(dy)||this.options.smooth.forceDirection===true||this.options.smooth.forceDirection===\"horizontal\")&&this.options.smooth.forceDirection!==\"vertical\"){y1=this.from.y;y2=this.to.y;x1=this.from.x-roundness*dx;x2=this.to.x+roundness*dx}else{y1=this.from.y-roundness*dy;y2=this.to.y+roundness*dy;x1=this.from.x;x2=this.to.x}return[{x:x1,y:y1},{x:x2,y:y2}]}},{key:\"getViaNode\",value:function getViaNode(){return this._getViaCoordinates()}},{key:\"_findBorderPosition\",value:function _findBorderPosition(nearNode,ctx){return this._findBorderPositionBezier(nearNode,ctx)}},{key:\"_getDistanceToEdge\",value:function _getDistanceToEdge(x1,y1,x2,y2,x3,y3){var _ref=arguments.length>6&&arguments[6]!==undefined?arguments[6]:this._getViaCoordinates(),_ref2=(0,_slicedToArray3[\"default\"])(_ref,2),via1=_ref2[0],via2=_ref2[1];return this._getDistanceToBezierEdge(x1,y1,x2,y2,x3,y3,via1,via2)}},{key:\"getPoint\",value:function getPoint(percentage){var _ref3=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this._getViaCoordinates(),_ref4=(0,_slicedToArray3[\"default\"])(_ref3,2),via1=_ref4[0],via2=_ref4[1];var t=percentage;var vec=[];vec[0]=Math.pow(1-t,3);vec[1]=3*t*Math.pow(1-t,2);vec[2]=3*Math.pow(t,2)*(1-t);vec[3]=Math.pow(t,3);var x=vec[0]*this.fromPoint.x+vec[1]*via1.x+vec[2]*via2.x+vec[3]*this.toPoint.x;var y=vec[0]*this.fromPoint.y+vec[1]*via1.y+vec[2]*via2.y+vec[3]*this.toPoint.y;return{x:x,y:y}}}]);return CubicBezierEdge}(_CubicBezierEdgeBase3[\"default\"]);exports[\"default\"]=CubicBezierEdge},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _BezierEdgeBase2=__webpack_require__(199);var _BezierEdgeBase3=_interopRequireDefault(_BezierEdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var CubicBezierEdgeBase=function(_BezierEdgeBase){(0,_inherits3[\"default\"])(CubicBezierEdgeBase,_BezierEdgeBase);function CubicBezierEdgeBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,CubicBezierEdgeBase);return(0,_possibleConstructorReturn3[\"default\"])(this,(CubicBezierEdgeBase.__proto__||(0,_getPrototypeOf2[\"default\"])(CubicBezierEdgeBase)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(CubicBezierEdgeBase,[{key:\"_getDistanceToBezierEdge\",value:function _getDistanceToBezierEdge(x1,y1,x2,y2,x3,y3,via1,via2){var minDistance=1e9;var distance=void 0;var i=void 0,t=void 0,x=void 0,y=void 0;var lastX=x1;var lastY=y1;var vec=[0,0,0,0];for(i=1;i<10;i++){t=.1*i;vec[0]=Math.pow(1-t,3);vec[1]=3*t*Math.pow(1-t,2);vec[2]=3*Math.pow(t,2)*(1-t);vec[3]=Math.pow(t,3);x=vec[0]*x1+vec[1]*via1.x+vec[2]*via2.x+vec[3]*x2;y=vec[0]*y1+vec[1]*via1.y+vec[2]*via2.y+vec[3]*y2;if(i>0){distance=this._getDistanceToLine(lastX,lastY,x,y,x3,y3);minDistance=distance<minDistance?distance:minDistance}lastX=x;lastY=y}return minDistance}}]);return CubicBezierEdgeBase}(_BezierEdgeBase3[\"default\"]);exports[\"default\"]=CubicBezierEdgeBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _EdgeBase2=__webpack_require__(200);var _EdgeBase3=_interopRequireDefault(_EdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var BezierEdgeBase=function(_EdgeBase){(0,_inherits3[\"default\"])(BezierEdgeBase,_EdgeBase);function BezierEdgeBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,BezierEdgeBase);return(0,_possibleConstructorReturn3[\"default\"])(this,(BezierEdgeBase.__proto__||(0,_getPrototypeOf2[\"default\"])(BezierEdgeBase)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(BezierEdgeBase,[{key:\"_findBorderPositionBezier\",value:function _findBorderPositionBezier(nearNode,ctx){var viaNode=arguments.length>2&&arguments[2]!==undefined?arguments[2]:this._getViaCoordinates();var maxIterations=10;var iteration=0;var low=0;var high=1;var pos,angle,distanceToBorder,distanceToPoint,difference;var threshold=.2;var node=this.to;var from=false;if(nearNode.id===this.from.id){node=this.from;from=true}while(low<=high&&iteration<maxIterations){var middle=(low+high)*.5;pos=this.getPoint(middle,viaNode);angle=Math.atan2(node.y-pos.y,node.x-pos.x);distanceToBorder=node.distanceToBorder(ctx,angle);distanceToPoint=Math.sqrt(Math.pow(pos.x-node.x,2)+Math.pow(pos.y-node.y,2));difference=distanceToBorder-distanceToPoint;if(Math.abs(difference)<threshold){break}else if(difference<0){if(from===false){low=middle}else{high=middle}}else{if(from===false){high=middle}else{low=middle}}iteration++}pos.t=middle;return pos}},{key:\"_getDistanceToBezierEdge\",value:function _getDistanceToBezierEdge(x1,y1,x2,y2,x3,y3,via){var minDistance=1e9;var distance=void 0;var i=void 0,t=void 0,x=void 0,y=void 0;var lastX=x1;var lastY=y1;for(i=1;i<10;i++){t=.1*i;x=Math.pow(1-t,2)*x1+2*t*(1-t)*via.x+Math.pow(t,2)*x2;y=Math.pow(1-t,2)*y1+2*t*(1-t)*via.y+Math.pow(t,2)*y2;if(i>0){distance=this._getDistanceToLine(lastX,lastY,x,y,x3,y3);minDistance=distance<minDistance?distance:minDistance}lastX=x;lastY=y}return minDistance}}]);return BezierEdgeBase}(_EdgeBase3[\"default\"]);exports[\"default\"]=BezierEdgeBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var EdgeBase=function(){function EdgeBase(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,EdgeBase);this.body=body;this.labelModule=labelModule;this.options={};this.setOptions(options);this.colorDirty=true;this.color={};this.selectionWidth=2;this.hoverWidth=1.5;this.fromPoint=this.from;this.toPoint=this.to}(0,_createClass3[\"default\"])(EdgeBase,[{key:\"connect\",value:function connect(){this.from=this.body.nodes[this.options.from];this.to=this.body.nodes[this.options.to]}},{key:\"cleanup\",value:function cleanup(){return false}},{key:\"setOptions\",value:function setOptions(options){this.options=options;this.from=this.body.nodes[this.options.from];this.to=this.body.nodes[this.options.to];this.id=this.options.id}},{key:\"drawLine\",value:function drawLine(ctx,values,selected,hover,viaNode){ctx.strokeStyle=this.getColor(ctx,values,selected,hover);ctx.lineWidth=values.width;if(values.dashes!==false){this._drawDashedLine(ctx,values,viaNode)}else{this._drawLine(ctx,values,viaNode)}}},{key:\"_drawLine\",value:function _drawLine(ctx,values,viaNode,fromPoint,toPoint){if(this.from!=this.to){this._line(ctx,values,viaNode,fromPoint,toPoint)}else{var _getCircleData2=this._getCircleData(ctx),_getCircleData3=(0,_slicedToArray3[\"default\"])(_getCircleData2,3),x=_getCircleData3[0],y=_getCircleData3[1],radius=_getCircleData3[2];this._circle(ctx,values,x,y,radius)}}},{key:\"_drawDashedLine\",value:function _drawDashedLine(ctx,values,viaNode,fromPoint,toPoint){ctx.lineCap=\"round\";var pattern=[5,5];if(Array.isArray(values.dashes)===true){pattern=values.dashes}if(ctx.setLineDash!==undefined){ctx.save();ctx.setLineDash(pattern);ctx.lineDashOffset=0;if(this.from!=this.to){this._line(ctx,values,viaNode)}else{var _getCircleData4=this._getCircleData(ctx),_getCircleData5=(0,_slicedToArray3[\"default\"])(_getCircleData4,3),x=_getCircleData5[0],y=_getCircleData5[1],radius=_getCircleData5[2];this._circle(ctx,values,x,y,radius)}ctx.setLineDash([0]);ctx.lineDashOffset=0;ctx.restore()}else{if(this.from!=this.to){ctx.dashedLine(this.from.x,this.from.y,this.to.x,this.to.y,pattern)}else{var _getCircleData6=this._getCircleData(ctx),_getCircleData7=(0,_slicedToArray3[\"default\"])(_getCircleData6,3),_x=_getCircleData7[0],_y=_getCircleData7[1],_radius=_getCircleData7[2];this._circle(ctx,values,_x,_y,_radius)}this.enableShadow(ctx,values);ctx.stroke();this.disableShadow(ctx,values)}}},{key:\"findBorderPosition\",value:function findBorderPosition(nearNode,ctx,options){if(this.from!=this.to){return this._findBorderPosition(nearNode,ctx,options)}else{return this._findBorderPositionCircle(nearNode,ctx,options)}}},{key:\"findBorderPositions\",value:function findBorderPositions(ctx){var from={};var to={};if(this.from!=this.to){from=this._findBorderPosition(this.from,ctx);to=this._findBorderPosition(this.to,ctx)}else{var _getCircleData8=this._getCircleData(ctx),_getCircleData9=(0,_slicedToArray3[\"default\"])(_getCircleData8,3),x=_getCircleData9[0],y=_getCircleData9[1],radius=_getCircleData9[2];from=this._findBorderPositionCircle(this.from,ctx,{x:x,y:y,low:.25,high:.6,direction:-1});to=this._findBorderPositionCircle(this.from,ctx,{x:x,y:y,low:.6,high:.8,direction:1})}return{from:from,to:to}}},{key:\"_getCircleData\",value:function _getCircleData(ctx){var x=void 0,y=void 0;var node=this.from;var radius=this.options.selfReferenceSize;if(ctx!==undefined){if(node.shape.width===undefined){node.shape.resize(ctx)}}if(node.shape.width>node.shape.height){x=node.x+node.shape.width*.5;y=node.y-radius}else{x=node.x+radius;y=node.y-node.shape.height*.5}return[x,y,radius]}},{key:\"_pointOnCircle\",value:function _pointOnCircle(x,y,radius,percentage){var angle=percentage*2*Math.PI;return{x:x+radius*Math.cos(angle),y:y-radius*Math.sin(angle)}}},{key:\"_findBorderPositionCircle\",value:function _findBorderPositionCircle(node,ctx,options){var x=options.x;var y=options.y;var low=options.low;var high=options.high;var direction=options.direction;var maxIterations=10;var iteration=0;var radius=this.options.selfReferenceSize;var pos=void 0,angle=void 0,distanceToBorder=void 0,distanceToPoint=void 0,difference=void 0;var threshold=.05;var middle=(low+high)*.5;while(low<=high&&iteration<maxIterations){middle=(low+high)*.5;pos=this._pointOnCircle(x,y,radius,middle);angle=Math.atan2(node.y-pos.y,node.x-pos.x);distanceToBorder=node.distanceToBorder(ctx,angle);distanceToPoint=Math.sqrt(Math.pow(pos.x-node.x,2)+Math.pow(pos.y-node.y,2));difference=distanceToBorder-distanceToPoint;if(Math.abs(difference)<threshold){break}else if(difference>0){if(direction>0){low=middle}else{high=middle}}else{if(direction>0){high=middle}else{low=middle}}iteration++}pos.t=middle;return pos}},{key:\"getLineWidth\",value:function getLineWidth(selected,hover){if(selected===true){return Math.max(this.selectionWidth,.3/this.body.view.scale)}else{if(hover===true){return Math.max(this.hoverWidth,.3/this.body.view.scale)}else{return Math.max(this.options.width,.3/this.body.view.scale)}}}},{key:\"getColor\",value:function getColor(ctx,values,selected,hover){if(values.inheritsColor!==false){if(values.inheritsColor===\"both\"&&this.from.id!==this.to.id){var grd=ctx.createLinearGradient(this.from.x,this.from.y,this.to.x,this.to.y);var fromColor=void 0,toColor=void 0;fromColor=this.from.options.color.highlight.border;toColor=this.to.options.color.highlight.border;if(this.from.selected===false&&this.to.selected===false){fromColor=util.overrideOpacity(this.from.options.color.border,values.opacity);toColor=util.overrideOpacity(this.to.options.color.border,values.opacity)}else if(this.from.selected===true&&this.to.selected===false){toColor=this.to.options.color.border}else if(this.from.selected===false&&this.to.selected===true){fromColor=this.from.options.color.border}grd.addColorStop(0,fromColor);grd.addColorStop(1,toColor);return grd}if(values.inheritsColor===\"to\"){return util.overrideOpacity(this.to.options.color.border,values.opacity)}else{return util.overrideOpacity(this.from.options.color.border,values.opacity)}}else{return util.overrideOpacity(values.color,values.opacity)}}},{key:\"_circle\",value:function _circle(ctx,values,x,y,radius){this.enableShadow(ctx,values);ctx.beginPath();ctx.arc(x,y,radius,0,2*Math.PI,false);ctx.stroke();this.disableShadow(ctx,values)}},{key:\"getDistanceToEdge\",value:function getDistanceToEdge(x1,y1,x2,y2,x3,y3,via,values){var returnValue=0;if(this.from!=this.to){returnValue=this._getDistanceToEdge(x1,y1,x2,y2,x3,y3,via)}else{var _getCircleData10=this._getCircleData(undefined),_getCircleData11=(0,_slicedToArray3[\"default\"])(_getCircleData10,3),x=_getCircleData11[0],y=_getCircleData11[1],radius=_getCircleData11[2];var dx=x-x3;var dy=y-y3;returnValue=Math.abs(Math.sqrt(dx*dx+dy*dy)-radius)}if(this.labelModule.size.left<x3&&this.labelModule.size.left+this.labelModule.size.width>x3&&this.labelModule.size.top<y3&&this.labelModule.size.top+this.labelModule.size.height>y3){return 0}else{return returnValue}}},{key:\"_getDistanceToLine\",value:function _getDistanceToLine(x1,y1,x2,y2,x3,y3){var px=x2-x1;var py=y2-y1;var something=px*px+py*py;var u=((x3-x1)*px+(y3-y1)*py)/something;if(u>1){u=1}else if(u<0){u=0}var x=x1+u*px;var y=y1+u*py;var dx=x-x3;var dy=y-y3;return Math.sqrt(dx*dx+dy*dy)}},{key:\"getArrowData\",value:function getArrowData(ctx,position,viaNode,selected,hover,values){var angle=void 0;var arrowPoint=void 0;var node1=void 0;var node2=void 0;var guideOffset=void 0;var scaleFactor=void 0;var type=void 0;var lineWidth=values.width;if(position===\"from\"){node1=this.from;node2=this.to;guideOffset=.1;scaleFactor=values.fromArrowScale;type=values.fromArrowType}else if(position===\"to\"){node1=this.to;node2=this.from;guideOffset=-.1;scaleFactor=values.toArrowScale;type=values.toArrowType}else{node1=this.to;node2=this.from;scaleFactor=values.middleArrowScale;type=values.middleArrowType}if(node1!=node2){if(position!==\"middle\"){if(this.options.smooth.enabled===true){arrowPoint=this.findBorderPosition(node1,ctx,{via:viaNode});var guidePos=this.getPoint(Math.max(0,Math.min(1,arrowPoint.t+guideOffset)),viaNode);angle=Math.atan2(arrowPoint.y-guidePos.y,arrowPoint.x-guidePos.x)}else{angle=Math.atan2(node1.y-node2.y,node1.x-node2.x);arrowPoint=this.findBorderPosition(node1,ctx)}}else{angle=Math.atan2(node1.y-node2.y,node1.x-node2.x);arrowPoint=this.getPoint(.5,viaNode)}}else{var _getCircleData12=this._getCircleData(ctx),_getCircleData13=(0,_slicedToArray3[\"default\"])(_getCircleData12,3),x=_getCircleData13[0],y=_getCircleData13[1],radius=_getCircleData13[2];if(position===\"from\"){arrowPoint=this.findBorderPosition(this.from,ctx,{x:x,y:y,low:.25,high:.6,direction:-1});angle=arrowPoint.t*-2*Math.PI+1.5*Math.PI+.1*Math.PI}else if(position===\"to\"){arrowPoint=this.findBorderPosition(this.from,ctx,{x:x,y:y,low:.6,high:1,direction:1});angle=arrowPoint.t*-2*Math.PI+1.5*Math.PI-1.1*Math.PI}else{arrowPoint=this._pointOnCircle(x,y,radius,.175);angle=3.9269908169872414}}var length=15*scaleFactor+3*lineWidth;var xi=arrowPoint.x-length*.9*Math.cos(angle);var yi=arrowPoint.y-length*.9*Math.sin(angle);var arrowCore={x:xi,y:yi};return{point:arrowPoint,core:arrowCore,angle:angle,length:length,type:type}}},{key:\"drawArrowHead\",value:function drawArrowHead(ctx,values,selected,hover,arrowData){ctx.strokeStyle=this.getColor(ctx,values,selected,hover);ctx.fillStyle=ctx.strokeStyle;ctx.lineWidth=values.width;if(arrowData.type&&arrowData.type.toLowerCase()===\"circle\"){ctx.circleEndpoint(arrowData.point.x,arrowData.point.y,arrowData.angle,arrowData.length)}else{ctx.arrowEndpoint(arrowData.point.x,arrowData.point.y,arrowData.angle,arrowData.length)}this.enableShadow(ctx,values);ctx.fill();this.disableShadow(ctx,values)}},{key:\"enableShadow\",value:function enableShadow(ctx,values){if(values.shadow===true){ctx.shadowColor=values.shadowColor;ctx.shadowBlur=values.shadowSize;ctx.shadowOffsetX=values.shadowX;ctx.shadowOffsetY=values.shadowY}}},{key:\"disableShadow\",value:function disableShadow(ctx,values){if(values.shadow===true){ctx.shadowColor=\"rgba(0,0,0,0)\";ctx.shadowBlur=0;ctx.shadowOffsetX=0;ctx.shadowOffsetY=0}}}]);return EdgeBase}();exports[\"default\"]=EdgeBase},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _BezierEdgeBase2=__webpack_require__(199);var _BezierEdgeBase3=_interopRequireDefault(_BezierEdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var BezierEdgeDynamic=function(_BezierEdgeBase){(0,_inherits3[\"default\"])(BezierEdgeDynamic,_BezierEdgeBase);function BezierEdgeDynamic(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,BezierEdgeDynamic);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(BezierEdgeDynamic.__proto__||(0,_getPrototypeOf2[\"default\"])(BezierEdgeDynamic)).call(this,options,body,labelModule));_this._boundFunction=function(){_this.positionBezierNode()};_this.body.emitter.on(\"_repositionBezierNodes\",_this._boundFunction);return _this}(0,_createClass3[\"default\"])(BezierEdgeDynamic,[{key:\"setOptions\",value:function setOptions(options){var physicsChange=false;if(this.options.physics!==options.physics){physicsChange=true}this.options=options;this.id=this.options.id;this.from=this.body.nodes[this.options.from];this.to=this.body.nodes[this.options.to];this.setupSupportNode();this.connect();if(physicsChange===true){this.via.setOptions({physics:this.options.physics});this.positionBezierNode()}}},{key:\"connect\",value:function connect(){this.from=this.body.nodes[this.options.from];this.to=this.body.nodes[this.options.to];if(this.from===undefined||this.to===undefined||this.options.physics===false){this.via.setOptions({physics:false})}else{if(this.from.id===this.to.id){this.via.setOptions({physics:false})}else{this.via.setOptions({physics:true})}}}},{key:\"cleanup\",value:function cleanup(){this.body.emitter.off(\"_repositionBezierNodes\",this._boundFunction);if(this.via!==undefined){delete this.body.nodes[this.via.id];this.via=undefined;return true}return false}},{key:\"setupSupportNode\",value:function setupSupportNode(){if(this.via===undefined){var nodeId=\"edgeId:\"+this.id;var node=this.body.functions.createNode({id:nodeId,shape:\"circle\",physics:true,hidden:true});this.body.nodes[nodeId]=node;this.via=node;this.via.parentEdgeId=this.id;this.positionBezierNode()}}},{key:\"positionBezierNode\",value:function positionBezierNode(){if(this.via!==undefined&&this.from!==undefined&&this.to!==undefined){this.via.x=.5*(this.from.x+this.to.x);this.via.y=.5*(this.from.y+this.to.y)}else if(this.via!==undefined){this.via.x=0;this.via.y=0}}},{key:\"_line\",value:function _line(ctx,values,viaNode){ctx.beginPath();ctx.moveTo(this.fromPoint.x,this.fromPoint.y);if(viaNode.x===undefined){ctx.lineTo(this.toPoint.x,this.toPoint.y)}else{ctx.quadraticCurveTo(viaNode.x,viaNode.y,this.toPoint.x,this.toPoint.y)}this.enableShadow(ctx,values);ctx.stroke();this.disableShadow(ctx,values)}},{key:\"getViaNode\",value:function getViaNode(){return this.via}},{key:\"getPoint\",value:function getPoint(percentage){var viaNode=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.via;var t=percentage;var x=void 0,y=void 0;if(this.from===this.to){var _getCircleData=this._getCircleData(this.from),_getCircleData2=(0,_slicedToArray3[\"default\"])(_getCircleData,3),cx=_getCircleData2[0],cy=_getCircleData2[1],cr=_getCircleData2[2];var a=2*Math.PI*(1-t);x=cx+cr*Math.sin(a);y=cy+cr-cr*(1-Math.cos(a))}else{x=Math.pow(1-t,2)*this.fromPoint.x+2*t*(1-t)*viaNode.x+Math.pow(t,2)*this.toPoint.x;y=Math.pow(1-t,2)*this.fromPoint.y+2*t*(1-t)*viaNode.y+Math.pow(t,2)*this.toPoint.y}return{x:x,y:y}}},{key:\"_findBorderPosition\",value:function _findBorderPosition(nearNode,ctx){return this._findBorderPositionBezier(nearNode,ctx,this.via)}},{key:\"_getDistanceToEdge\",value:function _getDistanceToEdge(x1,y1,x2,y2,x3,y3){return this._getDistanceToBezierEdge(x1,y1,x2,y2,x3,y3,this.via)}}]);return BezierEdgeDynamic}(_BezierEdgeBase3[\"default\"]);exports[\"default\"]=BezierEdgeDynamic},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _BezierEdgeBase2=__webpack_require__(199);var _BezierEdgeBase3=_interopRequireDefault(_BezierEdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var BezierEdgeStatic=function(_BezierEdgeBase){(0,_inherits3[\"default\"])(BezierEdgeStatic,_BezierEdgeBase);function BezierEdgeStatic(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,BezierEdgeStatic);return(0,_possibleConstructorReturn3[\"default\"])(this,(BezierEdgeStatic.__proto__||(0,_getPrototypeOf2[\"default\"])(BezierEdgeStatic)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(BezierEdgeStatic,[{key:\"_line\",value:function _line(ctx,values,viaNode){ctx.beginPath();ctx.moveTo(this.fromPoint.x,this.fromPoint.y);if(viaNode.x===undefined){ctx.lineTo(this.toPoint.x,this.toPoint.y)}else{ctx.quadraticCurveTo(viaNode.x,viaNode.y,this.toPoint.x,this.toPoint.y)}this.enableShadow(ctx,values);ctx.stroke();this.disableShadow(ctx,values)}},{key:\"getViaNode\",value:function getViaNode(){return this._getViaCoordinates()}},{key:\"_getViaCoordinates\",value:function _getViaCoordinates(){var xVia=undefined;var yVia=undefined;var factor=this.options.smooth.roundness;var type=this.options.smooth.type;var dx=Math.abs(this.from.x-this.to.x);var dy=Math.abs(this.from.y-this.to.y);if(type===\"discrete\"||type===\"diagonalCross\"){if(Math.abs(this.from.x-this.to.x)<=Math.abs(this.from.y-this.to.y)){if(this.from.y>=this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dy;yVia=this.from.y-factor*dy}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dy;yVia=this.from.y-factor*dy}}else if(this.from.y<this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dy;yVia=this.from.y+factor*dy}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dy;yVia=this.from.y+factor*dy}}if(type===\"discrete\"){xVia=dx<factor*dy?this.from.x:xVia}}else if(Math.abs(this.from.x-this.to.x)>Math.abs(this.from.y-this.to.y)){if(this.from.y>=this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dx;yVia=this.from.y-factor*dx}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dx;yVia=this.from.y-factor*dx}}else if(this.from.y<this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dx;yVia=this.from.y+factor*dx}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dx;yVia=this.from.y+factor*dx}}if(type===\"discrete\"){yVia=dy<factor*dx?this.from.y:yVia}}}else if(type===\"straightCross\"){if(Math.abs(this.from.x-this.to.x)<=Math.abs(this.from.y-this.to.y)){xVia=this.from.x;if(this.from.y<this.to.y){yVia=this.to.y-(1-factor)*dy}else{yVia=this.to.y+(1-factor)*dy}}else if(Math.abs(this.from.x-this.to.x)>Math.abs(this.from.y-this.to.y)){if(this.from.x<this.to.x){xVia=this.to.x-(1-factor)*dx}else{xVia=this.to.x+(1-factor)*dx}yVia=this.from.y}}else if(type===\"horizontal\"){if(this.from.x<this.to.x){xVia=this.to.x-(1-factor)*dx}else{xVia=this.to.x+(1-factor)*dx}yVia=this.from.y}else if(type===\"vertical\"){xVia=this.from.x;if(this.from.y<this.to.y){yVia=this.to.y-(1-factor)*dy}else{yVia=this.to.y+(1-factor)*dy}}else if(type===\"curvedCW\"){dx=this.to.x-this.from.x;dy=this.from.y-this.to.y;var radius=Math.sqrt(dx*dx+dy*dy);var pi=Math.PI;var originalAngle=Math.atan2(dy,dx);var myAngle=(originalAngle+(factor*.5+.5)*pi)%(2*pi);xVia=this.from.x+(factor*.5+.5)*radius*Math.sin(myAngle);yVia=this.from.y+(factor*.5+.5)*radius*Math.cos(myAngle)}else if(type===\"curvedCCW\"){dx=this.to.x-this.from.x;dy=this.from.y-this.to.y;var _radius=Math.sqrt(dx*dx+dy*dy);var _pi=Math.PI;var _originalAngle=Math.atan2(dy,dx);var _myAngle=(_originalAngle+(-factor*.5+.5)*_pi)%(2*_pi);xVia=this.from.x+(factor*.5+.5)*_radius*Math.sin(_myAngle);yVia=this.from.y+(factor*.5+.5)*_radius*Math.cos(_myAngle)}else{if(Math.abs(this.from.x-this.to.x)<=Math.abs(this.from.y-this.to.y)){if(this.from.y>=this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dy;yVia=this.from.y-factor*dy;xVia=this.to.x<xVia?this.to.x:xVia}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dy;yVia=this.from.y-factor*dy;xVia=this.to.x>xVia?this.to.x:xVia}}else if(this.from.y<this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dy;yVia=this.from.y+factor*dy;xVia=this.to.x<xVia?this.to.x:xVia}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dy;yVia=this.from.y+factor*dy;xVia=this.to.x>xVia?this.to.x:xVia}}}else if(Math.abs(this.from.x-this.to.x)>Math.abs(this.from.y-this.to.y)){if(this.from.y>=this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dx;yVia=this.from.y-factor*dx;yVia=this.to.y>yVia?this.to.y:yVia}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dx;yVia=this.from.y-factor*dx;yVia=this.to.y>yVia?this.to.y:yVia}}else if(this.from.y<this.to.y){if(this.from.x<=this.to.x){xVia=this.from.x+factor*dx;yVia=this.from.y+factor*dx;yVia=this.to.y<yVia?this.to.y:yVia}else if(this.from.x>this.to.x){xVia=this.from.x-factor*dx;yVia=this.from.y+factor*dx;yVia=this.to.y<yVia?this.to.y:yVia}}}}return{x:xVia,y:yVia}}},{key:\"_findBorderPosition\",value:function _findBorderPosition(nearNode,ctx){var options=arguments.length>2&&arguments[2]!==undefined?arguments[2]:{};return this._findBorderPositionBezier(nearNode,ctx,options.via)}},{key:\"_getDistanceToEdge\",value:function _getDistanceToEdge(x1,y1,x2,y2,x3,y3){var viaNode=arguments.length>6&&arguments[6]!==undefined?arguments[6]:this._getViaCoordinates();return this._getDistanceToBezierEdge(x1,y1,x2,y2,x3,y3,viaNode)}},{key:\"getPoint\",value:function getPoint(percentage){var viaNode=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this._getViaCoordinates();var t=percentage;var x=Math.pow(1-t,2)*this.fromPoint.x+2*t*(1-t)*viaNode.x+Math.pow(t,2)*this.toPoint.x;var y=Math.pow(1-t,2)*this.fromPoint.y+2*t*(1-t)*viaNode.y+Math.pow(t,2)*this.toPoint.y;return{x:x,y:y}}}]);return BezierEdgeStatic}(_BezierEdgeBase3[\"default\"]);exports[\"default\"]=BezierEdgeStatic},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _EdgeBase2=__webpack_require__(200);var _EdgeBase3=_interopRequireDefault(_EdgeBase2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var StraightEdge=function(_EdgeBase){(0,_inherits3[\"default\"])(StraightEdge,_EdgeBase);function StraightEdge(options,body,labelModule){(0,_classCallCheck3[\"default\"])(this,StraightEdge);return(0,_possibleConstructorReturn3[\"default\"])(this,(StraightEdge.__proto__||(0,_getPrototypeOf2[\"default\"])(StraightEdge)).call(this,options,body,labelModule))}(0,_createClass3[\"default\"])(StraightEdge,[{key:\"_line\",value:function _line(ctx,values){ctx.beginPath();ctx.moveTo(this.fromPoint.x,this.fromPoint.y);ctx.lineTo(this.toPoint.x,this.toPoint.y);this.enableShadow(ctx,values);ctx.stroke();this.disableShadow(ctx,values)}},{key:\"getViaNode\",value:function getViaNode(){return undefined}},{key:\"getPoint\",value:function getPoint(percentage){return{x:(1-percentage)*this.fromPoint.x+percentage*this.toPoint.x,y:(1-percentage)*this.fromPoint.y+percentage*this.toPoint.y}}},{key:\"_findBorderPosition\",value:function _findBorderPosition(nearNode,ctx){var node1=this.to;var node2=this.from;if(nearNode.id===this.from.id){node1=this.from;node2=this.to}var angle=Math.atan2(node1.y-node2.y,node1.x-node2.x);var dx=node1.x-node2.x;var dy=node1.y-node2.y;var edgeSegmentLength=Math.sqrt(dx*dx+dy*dy);var toBorderDist=nearNode.distanceToBorder(ctx,angle);var toBorderPoint=(edgeSegmentLength-toBorderDist)/edgeSegmentLength;var borderPos={};borderPos.x=(1-toBorderPoint)*node2.x+toBorderPoint*node1.x;borderPos.y=(1-toBorderPoint)*node2.y+toBorderPoint*node1.y;return borderPos}},{key:\"_getDistanceToEdge\",value:function _getDistanceToEdge(x1,y1,x2,y2,x3,y3){return this._getDistanceToLine(x1,y1,x2,y2,x3,y3)}}]);return StraightEdge}(_EdgeBase3[\"default\"]);exports[\"default\"]=StraightEdge},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _BarnesHutSolver=__webpack_require__(205);var _BarnesHutSolver2=_interopRequireDefault(_BarnesHutSolver);var _RepulsionSolver=__webpack_require__(206);var _RepulsionSolver2=_interopRequireDefault(_RepulsionSolver);var _HierarchicalRepulsionSolver=__webpack_require__(207);var _HierarchicalRepulsionSolver2=_interopRequireDefault(_HierarchicalRepulsionSolver);var _SpringSolver=__webpack_require__(208);var _SpringSolver2=_interopRequireDefault(_SpringSolver);var _HierarchicalSpringSolver=__webpack_require__(209);var _HierarchicalSpringSolver2=_interopRequireDefault(_HierarchicalSpringSolver);var _CentralGravitySolver=__webpack_require__(210);var _CentralGravitySolver2=_interopRequireDefault(_CentralGravitySolver);var _FA2BasedRepulsionSolver=__webpack_require__(211);var _FA2BasedRepulsionSolver2=_interopRequireDefault(_FA2BasedRepulsionSolver);var _FA2BasedCentralGravitySolver=__webpack_require__(212);var _FA2BasedCentralGravitySolver2=_interopRequireDefault(_FA2BasedCentralGravitySolver);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var PhysicsEngine=function(){function PhysicsEngine(body){(0,_classCallCheck3[\"default\"])(this,PhysicsEngine);this.body=body;this.physicsBody={physicsNodeIndices:[],physicsEdgeIndices:[],forces:{},velocities:{}};this.physicsEnabled=true;this.simulationInterval=1e3/60;this.requiresTimeout=true;this.previousStates={};this.referenceState={};this.freezeCache={};this.renderTimer=undefined;this.adaptiveTimestep=false;this.adaptiveTimestepEnabled=false;this.adaptiveCounter=0;this.adaptiveInterval=3;this.stabilized=false;this.startedStabilization=false;this.stabilizationIterations=0;this.ready=false;this.options={};this.defaultOptions={enabled:true,barnesHut:{theta:.5,gravitationalConstant:-2e3,centralGravity:.3,springLength:95,springConstant:.04,damping:.09,avoidOverlap:0},forceAtlas2Based:{theta:.5,gravitationalConstant:-50,centralGravity:.01,springConstant:.08,springLength:100,damping:.4,avoidOverlap:0},repulsion:{centralGravity:.2,springLength:200,springConstant:.05,nodeDistance:100,damping:.09,avoidOverlap:0},hierarchicalRepulsion:{centralGravity:0,springLength:100,springConstant:.01,nodeDistance:120,damping:.09},maxVelocity:50,minVelocity:.75,solver:\"barnesHut\",stabilization:{enabled:true,iterations:1e3,updateInterval:50,onlyDynamicEdges:false,fit:true},timestep:.5,adaptiveTimestep:true};util.extend(this.options,this.defaultOptions);this.timestep=.5;this.layoutFailed=false;this.bindEventListeners()}(0,_createClass3[\"default\"])(PhysicsEngine,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this=this;this.body.emitter.on(\"initPhysics\",function(){_this.initPhysics()});this.body.emitter.on(\"_layoutFailed\",function(){_this.layoutFailed=true});this.body.emitter.on(\"resetPhysics\",function(){_this.stopSimulation();_this.ready=false});this.body.emitter.on(\"disablePhysics\",function(){_this.physicsEnabled=false;_this.stopSimulation()});this.body.emitter.on(\"restorePhysics\",function(){_this.setOptions(_this.options);if(_this.ready===true){_this.startSimulation()}});this.body.emitter.on(\"startSimulation\",function(){if(_this.ready===true){_this.startSimulation()}});this.body.emitter.on(\"stopSimulation\",function(){_this.stopSimulation()});this.body.emitter.on(\"destroy\",function(){_this.stopSimulation(false);_this.body.emitter.off()});this.body.emitter.on(\"_dataChanged\",function(){_this.updatePhysicsData()})}},{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){if(options===false){this.options.enabled=false;this.physicsEnabled=false;this.stopSimulation()}else{this.physicsEnabled=true;util.selectiveNotDeepExtend([\"stabilization\"],this.options,options);util.mergeOptions(this.options,options,\"stabilization\");if(options.enabled===undefined){this.options.enabled=true}if(this.options.enabled===false){this.physicsEnabled=false;this.stopSimulation()}this.timestep=this.options.timestep}}this.init()}},{key:\"init\",value:function init(){var options;if(this.options.solver===\"forceAtlas2Based\"){options=this.options.forceAtlas2Based;this.nodesSolver=new _FA2BasedRepulsionSolver2[\"default\"](this.body,this.physicsBody,options);this.edgesSolver=new _SpringSolver2[\"default\"](this.body,this.physicsBody,options);this.gravitySolver=new _FA2BasedCentralGravitySolver2[\"default\"](this.body,this.physicsBody,options)}else if(this.options.solver===\"repulsion\"){options=this.options.repulsion;this.nodesSolver=new _RepulsionSolver2[\"default\"](this.body,this.physicsBody,options);this.edgesSolver=new _SpringSolver2[\"default\"](this.body,this.physicsBody,options);this.gravitySolver=new _CentralGravitySolver2[\"default\"](this.body,this.physicsBody,options)}else if(this.options.solver===\"hierarchicalRepulsion\"){options=this.options.hierarchicalRepulsion;this.nodesSolver=new _HierarchicalRepulsionSolver2[\"default\"](this.body,this.physicsBody,options);this.edgesSolver=new _HierarchicalSpringSolver2[\"default\"](this.body,this.physicsBody,options);this.gravitySolver=new _CentralGravitySolver2[\"default\"](this.body,this.physicsBody,options)}else{options=this.options.barnesHut;this.nodesSolver=new _BarnesHutSolver2[\"default\"](this.body,this.physicsBody,options);this.edgesSolver=new _SpringSolver2[\"default\"](this.body,this.physicsBody,options);this.gravitySolver=new _CentralGravitySolver2[\"default\"](this.body,this.physicsBody,options)}this.modelOptions=options}},{key:\"initPhysics\",value:function initPhysics(){if(this.physicsEnabled===true&&this.options.enabled===true){if(this.options.stabilization.enabled===true){this.stabilize()}else{this.stabilized=false;this.ready=true;this.body.emitter.emit(\"fit\",{},this.layoutFailed);this.startSimulation()}}else{this.ready=true;this.body.emitter.emit(\"fit\")}}},{key:\"startSimulation\",value:function startSimulation(){if(this.physicsEnabled===true&&this.options.enabled===true){this.stabilized=false;this.adaptiveTimestep=false;this.body.emitter.emit(\"_resizeNodes\");if(this.viewFunction===undefined){this.viewFunction=this.simulationStep.bind(this);this.body.emitter.on(\"initRedraw\",this.viewFunction);this.body.emitter.emit(\"_startRendering\")}}else{this.body.emitter.emit(\"_redraw\")}}},{key:\"stopSimulation\",value:function stopSimulation(){var emit=arguments.length>0&&arguments[0]!==undefined?arguments[0]:true;this.stabilized=true;if(emit===true){this._emitStabilized()}if(this.viewFunction!==undefined){this.body.emitter.off(\"initRedraw\",this.viewFunction);this.viewFunction=undefined;if(emit===true){this.body.emitter.emit(\"_stopRendering\")}}}},{key:\"simulationStep\",value:function simulationStep(){var startTime=Date.now();this.physicsTick();var physicsTime=Date.now()-startTime;if((physicsTime<.4*this.simulationInterval||this.runDoubleSpeed===true)&&this.stabilized===false){this.physicsTick();this.runDoubleSpeed=true}if(this.stabilized===true){this.stopSimulation()}}},{key:\"_emitStabilized\",value:function _emitStabilized(){var _this2=this;var amountOfIterations=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.stabilizationIterations;if(this.stabilizationIterations>1||this.startedStabilization===true){setTimeout(function(){_this2.body.emitter.emit(\"stabilized\",{iterations:amountOfIterations});_this2.startedStabilization=false;_this2.stabilizationIterations=0},0)}}},{key:\"physicsTick\",value:function physicsTick(){if(this.startedStabilization===false){this.body.emitter.emit(\"startStabilizing\");this.startedStabilization=true}if(this.stabilized===false){if(this.adaptiveTimestep===true&&this.adaptiveTimestepEnabled===true){var factor=1.2;if(this.adaptiveCounter%this.adaptiveInterval===0){this.timestep=2*this.timestep;this.calculateForces();this.moveNodes();this.revert();this.timestep=.5*this.timestep;this.calculateForces();this.moveNodes();this.calculateForces();this.moveNodes();if(this._evaluateStepQuality()===true){this.timestep=factor*this.timestep}else{if(this.timestep/factor<this.options.timestep){this.timestep=this.options.timestep}else{this.adaptiveCounter=-1;this.timestep=Math.max(this.options.timestep,this.timestep/factor)}}}else{this.calculateForces();this.moveNodes()}this.adaptiveCounter+=1}else{this.timestep=this.options.timestep;this.calculateForces();this.moveNodes()}if(this.stabilized===true){this.revert()}this.stabilizationIterations++}}},{key:\"updatePhysicsData\",value:function updatePhysicsData(){this.physicsBody.forces={};this.physicsBody.physicsNodeIndices=[];this.physicsBody.physicsEdgeIndices=[];var nodes=this.body.nodes;var edges=this.body.edges;for(var nodeId in nodes){if(nodes.hasOwnProperty(nodeId)){if(nodes[nodeId].options.physics===true){this.physicsBody.physicsNodeIndices.push(nodes[nodeId].id)}}}for(var edgeId in edges){if(edges.hasOwnProperty(edgeId)){if(edges[edgeId].options.physics===true){this.physicsBody.physicsEdgeIndices.push(edges[edgeId].id)}}}for(var i=0;i<this.physicsBody.physicsNodeIndices.length;i++){var _nodeId=this.physicsBody.physicsNodeIndices[i];this.physicsBody.forces[_nodeId]={x:0,y:0};if(this.physicsBody.velocities[_nodeId]===undefined){this.physicsBody.velocities[_nodeId]={x:0,y:0}}}for(var _nodeId2 in this.physicsBody.velocities){if(nodes[_nodeId2]===undefined){delete this.physicsBody.velocities[_nodeId2]}}}},{key:\"revert\",value:function revert(){var nodeIds=(0,_keys2[\"default\"])(this.previousStates);var nodes=this.body.nodes;var velocities=this.physicsBody.velocities;this.referenceState={};for(var i=0;i<nodeIds.length;i++){var nodeId=nodeIds[i];if(nodes[nodeId]!==undefined){if(nodes[nodeId].options.physics===true){this.referenceState[nodeId]={positions:{x:nodes[nodeId].x,y:nodes[nodeId].y}};velocities[nodeId].x=this.previousStates[nodeId].vx;velocities[nodeId].y=this.previousStates[nodeId].vy;nodes[nodeId].x=this.previousStates[nodeId].x;nodes[nodeId].y=this.previousStates[nodeId].y}}else{delete this.previousStates[nodeId]}}}},{key:\"_evaluateStepQuality\",value:function _evaluateStepQuality(){var dx=void 0,dy=void 0,dpos=void 0;var nodes=this.body.nodes;var reference=this.referenceState;var posThreshold=.3;for(var nodeId in this.referenceState){if(this.referenceState.hasOwnProperty(nodeId)&&nodes[nodeId]!==undefined){dx=nodes[nodeId].x-reference[nodeId].positions.x;dy=nodes[nodeId].y-reference[nodeId].positions.y;dpos=Math.sqrt(Math.pow(dx,2)+Math.pow(dy,2));if(dpos>posThreshold){return false}}}return true}},{key:\"moveNodes\",value:function moveNodes(){var nodeIndices=this.physicsBody.physicsNodeIndices;var maxVelocity=this.options.maxVelocity?this.options.maxVelocity:1e9;var maxNodeVelocity=0;var averageNodeVelocity=0;var velocityAdaptiveThreshold=5;for(var i=0;i<nodeIndices.length;i++){var nodeId=nodeIndices[i];var nodeVelocity=this._performStep(nodeId,maxVelocity);maxNodeVelocity=Math.max(maxNodeVelocity,nodeVelocity);averageNodeVelocity+=nodeVelocity}this.adaptiveTimestepEnabled=averageNodeVelocity/nodeIndices.length<velocityAdaptiveThreshold;this.stabilized=maxNodeVelocity<this.options.minVelocity}},{key:\"_performStep\",value:function _performStep(nodeId,maxVelocity){var node=this.body.nodes[nodeId];var timestep=this.timestep;var forces=this.physicsBody.forces;var velocities=this.physicsBody.velocities;this.previousStates[nodeId]={x:node.x,y:node.y,vx:velocities[nodeId].x,vy:velocities[nodeId].y};if(node.options.fixed.x===false){var dx=this.modelOptions.damping*velocities[nodeId].x;var ax=(forces[nodeId].x-dx)/node.options.mass;velocities[nodeId].x+=ax*timestep;velocities[nodeId].x=Math.abs(velocities[nodeId].x)>maxVelocity?velocities[nodeId].x>0?maxVelocity:-maxVelocity:velocities[nodeId].x;node.x+=velocities[nodeId].x*timestep}else{forces[nodeId].x=0;velocities[nodeId].x=0}if(node.options.fixed.y===false){var dy=this.modelOptions.damping*velocities[nodeId].y;var ay=(forces[nodeId].y-dy)/node.options.mass;velocities[nodeId].y+=ay*timestep;velocities[nodeId].y=Math.abs(velocities[nodeId].y)>maxVelocity?velocities[nodeId].y>0?maxVelocity:-maxVelocity:velocities[nodeId].y;node.y+=velocities[nodeId].y*timestep}else{forces[nodeId].y=0;velocities[nodeId].y=0}var totalVelocity=Math.sqrt(Math.pow(velocities[nodeId].x,2)+Math.pow(velocities[nodeId].y,2));return totalVelocity}},{key:\"calculateForces\",value:function calculateForces(){this.gravitySolver.solve();this.nodesSolver.solve();this.edgesSolver.solve()}},{key:\"_freezeNodes\",value:function _freezeNodes(){var nodes=this.body.nodes;for(var id in nodes){if(nodes.hasOwnProperty(id)){if(nodes[id].x&&nodes[id].y){this.freezeCache[id]={x:nodes[id].options.fixed.x,y:nodes[id].options.fixed.y};nodes[id].options.fixed.x=true;nodes[id].options.fixed.y=true}}}}},{key:\"_restoreFrozenNodes\",value:function _restoreFrozenNodes(){var nodes=this.body.nodes;for(var id in nodes){if(nodes.hasOwnProperty(id)){if(this.freezeCache[id]!==undefined){nodes[id].options.fixed.x=this.freezeCache[id].x;nodes[id].options.fixed.y=this.freezeCache[id].y}}}this.freezeCache={}}},{key:\"stabilize\",value:function stabilize(){var _this3=this;var iterations=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.options.stabilization.iterations;if(typeof iterations!==\"number\"){console.log(\"The stabilize method needs a numeric amount of iterations. Switching to default: \",this.options.stabilization.iterations);iterations=this.options.stabilization.iterations}if(this.physicsBody.physicsNodeIndices.length===0){this.ready=true;return}this.adaptiveTimestep=true&&this.options.adaptiveTimestep;this.body.emitter.emit(\"_resizeNodes\");this.stopSimulation();this.stabilized=false;this.body.emitter.emit(\"_blockRedraw\");this.targetIterations=iterations;if(this.options.stabilization.onlyDynamicEdges===true){this._freezeNodes()}this.stabilizationIterations=0;setTimeout(function(){return _this3._stabilizationBatch()},0)}},{key:\"_stabilizationBatch\",value:function _stabilizationBatch(){if(this.startedStabilization===false){this.body.emitter.emit(\"startStabilizing\");this.startedStabilization=true}var count=0;while(this.stabilized===false&&count<this.options.stabilization.updateInterval&&this.stabilizationIterations<this.targetIterations){this.physicsTick();count++}if(this.stabilized===false&&this.stabilizationIterations<this.targetIterations){this.body.emitter.emit(\"stabilizationProgress\",{iterations:this.stabilizationIterations,total:this.targetIterations});setTimeout(this._stabilizationBatch.bind(this),0)}else{this._finalizeStabilization()}}},{key:\"_finalizeStabilization\",value:function _finalizeStabilization(){this.body.emitter.emit(\"_allowRedraw\");if(this.options.stabilization.fit===true){this.body.emitter.emit(\"fit\")}if(this.options.stabilization.onlyDynamicEdges===true){this._restoreFrozenNodes()}this.body.emitter.emit(\"stabilizationIterationsDone\");this.body.emitter.emit(\"_requestRedraw\");if(this.stabilized===true){this._emitStabilized()}else{this.startSimulation()}this.ready=true}},{key:\"_drawForces\",value:function _drawForces(ctx){for(var i=0;i<this.physicsBody.physicsNodeIndices.length;i++){var node=this.body.nodes[this.physicsBody.physicsNodeIndices[i]];var force=this.physicsBody.forces[this.physicsBody.physicsNodeIndices[i]];var factor=20;var colorFactor=.03;var forceSize=Math.sqrt(Math.pow(force.x,2)+Math.pow(force.x,2));var size=Math.min(Math.max(5,forceSize),15);var arrowSize=3*size;var color=util.HSVToHex((180-Math.min(1,Math.max(0,colorFactor*forceSize))*180)/360,1,1);ctx.lineWidth=size;ctx.strokeStyle=color;ctx.beginPath();ctx.moveTo(node.x,node.y);ctx.lineTo(node.x+factor*force.x,node.y+factor*force.y);ctx.stroke();var angle=Math.atan2(force.y,force.x);ctx.fillStyle=color;ctx.arrowEndpoint(node.x+factor*force.x+Math.cos(angle)*arrowSize,node.y+factor*force.y+Math.sin(angle)*arrowSize,angle,arrowSize);ctx.fill()}}}]);return PhysicsEngine}();exports[\"default\"]=PhysicsEngine},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var BarnesHutSolver=function(){function BarnesHutSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,BarnesHutSolver);this.body=body;this.physicsBody=physicsBody;this.barnesHutTree;this.setOptions(options);this.randomSeed=5}(0,_createClass3[\"default\"])(BarnesHutSolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options;this.thetaInversed=1/this.options.theta;this.overlapAvoidanceFactor=1-Math.max(0,Math.min(1,this.options.avoidOverlap))}},{key:\"seededRandom\",value:function seededRandom(){var x=Math.sin(this.randomSeed++)*1e4;return x-Math.floor(x)}},{key:\"solve\",value:function solve(){if(this.options.gravitationalConstant!==0&&this.physicsBody.physicsNodeIndices.length>0){var node=void 0;var nodes=this.body.nodes;var nodeIndices=this.physicsBody.physicsNodeIndices;var nodeCount=nodeIndices.length;var barnesHutTree=this._formBarnesHutTree(nodes,nodeIndices);this.barnesHutTree=barnesHutTree;for(var i=0;i<nodeCount;i++){node=nodes[nodeIndices[i]];if(node.options.mass>0){this._getForceContribution(barnesHutTree.root.children.NW,node);this._getForceContribution(barnesHutTree.root.children.NE,node);this._getForceContribution(barnesHutTree.root.children.SW,node);this._getForceContribution(barnesHutTree.root.children.SE,node)}}}}},{key:\"_getForceContribution\",value:function _getForceContribution(parentBranch,node){if(parentBranch.childrenCount>0){var dx=void 0,dy=void 0,distance=void 0;dx=parentBranch.centerOfMass.x-node.x;dy=parentBranch.centerOfMass.y-node.y;distance=Math.sqrt(dx*dx+dy*dy);if(distance*parentBranch.calcSize>this.thetaInversed){this._calculateForces(distance,dx,dy,node,parentBranch)}else{if(parentBranch.childrenCount===4){this._getForceContribution(parentBranch.children.NW,node);this._getForceContribution(parentBranch.children.NE,node);this._getForceContribution(parentBranch.children.SW,node);this._getForceContribution(parentBranch.children.SE,node)}else{if(parentBranch.children.data.id!=node.id){this._calculateForces(distance,dx,dy,node,parentBranch)}}}}}},{key:\"_calculateForces\",value:function _calculateForces(distance,dx,dy,node,parentBranch){if(distance===0){distance=.1;dx=distance}if(this.overlapAvoidanceFactor<1&&node.shape.radius){distance=Math.max(.1+this.overlapAvoidanceFactor*node.shape.radius,distance-node.shape.radius)}var gravityForce=this.options.gravitationalConstant*parentBranch.mass*node.options.mass/Math.pow(distance,3);var fx=dx*gravityForce;var fy=dy*gravityForce;this.physicsBody.forces[node.id].x+=fx;this.physicsBody.forces[node.id].y+=fy}},{key:\"_formBarnesHutTree\",value:function _formBarnesHutTree(nodes,nodeIndices){var node=void 0;var nodeCount=nodeIndices.length;var minX=nodes[nodeIndices[0]].x;var minY=nodes[nodeIndices[0]].y;var maxX=nodes[nodeIndices[0]].x;var maxY=nodes[nodeIndices[0]].y;for(var i=1;i<nodeCount;i++){var x=nodes[nodeIndices[i]].x;var y=nodes[nodeIndices[i]].y;if(nodes[nodeIndices[i]].options.mass>0){if(x<minX){minX=x}if(x>maxX){maxX=x}if(y<minY){minY=y}if(y>maxY){maxY=y}}}var sizeDiff=Math.abs(maxX-minX)-Math.abs(maxY-minY);if(sizeDiff>0){minY-=.5*sizeDiff;maxY+=.5*sizeDiff}else{minX+=.5*sizeDiff;maxX-=.5*sizeDiff}var minimumTreeSize=1e-5;var rootSize=Math.max(minimumTreeSize,Math.abs(maxX-minX));var halfRootSize=.5*rootSize;var centerX=.5*(minX+maxX),centerY=.5*(minY+maxY);var barnesHutTree={root:{centerOfMass:{x:0,y:0},mass:0,range:{minX:centerX-halfRootSize,maxX:centerX+halfRootSize,minY:centerY-halfRootSize,maxY:centerY+halfRootSize},size:rootSize,calcSize:1/rootSize,children:{data:null},maxWidth:0,level:0,childrenCount:4}};this._splitBranch(barnesHutTree.root);for(var _i=0;_i<nodeCount;_i++){node=nodes[nodeIndices[_i]];if(node.options.mass>0){this._placeInTree(barnesHutTree.root,node)}}return barnesHutTree}},{key:\"_updateBranchMass\",value:function _updateBranchMass(parentBranch,node){var totalMass=parentBranch.mass+node.options.mass;var totalMassInv=1/totalMass;parentBranch.centerOfMass.x=parentBranch.centerOfMass.x*parentBranch.mass+node.x*node.options.mass;parentBranch.centerOfMass.x*=totalMassInv;parentBranch.centerOfMass.y=parentBranch.centerOfMass.y*parentBranch.mass+node.y*node.options.mass;parentBranch.centerOfMass.y*=totalMassInv;parentBranch.mass=totalMass;var biggestSize=Math.max(Math.max(node.height,node.radius),node.width);parentBranch.maxWidth=parentBranch.maxWidth<biggestSize?biggestSize:parentBranch.maxWidth}},{key:\"_placeInTree\",value:function _placeInTree(parentBranch,node,skipMassUpdate){if(skipMassUpdate!=true||skipMassUpdate===undefined){this._updateBranchMass(parentBranch,node)}if(parentBranch.children.NW.range.maxX>node.x){if(parentBranch.children.NW.range.maxY>node.y){this._placeInRegion(parentBranch,node,\"NW\")}else{this._placeInRegion(parentBranch,node,\"SW\")}}else{if(parentBranch.children.NW.range.maxY>node.y){this._placeInRegion(parentBranch,node,\"NE\")}else{this._placeInRegion(parentBranch,node,\"SE\")}}}},{key:\"_placeInRegion\",value:function _placeInRegion(parentBranch,node,region){switch(parentBranch.children[region].childrenCount){case 0:parentBranch.children[region].children.data=node;parentBranch.children[region].childrenCount=1;this._updateBranchMass(parentBranch.children[region],node);break;case 1:if(parentBranch.children[region].children.data.x===node.x&&parentBranch.children[region].children.data.y===node.y){node.x+=this.seededRandom();node.y+=this.seededRandom()}else{this._splitBranch(parentBranch.children[region]);this._placeInTree(parentBranch.children[region],node)}break;case 4:this._placeInTree(parentBranch.children[region],node);break}}},{key:\"_splitBranch\",value:function _splitBranch(parentBranch){var containedNode=null;if(parentBranch.childrenCount===1){containedNode=parentBranch.children.data;parentBranch.mass=0;parentBranch.centerOfMass.x=0;parentBranch.centerOfMass.y=0}parentBranch.childrenCount=4;parentBranch.children.data=null;this._insertRegion(parentBranch,\"NW\");this._insertRegion(parentBranch,\"NE\");this._insertRegion(parentBranch,\"SW\");this._insertRegion(parentBranch,\"SE\");if(containedNode!=null){this._placeInTree(parentBranch,containedNode)}}},{key:\"_insertRegion\",value:function _insertRegion(parentBranch,region){var minX=void 0,maxX=void 0,minY=void 0,maxY=void 0;var childSize=.5*parentBranch.size;switch(region){case\"NW\":minX=parentBranch.range.minX;maxX=parentBranch.range.minX+childSize;minY=parentBranch.range.minY;maxY=parentBranch.range.minY+childSize;break;case\"NE\":minX=parentBranch.range.minX+childSize;maxX=parentBranch.range.maxX;minY=parentBranch.range.minY;maxY=parentBranch.range.minY+childSize;break;case\"SW\":minX=parentBranch.range.minX;maxX=parentBranch.range.minX+childSize;minY=parentBranch.range.minY+childSize;maxY=parentBranch.range.maxY;break;case\"SE\":minX=parentBranch.range.minX+childSize;maxX=parentBranch.range.maxX;minY=parentBranch.range.minY+childSize;maxY=parentBranch.range.maxY;break}parentBranch.children[region]={centerOfMass:{x:0,y:0},mass:0,range:{minX:minX,maxX:maxX,minY:minY,maxY:maxY},size:.5*parentBranch.size,calcSize:2*parentBranch.calcSize,children:{data:null},maxWidth:0,level:parentBranch.level+1,childrenCount:0}}},{key:\"_debug\",value:function _debug(ctx,color){if(this.barnesHutTree!==undefined){ctx.lineWidth=1;this._drawBranch(this.barnesHutTree.root,ctx,color)}}},{key:\"_drawBranch\",value:function _drawBranch(branch,ctx,color){if(color===undefined){color=\"#FF0000\"}if(branch.childrenCount===4){this._drawBranch(branch.children.NW,ctx);this._drawBranch(branch.children.NE,ctx);this._drawBranch(branch.children.SE,ctx);this._drawBranch(branch.children.SW,ctx)}ctx.strokeStyle=color;ctx.beginPath();ctx.moveTo(branch.range.minX,branch.range.minY);ctx.lineTo(branch.range.maxX,branch.range.minY);ctx.stroke();ctx.beginPath();ctx.moveTo(branch.range.maxX,branch.range.minY);ctx.lineTo(branch.range.maxX,branch.range.maxY);ctx.stroke();ctx.beginPath();ctx.moveTo(branch.range.maxX,branch.range.maxY);ctx.lineTo(branch.range.minX,branch.range.maxY);ctx.stroke();ctx.beginPath();ctx.moveTo(branch.range.minX,branch.range.maxY);ctx.lineTo(branch.range.minX,branch.range.minY);ctx.stroke()}}]);return BarnesHutSolver}();exports[\"default\"]=BarnesHutSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var RepulsionSolver=function(){function RepulsionSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,RepulsionSolver);this.body=body;this.physicsBody=physicsBody;this.setOptions(options)}(0,_createClass3[\"default\"])(RepulsionSolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"solve\",value:function solve(){var dx,dy,distance,fx,fy,repulsingForce,node1,node2;var nodes=this.body.nodes;var nodeIndices=this.physicsBody.physicsNodeIndices;var forces=this.physicsBody.forces;var nodeDistance=this.options.nodeDistance;var a=-2/3/nodeDistance;var b=4/3;for(var i=0;i<nodeIndices.length-1;i++){node1=nodes[nodeIndices[i]];for(var j=i+1;j<nodeIndices.length;j++){node2=nodes[nodeIndices[j]];dx=node2.x-node1.x;dy=node2.y-node1.y;distance=Math.sqrt(dx*dx+dy*dy);if(distance===0){distance=.1*Math.random();dx=distance}if(distance<2*nodeDistance){if(distance<.5*nodeDistance){repulsingForce=1}else{repulsingForce=a*distance+b}repulsingForce=repulsingForce/distance;fx=dx*repulsingForce;fy=dy*repulsingForce;forces[node1.id].x-=fx;forces[node1.id].y-=fy;forces[node2.id].x+=fx;forces[node2.id].y+=fy}}}}}]);return RepulsionSolver}();exports[\"default\"]=RepulsionSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var HierarchicalRepulsionSolver=function(){function HierarchicalRepulsionSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,HierarchicalRepulsionSolver);this.body=body;this.physicsBody=physicsBody;this.setOptions(options)}(0,_createClass3[\"default\"])(HierarchicalRepulsionSolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"solve\",value:function solve(){var dx,dy,distance,fx,fy,repulsingForce,node1,node2,i,j;var nodes=this.body.nodes;var nodeIndices=this.physicsBody.physicsNodeIndices;var forces=this.physicsBody.forces;var nodeDistance=this.options.nodeDistance;for(i=0;i<nodeIndices.length-1;i++){node1=nodes[nodeIndices[i]];for(j=i+1;j<nodeIndices.length;j++){node2=nodes[nodeIndices[j]];if(node1.level===node2.level){dx=node2.x-node1.x;dy=node2.y-node1.y;distance=Math.sqrt(dx*dx+dy*dy);var steepness=.05;if(distance<nodeDistance){repulsingForce=-Math.pow(steepness*distance,2)+Math.pow(steepness*nodeDistance,2)}else{repulsingForce=0}if(distance===0){distance=.01}else{repulsingForce=repulsingForce/distance}fx=dx*repulsingForce;fy=dy*repulsingForce;forces[node1.id].x-=fx;forces[node1.id].y-=fy;forces[node2.id].x+=fx;forces[node2.id].y+=fy}}}}}]);return HierarchicalRepulsionSolver}();exports[\"default\"]=HierarchicalRepulsionSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var SpringSolver=function(){function SpringSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,SpringSolver);this.body=body;this.physicsBody=physicsBody;this.setOptions(options)}(0,_createClass3[\"default\"])(SpringSolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"solve\",value:function solve(){var edgeLength=void 0,edge=void 0;var edgeIndices=this.physicsBody.physicsEdgeIndices;var edges=this.body.edges;var node1=void 0,node2=void 0,node3=void 0;for(var i=0;i<edgeIndices.length;i++){edge=edges[edgeIndices[i]];if(edge.connected===true&&edge.toId!==edge.fromId){if(this.body.nodes[edge.toId]!==undefined&&this.body.nodes[edge.fromId]!==undefined){if(edge.edgeType.via!==undefined){edgeLength=edge.options.length===undefined?this.options.springLength:edge.options.length;node1=edge.to;node2=edge.edgeType.via;node3=edge.from;this._calculateSpringForce(node1,node2,.5*edgeLength);this._calculateSpringForce(node2,node3,.5*edgeLength)}else{edgeLength=edge.options.length===undefined?this.options.springLength*1.5:edge.options.length;this._calculateSpringForce(edge.from,edge.to,edgeLength)}}}}}},{key:\"_calculateSpringForce\",value:function _calculateSpringForce(node1,node2,edgeLength){var dx=node1.x-node2.x;var dy=node1.y-node2.y;var distance=Math.max(Math.sqrt(dx*dx+dy*dy),.01);var springForce=this.options.springConstant*(edgeLength-distance)/distance;var fx=dx*springForce;var fy=dy*springForce;if(this.physicsBody.forces[node1.id]!==undefined){this.physicsBody.forces[node1.id].x+=fx;this.physicsBody.forces[node1.id].y+=fy}if(this.physicsBody.forces[node2.id]!==undefined){this.physicsBody.forces[node2.id].x-=fx;this.physicsBody.forces[node2.id].y-=fy}}}]);return SpringSolver}();exports[\"default\"]=SpringSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var HierarchicalSpringSolver=function(){function HierarchicalSpringSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,HierarchicalSpringSolver);this.body=body;this.physicsBody=physicsBody;this.setOptions(options)}(0,_createClass3[\"default\"])(HierarchicalSpringSolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"solve\",value:function solve(){var edgeLength,edge;var dx,dy,fx,fy,springForce,distance;var edges=this.body.edges;var factor=.5;var edgeIndices=this.physicsBody.physicsEdgeIndices;var nodeIndices=this.physicsBody.physicsNodeIndices;var forces=this.physicsBody.forces;for(var i=0;i<nodeIndices.length;i++){var nodeId=nodeIndices[i];forces[nodeId].springFx=0;forces[nodeId].springFy=0}for(var _i=0;_i<edgeIndices.length;_i++){edge=edges[edgeIndices[_i]];if(edge.connected===true){edgeLength=edge.options.length===undefined?this.options.springLength:edge.options.length;dx=edge.from.x-edge.to.x;dy=edge.from.y-edge.to.y;distance=Math.sqrt(dx*dx+dy*dy);distance=distance===0?.01:distance;springForce=this.options.springConstant*(edgeLength-distance)/distance;fx=dx*springForce;fy=dy*springForce;if(edge.to.level!=edge.from.level){if(forces[edge.toId]!==undefined){forces[edge.toId].springFx-=fx;forces[edge.toId].springFy-=fy}if(forces[edge.fromId]!==undefined){forces[edge.fromId].springFx+=fx;forces[edge.fromId].springFy+=fy}}else{if(forces[edge.toId]!==undefined){forces[edge.toId].x-=factor*fx;forces[edge.toId].y-=factor*fy}if(forces[edge.fromId]!==undefined){forces[edge.fromId].x+=factor*fx;forces[edge.fromId].y+=factor*fy}}}}var springForce=1;var springFx,springFy;for(var _i2=0;_i2<nodeIndices.length;_i2++){var _nodeId=nodeIndices[_i2];springFx=Math.min(springForce,Math.max(-springForce,forces[_nodeId].springFx));springFy=Math.min(springForce,Math.max(-springForce,forces[_nodeId].springFy));forces[_nodeId].x+=springFx;forces[_nodeId].y+=springFy}var totalFx=0;var totalFy=0;for(var _i3=0;_i3<nodeIndices.length;_i3++){var _nodeId2=nodeIndices[_i3];totalFx+=forces[_nodeId2].x;totalFy+=forces[_nodeId2].y}var correctionFx=totalFx/nodeIndices.length;var correctionFy=totalFy/nodeIndices.length;for(var _i4=0;_i4<nodeIndices.length;_i4++){var _nodeId3=nodeIndices[_i4];forces[_nodeId3].x-=correctionFx;forces[_nodeId3].y-=correctionFy}}}]);return HierarchicalSpringSolver}();exports[\"default\"]=HierarchicalSpringSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var CentralGravitySolver=function(){function CentralGravitySolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,CentralGravitySolver);this.body=body;this.physicsBody=physicsBody;this.setOptions(options)}(0,_createClass3[\"default\"])(CentralGravitySolver,[{key:\"setOptions\",value:function setOptions(options){this.options=options}},{key:\"solve\",value:function solve(){var dx=void 0,dy=void 0,distance=void 0,node=void 0;var nodes=this.body.nodes;var nodeIndices=this.physicsBody.physicsNodeIndices;var forces=this.physicsBody.forces;for(var i=0;i<nodeIndices.length;i++){var nodeId=nodeIndices[i];node=nodes[nodeId];dx=-node.x;dy=-node.y;distance=Math.sqrt(dx*dx+dy*dy);this._calculateForces(distance,dx,dy,forces,node)}}},{key:\"_calculateForces\",value:function _calculateForces(distance,dx,dy,forces,node){var gravityForce=distance===0?0:this.options.centralGravity/distance;forces[node.id].x=dx*gravityForce;forces[node.id].y=dy*gravityForce}}]);return CentralGravitySolver}();exports[\"default\"]=CentralGravitySolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _BarnesHutSolver2=__webpack_require__(205);var _BarnesHutSolver3=_interopRequireDefault(_BarnesHutSolver2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var ForceAtlas2BasedRepulsionSolver=function(_BarnesHutSolver){(0,_inherits3[\"default\"])(ForceAtlas2BasedRepulsionSolver,_BarnesHutSolver);function ForceAtlas2BasedRepulsionSolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,ForceAtlas2BasedRepulsionSolver);return(0,_possibleConstructorReturn3[\"default\"])(this,(ForceAtlas2BasedRepulsionSolver.__proto__||(0,_getPrototypeOf2[\"default\"])(ForceAtlas2BasedRepulsionSolver)).call(this,body,physicsBody,options))}(0,_createClass3[\"default\"])(ForceAtlas2BasedRepulsionSolver,[{key:\"_calculateForces\",value:function _calculateForces(distance,dx,dy,node,parentBranch){if(distance===0){distance=.1*Math.random();dx=distance}if(this.overlapAvoidanceFactor<1&&node.shape.radius){distance=Math.max(.1+this.overlapAvoidanceFactor*node.shape.radius,distance-node.shape.radius)}var degree=node.edges.length+1;var gravityForce=this.options.gravitationalConstant*parentBranch.mass*node.options.mass*degree/Math.pow(distance,2);var fx=dx*gravityForce;var fy=dy*gravityForce;this.physicsBody.forces[node.id].x+=fx;this.physicsBody.forces[node.id].y+=fy}}]);return ForceAtlas2BasedRepulsionSolver}(_BarnesHutSolver3[\"default\"]);exports[\"default\"]=ForceAtlas2BasedRepulsionSolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _CentralGravitySolver2=__webpack_require__(210);var _CentralGravitySolver3=_interopRequireDefault(_CentralGravitySolver2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var ForceAtlas2BasedCentralGravitySolver=function(_CentralGravitySolver){(0,_inherits3[\"default\"])(ForceAtlas2BasedCentralGravitySolver,_CentralGravitySolver);function ForceAtlas2BasedCentralGravitySolver(body,physicsBody,options){(0,_classCallCheck3[\"default\"])(this,ForceAtlas2BasedCentralGravitySolver);return(0,_possibleConstructorReturn3[\"default\"])(this,(ForceAtlas2BasedCentralGravitySolver.__proto__||(0,_getPrototypeOf2[\"default\"])(ForceAtlas2BasedCentralGravitySolver)).call(this,body,physicsBody,options))}(0,_createClass3[\"default\"])(ForceAtlas2BasedCentralGravitySolver,[{key:\"_calculateForces\",value:function _calculateForces(distance,dx,dy,forces,node){if(distance>0){var degree=node.edges.length+1;var gravityForce=this.options.centralGravity*degree*node.options.mass;forces[node.id].x=dx*gravityForce;forces[node.id].y=dy*gravityForce}}}]);return ForceAtlas2BasedCentralGravitySolver}(_CentralGravitySolver3[\"default\"]);exports[\"default\"]=ForceAtlas2BasedCentralGravitySolver},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _NetworkUtil=__webpack_require__(214);var _NetworkUtil2=_interopRequireDefault(_NetworkUtil);var _Cluster=__webpack_require__(215);var _Cluster2=_interopRequireDefault(_Cluster);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var ClusterEngine=function(){function ClusterEngine(body){var _this=this;(0,_classCallCheck3[\"default\"])(this,ClusterEngine);this.body=body;this.clusteredNodes={};this.clusteredEdges={};this.options={};this.defaultOptions={};util.extend(this.options,this.defaultOptions);this.body.emitter.on(\"_resetData\",function(){_this.clusteredNodes={};_this.clusteredEdges={}})}(0,_createClass3[\"default\"])(ClusterEngine,[{key:\"clusterByHubsize\",value:function clusterByHubsize(hubsize,options){if(hubsize===undefined){hubsize=this._getHubSize()}else if((typeof hubsize===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(hubsize))===\"object\"){options=this._checkOptions(hubsize);hubsize=this._getHubSize()}var nodesToCluster=[];for(var i=0;i<this.body.nodeIndices.length;i++){var node=this.body.nodes[this.body.nodeIndices[i]];if(node.edges.length>=hubsize){nodesToCluster.push(node.id)}}for(var _i=0;_i<nodesToCluster.length;_i++){this.clusterByConnection(nodesToCluster[_i],options,true)}this.body.emitter.emit(\"_dataChanged\")}},{key:\"cluster\",value:function cluster(){var options=arguments.length>0&&arguments[0]!==undefined?arguments[0]:{};var refreshData=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;if(options.joinCondition===undefined){throw new Error(\"Cannot call clusterByNodeData without a joinCondition function in the options.\")}options=this._checkOptions(options);var childNodesObj={};var childEdgesObj={};for(var i=0;i<this.body.nodeIndices.length;i++){var nodeId=this.body.nodeIndices[i];var node=this.body.nodes[nodeId];var clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(node);if(options.joinCondition(clonedOptions)===true){childNodesObj[nodeId]=this.body.nodes[nodeId];for(var _i2=0;_i2<node.edges.length;_i2++){var edge=node.edges[_i2];if(this.clusteredEdges[edge.id]===undefined){childEdgesObj[edge.id]=edge}}}}this._cluster(childNodesObj,childEdgesObj,options,refreshData)}},{key:\"clusterByEdgeCount\",value:function clusterByEdgeCount(edgeCount,options){var refreshData=arguments.length>2&&arguments[2]!==undefined?arguments[2]:true;options=this._checkOptions(options);var clusters=[];var usedNodes={};var edge=void 0,edges=void 0,node=void 0,nodeId=void 0,relevantEdgeCount=void 0;for(var i=0;i<this.body.nodeIndices.length;i++){var childNodesObj={};var childEdgesObj={};nodeId=this.body.nodeIndices[i];if(usedNodes[nodeId]===undefined){relevantEdgeCount=0;node=this.body.nodes[nodeId];edges=[];for(var j=0;j<node.edges.length;j++){edge=node.edges[j];if(this.clusteredEdges[edge.id]===undefined){if(edge.toId!==edge.fromId){relevantEdgeCount++}edges.push(edge)}}if(relevantEdgeCount===edgeCount){var gatheringSuccessful=true;for(var _j=0;_j<edges.length;_j++){edge=edges[_j];var childNodeId=this._getConnectedId(edge,nodeId);if(options.joinCondition===undefined){childEdgesObj[edge.id]=edge;childNodesObj[nodeId]=this.body.nodes[nodeId];childNodesObj[childNodeId]=this.body.nodes[childNodeId];usedNodes[nodeId]=true}else{var clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(this.body.nodes[nodeId]);if(options.joinCondition(clonedOptions)===true){childEdgesObj[edge.id]=edge;childNodesObj[nodeId]=this.body.nodes[nodeId];usedNodes[nodeId]=true}else{gatheringSuccessful=false;break}}}if((0,_keys2[\"default\"])(childNodesObj).length>0&&(0,_keys2[\"default\"])(childEdgesObj).length>0&&gatheringSuccessful===true){clusters.push({nodes:childNodesObj,edges:childEdgesObj})}}}}for(var _i3=0;_i3<clusters.length;_i3++){this._cluster(clusters[_i3].nodes,clusters[_i3].edges,options,false)}if(refreshData===true){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"clusterOutliers\",value:function clusterOutliers(options){var refreshData=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;this.clusterByEdgeCount(1,options,refreshData)}},{key:\"clusterBridges\",value:function clusterBridges(options){var refreshData=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;this.clusterByEdgeCount(2,options,refreshData)}},{key:\"clusterByConnection\",value:function clusterByConnection(nodeId,options){var refreshData=arguments.length>2&&arguments[2]!==undefined?arguments[2]:true;if(nodeId===undefined){throw new Error(\"No nodeId supplied to clusterByConnection!\")}if(this.body.nodes[nodeId]===undefined){throw new Error(\"The nodeId given to clusterByConnection does not exist!\")}var node=this.body.nodes[nodeId];options=this._checkOptions(options,node);if(options.clusterNodeProperties.x===undefined){options.clusterNodeProperties.x=node.x}if(options.clusterNodeProperties.y===undefined){options.clusterNodeProperties.y=node.y}if(options.clusterNodeProperties.fixed===undefined){options.clusterNodeProperties.fixed={};options.clusterNodeProperties.fixed.x=node.options.fixed.x;options.clusterNodeProperties.fixed.y=node.options.fixed.y}var childNodesObj={};var childEdgesObj={};var parentNodeId=node.id;var parentClonedOptions=_NetworkUtil2[\"default\"].cloneOptions(node);childNodesObj[parentNodeId]=node;for(var i=0;i<node.edges.length;i++){var edge=node.edges[i];if(this.clusteredEdges[edge.id]===undefined){var childNodeId=this._getConnectedId(edge,parentNodeId);if(this.clusteredNodes[childNodeId]===undefined){if(childNodeId!==parentNodeId){if(options.joinCondition===undefined){childEdgesObj[edge.id]=edge;childNodesObj[childNodeId]=this.body.nodes[childNodeId]}else{var childClonedOptions=_NetworkUtil2[\"default\"].cloneOptions(this.body.nodes[childNodeId]);if(options.joinCondition(parentClonedOptions,childClonedOptions)===true){childEdgesObj[edge.id]=edge;childNodesObj[childNodeId]=this.body.nodes[childNodeId]}}}else{childEdgesObj[edge.id]=edge}}}}var childNodeIDs=(0,_keys2[\"default\"])(childNodesObj).map(function(childNode){return childNodesObj[childNode].id});for(childNode in childNodesObj){var childNode=childNodesObj[childNode];for(var y=0;y<childNode.edges.length;y++){var childEdge=childNode.edges[y];if(childNodeIDs.indexOf(this._getConnectedId(childEdge,childNode.id))>-1){childEdgesObj[childEdge.id]=childEdge}}}this._cluster(childNodesObj,childEdgesObj,options,refreshData)}},{key:\"_createClusterEdges\",value:function _createClusterEdges(childNodesObj,childEdgesObj,clusterNodeProperties,clusterEdgeProperties){var edge=void 0,childNodeId=void 0,childNode=void 0,toId=void 0,fromId=void 0,otherNodeId=void 0;var childKeys=(0,_keys2[\"default\"])(childNodesObj);var createEdges=[];for(var i=0;i<childKeys.length;i++){childNodeId=childKeys[i];childNode=childNodesObj[childNodeId];for(var j=0;j<childNode.edges.length;j++){edge=childNode.edges[j];if(this.clusteredEdges[edge.id]===undefined){if(edge.toId==edge.fromId){childEdgesObj[edge.id]=edge}else{if(edge.toId==childNodeId){toId=clusterNodeProperties.id;fromId=edge.fromId;otherNodeId=fromId}else{toId=edge.toId;fromId=clusterNodeProperties.id;otherNodeId=toId}}if(childNodesObj[otherNodeId]===undefined){createEdges.push({edge:edge,fromId:fromId,toId:toId})}}}}for(var _j2=0;_j2<createEdges.length;_j2++){var _edge=createEdges[_j2].edge;var clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(_edge,\"edge\");util.deepExtend(clonedOptions,clusterEdgeProperties);clonedOptions.from=createEdges[_j2].fromId;clonedOptions.to=createEdges[_j2].toId;clonedOptions.id=\"clusterEdge:\"+util.randomUUID();var newEdge=this.body.functions.createEdge(clonedOptions);newEdge.clusteringEdgeReplacingId=_edge.id;this.body.edges[_edge.id].edgeReplacedById=newEdge.id;this.body.edges[newEdge.id]=newEdge;newEdge.connect();this._backupEdgeOptions(_edge);_edge.setOptions({physics:false,hidden:true})}}},{key:\"_checkOptions\",value:function _checkOptions(){var options=arguments.length>0&&arguments[0]!==undefined?arguments[0]:{};if(options.clusterEdgeProperties===undefined){options.clusterEdgeProperties={}}if(options.clusterNodeProperties===undefined){options.clusterNodeProperties={}}return options}},{key:\"_cluster\",value:function _cluster(childNodesObj,childEdgesObj,options){var refreshData=arguments.length>3&&arguments[3]!==undefined?arguments[3]:true;if((0,_keys2[\"default\"])(childNodesObj).length==0){return}if((0,_keys2[\"default\"])(childNodesObj).length==1&&options.clusterNodeProperties.allowSingleNodeCluster!=true){return}for(var nodeId in childNodesObj){if(childNodesObj.hasOwnProperty(nodeId)){if(this.clusteredNodes[nodeId]!==undefined){return}}}var clusterNodeProperties=util.deepExtend({},options.clusterNodeProperties);if(options.processProperties!==undefined){var childNodesOptions=[];for(var _nodeId in childNodesObj){if(childNodesObj.hasOwnProperty(_nodeId)){var clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(childNodesObj[_nodeId]);childNodesOptions.push(clonedOptions)}}var childEdgesOptions=[];for(var edgeId in childEdgesObj){if(childEdgesObj.hasOwnProperty(edgeId)){if(edgeId.substr(0,12)!==\"clusterEdge:\"){var _clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(childEdgesObj[edgeId],\"edge\");childEdgesOptions.push(_clonedOptions)}}}clusterNodeProperties=options.processProperties(clusterNodeProperties,childNodesOptions,childEdgesOptions);if(!clusterNodeProperties){throw new Error(\"The processProperties function does not return properties!\")}}if(clusterNodeProperties.id===undefined){clusterNodeProperties.id=\"cluster:\"+util.randomUUID()}var clusterId=clusterNodeProperties.id;if(clusterNodeProperties.label===undefined){clusterNodeProperties.label=\"cluster\"}var pos=undefined;if(clusterNodeProperties.x===undefined){pos=this._getClusterPosition(childNodesObj);clusterNodeProperties.x=pos.x}if(clusterNodeProperties.y===undefined){if(pos===undefined){pos=this._getClusterPosition(childNodesObj)}clusterNodeProperties.y=pos.y}clusterNodeProperties.id=clusterId;var clusterNode=this.body.functions.createNode(clusterNodeProperties,_Cluster2[\"default\"]);clusterNode.isCluster=true;clusterNode.containedNodes=childNodesObj;clusterNode.containedEdges=childEdgesObj;clusterNode.clusterEdgeProperties=options.clusterEdgeProperties;this.body.nodes[clusterNodeProperties.id]=clusterNode;this._createClusterEdges(childNodesObj,childEdgesObj,clusterNodeProperties,options.clusterEdgeProperties);for(var _edgeId in childEdgesObj){if(childEdgesObj.hasOwnProperty(_edgeId)){if(this.body.edges[_edgeId]!==undefined){var edge=this.body.edges[_edgeId];this._backupEdgeOptions(edge);edge.setOptions({physics:false,hidden:true})}}}for(var _nodeId2 in childNodesObj){if(childNodesObj.hasOwnProperty(_nodeId2)){this.clusteredNodes[_nodeId2]={clusterId:clusterNodeProperties.id,node:this.body.nodes[_nodeId2]};this.body.nodes[_nodeId2].setOptions({hidden:true,physics:false})}}clusterNodeProperties.id=undefined;if(refreshData===true){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"_backupEdgeOptions\",value:function _backupEdgeOptions(edge){if(this.clusteredEdges[edge.id]===undefined){this.clusteredEdges[edge.id]={physics:edge.options.physics,hidden:edge.options.hidden}}}},{key:\"_restoreEdge\",value:function _restoreEdge(edge){var originalOptions=this.clusteredEdges[edge.id];if(originalOptions!==undefined){edge.setOptions({physics:originalOptions.physics,hidden:originalOptions.hidden});delete this.clusteredEdges[edge.id]}}},{key:\"isCluster\",value:function isCluster(nodeId){if(this.body.nodes[nodeId]!==undefined){return this.body.nodes[nodeId].isCluster===true}else{console.log(\"Node does not exist.\");return false}}},{key:\"_getClusterPosition\",value:function _getClusterPosition(childNodesObj){var childKeys=(0,_keys2[\"default\"])(childNodesObj);var minX=childNodesObj[childKeys[0]].x;var maxX=childNodesObj[childKeys[0]].x;var minY=childNodesObj[childKeys[0]].y;var maxY=childNodesObj[childKeys[0]].y;var node=void 0;for(var i=1;i<childKeys.length;i++){node=childNodesObj[childKeys[i]];minX=node.x<minX?node.x:minX;maxX=node.x>maxX?node.x:maxX;minY=node.y<minY?node.y:minY;maxY=node.y>maxY?node.y:maxY}return{x:.5*(minX+maxX),y:.5*(minY+maxY)}}},{key:\"openCluster\",value:function openCluster(clusterNodeId,options){var refreshData=arguments.length>2&&arguments[2]!==undefined?arguments[2]:true;if(clusterNodeId===undefined){throw new Error(\"No clusterNodeId supplied to openCluster.\")}if(this.body.nodes[clusterNodeId]===undefined){throw new Error(\"The clusterNodeId supplied to openCluster does not exist.\")}if(this.body.nodes[clusterNodeId].containedNodes===undefined){console.log(\"The node:\"+clusterNodeId+\" is not a cluster.\");return}var clusterNode=this.body.nodes[clusterNodeId];var containedNodes=clusterNode.containedNodes;var containedEdges=clusterNode.containedEdges;if(options!==undefined&&options.releaseFunction!==undefined&&typeof options.releaseFunction===\"function\"){var positions={};var clusterPosition={x:clusterNode.x,y:clusterNode.y};for(var nodeId in containedNodes){if(containedNodes.hasOwnProperty(nodeId)){var containedNode=this.body.nodes[nodeId];positions[nodeId]={x:containedNode.x,y:containedNode.y}}}var newPositions=options.releaseFunction(clusterPosition,positions);for(var _nodeId3 in containedNodes){if(containedNodes.hasOwnProperty(_nodeId3)){var _containedNode=this.body.nodes[_nodeId3];if(newPositions[_nodeId3]!==undefined){_containedNode.x=newPositions[_nodeId3].x===undefined?clusterNode.x:newPositions[_nodeId3].x;_containedNode.y=newPositions[_nodeId3].y===undefined?clusterNode.y:newPositions[_nodeId3].y}}}}else{for(var _nodeId4 in containedNodes){if(containedNodes.hasOwnProperty(_nodeId4)){var _containedNode2=this.body.nodes[_nodeId4];_containedNode2=containedNodes[_nodeId4];if(_containedNode2.options.fixed.x===false){_containedNode2.x=clusterNode.x}if(_containedNode2.options.fixed.y===false){_containedNode2.y=clusterNode.y}}}}for(var _nodeId5 in containedNodes){if(containedNodes.hasOwnProperty(_nodeId5)){var _containedNode3=this.body.nodes[_nodeId5];_containedNode3.vx=clusterNode.vx;_containedNode3.vy=clusterNode.vy;_containedNode3.setOptions({hidden:false,physics:true});delete this.clusteredNodes[_nodeId5]}}var edgesToBeDeleted=[];for(var i=0;i<clusterNode.edges.length;i++){edgesToBeDeleted.push(clusterNode.edges[i])}for(var _i4=0;_i4<edgesToBeDeleted.length;_i4++){var edge=edgesToBeDeleted[_i4];var otherNodeId=this._getConnectedId(edge,clusterNodeId);if(this.clusteredNodes[otherNodeId]!==undefined){var otherCluster=this.body.nodes[this.clusteredNodes[otherNodeId].clusterId];var transferEdge=this.body.edges[edge.clusteringEdgeReplacingId];if(transferEdge!==undefined){otherCluster.containedEdges[transferEdge.id]=transferEdge;delete containedEdges[transferEdge.id];var fromId=transferEdge.fromId;var toId=transferEdge.toId;if(transferEdge.toId==otherNodeId){toId=this.clusteredNodes[otherNodeId].clusterId}else{fromId=this.clusteredNodes[otherNodeId].clusterId}var clonedOptions=_NetworkUtil2[\"default\"].cloneOptions(transferEdge,\"edge\");util.deepExtend(clonedOptions,otherCluster.clusterEdgeProperties);var id=\"clusterEdge:\"+util.randomUUID();util.deepExtend(clonedOptions,{from:fromId,to:toId,hidden:false,physics:true,id:id});var newEdge=this.body.functions.createEdge(clonedOptions);newEdge.clusteringEdgeReplacingId=transferEdge.id;this.body.edges[id]=newEdge;this.body.edges[id].connect()}}else{var replacedEdge=this.body.edges[edge.clusteringEdgeReplacingId];if(replacedEdge!==undefined){this._restoreEdge(replacedEdge)}}edge.cleanup();edge.disconnect();delete this.body.edges[edge.id]}for(var edgeId in containedEdges){if(containedEdges.hasOwnProperty(edgeId)){this._restoreEdge(containedEdges[edgeId])}}delete this.body.nodes[clusterNodeId];if(refreshData===true){this.body.emitter.emit(\"_dataChanged\")}}},{key:\"getNodesInCluster\",value:function getNodesInCluster(clusterId){var nodesArray=[];if(this.isCluster(clusterId)===true){var containedNodes=this.body.nodes[clusterId].containedNodes;for(var nodeId in containedNodes){if(containedNodes.hasOwnProperty(nodeId)){nodesArray.push(this.body.nodes[nodeId].id)}}}return nodesArray}},{key:\"findNode\",value:function findNode(nodeId){var stack=[];var max=100;var counter=0;while(this.clusteredNodes[nodeId]!==undefined&&counter<max){stack.push(this.body.nodes[nodeId].id);nodeId=this.clusteredNodes[nodeId].clusterId;counter++}stack.push(this.body.nodes[nodeId].id);stack.reverse();return stack}},{key:\"updateClusteredNode\",value:function updateClusteredNode(clusteredNodeId,newOptions){if(clusteredNodeId===undefined){throw new Error(\"No clusteredNodeId supplied to updateClusteredNode.\")}if(newOptions===undefined){throw new Error(\"No newOptions supplied to updateClusteredNode.\")}if(this.body.nodes[clusteredNodeId]===undefined){throw new Error(\"The clusteredNodeId supplied to updateClusteredNode does not exist.\")}this.body.nodes[clusteredNodeId].setOptions(newOptions);this.body.emitter.emit(\"_dataChanged\")}},{key:\"updateEdge\",value:function updateEdge(startEdgeId,newOptions){if(startEdgeId===undefined){throw new Error(\"No startEdgeId supplied to updateEdge.\")}if(newOptions===undefined){throw new Error(\"No newOptions supplied to updateEdge.\")}if(this.body.edges[startEdgeId]===undefined){throw new Error(\"The startEdgeId supplied to updateEdge does not exist.\")}var allEdgeIds=this.getClusteredEdges(startEdgeId);for(var i=0;i<allEdgeIds.length;i++){var edge=this.body.edges[allEdgeIds[i]];edge.setOptions(newOptions)}this.body.emitter.emit(\"_dataChanged\")}},{key:\"getClusteredEdges\",value:function getClusteredEdges(edgeId){var stack=[];var max=100;var counter=0;while(edgeId!==undefined&&this.body.edges[edgeId]!==undefined&&counter<max){stack.push(this.body.edges[edgeId].id);edgeId=this.body.edges[edgeId].edgeReplacedById;counter++}stack.reverse();return stack}},{key:\"getBaseEdge\",value:function getBaseEdge(clusteredEdgeId){var baseEdgeId=clusteredEdgeId;var max=100;var counter=0;while(clusteredEdgeId!==undefined&&this.body.edges[clusteredEdgeId]!==undefined&&counter<max){clusteredEdgeId=this.body.edges[clusteredEdgeId].clusteringEdgeReplacingId;counter++;if(clusteredEdgeId!==undefined){baseEdgeId=clusteredEdgeId}}return baseEdgeId}},{key:\"_getConnectedId\",value:function _getConnectedId(edge,nodeId){if(edge.toId!=nodeId){return edge.toId}else if(edge.fromId!=nodeId){return edge.fromId}else{return edge.fromId}}},{key:\"_getHubSize\",value:function _getHubSize(){var average=0;var averageSquared=0;var hubCounter=0;var largestHub=0;for(var i=0;i<this.body.nodeIndices.length;i++){var node=this.body.nodes[this.body.nodeIndices[i]];if(node.edges.length>largestHub){largestHub=node.edges.length}average+=node.edges.length;averageSquared+=Math.pow(node.edges.length,2);hubCounter+=1}average=average/hubCounter;averageSquared=averageSquared/hubCounter;var variance=averageSquared-Math.pow(average,2);var standardDeviation=Math.sqrt(variance);var hubThreshold=Math.floor(average+2*standardDeviation);if(hubThreshold>largestHub){hubThreshold=largestHub}return hubThreshold}}]);return ClusterEngine}();exports[\"default\"]=ClusterEngine},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var NetworkUtil=function(){function NetworkUtil(){(0,_classCallCheck3[\"default\"])(this,NetworkUtil)}(0,_createClass3[\"default\"])(NetworkUtil,null,[{key:\"getRange\",value:function getRange(allNodes){var specificNodes=arguments.length>1&&arguments[1]!==undefined?arguments[1]:[];var minY=1e9,maxY=-1e9,minX=1e9,maxX=-1e9,node;if(specificNodes.length>0){for(var i=0;i<specificNodes.length;i++){node=allNodes[specificNodes[i]];if(minX>node.shape.boundingBox.left){minX=node.shape.boundingBox.left}if(maxX<node.shape.boundingBox.right){maxX=node.shape.boundingBox.right}if(minY>node.shape.boundingBox.top){minY=node.shape.boundingBox.top}if(maxY<node.shape.boundingBox.bottom){maxY=node.shape.boundingBox.bottom}}}if(minX===1e9&&maxX===-1e9&&minY===1e9&&maxY===-1e9){minY=0,maxY=0,minX=0,maxX=0}return{minX:minX,maxX:maxX,minY:minY,maxY:maxY}}},{key:\"getRangeCore\",value:function getRangeCore(allNodes){var specificNodes=arguments.length>1&&arguments[1]!==undefined?arguments[1]:[];var minY=1e9,maxY=-1e9,minX=1e9,maxX=-1e9,node;if(specificNodes.length>0){for(var i=0;i<specificNodes.length;i++){node=allNodes[specificNodes[i]];if(minX>node.x){minX=node.x}if(maxX<node.x){maxX=node.x}if(minY>node.y){minY=node.y}if(maxY<node.y){maxY=node.y}}}if(minX===1e9&&maxX===-1e9&&minY===1e9&&maxY===-1e9){minY=0,maxY=0,minX=0,maxX=0}return{minX:minX,maxX:maxX,minY:minY,maxY:maxY}}},{key:\"findCenter\",value:function findCenter(range){return{x:.5*(range.maxX+range.minX),y:.5*(range.maxY+range.minY)}}},{key:\"cloneOptions\",value:function cloneOptions(item,type){var clonedOptions={};if(type===undefined||type===\"node\"){util.deepExtend(clonedOptions,item.options,true);clonedOptions.x=item.x;clonedOptions.y=item.y;clonedOptions.amountOfConnections=item.edges.length}else{util.deepExtend(clonedOptions,item.options,true)}return clonedOptions}}]);return NetworkUtil}();exports[\"default\"]=NetworkUtil},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _getPrototypeOf=__webpack_require__(170);var _getPrototypeOf2=_interopRequireDefault(_getPrototypeOf);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _possibleConstructorReturn2=__webpack_require__(173);var _possibleConstructorReturn3=_interopRequireDefault(_possibleConstructorReturn2);var _inherits2=__webpack_require__(174);var _inherits3=_interopRequireDefault(_inherits2);var _Node2=__webpack_require__(163);var _Node3=_interopRequireDefault(_Node2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Cluster=function(_Node){(0,_inherits3[\"default\"])(Cluster,_Node);function Cluster(options,body,imagelist,grouplist,globalOptions){(0,_classCallCheck3[\"default\"])(this,Cluster);var _this=(0,_possibleConstructorReturn3[\"default\"])(this,(Cluster.__proto__||(0,_getPrototypeOf2[\"default\"])(Cluster)).call(this,options,body,imagelist,grouplist,globalOptions));_this.isCluster=true;_this.containedNodes={};_this.containedEdges={};return _this}return Cluster}(_Node3[\"default\"]);exports[\"default\"]=Cluster},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}if(typeof window!==\"undefined\"){window.requestAnimationFrame=window.requestAnimationFrame||window.mozRequestAnimationFrame||window.webkitRequestAnimationFrame||window.msRequestAnimationFrame}var util=__webpack_require__(1);var CanvasRenderer=function(){function CanvasRenderer(body,canvas){(0,_classCallCheck3[\"default\"])(this,CanvasRenderer);this.body=body;this.canvas=canvas;this.redrawRequested=false;this.renderTimer=undefined;this.requiresTimeout=true;this.renderingActive=false;this.renderRequests=0;this.pixelRatio=undefined;this.allowRedraw=true;this.dragging=false;this.options={};this.defaultOptions={hideEdgesOnDrag:false,hideNodesOnDrag:false};util.extend(this.options,this.defaultOptions);this._determineBrowserMethod();this.bindEventListeners()}(0,_createClass3[\"default\"])(CanvasRenderer,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this=this;this.body.emitter.on(\"dragStart\",function(){_this.dragging=true});this.body.emitter.on(\"dragEnd\",function(){_this.dragging=false});this.body.emitter.on(\"_resizeNodes\",function(){_this._resizeNodes()});this.body.emitter.on(\"_redraw\",function(){if(_this.renderingActive===false){_this._redraw()}});this.body.emitter.on(\"_blockRedraw\",function(){_this.allowRedraw=false});this.body.emitter.on(\"_allowRedraw\",function(){_this.allowRedraw=true;_this.redrawRequested=false});this.body.emitter.on(\"_requestRedraw\",this._requestRedraw.bind(this));this.body.emitter.on(\"_startRendering\",function(){_this.renderRequests+=1;_this.renderingActive=true;_this._startRendering()});this.body.emitter.on(\"_stopRendering\",function(){_this.renderRequests-=1;_this.renderingActive=_this.renderRequests>0;_this.renderTimer=undefined});this.body.emitter.on(\"destroy\",function(){_this.renderRequests=0;_this.allowRedraw=false;_this.renderingActive=false;if(_this.requiresTimeout===true){clearTimeout(_this.renderTimer)}else{cancelAnimationFrame(_this.renderTimer)}_this.body.emitter.off()})}},{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){var fields=[\"hideEdgesOnDrag\",\"hideNodesOnDrag\"];util.selectiveDeepExtend(fields,this.options,options)}}},{key:\"_startRendering\",value:function _startRendering(){if(this.renderingActive===true){if(this.renderTimer===undefined){if(this.requiresTimeout===true){this.renderTimer=window.setTimeout(this._renderStep.bind(this),this.simulationInterval)}else{this.renderTimer=window.requestAnimationFrame(this._renderStep.bind(this))}}}}},{key:\"_renderStep\",value:function _renderStep(){if(this.renderingActive===true){this.renderTimer=undefined;if(this.requiresTimeout===true){this._startRendering()}this._redraw();if(this.requiresTimeout===false){this._startRendering()}}}},{key:\"redraw\",value:function redraw(){this.body.emitter.emit(\"setSize\");this._redraw()}},{key:\"_requestRedraw\",value:function _requestRedraw(){var _this2=this;if(this.redrawRequested!==true&&this.renderingActive===false&&this.allowRedraw===true){this.redrawRequested=true;if(this.requiresTimeout===true){window.setTimeout(function(){_this2._redraw(false)},0)}else{window.requestAnimationFrame(function(){_this2._redraw(false)})}}}},{key:\"_redraw\",value:function _redraw(){var hidden=arguments.length>0&&arguments[0]!==undefined?arguments[0]:false;if(this.allowRedraw===true){this.body.emitter.emit(\"initRedraw\");this.redrawRequested=false;var ctx=this.canvas.frame.canvas.getContext(\"2d\");if(this.canvas.frame.canvas.width===0||this.canvas.frame.canvas.height===0){this.canvas.setSize()}this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1);ctx.setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0);var w=this.canvas.frame.canvas.clientWidth;var h=this.canvas.frame.canvas.clientHeight;ctx.clearRect(0,0,w,h);if(this.canvas.frame.clientWidth===0){return}ctx.save();ctx.translate(this.body.view.translation.x,this.body.view.translation.y);ctx.scale(this.body.view.scale,this.body.view.scale);ctx.beginPath();this.body.emitter.emit(\"beforeDrawing\",ctx);ctx.closePath();if(hidden===false){if(this.dragging===false||this.dragging===true&&this.options.hideEdgesOnDrag===false){this._drawEdges(ctx)}}if(this.dragging===false||this.dragging===true&&this.options.hideNodesOnDrag===false){this._drawNodes(ctx,hidden)}ctx.beginPath();this.body.emitter.emit(\"afterDrawing\",ctx);ctx.closePath();ctx.restore();if(hidden===true){ctx.clearRect(0,0,w,h)}}}},{key:\"_resizeNodes\",value:function _resizeNodes(){var ctx=this.canvas.frame.canvas.getContext(\"2d\");if(this.pixelRatio===undefined){this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1)}ctx.setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0);ctx.save();ctx.translate(this.body.view.translation.x,this.body.view.translation.y);ctx.scale(this.body.view.scale,this.body.view.scale);var nodes=this.body.nodes;var node=void 0;for(var nodeId in nodes){if(nodes.hasOwnProperty(nodeId)){node=nodes[nodeId];node.resize(ctx);node.updateBoundingBox(ctx,node.selected)}}ctx.restore()}},{key:\"_drawNodes\",value:function _drawNodes(ctx){var alwaysShow=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var nodes=this.body.nodes;var nodeIndices=this.body.nodeIndices;var node=void 0;var selected=[];var margin=20;var topLeft=this.canvas.DOMtoCanvas({x:-margin,y:-margin});var bottomRight=this.canvas.DOMtoCanvas({x:this.canvas.frame.canvas.clientWidth+margin,y:this.canvas.frame.canvas.clientHeight+margin});var viewableArea={top:topLeft.y,left:topLeft.x,bottom:bottomRight.y,right:bottomRight.x};for(var i=0;i<nodeIndices.length;i++){node=nodes[nodeIndices[i]];if(node.isSelected()){selected.push(nodeIndices[i])}else{if(alwaysShow===true){node.draw(ctx)}else if(node.isBoundingBoxOverlappingWith(viewableArea)===true){node.draw(ctx)}else{node.updateBoundingBox(ctx,node.selected)}}}for(var _i=0;_i<selected.length;_i++){node=nodes[selected[_i]];node.draw(ctx)}}},{key:\"_drawEdges\",value:function _drawEdges(ctx){var edges=this.body.edges;var edgeIndices=this.body.edgeIndices;var edge=void 0;for(var i=0;i<edgeIndices.length;i++){edge=edges[edgeIndices[i]];if(edge.connected===true){edge.draw(ctx)}}}},{key:\"_determineBrowserMethod\",value:function _determineBrowserMethod(){if(typeof window!==\"undefined\"){var browserType=navigator.userAgent.toLowerCase();this.requiresTimeout=false;if(browserType.indexOf(\"msie 9.0\")!=-1){this.requiresTimeout=true}else if(browserType.indexOf(\"safari\")!=-1){if(browserType.indexOf(\"chrome\")<=-1){this.requiresTimeout=true}}}else{this.requiresTimeout=true}}}]);return CanvasRenderer}();exports[\"default\"]=CanvasRenderer},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var Hammer=__webpack_require__(112);var hammerUtil=__webpack_require__(125);var util=__webpack_require__(1);var Canvas=function(){function Canvas(body){(0,_classCallCheck3[\"default\"])(this,Canvas);this.body=body;this.pixelRatio=1;this.resizeTimer=undefined;this.resizeFunction=this._onResize.bind(this);this.cameraState={};this.initialized=false;this.canvasViewCenter={};this.options={};this.defaultOptions={autoResize:true,height:\"100%\",width:\"100%\"};util.extend(this.options,this.defaultOptions);this.bindEventListeners()}(0,_createClass3[\"default\"])(Canvas,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this=this;this.body.emitter.once(\"resize\",function(obj){if(obj.width!==0){_this.body.view.translation.x=obj.width*.5}if(obj.height!==0){_this.body.view.translation.y=obj.height*.5}});this.body.emitter.on(\"setSize\",this.setSize.bind(this));this.body.emitter.on(\"destroy\",function(){_this.hammerFrame.destroy();_this.hammer.destroy();_this._cleanUp()})}},{key:\"setOptions\",value:function setOptions(options){var _this2=this;if(options!==undefined){var fields=[\"width\",\"height\",\"autoResize\"];util.selectiveDeepExtend(fields,this.options,options)}if(this.options.autoResize===true){this._cleanUp();this.resizeTimer=setInterval(function(){var changed=_this2.setSize();if(changed===true){_this2.body.emitter.emit(\"_requestRedraw\")}},1e3);this.resizeFunction=this._onResize.bind(this);util.addEventListener(window,\"resize\",this.resizeFunction)}}},{key:\"_cleanUp\",value:function _cleanUp(){if(this.resizeTimer!==undefined){clearInterval(this.resizeTimer)}util.removeEventListener(window,\"resize\",this.resizeFunction);this.resizeFunction=undefined}},{key:\"_onResize\",value:function _onResize(){this.setSize();this.body.emitter.emit(\"_redraw\")}},{key:\"_getCameraState\",value:function _getCameraState(){var pixelRatio=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.pixelRatio;if(this.initialized===true){this.cameraState.previousWidth=this.frame.canvas.width/pixelRatio;this.cameraState.previousHeight=this.frame.canvas.height/pixelRatio;this.cameraState.scale=this.body.view.scale;this.cameraState.position=this.DOMtoCanvas({x:.5*this.frame.canvas.width/pixelRatio,y:.5*this.frame.canvas.height/pixelRatio})}}},{key:\"_setCameraState\",value:function _setCameraState(){if(this.cameraState.scale!==undefined&&this.frame.canvas.clientWidth!==0&&this.frame.canvas.clientHeight!==0&&this.pixelRatio!==0&&this.cameraState.previousWidth>0){var widthRatio=this.frame.canvas.width/this.pixelRatio/this.cameraState.previousWidth;var heightRatio=this.frame.canvas.height/this.pixelRatio/this.cameraState.previousHeight;var newScale=this.cameraState.scale;if(widthRatio!=1&&heightRatio!=1){newScale=this.cameraState.scale*.5*(widthRatio+heightRatio)}else if(widthRatio!=1){newScale=this.cameraState.scale*widthRatio}else if(heightRatio!=1){newScale=this.cameraState.scale*heightRatio}this.body.view.scale=newScale;var currentViewCenter=this.DOMtoCanvas({x:.5*this.frame.canvas.clientWidth,y:.5*this.frame.canvas.clientHeight});var distanceFromCenter={x:currentViewCenter.x-this.cameraState.position.x,y:currentViewCenter.y-this.cameraState.position.y};this.body.view.translation.x+=distanceFromCenter.x*this.body.view.scale;this.body.view.translation.y+=distanceFromCenter.y*this.body.view.scale}}},{key:\"_prepareValue\",value:function _prepareValue(value){if(typeof value===\"number\"){return value+\"px\"}else if(typeof value===\"string\"){if(value.indexOf(\"%\")!==-1||value.indexOf(\"px\")!==-1){return value}else if(value.indexOf(\"%\")===-1){return value+\"px\"}}throw new Error(\"Could not use the value supplied for width or height:\"+value)}},{key:\"_create\",value:function _create(){while(this.body.container.hasChildNodes()){this.body.container.removeChild(this.body.container.firstChild)}this.frame=document.createElement(\"div\");this.frame.className=\"vis-network\";this.frame.style.position=\"relative\";this.frame.style.overflow=\"hidden\";this.frame.tabIndex=900;this.frame.canvas=document.createElement(\"canvas\");this.frame.canvas.style.position=\"relative\";this.frame.appendChild(this.frame.canvas);if(!this.frame.canvas.getContext){var noCanvas=document.createElement(\"DIV\");noCanvas.style.color=\"red\";noCanvas.style.fontWeight=\"bold\";noCanvas.style.padding=\"10px\";noCanvas.innerHTML=\"Error: your browser does not support HTML canvas\";this.frame.canvas.appendChild(noCanvas)}else{var ctx=this.frame.canvas.getContext(\"2d\");this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1);this.frame.canvas.getContext(\"2d\").setTransform(this.pixelRatio,0,0,this.pixelRatio,0,0)}this.body.container.appendChild(this.frame);this.body.view.scale=1;this.body.view.translation={x:.5*this.frame.canvas.clientWidth,y:.5*this.frame.canvas.clientHeight};this._bindHammer()}},{key:\"_bindHammer\",value:function _bindHammer(){var _this3=this;if(this.hammer!==undefined){this.hammer.destroy()}this.drag={};this.pinch={};this.hammer=new Hammer(this.frame.canvas);this.hammer.get(\"pinch\").set({enable:true});this.hammer.get(\"pan\").set({threshold:5,direction:Hammer.DIRECTION_ALL});hammerUtil.onTouch(this.hammer,function(event){_this3.body.eventListeners.onTouch(event)});this.hammer.on(\"tap\",function(event){_this3.body.eventListeners.onTap(event)});this.hammer.on(\"doubletap\",function(event){_this3.body.eventListeners.onDoubleTap(event)});this.hammer.on(\"press\",function(event){_this3.body.eventListeners.onHold(event)});this.hammer.on(\"panstart\",function(event){_this3.body.eventListeners.onDragStart(event)});this.hammer.on(\"panmove\",function(event){_this3.body.eventListeners.onDrag(event)});this.hammer.on(\"panend\",function(event){_this3.body.eventListeners.onDragEnd(event)});this.hammer.on(\"pinch\",function(event){_this3.body.eventListeners.onPinch(event)});this.frame.canvas.addEventListener(\"mousewheel\",function(event){_this3.body.eventListeners.onMouseWheel(event)});this.frame.canvas.addEventListener(\"DOMMouseScroll\",function(event){_this3.body.eventListeners.onMouseWheel(event)});this.frame.canvas.addEventListener(\"mousemove\",function(event){_this3.body.eventListeners.onMouseMove(event)});this.frame.canvas.addEventListener(\"contextmenu\",function(event){_this3.body.eventListeners.onContext(event)});this.hammerFrame=new Hammer(this.frame);hammerUtil.onRelease(this.hammerFrame,function(event){_this3.body.eventListeners.onRelease(event)})}},{key:\"setSize\",value:function setSize(){var width=arguments.length>0&&arguments[0]!==undefined?arguments[0]:this.options.width;var height=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.options.height;width=this._prepareValue(width);height=this._prepareValue(height);var emitEvent=false;var oldWidth=this.frame.canvas.width;var oldHeight=this.frame.canvas.height;var ctx=this.frame.canvas.getContext(\"2d\");var previousRatio=this.pixelRatio;this.pixelRatio=(window.devicePixelRatio||1)/(ctx.webkitBackingStorePixelRatio||ctx.mozBackingStorePixelRatio||ctx.msBackingStorePixelRatio||ctx.oBackingStorePixelRatio||ctx.backingStorePixelRatio||1);if(width!=this.options.width||height!=this.options.height||this.frame.style.width!=width||this.frame.style.height!=height){this._getCameraState(previousRatio);this.frame.style.width=width;this.frame.style.height=height;this.frame.canvas.style.width=\"100%\";this.frame.canvas.style.height=\"100%\";this.frame.canvas.width=Math.round(this.frame.canvas.clientWidth*this.pixelRatio);this.frame.canvas.height=Math.round(this.frame.canvas.clientHeight*this.pixelRatio);this.options.width=width;this.options.height=height;this.canvasViewCenter={x:.5*this.frame.clientWidth,y:.5*this.frame.clientHeight};emitEvent=true}else{if(this.frame.canvas.width!=Math.round(this.frame.canvas.clientWidth*this.pixelRatio)||this.frame.canvas.height!=Math.round(this.frame.canvas.clientHeight*this.pixelRatio)){this._getCameraState(previousRatio)}if(this.frame.canvas.width!=Math.round(this.frame.canvas.clientWidth*this.pixelRatio)){this.frame.canvas.width=Math.round(this.frame.canvas.clientWidth*this.pixelRatio);emitEvent=true}if(this.frame.canvas.height!=Math.round(this.frame.canvas.clientHeight*this.pixelRatio)){this.frame.canvas.height=Math.round(this.frame.canvas.clientHeight*this.pixelRatio);emitEvent=true}}if(emitEvent===true){this.body.emitter.emit(\"resize\",{width:Math.round(this.frame.canvas.width/this.pixelRatio),height:Math.round(this.frame.canvas.height/this.pixelRatio),oldWidth:Math.round(oldWidth/this.pixelRatio),oldHeight:Math.round(oldHeight/this.pixelRatio)});this._setCameraState()}this.initialized=true;return emitEvent}},{key:\"_XconvertDOMtoCanvas\",value:function _XconvertDOMtoCanvas(x){return(x-this.body.view.translation.x)/this.body.view.scale}},{key:\"_XconvertCanvasToDOM\",value:function _XconvertCanvasToDOM(x){return x*this.body.view.scale+this.body.view.translation.x}},{key:\"_YconvertDOMtoCanvas\",value:function _YconvertDOMtoCanvas(y){return(y-this.body.view.translation.y)/this.body.view.scale}},{key:\"_YconvertCanvasToDOM\",value:function _YconvertCanvasToDOM(y){return y*this.body.view.scale+this.body.view.translation.y}},{key:\"canvasToDOM\",value:function canvasToDOM(pos){return{x:this._XconvertCanvasToDOM(pos.x),y:this._YconvertCanvasToDOM(pos.y)}}},{key:\"DOMtoCanvas\",value:function DOMtoCanvas(pos){return{x:this._XconvertDOMtoCanvas(pos.x),y:this._YconvertDOMtoCanvas(pos.y)}}}]);return Canvas}();exports[\"default\"]=Canvas},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _NetworkUtil=__webpack_require__(214);var _NetworkUtil2=_interopRequireDefault(_NetworkUtil);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var View=function(){function View(body,canvas){var _this=this;(0,_classCallCheck3[\"default\"])(this,View);this.body=body;this.canvas=canvas;this.animationSpeed=1/this.renderRefreshRate;this.animationEasingFunction=\"easeInOutQuint\";this.easingTime=0;this.sourceScale=0;this.targetScale=0;this.sourceTranslation=0;this.targetTranslation=0;this.lockedOnNodeId=undefined;this.lockedOnNodeOffset=undefined;this.touchTime=0;this.viewFunction=undefined;this.body.emitter.on(\"fit\",this.fit.bind(this));this.body.emitter.on(\"animationFinished\",function(){_this.body.emitter.emit(\"_stopRendering\")});this.body.emitter.on(\"unlockNode\",this.releaseNode.bind(this))}(0,_createClass3[\"default\"])(View,[{key:\"setOptions\",value:function setOptions(){var options=arguments.length>0&&arguments[0]!==undefined?arguments[0]:{};this.options=options}},{key:\"fit\",value:function fit(){var options=arguments.length>0&&arguments[0]!==undefined?arguments[0]:{nodes:[]};var initialZoom=arguments.length>1&&arguments[1]!==undefined?arguments[1]:false;var range=void 0;var zoomLevel=void 0;if(options.nodes===undefined||options.nodes.length===0){options.nodes=this.body.nodeIndices}if(initialZoom===true){var positionDefined=0;for(var nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){var node=this.body.nodes[nodeId];if(node.predefinedPosition===true){positionDefined+=1}}}if(positionDefined>.5*this.body.nodeIndices.length){this.fit(options,false);return}range=_NetworkUtil2[\"default\"].getRange(this.body.nodes,options.nodes);var numberOfNodes=this.body.nodeIndices.length;zoomLevel=12.662/(numberOfNodes+7.4147)+.0964822;var factor=Math.min(this.canvas.frame.canvas.clientWidth/600,this.canvas.frame.canvas.clientHeight/600);zoomLevel*=factor}else{this.body.emitter.emit(\"_resizeNodes\");range=_NetworkUtil2[\"default\"].getRange(this.body.nodes,options.nodes);var xDistance=Math.abs(range.maxX-range.minX)*1.1;var yDistance=Math.abs(range.maxY-range.minY)*1.1;var xZoomLevel=this.canvas.frame.canvas.clientWidth/xDistance;var yZoomLevel=this.canvas.frame.canvas.clientHeight/yDistance;zoomLevel=xZoomLevel<=yZoomLevel?xZoomLevel:yZoomLevel}if(zoomLevel>1){zoomLevel=1}else if(zoomLevel===0){zoomLevel=1}var center=_NetworkUtil2[\"default\"].findCenter(range);var animationOptions={position:center,scale:zoomLevel,animation:options.animation};this.moveTo(animationOptions)}},{key:\"focus\",value:function focus(nodeId){var options=arguments.length>1&&arguments[1]!==undefined?arguments[1]:{};if(this.body.nodes[nodeId]!==undefined){var nodePosition={x:this.body.nodes[nodeId].x,y:this.body.nodes[nodeId].y};options.position=nodePosition;options.lockedOnNode=nodeId;this.moveTo(options)}else{console.log(\"Node: \"+nodeId+\" cannot be found.\")}}},{key:\"moveTo\",value:function moveTo(options){if(options===undefined){options={};return}if(options.offset===undefined){options.offset={x:0,y:0}}if(options.offset.x===undefined){options.offset.x=0}if(options.offset.y===undefined){options.offset.y=0}if(options.scale===undefined){options.scale=this.body.view.scale}if(options.position===undefined){options.position=this.getViewPosition()}if(options.animation===undefined){options.animation={duration:0}}if(options.animation===false){options.animation={duration:0}}if(options.animation===true){options.animation={}}if(options.animation.duration===undefined){options.animation.duration=1e3}if(options.animation.easingFunction===undefined){options.animation.easingFunction=\"easeInOutQuad\"}this.animateView(options)}},{key:\"animateView\",value:function animateView(options){if(options===undefined){return}this.animationEasingFunction=options.animation.easingFunction;this.releaseNode();if(options.locked===true){this.lockedOnNodeId=options.lockedOnNode;this.lockedOnNodeOffset=options.offset}if(this.easingTime!=0){this._transitionRedraw(true)}this.sourceScale=this.body.view.scale;this.sourceTranslation=this.body.view.translation;this.targetScale=options.scale;this.body.view.scale=this.targetScale;var viewCenter=this.canvas.DOMtoCanvas({x:.5*this.canvas.frame.canvas.clientWidth,y:.5*this.canvas.frame.canvas.clientHeight});var distanceFromCenter={x:viewCenter.x-options.position.x,y:viewCenter.y-options.position.y};this.targetTranslation={x:this.sourceTranslation.x+distanceFromCenter.x*this.targetScale+options.offset.x,y:this.sourceTranslation.y+distanceFromCenter.y*this.targetScale+options.offset.y};if(options.animation.duration===0){if(this.lockedOnNodeId!=undefined){this.viewFunction=this._lockedRedraw.bind(this);this.body.emitter.on(\"initRedraw\",this.viewFunction)}else{this.body.view.scale=this.targetScale;this.body.view.translation=this.targetTranslation;this.body.emitter.emit(\"_requestRedraw\")}}else{this.animationSpeed=1/(60*options.animation.duration*.001)||1/60;this.animationEasingFunction=options.animation.easingFunction;this.viewFunction=this._transitionRedraw.bind(this);this.body.emitter.on(\"initRedraw\",this.viewFunction);this.body.emitter.emit(\"_startRendering\")}}},{key:\"_lockedRedraw\",value:function _lockedRedraw(){var nodePosition={x:this.body.nodes[this.lockedOnNodeId].x,y:this.body.nodes[this.lockedOnNodeId].y};var viewCenter=this.canvas.DOMtoCanvas({x:.5*this.canvas.frame.canvas.clientWidth,y:.5*this.canvas.frame.canvas.clientHeight});var distanceFromCenter={x:viewCenter.x-nodePosition.x,y:viewCenter.y-nodePosition.y};var sourceTranslation=this.body.view.translation;var targetTranslation={x:sourceTranslation.x+distanceFromCenter.x*this.body.view.scale+this.lockedOnNodeOffset.x,y:sourceTranslation.y+distanceFromCenter.y*this.body.view.scale+this.lockedOnNodeOffset.y};this.body.view.translation=targetTranslation}},{key:\"releaseNode\",value:function releaseNode(){if(this.lockedOnNodeId!==undefined&&this.viewFunction!==undefined){this.body.emitter.off(\"initRedraw\",this.viewFunction);this.lockedOnNodeId=undefined;this.lockedOnNodeOffset=undefined}}},{key:\"_transitionRedraw\",value:function _transitionRedraw(){var finished=arguments.length>0&&arguments[0]!==undefined?arguments[0]:false;this.easingTime+=this.animationSpeed;this.easingTime=finished===true?1:this.easingTime;var progress=util.easingFunctions[this.animationEasingFunction](this.easingTime);this.body.view.scale=this.sourceScale+(this.targetScale-this.sourceScale)*progress;this.body.view.translation={x:this.sourceTranslation.x+(this.targetTranslation.x-this.sourceTranslation.x)*progress,y:this.sourceTranslation.y+(this.targetTranslation.y-this.sourceTranslation.y)*progress};if(this.easingTime>=1){this.body.emitter.off(\"initRedraw\",this.viewFunction);this.easingTime=0;if(this.lockedOnNodeId!=undefined){this.viewFunction=this._lockedRedraw.bind(this);this.body.emitter.on(\"initRedraw\",this.viewFunction)}this.body.emitter.emit(\"animationFinished\")}}},{key:\"getScale\",value:function getScale(){return this.body.view.scale}},{key:\"getViewPosition\",value:function getViewPosition(){return this.canvas.DOMtoCanvas({x:.5*this.canvas.frame.canvas.clientWidth,y:.5*this.canvas.frame.canvas.clientHeight})}}]);return View}();exports[\"default\"]=View},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _NavigationHandler=__webpack_require__(220);var _NavigationHandler2=_interopRequireDefault(_NavigationHandler);var _Popup=__webpack_require__(132);var _Popup2=_interopRequireDefault(_Popup);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var InteractionHandler=function(){function InteractionHandler(body,canvas,selectionHandler){(0,_classCallCheck3[\"default\"])(this,InteractionHandler);this.body=body;this.canvas=canvas;this.selectionHandler=selectionHandler;this.navigationHandler=new _NavigationHandler2[\"default\"](body,canvas);this.body.eventListeners.onTap=this.onTap.bind(this);this.body.eventListeners.onTouch=this.onTouch.bind(this);this.body.eventListeners.onDoubleTap=this.onDoubleTap.bind(this);this.body.eventListeners.onHold=this.onHold.bind(this);this.body.eventListeners.onDragStart=this.onDragStart.bind(this);this.body.eventListeners.onDrag=this.onDrag.bind(this);this.body.eventListeners.onDragEnd=this.onDragEnd.bind(this);this.body.eventListeners.onMouseWheel=this.onMouseWheel.bind(this);this.body.eventListeners.onPinch=this.onPinch.bind(this);this.body.eventListeners.onMouseMove=this.onMouseMove.bind(this);this.body.eventListeners.onRelease=this.onRelease.bind(this);this.body.eventListeners.onContext=this.onContext.bind(this);this.touchTime=0;this.drag={};this.pinch={};this.popup=undefined;this.popupObj=undefined;this.popupTimer=undefined;this.body.functions.getPointer=this.getPointer.bind(this);this.options={};this.defaultOptions={dragNodes:true,dragView:true,hover:false,keyboard:{enabled:false,speed:{x:10,y:10,zoom:.02},bindToWindow:true},navigationButtons:false,tooltipDelay:300,zoomView:true};util.extend(this.options,this.defaultOptions);this.bindEventListeners()}(0,_createClass3[\"default\"])(InteractionHandler,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this=this;this.body.emitter.on(\"destroy\",function(){clearTimeout(_this.popupTimer);delete _this.body.functions.getPointer})}},{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){var fields=[\"hideEdgesOnDrag\",\"hideNodesOnDrag\",\"keyboard\",\"multiselect\",\"selectable\",\"selectConnectedEdges\"];util.selectiveNotDeepExtend(fields,this.options,options);util.mergeOptions(this.options,options,\"keyboard\");if(options.tooltip){util.extend(this.options.tooltip,options.tooltip);if(options.tooltip.color){this.options.tooltip.color=util.parseColor(options.tooltip.color)}}}this.navigationHandler.setOptions(this.options)}},{key:\"getPointer\",value:function getPointer(touch){return{x:touch.x-util.getAbsoluteLeft(this.canvas.frame.canvas),y:touch.y-util.getAbsoluteTop(this.canvas.frame.canvas)}}},{key:\"onTouch\",value:function onTouch(event){if((new Date).valueOf()-this.touchTime>50){this.drag.pointer=this.getPointer(event.center);this.drag.pinched=false;this.pinch.scale=this.body.view.scale;this.touchTime=(new Date).valueOf()}}},{key:\"onTap\",value:function onTap(event){var pointer=this.getPointer(event.center);var multiselect=this.selectionHandler.options.multiselect&&(event.changedPointers[0].ctrlKey||event.changedPointers[0].metaKey);this.checkSelectionChanges(pointer,event,multiselect);this.selectionHandler._generateClickEvent(\"click\",event,pointer)}},{key:\"onDoubleTap\",value:function onDoubleTap(event){var pointer=this.getPointer(event.center);this.selectionHandler._generateClickEvent(\"doubleClick\",event,pointer)}},{key:\"onHold\",value:function onHold(event){var pointer=this.getPointer(event.center);var multiselect=this.selectionHandler.options.multiselect;this.checkSelectionChanges(pointer,event,multiselect);this.selectionHandler._generateClickEvent(\"click\",event,pointer);this.selectionHandler._generateClickEvent(\"hold\",event,pointer)}},{key:\"onRelease\",value:function onRelease(event){if((new Date).valueOf()-this.touchTime>10){var pointer=this.getPointer(event.center);this.selectionHandler._generateClickEvent(\"release\",event,pointer);this.touchTime=(new Date).valueOf()}}},{key:\"onContext\",value:function onContext(event){var pointer=this.getPointer({x:event.clientX,y:event.clientY});this.selectionHandler._generateClickEvent(\"oncontext\",event,pointer)}},{key:\"checkSelectionChanges\",value:function checkSelectionChanges(pointer,event){var add=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var previouslySelectedEdgeCount=this.selectionHandler._getSelectedEdgeCount();var previouslySelectedNodeCount=this.selectionHandler._getSelectedNodeCount();var previousSelection=this.selectionHandler.getSelection();var selected=void 0;if(add===true){selected=this.selectionHandler.selectAdditionalOnPoint(pointer)}else{selected=this.selectionHandler.selectOnPoint(pointer)}var selectedEdgesCount=this.selectionHandler._getSelectedEdgeCount();var selectedNodesCount=this.selectionHandler._getSelectedNodeCount();var currentSelection=this.selectionHandler.getSelection();var _determineIfDifferent2=this._determineIfDifferent(previousSelection,currentSelection),nodesChanged=_determineIfDifferent2.nodesChanged,edgesChanged=_determineIfDifferent2.edgesChanged;var nodeSelected=false;if(selectedNodesCount-previouslySelectedNodeCount>0){this.selectionHandler._generateClickEvent(\"selectNode\",event,pointer);selected=true;nodeSelected=true}else if(nodesChanged===true&&selectedNodesCount>0){this.selectionHandler._generateClickEvent(\"deselectNode\",event,pointer,previousSelection);this.selectionHandler._generateClickEvent(\"selectNode\",event,pointer);nodeSelected=true;selected=true}else if(selectedNodesCount-previouslySelectedNodeCount<0){this.selectionHandler._generateClickEvent(\"deselectNode\",event,pointer,previousSelection);selected=true}if(selectedEdgesCount-previouslySelectedEdgeCount>0&&nodeSelected===false){this.selectionHandler._generateClickEvent(\"selectEdge\",event,pointer);selected=true}else if(selectedEdgesCount>0&&edgesChanged===true){this.selectionHandler._generateClickEvent(\"deselectEdge\",event,pointer,previousSelection);this.selectionHandler._generateClickEvent(\"selectEdge\",event,pointer);selected=true}else if(selectedEdgesCount-previouslySelectedEdgeCount<0){this.selectionHandler._generateClickEvent(\"deselectEdge\",event,pointer,previousSelection);selected=true}if(selected===true){this.selectionHandler._generateClickEvent(\"select\",event,pointer)}}},{key:\"_determineIfDifferent\",value:function _determineIfDifferent(previousSelection,currentSelection){var nodesChanged=false;var edgesChanged=false;for(var i=0;i<previousSelection.nodes.length;i++){if(currentSelection.nodes.indexOf(previousSelection.nodes[i])===-1){nodesChanged=true}}for(var _i=0;_i<currentSelection.nodes.length;_i++){if(previousSelection.nodes.indexOf(previousSelection.nodes[_i])===-1){nodesChanged=true}}for(var _i2=0;_i2<previousSelection.edges.length;_i2++){if(currentSelection.edges.indexOf(previousSelection.edges[_i2])===-1){edgesChanged=true}}for(var _i3=0;_i3<currentSelection.edges.length;_i3++){if(previousSelection.edges.indexOf(previousSelection.edges[_i3])===-1){edgesChanged=true}}return{nodesChanged:nodesChanged,edgesChanged:edgesChanged}}},{key:\"onDragStart\",value:function onDragStart(event){if(this.drag.pointer===undefined){this.onTouch(event)}var node=this.selectionHandler.getNodeAt(this.drag.pointer);this.drag.dragging=true;this.drag.selection=[];this.drag.translation=util.extend({},this.body.view.translation);this.drag.nodeId=undefined;if(node!==undefined&&this.options.dragNodes===true){this.drag.nodeId=node.id;if(node.isSelected()===false){this.selectionHandler.unselectAll();this.selectionHandler.selectObject(node)}this.selectionHandler._generateClickEvent(\"dragStart\",event,this.drag.pointer);var selection=this.selectionHandler.selectionObj.nodes;for(var nodeId in selection){if(selection.hasOwnProperty(nodeId)){var object=selection[nodeId];var s={id:object.id,node:object,x:object.x,y:object.y,xFixed:object.options.fixed.x,yFixed:object.options.fixed.y};object.options.fixed.x=true;object.options.fixed.y=true;this.drag.selection.push(s)}}}else{this.selectionHandler._generateClickEvent(\"dragStart\",event,this.drag.pointer,undefined,true)}}},{key:\"onDrag\",value:function onDrag(event){var _this2=this;if(this.drag.pinched===true){return}this.body.emitter.emit(\"unlockNode\");var pointer=this.getPointer(event.center);var selection=this.drag.selection;if(selection&&selection.length&&this.options.dragNodes===true){this.selectionHandler._generateClickEvent(\"dragging\",event,pointer);var deltaX=pointer.x-this.drag.pointer.x;var deltaY=pointer.y-this.drag.pointer.y;selection.forEach(function(selection){var node=selection.node;if(selection.xFixed===false){node.x=_this2.canvas._XconvertDOMtoCanvas(_this2.canvas._XconvertCanvasToDOM(selection.x)+deltaX)}if(selection.yFixed===false){node.y=_this2.canvas._YconvertDOMtoCanvas(_this2.canvas._YconvertCanvasToDOM(selection.y)+deltaY)}});this.body.emitter.emit(\"startSimulation\")}else{if(this.options.dragView===true){this.selectionHandler._generateClickEvent(\"dragging\",event,pointer,undefined,true);if(this.drag.pointer===undefined){this.onDragStart(event);return}var diffX=pointer.x-this.drag.pointer.x;var diffY=pointer.y-this.drag.pointer.y;this.body.view.translation={x:this.drag.translation.x+diffX,y:this.drag.translation.y+diffY};this.body.emitter.emit(\"_redraw\")}}}},{key:\"onDragEnd\",value:function onDragEnd(event){this.drag.dragging=false;var selection=this.drag.selection;if(selection&&selection.length){selection.forEach(function(s){s.node.options.fixed.x=s.xFixed;s.node.options.fixed.y=s.yFixed});this.selectionHandler._generateClickEvent(\"dragEnd\",event,this.getPointer(event.center));this.body.emitter.emit(\"startSimulation\")}else{this.selectionHandler._generateClickEvent(\"dragEnd\",event,this.getPointer(event.center),undefined,true);this.body.emitter.emit(\"_requestRedraw\")}}},{key:\"onPinch\",value:function onPinch(event){var pointer=this.getPointer(event.center);this.drag.pinched=true;if(this.pinch[\"scale\"]===undefined){this.pinch.scale=1}var scale=this.pinch.scale*event.scale;this.zoom(scale,pointer)}},{key:\"zoom\",value:function zoom(scale,pointer){if(this.options.zoomView===true){var scaleOld=this.body.view.scale;if(scale<1e-5){scale=1e-5}if(scale>10){scale=10}var preScaleDragPointer=undefined;if(this.drag!==undefined){if(this.drag.dragging===true){preScaleDragPointer=this.canvas.DOMtoCanvas(this.drag.pointer)}}var translation=this.body.view.translation;var scaleFrac=scale/scaleOld;var tx=(1-scaleFrac)*pointer.x+translation.x*scaleFrac;var ty=(1-scaleFrac)*pointer.y+translation.y*scaleFrac;this.body.view.scale=scale;this.body.view.translation={x:tx,y:ty};if(preScaleDragPointer!=undefined){var postScaleDragPointer=this.canvas.canvasToDOM(preScaleDragPointer);this.drag.pointer.x=postScaleDragPointer.x;this.drag.pointer.y=postScaleDragPointer.y}this.body.emitter.emit(\"_requestRedraw\");if(scaleOld<scale){this.body.emitter.emit(\"zoom\",{direction:\"+\",scale:this.body.view.scale,pointer:pointer})}else{this.body.emitter.emit(\"zoom\",{direction:\"-\",scale:this.body.view.scale,pointer:pointer})}}}},{key:\"onMouseWheel\",value:function onMouseWheel(event){if(this.options.zoomView===true){var delta=0;if(event.wheelDelta){delta=event.wheelDelta/120}else if(event.detail){delta=-event.detail/3}if(delta!==0){var scale=this.body.view.scale;var zoom=delta/10;if(delta<0){zoom=zoom/(1-zoom)}scale*=1+zoom;var pointer=this.getPointer({x:event.clientX,y:event.clientY});this.zoom(scale,pointer)}event.preventDefault()}}},{key:\"onMouseMove\",value:function onMouseMove(event){var _this3=this;var pointer=this.getPointer({x:event.clientX,y:event.clientY});var popupVisible=false;if(this.popup!==undefined){if(this.popup.hidden===false){this._checkHidePopup(pointer)}if(this.popup.hidden===false){popupVisible=true;this.popup.setPosition(pointer.x+3,pointer.y-5);this.popup.show()}}if(this.options.keyboard.bindToWindow===false&&this.options.keyboard.enabled===true){this.canvas.frame.focus()}if(popupVisible===false){if(this.popupTimer!==undefined){clearInterval(this.popupTimer);this.popupTimer=undefined}if(!this.drag.dragging){this.popupTimer=setTimeout(function(){return _this3._checkShowPopup(pointer)},this.options.tooltipDelay)}}if(this.options.hover===true){var obj=this.selectionHandler.getNodeAt(pointer);if(obj===undefined){obj=this.selectionHandler.getEdgeAt(pointer)}this.selectionHandler.hoverObject(obj)}}},{key:\"_checkShowPopup\",value:function _checkShowPopup(pointer){var x=this.canvas._XconvertDOMtoCanvas(pointer.x);var y=this.canvas._YconvertDOMtoCanvas(pointer.y);var pointerObj={left:x,top:y,right:x,bottom:y};var previousPopupObjId=this.popupObj===undefined?undefined:this.popupObj.id;var nodeUnderCursor=false;var popupType=\"node\";if(this.popupObj===undefined){var nodeIndices=this.body.nodeIndices;var nodes=this.body.nodes;var node=void 0;var overlappingNodes=[];for(var i=0;i<nodeIndices.length;i++){node=nodes[nodeIndices[i]];if(node.isOverlappingWith(pointerObj)===true){if(node.getTitle()!==undefined){overlappingNodes.push(nodeIndices[i])}}}if(overlappingNodes.length>0){this.popupObj=nodes[overlappingNodes[overlappingNodes.length-1]];nodeUnderCursor=true}}if(this.popupObj===undefined&&nodeUnderCursor===false){var edgeIndices=this.body.edgeIndices;var edges=this.body.edges;var edge=void 0;var overlappingEdges=[];for(var _i4=0;_i4<edgeIndices.length;_i4++){edge=edges[edgeIndices[_i4]];if(edge.isOverlappingWith(pointerObj)===true){if(edge.connected===true&&edge.getTitle()!==undefined){overlappingEdges.push(edgeIndices[_i4])}}}if(overlappingEdges.length>0){this.popupObj=edges[overlappingEdges[overlappingEdges.length-1]];popupType=\"edge\"}}if(this.popupObj!==undefined){if(this.popupObj.id!==previousPopupObjId){if(this.popup===undefined){this.popup=new _Popup2[\"default\"](this.canvas.frame)}this.popup.popupTargetType=popupType;this.popup.popupTargetId=this.popupObj.id;this.popup.setPosition(pointer.x+3,pointer.y-5);this.popup.setText(this.popupObj.getTitle());this.popup.show();this.body.emitter.emit(\"showPopup\",this.popupObj.id)}}else{if(this.popup!==undefined){this.popup.hide();this.body.emitter.emit(\"hidePopup\")}}}},{key:\"_checkHidePopup\",value:function _checkHidePopup(pointer){var pointerObj=this.selectionHandler._pointerToPositionObject(pointer);var stillOnObj=false;if(this.popup.popupTargetType===\"node\"){if(this.body.nodes[this.popup.popupTargetId]!==undefined){stillOnObj=this.body.nodes[this.popup.popupTargetId].isOverlappingWith(pointerObj);if(stillOnObj===true){var overNode=this.selectionHandler.getNodeAt(pointer);stillOnObj=overNode===undefined?false:overNode.id===this.popup.popupTargetId}}}else{if(this.selectionHandler.getNodeAt(pointer)===undefined){if(this.body.edges[this.popup.popupTargetId]!==undefined){stillOnObj=this.body.edges[this.popup.popupTargetId].isOverlappingWith(pointerObj)}}}if(stillOnObj===false){this.popupObj=undefined;this.popup.hide();this.body.emitter.emit(\"hidePopup\")}}}]);return InteractionHandler}();exports[\"default\"]=InteractionHandler},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Hammer=__webpack_require__(112);var hammerUtil=__webpack_require__(125);var keycharm=__webpack_require__(115);var NavigationHandler=function(){function NavigationHandler(body,canvas){var _this=this;(0,_classCallCheck3[\"default\"])(this,NavigationHandler);this.body=body;this.canvas=canvas;this.iconsCreated=false;this.navigationHammers=[];this.boundFunctions={};this.touchTime=0;this.activated=false;this.body.emitter.on(\"activate\",function(){_this.activated=true;_this.configureKeyboardBindings()});this.body.emitter.on(\"deactivate\",function(){_this.activated=false;_this.configureKeyboardBindings()});this.body.emitter.on(\"destroy\",function(){if(_this.keycharm!==undefined){_this.keycharm.destroy()}});this.options={}}(0,_createClass3[\"default\"])(NavigationHandler,[{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){this.options=options;this.create()}}},{key:\"create\",value:function create(){if(this.options.navigationButtons===true){if(this.iconsCreated===false){this.loadNavigationElements()}}else if(this.iconsCreated===true){this.cleanNavigation()}this.configureKeyboardBindings()}},{key:\"cleanNavigation\",value:function cleanNavigation(){if(this.navigationHammers.length!=0){for(var i=0;i<this.navigationHammers.length;i++){this.navigationHammers[i].destroy()}this.navigationHammers=[]}if(this.navigationDOM&&this.navigationDOM[\"wrapper\"]&&this.navigationDOM[\"wrapper\"].parentNode){this.navigationDOM[\"wrapper\"].parentNode.removeChild(this.navigationDOM[\"wrapper\"])}this.iconsCreated=false}},{key:\"loadNavigationElements\",value:function loadNavigationElements(){var _this2=this;this.cleanNavigation();this.navigationDOM={};var navigationDivs=[\"up\",\"down\",\"left\",\"right\",\"zoomIn\",\"zoomOut\",\"zoomExtends\"];var navigationDivActions=[\"_moveUp\",\"_moveDown\",\"_moveLeft\",\"_moveRight\",\"_zoomIn\",\"_zoomOut\",\"_fit\"];this.navigationDOM[\"wrapper\"]=document.createElement(\"div\");this.navigationDOM[\"wrapper\"].className=\"vis-navigation\";this.canvas.frame.appendChild(this.navigationDOM[\"wrapper\"]);for(var i=0;i<navigationDivs.length;i++){this.navigationDOM[navigationDivs[i]]=document.createElement(\"div\");this.navigationDOM[navigationDivs[i]].className=\"vis-button vis-\"+navigationDivs[i];this.navigationDOM[\"wrapper\"].appendChild(this.navigationDOM[navigationDivs[i]]);var hammer=new Hammer(this.navigationDOM[navigationDivs[i]]);if(navigationDivActions[i]===\"_fit\"){hammerUtil.onTouch(hammer,this._fit.bind(this))}else{hammerUtil.onTouch(hammer,this.bindToRedraw.bind(this,navigationDivActions[i]))}this.navigationHammers.push(hammer)}var hammerFrame=new Hammer(this.canvas.frame);hammerUtil.onRelease(hammerFrame,function(){_this2._stopMovement()});this.navigationHammers.push(hammerFrame);this.iconsCreated=true}},{key:\"bindToRedraw\",value:function bindToRedraw(action){if(this.boundFunctions[action]===undefined){this.boundFunctions[action]=this[action].bind(this);this.body.emitter.on(\"initRedraw\",this.boundFunctions[action]);this.body.emitter.emit(\"_startRendering\")}}},{key:\"unbindFromRedraw\",value:function unbindFromRedraw(action){if(this.boundFunctions[action]!==undefined){this.body.emitter.off(\"initRedraw\",this.boundFunctions[action]);this.body.emitter.emit(\"_stopRendering\");delete this.boundFunctions[action]}}},{key:\"_fit\",value:function _fit(){if((new Date).valueOf()-this.touchTime>700){this.body.emitter.emit(\"fit\",{duration:700});this.touchTime=(new Date).valueOf()}}},{key:\"_stopMovement\",value:function _stopMovement(){for(var boundAction in this.boundFunctions){if(this.boundFunctions.hasOwnProperty(boundAction)){this.body.emitter.off(\"initRedraw\",this.boundFunctions[boundAction]);this.body.emitter.emit(\"_stopRendering\")}}this.boundFunctions={}}},{key:\"_moveUp\",value:function _moveUp(){this.body.view.translation.y+=this.options.keyboard.speed.y}},{key:\"_moveDown\",value:function _moveDown(){this.body.view.translation.y-=this.options.keyboard.speed.y}},{key:\"_moveLeft\",value:function _moveLeft(){this.body.view.translation.x+=this.options.keyboard.speed.x}},{key:\"_moveRight\",value:function _moveRight(){this.body.view.translation.x-=this.options.keyboard.speed.x}},{key:\"_zoomIn\",value:function _zoomIn(){var scaleOld=this.body.view.scale;var scale=this.body.view.scale*(1+this.options.keyboard.speed.zoom);var translation=this.body.view.translation;var scaleFrac=scale/scaleOld;var tx=(1-scaleFrac)*this.canvas.canvasViewCenter.x+translation.x*scaleFrac;var ty=(1-scaleFrac)*this.canvas.canvasViewCenter.y+translation.y*scaleFrac;this.body.view.scale=scale;this.body.view.translation={x:tx,y:ty};this.body.emitter.emit(\"zoom\",{direction:\"+\",scale:this.body.view.scale,pointer:null})}},{key:\"_zoomOut\",value:function _zoomOut(){var scaleOld=this.body.view.scale;var scale=this.body.view.scale/(1+this.options.keyboard.speed.zoom);var translation=this.body.view.translation;var scaleFrac=scale/scaleOld;var tx=(1-scaleFrac)*this.canvas.canvasViewCenter.x+translation.x*scaleFrac;var ty=(1-scaleFrac)*this.canvas.canvasViewCenter.y+translation.y*scaleFrac;this.body.view.scale=scale;this.body.view.translation={x:tx,y:ty};this.body.emitter.emit(\"zoom\",{direction:\"-\",scale:this.body.view.scale,pointer:null})}},{key:\"configureKeyboardBindings\",value:function configureKeyboardBindings(){var _this3=this;if(this.keycharm!==undefined){this.keycharm.destroy()}if(this.options.keyboard.enabled===true){if(this.options.keyboard.bindToWindow===true){this.keycharm=keycharm({container:window,preventDefault:true})}else{this.keycharm=keycharm({container:this.canvas.frame,preventDefault:true})}this.keycharm.reset();if(this.activated===true){this.keycharm.bind(\"up\",function(){_this3.bindToRedraw(\"_moveUp\")},\"keydown\");this.keycharm.bind(\"down\",function(){_this3.bindToRedraw(\"_moveDown\")},\"keydown\");this.keycharm.bind(\"left\",function(){_this3.bindToRedraw(\"_moveLeft\")},\"keydown\");this.keycharm.bind(\"right\",function(){_this3.bindToRedraw(\"_moveRight\")},\"keydown\");this.keycharm.bind(\"=\",function(){_this3.bindToRedraw(\"_zoomIn\")},\"keydown\");this.keycharm.bind(\"num+\",function(){_this3.bindToRedraw(\"_zoomIn\")},\"keydown\");this.keycharm.bind(\"num-\",function(){_this3.bindToRedraw(\"_zoomOut\")},\"keydown\");this.keycharm.bind(\"-\",function(){_this3.bindToRedraw(\"_zoomOut\")},\"keydown\");this.keycharm.bind(\"[\",function(){_this3.bindToRedraw(\"_zoomOut\")},\"keydown\");this.keycharm.bind(\"]\",function(){_this3.bindToRedraw(\"_zoomIn\")},\"keydown\");this.keycharm.bind(\"pageup\",function(){_this3.bindToRedraw(\"_zoomIn\")},\"keydown\");this.keycharm.bind(\"pagedown\",function(){_this3.bindToRedraw(\"_zoomOut\")},\"keydown\");this.keycharm.bind(\"up\",function(){_this3.unbindFromRedraw(\"_moveUp\")},\"keyup\");this.keycharm.bind(\"down\",function(){_this3.unbindFromRedraw(\"_moveDown\")},\"keyup\");this.keycharm.bind(\"left\",function(){_this3.unbindFromRedraw(\"_moveLeft\")},\"keyup\");this.keycharm.bind(\"right\",function(){_this3.unbindFromRedraw(\"_moveRight\")},\"keyup\");this.keycharm.bind(\"=\",function(){_this3.unbindFromRedraw(\"_zoomIn\")},\"keyup\");this.keycharm.bind(\"num+\",function(){_this3.unbindFromRedraw(\"_zoomIn\")},\"keyup\");this.keycharm.bind(\"num-\",function(){_this3.unbindFromRedraw(\"_zoomOut\")},\"keyup\");this.keycharm.bind(\"-\",function(){_this3.unbindFromRedraw(\"_zoomOut\")},\"keyup\");this.keycharm.bind(\"[\",function(){_this3.unbindFromRedraw(\"_zoomOut\")},\"keyup\");this.keycharm.bind(\"]\",function(){_this3.unbindFromRedraw(\"_zoomIn\")},\"keyup\");this.keycharm.bind(\"pageup\",function(){_this3.unbindFromRedraw(\"_zoomIn\")},\"keyup\");this.keycharm.bind(\"pagedown\",function(){_this3.unbindFromRedraw(\"_zoomOut\")},\"keyup\")}}}}]);return NavigationHandler}();exports[\"default\"]=NavigationHandler},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _Node=__webpack_require__(163);var _Node2=_interopRequireDefault(_Node);var _Edge=__webpack_require__(196);var _Edge2=_interopRequireDefault(_Edge);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var SelectionHandler=function(){function SelectionHandler(body,canvas){var _this=this;(0,_classCallCheck3[\"default\"])(this,SelectionHandler);this.body=body;this.canvas=canvas;this.selectionObj={nodes:[],edges:[]};this.hoverObj={nodes:{},edges:{}};this.options={};this.defaultOptions={multiselect:false,selectable:true,selectConnectedEdges:true,hoverConnectedEdges:true};util.extend(this.options,this.defaultOptions);this.body.emitter.on(\"_dataChanged\",function(){_this.updateSelection()})}(0,_createClass3[\"default\"])(SelectionHandler,[{key:\"setOptions\",value:function setOptions(options){if(options!==undefined){var fields=[\"multiselect\",\"hoverConnectedEdges\",\"selectable\",\"selectConnectedEdges\"];util.selectiveDeepExtend(fields,this.options,options)}}},{key:\"selectOnPoint\",value:function selectOnPoint(pointer){var selected=false;if(this.options.selectable===true){var obj=this.getNodeAt(pointer)||this.getEdgeAt(pointer);this.unselectAll();if(obj!==undefined){selected=this.selectObject(obj)}this.body.emitter.emit(\"_requestRedraw\")}return selected}},{key:\"selectAdditionalOnPoint\",value:function selectAdditionalOnPoint(pointer){var selectionChanged=false;if(this.options.selectable===true){var obj=this.getNodeAt(pointer)||this.getEdgeAt(pointer);if(obj!==undefined){selectionChanged=true;if(obj.isSelected()===true){this.deselectObject(obj)}else{this.selectObject(obj)}this.body.emitter.emit(\"_requestRedraw\")}}return selectionChanged}},{key:\"_generateClickEvent\",value:function _generateClickEvent(eventType,event,pointer,oldSelection){var emptySelection=arguments.length>4&&arguments[4]!==undefined?arguments[4]:false;var properties=void 0;if(emptySelection===true){properties={nodes:[],edges:[]}}else{properties=this.getSelection()}properties[\"pointer\"]={DOM:{x:pointer.x,y:pointer.y},canvas:this.canvas.DOMtoCanvas(pointer)};properties[\"event\"]=event;if(oldSelection!==undefined){properties[\"previousSelection\"]=oldSelection}this.body.emitter.emit(eventType,properties)}},{key:\"selectObject\",value:function selectObject(obj){var highlightEdges=arguments.length>1&&arguments[1]!==undefined?arguments[1]:this.options.selectConnectedEdges;if(obj!==undefined){if(obj instanceof _Node2[\"default\"]){if(highlightEdges===true){this._selectConnectedEdges(obj)}}obj.select();this._addToSelection(obj);return true}return false}},{key:\"deselectObject\",value:function deselectObject(obj){if(obj.isSelected()===true){obj.selected=false;this._removeFromSelection(obj)}}},{key:\"_getAllNodesOverlappingWith\",value:function _getAllNodesOverlappingWith(object){var overlappingNodes=[];var nodes=this.body.nodes;for(var i=0;i<this.body.nodeIndices.length;i++){var nodeId=this.body.nodeIndices[i];if(nodes[nodeId].isOverlappingWith(object)){overlappingNodes.push(nodeId)}}return overlappingNodes}},{key:\"_pointerToPositionObject\",value:function _pointerToPositionObject(pointer){var canvasPos=this.canvas.DOMtoCanvas(pointer);return{left:canvasPos.x-1,top:canvasPos.y+1,right:canvasPos.x+1,bottom:canvasPos.y-1}}},{key:\"getNodeAt\",value:function getNodeAt(pointer){var returnNode=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;var positionObject=this._pointerToPositionObject(pointer);var overlappingNodes=this._getAllNodesOverlappingWith(positionObject);if(overlappingNodes.length>0){if(returnNode===true){return this.body.nodes[overlappingNodes[overlappingNodes.length-1]]}else{return overlappingNodes[overlappingNodes.length-1]}}else{return undefined}}},{key:\"_getEdgesOverlappingWith\",value:function _getEdgesOverlappingWith(object,overlappingEdges){var edges=this.body.edges;for(var i=0;i<this.body.edgeIndices.length;i++){var edgeId=this.body.edgeIndices[i];if(edges[edgeId].isOverlappingWith(object)){overlappingEdges.push(edgeId)}}}},{key:\"_getAllEdgesOverlappingWith\",value:function _getAllEdgesOverlappingWith(object){var overlappingEdges=[];this._getEdgesOverlappingWith(object,overlappingEdges);return overlappingEdges}},{key:\"getEdgeAt\",value:function getEdgeAt(pointer){var returnEdge=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;var canvasPos=this.canvas.DOMtoCanvas(pointer);var mindist=10;var overlappingEdge=null;var edges=this.body.edges;for(var i=0;i<this.body.edgeIndices.length;i++){var edgeId=this.body.edgeIndices[i];var edge=edges[edgeId];if(edge.connected){var xFrom=edge.from.x;var yFrom=edge.from.y;var xTo=edge.to.x;var yTo=edge.to.y;var dist=edge.edgeType.getDistanceToEdge(xFrom,yFrom,xTo,yTo,canvasPos.x,canvasPos.y);if(dist<mindist){overlappingEdge=edgeId;mindist=dist}}}if(overlappingEdge){if(returnEdge===true){return this.body.edges[overlappingEdge]}else{return overlappingEdge}}else{return undefined}}},{key:\"_addToSelection\",value:function _addToSelection(obj){if(obj instanceof _Node2[\"default\"]){this.selectionObj.nodes[obj.id]=obj}else{this.selectionObj.edges[obj.id]=obj}}},{key:\"_addToHover\",value:function _addToHover(obj){if(obj instanceof _Node2[\"default\"]){this.hoverObj.nodes[obj.id]=obj}else{this.hoverObj.edges[obj.id]=obj}}},{key:\"_removeFromSelection\",value:function _removeFromSelection(obj){if(obj instanceof _Node2[\"default\"]){delete this.selectionObj.nodes[obj.id];this._unselectConnectedEdges(obj)}else{delete this.selectionObj.edges[obj.id]}}},{key:\"unselectAll\",value:function unselectAll(){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){this.selectionObj.nodes[nodeId].unselect()}}for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){this.selectionObj.edges[edgeId].unselect()}}this.selectionObj={nodes:{},edges:{}}}},{key:\"_getSelectedNodeCount\",value:function _getSelectedNodeCount(){var count=0;for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){count+=1}}return count}},{key:\"_getSelectedNode\",value:function _getSelectedNode(){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){return this.selectionObj.nodes[nodeId]}}return undefined}},{key:\"_getSelectedEdge\",value:function _getSelectedEdge(){for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){return this.selectionObj.edges[edgeId]}}return undefined}},{key:\"_getSelectedEdgeCount\",value:function _getSelectedEdgeCount(){var count=0;for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){count+=1}}return count}},{key:\"_getSelectedObjectCount\",value:function _getSelectedObjectCount(){var count=0;for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){count+=1}}for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){count+=1}}return count}},{key:\"_selectionIsEmpty\",value:function _selectionIsEmpty(){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){return false}}for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){return false}}return true}},{key:\"_clusterInSelection\",value:function _clusterInSelection(){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){if(this.selectionObj.nodes[nodeId].clusterSize>1){return true}}}return false}},{key:\"_selectConnectedEdges\",value:function _selectConnectedEdges(node){for(var i=0;i<node.edges.length;i++){var edge=node.edges[i];edge.select();this._addToSelection(edge)}}},{key:\"_hoverConnectedEdges\",value:function _hoverConnectedEdges(node){for(var i=0;i<node.edges.length;i++){var edge=node.edges[i];edge.hover=true;this._addToHover(edge)}}},{key:\"_unselectConnectedEdges\",value:function _unselectConnectedEdges(node){for(var i=0;i<node.edges.length;i++){var edge=node.edges[i];edge.unselect();this._removeFromSelection(edge)}}},{key:\"blurObject\",value:function blurObject(object){if(object.hover===true){object.hover=false;if(object instanceof _Node2[\"default\"]){this.body.emitter.emit(\"blurNode\",{node:object.id})}else{this.body.emitter.emit(\"blurEdge\",{edge:object.id})}}}},{key:\"hoverObject\",value:function hoverObject(object){var hoverChanged=false;for(var nodeId in this.hoverObj.nodes){if(this.hoverObj.nodes.hasOwnProperty(nodeId)){if(object===undefined||object instanceof _Node2[\"default\"]&&object.id!=nodeId||object instanceof _Edge2[\"default\"]){this.blurObject(this.hoverObj.nodes[nodeId]);delete this.hoverObj.nodes[nodeId];hoverChanged=true}}}for(var edgeId in this.hoverObj.edges){if(this.hoverObj.edges.hasOwnProperty(edgeId)){if(hoverChanged===true){this.hoverObj.edges[edgeId].hover=false;delete this.hoverObj.edges[edgeId]}else if(object===undefined||object instanceof _Edge2[\"default\"]&&object.id!=edgeId||object instanceof _Node2[\"default\"]&&!object.hover){this.blurObject(this.hoverObj.edges[edgeId]);delete this.hoverObj.edges[edgeId];hoverChanged=true}}}if(object!==undefined){if(object.hover===false){object.hover=true;this._addToHover(object);hoverChanged=true;if(object instanceof _Node2[\"default\"]){this.body.emitter.emit(\"hoverNode\",{node:object.id})}else{this.body.emitter.emit(\"hoverEdge\",{edge:object.id})}}if(object instanceof _Node2[\"default\"]&&this.options.hoverConnectedEdges===true){this._hoverConnectedEdges(object)}}if(hoverChanged===true){this.body.emitter.emit(\"_requestRedraw\")}}},{key:\"getSelection\",value:function getSelection(){var nodeIds=this.getSelectedNodes();var edgeIds=this.getSelectedEdges();return{nodes:nodeIds,edges:edgeIds}}},{key:\"getSelectedNodes\",value:function getSelectedNodes(){var idArray=[];if(this.options.selectable===true){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){idArray.push(this.selectionObj.nodes[nodeId].id)}}}return idArray}},{key:\"getSelectedEdges\",value:function getSelectedEdges(){var idArray=[];if(this.options.selectable===true){for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){idArray.push(this.selectionObj.edges[edgeId].id)}}}return idArray}},{key:\"setSelection\",value:function setSelection(selection){var options=arguments.length>1&&arguments[1]!==undefined?arguments[1]:{};var i=void 0,id=void 0;if(!selection||!selection.nodes&&!selection.edges)throw\"Selection must be an object with nodes and/or edges properties\";if(options.unselectAll||options.unselectAll===undefined){this.unselectAll()}if(selection.nodes){for(i=0;i<selection.nodes.length;i++){id=selection.nodes[i];var node=this.body.nodes[id];if(!node){throw new RangeError('Node with id \"'+id+'\" not found')}this.selectObject(node,options.highlightEdges)}}if(selection.edges){for(i=0;i<selection.edges.length;i++){id=selection.edges[i];var edge=this.body.edges[id];if(!edge){throw new RangeError('Edge with id \"'+id+'\" not found')}this.selectObject(edge)}}this.body.emitter.emit(\"_requestRedraw\")}},{key:\"selectNodes\",value:function selectNodes(selection){var highlightEdges=arguments.length>1&&arguments[1]!==undefined?arguments[1]:true;if(!selection||selection.length===undefined)throw\"Selection must be an array with ids\";this.setSelection({nodes:selection},{highlightEdges:highlightEdges})}},{key:\"selectEdges\",value:function selectEdges(selection){if(!selection||selection.length===undefined)throw\"Selection must be an array with ids\";this.setSelection({edges:selection})}},{key:\"updateSelection\",value:function updateSelection(){for(var nodeId in this.selectionObj.nodes){if(this.selectionObj.nodes.hasOwnProperty(nodeId)){if(!this.body.nodes.hasOwnProperty(nodeId)){delete this.selectionObj.nodes[nodeId]}}}for(var edgeId in this.selectionObj.edges){if(this.selectionObj.edges.hasOwnProperty(edgeId)){if(!this.body.edges.hasOwnProperty(edgeId)){delete this.selectionObj.edges[edgeId]}}}}}]);return SelectionHandler}();exports[\"default\"]=SelectionHandler},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _NetworkUtil=__webpack_require__(214);var _NetworkUtil2=_interopRequireDefault(_NetworkUtil);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var LayoutEngine=function(){function LayoutEngine(body){(0,_classCallCheck3[\"default\"])(this,LayoutEngine);this.body=body;this.initialRandomSeed=Math.round(Math.random()*1e6);this.randomSeed=this.initialRandomSeed;this.setPhysics=false;this.options={};this.optionsBackup={physics:{}};this.defaultOptions={randomSeed:undefined,improvedLayout:true,hierarchical:{enabled:false,levelSeparation:150,nodeSpacing:100,treeSpacing:200,blockShifting:true,edgeMinimization:true,parentCentralization:true,direction:\"UD\",sortMethod:\"hubsize\"}};util.extend(this.options,this.defaultOptions);this.bindEventListeners()}(0,_createClass3[\"default\"])(LayoutEngine,[{key:\"bindEventListeners\",value:function bindEventListeners(){var _this=this;this.body.emitter.on(\"_dataChanged\",function(){_this.setupHierarchicalLayout()});this.body.emitter.on(\"_dataLoaded\",function(){_this.layoutNetwork()});this.body.emitter.on(\"_resetHierarchicalLayout\",function(){_this.setupHierarchicalLayout()})}},{key:\"setOptions\",value:function setOptions(options,allOptions){if(options!==undefined){var prevHierarchicalState=this.options.hierarchical.enabled;util.selectiveDeepExtend([\"randomSeed\",\"improvedLayout\"],this.options,options);util.mergeOptions(this.options,options,\"hierarchical\");if(options.randomSeed!==undefined){this.initialRandomSeed=options.randomSeed}if(this.options.hierarchical.enabled===true){if(prevHierarchicalState===true){this.body.emitter.emit(\"refresh\",true)}if(this.options.hierarchical.direction===\"RL\"||this.options.hierarchical.direction===\"DU\"){if(this.options.hierarchical.levelSeparation>0){this.options.hierarchical.levelSeparation*=-1}}else{if(this.options.hierarchical.levelSeparation<0){this.options.hierarchical.levelSeparation*=-1}}this.body.emitter.emit(\"_resetHierarchicalLayout\");return this.adaptAllOptionsForHierarchicalLayout(allOptions)}else{if(prevHierarchicalState===true){this.body.emitter.emit(\"refresh\");return util.deepExtend(allOptions,this.optionsBackup)}}}return allOptions}},{key:\"adaptAllOptionsForHierarchicalLayout\",value:function adaptAllOptionsForHierarchicalLayout(allOptions){if(this.options.hierarchical.enabled===true){if(allOptions.physics===undefined||allOptions.physics===true){allOptions.physics={enabled:this.optionsBackup.physics.enabled===undefined?true:this.optionsBackup.physics.enabled,solver:\"hierarchicalRepulsion\"};this.optionsBackup.physics.enabled=this.optionsBackup.physics.enabled===undefined?true:this.optionsBackup.physics.enabled;this.optionsBackup.physics.solver=this.optionsBackup.physics.solver||\"barnesHut\"}else if((0,_typeof3[\"default\"])(allOptions.physics)===\"object\"){this.optionsBackup.physics.enabled=allOptions.physics.enabled===undefined?true:allOptions.physics.enabled;this.optionsBackup.physics.solver=allOptions.physics.solver||\"barnesHut\";allOptions.physics.solver=\"hierarchicalRepulsion\"}else if(allOptions.physics!==false){this.optionsBackup.physics.solver=\"barnesHut\";allOptions.physics={solver:\"hierarchicalRepulsion\"}}var type=\"horizontal\";if(this.options.hierarchical.direction===\"RL\"||this.options.hierarchical.direction===\"LR\"){type=\"vertical\"}if(allOptions.edges===undefined){this.optionsBackup.edges={smooth:{enabled:true,type:\"dynamic\"}};allOptions.edges={smooth:false}}else if(allOptions.edges.smooth===undefined){this.optionsBackup.edges={smooth:{enabled:true,type:\"dynamic\"}};allOptions.edges.smooth=false}else{if(typeof allOptions.edges.smooth===\"boolean\"){this.optionsBackup.edges={smooth:allOptions.edges.smooth};allOptions.edges.smooth={enabled:allOptions.edges.smooth,type:type}}else{if(allOptions.edges.smooth.type!==undefined&&allOptions.edges.smooth.type!==\"dynamic\"){type=allOptions.edges.smooth.type}this.optionsBackup.edges={smooth:allOptions.edges.smooth.enabled===undefined?true:allOptions.edges.smooth.enabled,type:allOptions.edges.smooth.type===undefined?\"dynamic\":allOptions.edges.smooth.type,roundness:allOptions.edges.smooth.roundness===undefined?.5:allOptions.edges.smooth.roundness,forceDirection:allOptions.edges.smooth.forceDirection===undefined?false:allOptions.edges.smooth.forceDirection};allOptions.edges.smooth={enabled:allOptions.edges.smooth.enabled===undefined?true:allOptions.edges.smooth.enabled,type:type,roundness:allOptions.edges.smooth.roundness===undefined?.5:allOptions.edges.smooth.roundness,forceDirection:allOptions.edges.smooth.forceDirection===undefined?false:allOptions.edges.smooth.forceDirection}}}this.body.emitter.emit(\"_forceDisableDynamicCurves\",type)}return allOptions}},{key:\"seededRandom\",value:function seededRandom(){var x=Math.sin(this.randomSeed++)*1e4;return x-Math.floor(x)}},{key:\"positionInitially\",value:function positionInitially(nodesArray){if(this.options.hierarchical.enabled!==true){this.randomSeed=this.initialRandomSeed;for(var i=0;i<nodesArray.length;i++){var node=nodesArray[i];var radius=10*.1*nodesArray.length+10;var angle=2*Math.PI*this.seededRandom();if(node.x===undefined){node.x=radius*Math.cos(angle)}if(node.y===undefined){node.y=radius*Math.sin(angle)}}}}},{key:\"layoutNetwork\",value:function layoutNetwork(){if(this.options.hierarchical.enabled!==true&&this.options.improvedLayout===true){var positionDefined=0;for(var i=0;i<this.body.nodeIndices.length;i++){var node=this.body.nodes[this.body.nodeIndices[i]];if(node.predefinedPosition===true){positionDefined+=1}}if(positionDefined<.5*this.body.nodeIndices.length){var MAX_LEVELS=10;var level=0;var clusterThreshold=100;if(this.body.nodeIndices.length>clusterThreshold){var startLength=this.body.nodeIndices.length;while(this.body.nodeIndices.length>clusterThreshold){level+=1;var before=this.body.nodeIndices.length;if(level%3===0){this.body.modules.clustering.clusterBridges()}else{this.body.modules.clustering.clusterOutliers()}var after=this.body.nodeIndices.length;if(before==after&&level%3!==0||level>MAX_LEVELS){this._declusterAll();this.body.emitter.emit(\"_layoutFailed\");console.info(\"This network could not be positioned by this version of the improved layout algorithm. Please disable improvedLayout for better performance.\");return}}this.body.modules.kamadaKawai.setOptions({springLength:Math.max(150,2*startLength)})}this.body.modules.kamadaKawai.solve(this.body.nodeIndices,this.body.edgeIndices,true);this._shiftToCenter();var offset=70;for(var _i=0;_i<this.body.nodeIndices.length;_i++){if(this.body.nodes[this.body.nodeIndices[_i]].predefinedPosition===false){this.body.nodes[this.body.nodeIndices[_i]].x+=(.5-this.seededRandom())*offset;this.body.nodes[this.body.nodeIndices[_i]].y+=(.5-this.seededRandom())*offset}}this._declusterAll();this.body.emitter.emit(\"_repositionBezierNodes\")}}}},{key:\"_shiftToCenter\",value:function _shiftToCenter(){var range=_NetworkUtil2[\"default\"].getRangeCore(this.body.nodes,this.body.nodeIndices);var center=_NetworkUtil2[\"default\"].findCenter(range);for(var i=0;i<this.body.nodeIndices.length;i++){this.body.nodes[this.body.nodeIndices[i]].x-=center.x;this.body.nodes[this.body.nodeIndices[i]].y-=center.y}}},{key:\"_declusterAll\",value:function _declusterAll(){var clustersPresent=true;while(clustersPresent===true){clustersPresent=false;for(var i=0;i<this.body.nodeIndices.length;i++){if(this.body.nodes[this.body.nodeIndices[i]].isCluster===true){clustersPresent=true;this.body.modules.clustering.openCluster(this.body.nodeIndices[i],{},false)}}if(clustersPresent===true){this.body.emitter.emit(\"_dataChanged\")}}}},{key:\"getSeed\",value:function getSeed(){return this.initialRandomSeed}},{key:\"setupHierarchicalLayout\",value:function setupHierarchicalLayout(){if(this.options.hierarchical.enabled===true&&this.body.nodeIndices.length>0){var node=void 0,nodeId=void 0;var definedLevel=false;var definedPositions=true;var undefinedLevel=false;this.hierarchicalLevels={};this.lastNodeOnLevel={};this.hierarchicalChildrenReference={};this.hierarchicalParentReference={};this.hierarchicalTrees={};this.treeIndex=-1;this.distributionOrdering={};this.distributionIndex={};this.distributionOrderingPresence={};for(nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){node=this.body.nodes[nodeId];if(node.options.x===undefined&&node.options.y===undefined){definedPositions=false}if(node.options.level!==undefined){definedLevel=true;this.hierarchicalLevels[nodeId]=node.options.level}else{undefinedLevel=true}}}if(undefinedLevel===true&&definedLevel===true){throw new Error(\"To use the hierarchical layout, nodes require either no predefined levels or levels have to be defined for all nodes.\")}else{if(undefinedLevel===true){if(this.options.hierarchical.sortMethod===\"hubsize\"){this._determineLevelsByHubsize()}else if(this.options.hierarchical.sortMethod===\"directed\"){this._determineLevelsDirected()}else if(this.options.hierarchical.sortMethod===\"custom\"){this._determineLevelsCustomCallback()}}for(var _nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(_nodeId)){if(this.hierarchicalLevels[_nodeId]===undefined){this.hierarchicalLevels[_nodeId]=0}}}var distribution=this._getDistribution();this._generateMap();this._placeNodesByHierarchy(distribution);this._condenseHierarchy();this._shiftToCenter()}}}},{key:\"_condenseHierarchy\",value:function _condenseHierarchy(){var _this2=this;var stillShifting=false;var branches={};var shiftTrees=function shiftTrees(){var treeSizes=getTreeSizes();var shiftBy=0;for(var i=0;i<treeSizes.length-1;i++){var diff=treeSizes[i].max-treeSizes[i+1].min;shiftBy+=diff+_this2.options.hierarchical.treeSpacing;shiftTree(i+1,shiftBy)}};var shiftTree=function shiftTree(index,offset){for(var nodeId in _this2.hierarchicalTrees){if(_this2.hierarchicalTrees.hasOwnProperty(nodeId)){if(_this2.hierarchicalTrees[nodeId]===index){var node=_this2.body.nodes[nodeId];var pos=_this2._getPositionForHierarchy(node);_this2._setPositionForHierarchy(node,pos+offset,undefined,true)}}}};var getTreeSize=function getTreeSize(index){var min=1e9;var max=-1e9;for(var nodeId in _this2.hierarchicalTrees){if(_this2.hierarchicalTrees.hasOwnProperty(nodeId)){if(_this2.hierarchicalTrees[nodeId]===index){var pos=_this2._getPositionForHierarchy(_this2.body.nodes[nodeId]);min=Math.min(pos,min);max=Math.max(pos,max)}}}return{min:min,max:max}};var getTreeSizes=function getTreeSizes(){var treeWidths=[];for(var i=0;i<=_this2.treeIndex;i++){treeWidths.push(getTreeSize(i))}return treeWidths};var getBranchNodes=function getBranchNodes(source,map){if(map[source.id]){return}map[source.id]=true;if(_this2.hierarchicalChildrenReference[source.id]){var children=_this2.hierarchicalChildrenReference[source.id];if(children.length>0){for(var i=0;i<children.length;i++){getBranchNodes(_this2.body.nodes[children[i]],map)}}}};var getBranchBoundary=function getBranchBoundary(branchMap){var maxLevel=arguments.length>1&&arguments[1]!==undefined?arguments[1]:1e9;var minSpace=1e9;var maxSpace=1e9;var min=1e9;var max=-1e9;for(var branchNode in branchMap){if(branchMap.hasOwnProperty(branchNode)){var node=_this2.body.nodes[branchNode];var level=_this2.hierarchicalLevels[node.id];var position=_this2._getPositionForHierarchy(node);var _getSpaceAroundNode2=_this2._getSpaceAroundNode(node,branchMap),_getSpaceAroundNode3=(0,_slicedToArray3[\"default\"])(_getSpaceAroundNode2,2),minSpaceNode=_getSpaceAroundNode3[0],maxSpaceNode=_getSpaceAroundNode3[1];minSpace=Math.min(minSpaceNode,minSpace);maxSpace=Math.min(maxSpaceNode,maxSpace);if(level<=maxLevel){min=Math.min(position,min);max=Math.max(position,max)}}}return[min,max,minSpace,maxSpace]};var getMaxLevel=function getMaxLevel(nodeId){var accumulator={};var _getMaxLevel=function _getMaxLevel(nodeId){if(accumulator[nodeId]!==undefined){return accumulator[nodeId]}var level=_this2.hierarchicalLevels[nodeId];if(_this2.hierarchicalChildrenReference[nodeId]){var children=_this2.hierarchicalChildrenReference[nodeId];if(children.length>0){for(var i=0;i<children.length;i++){level=Math.max(level,_getMaxLevel(children[i]))}}}accumulator[nodeId]=level;return level};return _getMaxLevel(nodeId)};var getCollisionLevel=function getCollisionLevel(node1,node2){var maxLevel1=getMaxLevel(node1.id);var maxLevel2=getMaxLevel(node2.id);return Math.min(maxLevel1,maxLevel2)};var hasSameParent=function hasSameParent(node1,node2){var parents1=_this2.hierarchicalParentReference[node1.id];var parents2=_this2.hierarchicalParentReference[node2.id];if(parents1===undefined||parents2===undefined){return false}for(var i=0;i<parents1.length;i++){for(var j=0;j<parents2.length;j++){if(parents1[i]==parents2[j]){return true}}}return false};var shiftElementsCloser=function shiftElementsCloser(callback,levels,centerParents){for(var i=0;i<levels.length;i++){var level=levels[i];var levelNodes=_this2.distributionOrdering[level];if(levelNodes.length>1){for(var j=0;j<levelNodes.length-1;j++){if(hasSameParent(levelNodes[j],levelNodes[j+1])===true){if(_this2.hierarchicalTrees[levelNodes[j].id]===_this2.hierarchicalTrees[levelNodes[j+1].id]){callback(levelNodes[j],levelNodes[j+1],centerParents)}}}}}};var branchShiftCallback=function branchShiftCallback(node1,node2){var centerParent=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var pos1=_this2._getPositionForHierarchy(node1);var pos2=_this2._getPositionForHierarchy(node2);var diffAbs=Math.abs(pos2-pos1);if(diffAbs>_this2.options.hierarchical.nodeSpacing){var branchNodes1={};var branchNodes2={};getBranchNodes(node1,branchNodes1);getBranchNodes(node2,branchNodes2);var maxLevel=getCollisionLevel(node1,node2);var _getBranchBoundary=getBranchBoundary(branchNodes1,maxLevel),_getBranchBoundary2=(0,_slicedToArray3[\"default\"])(_getBranchBoundary,4),min1=_getBranchBoundary2[0],max1=_getBranchBoundary2[1],minSpace1=_getBranchBoundary2[2],maxSpace1=_getBranchBoundary2[3];var _getBranchBoundary3=getBranchBoundary(branchNodes2,maxLevel),_getBranchBoundary4=(0,_slicedToArray3[\"default\"])(_getBranchBoundary3,4),min2=_getBranchBoundary4[0],max2=_getBranchBoundary4[1],minSpace2=_getBranchBoundary4[2],maxSpace2=_getBranchBoundary4[3];var diffBranch=Math.abs(max1-min2);if(diffBranch>_this2.options.hierarchical.nodeSpacing){var offset=max1-min2+_this2.options.hierarchical.nodeSpacing;if(offset<-minSpace2+_this2.options.hierarchical.nodeSpacing){offset=-minSpace2+_this2.options.hierarchical.nodeSpacing}if(offset<0){_this2._shiftBlock(node2.id,offset);stillShifting=true;if(centerParent===true)_this2._centerParent(node2)}}}};var minimizeEdgeLength=function minimizeEdgeLength(iterations,node){var nodeId=node.id;var allEdges=node.edges;var nodeLevel=_this2.hierarchicalLevels[node.id];var C2=_this2.options.hierarchical.levelSeparation*_this2.options.hierarchical.levelSeparation;var referenceNodes={};var aboveEdges=[];for(var i=0;i<allEdges.length;i++){var edge=allEdges[i];if(edge.toId!=edge.fromId){var otherNode=edge.toId==nodeId?edge.from:edge.to;referenceNodes[allEdges[i].id]=otherNode;if(_this2.hierarchicalLevels[otherNode.id]<nodeLevel){aboveEdges.push(edge)}}}var getFx=function getFx(point,edges){var sum=0;for(var _i2=0;_i2<edges.length;_i2++){if(referenceNodes[edges[_i2].id]!==undefined){var a=_this2._getPositionForHierarchy(referenceNodes[edges[_i2].id])-point;sum+=a/Math.sqrt(a*a+C2)}}return sum};var getDFx=function getDFx(point,edges){var sum=0;for(var _i3=0;_i3<edges.length;_i3++){if(referenceNodes[edges[_i3].id]!==undefined){var a=_this2._getPositionForHierarchy(referenceNodes[edges[_i3].id])-point;sum-=C2*Math.pow(a*a+C2,-1.5)}}return sum};var getGuess=function getGuess(iterations,edges){var guess=_this2._getPositionForHierarchy(node);var guessMap={};for(var _i4=0;_i4<iterations;_i4++){var fx=getFx(guess,edges);var dfx=getDFx(guess,edges);var limit=40;var ratio=Math.max(-limit,Math.min(limit,Math.round(fx/dfx)));guess=guess-ratio;if(guessMap[guess]!==undefined){break}guessMap[guess]=_i4}return guess};var moveBranch=function moveBranch(guess){var nodePosition=_this2._getPositionForHierarchy(node);if(branches[node.id]===undefined){var branchNodes={};getBranchNodes(node,branchNodes);branches[node.id]=branchNodes}var _getBranchBoundary5=getBranchBoundary(branches[node.id]),_getBranchBoundary6=(0,_slicedToArray3[\"default\"])(_getBranchBoundary5,4),minBranch=_getBranchBoundary6[0],maxBranch=_getBranchBoundary6[1],minSpaceBranch=_getBranchBoundary6[2],maxSpaceBranch=_getBranchBoundary6[3];var diff=guess-nodePosition;var branchOffset=0;if(diff>0){branchOffset=Math.min(diff,maxSpaceBranch-_this2.options.hierarchical.nodeSpacing)}else if(diff<0){branchOffset=-Math.min(-diff,minSpaceBranch-_this2.options.hierarchical.nodeSpacing)}if(branchOffset!=0){_this2._shiftBlock(node.id,branchOffset);stillShifting=true}};var moveNode=function moveNode(guess){var nodePosition=_this2._getPositionForHierarchy(node);var _getSpaceAroundNode4=_this2._getSpaceAroundNode(node),_getSpaceAroundNode5=(0,_slicedToArray3[\"default\"])(_getSpaceAroundNode4,2),minSpace=_getSpaceAroundNode5[0],maxSpace=_getSpaceAroundNode5[1];var diff=guess-nodePosition;var newPosition=nodePosition;if(diff>0){newPosition=Math.min(nodePosition+(maxSpace-_this2.options.hierarchical.nodeSpacing),guess)}else if(diff<0){newPosition=Math.max(nodePosition-(minSpace-_this2.options.hierarchical.nodeSpacing),guess)}if(newPosition!==nodePosition){_this2._setPositionForHierarchy(node,newPosition,undefined,true);stillShifting=true}};var guess=getGuess(iterations,aboveEdges);moveBranch(guess);guess=getGuess(iterations,allEdges);moveNode(guess)};var minimizeEdgeLengthBottomUp=function minimizeEdgeLengthBottomUp(iterations){var levels=(0,_keys2[\"default\"])(_this2.distributionOrdering);levels=levels.reverse();for(var i=0;i<iterations;i++){stillShifting=false;for(var j=0;j<levels.length;j++){var level=levels[j];var levelNodes=_this2.distributionOrdering[level];for(var k=0;k<levelNodes.length;k++){minimizeEdgeLength(1e3,levelNodes[k])}}if(stillShifting!==true){break}}};var shiftBranchesCloserBottomUp=function shiftBranchesCloserBottomUp(iterations){var levels=(0,_keys2[\"default\"])(_this2.distributionOrdering);levels=levels.reverse();for(var i=0;i<iterations;i++){stillShifting=false;shiftElementsCloser(branchShiftCallback,levels,true);if(stillShifting!==true){break}}};var centerAllParents=function centerAllParents(){for(var nodeId in _this2.body.nodes){if(_this2.body.nodes.hasOwnProperty(nodeId))_this2._centerParent(_this2.body.nodes[nodeId])}};var centerAllParentsBottomUp=function centerAllParentsBottomUp(){var levels=(0,_keys2[\"default\"])(_this2.distributionOrdering);levels=levels.reverse();for(var i=0;i<levels.length;i++){var level=levels[i];var levelNodes=_this2.distributionOrdering[level];for(var j=0;j<levelNodes.length;j++){_this2._centerParent(levelNodes[j])}}};if(this.options.hierarchical.blockShifting===true){shiftBranchesCloserBottomUp(5);centerAllParents()}if(this.options.hierarchical.edgeMinimization===true){minimizeEdgeLengthBottomUp(20)}if(this.options.hierarchical.parentCentralization===true){centerAllParentsBottomUp()}shiftTrees()}},{key:\"_getSpaceAroundNode\",value:function _getSpaceAroundNode(node,map){var useMap=true;if(map===undefined){useMap=false}var level=this.hierarchicalLevels[node.id];if(level!==undefined){var index=this.distributionIndex[node.id];var position=this._getPositionForHierarchy(node);var minSpace=1e9;var maxSpace=1e9;if(index!==0){var prevNode=this.distributionOrdering[level][index-1];if(useMap===true&&map[prevNode.id]===undefined||useMap===false){var prevPos=this._getPositionForHierarchy(prevNode);minSpace=position-prevPos}}if(index!=this.distributionOrdering[level].length-1){var nextNode=this.distributionOrdering[level][index+1];if(useMap===true&&map[nextNode.id]===undefined||useMap===false){var nextPos=this._getPositionForHierarchy(nextNode);maxSpace=Math.min(maxSpace,nextPos-position)}}return[minSpace,maxSpace]}else{return[0,0]}}},{key:\"_centerParent\",value:function _centerParent(node){if(this.hierarchicalParentReference[node.id]){var parents=this.hierarchicalParentReference[node.id];for(var i=0;i<parents.length;i++){var parentId=parents[i];var parentNode=this.body.nodes[parentId];if(this.hierarchicalChildrenReference[parentId]){var minPos=1e9;var maxPos=-1e9;var children=this.hierarchicalChildrenReference[parentId];if(children.length>0){for(var _i5=0;_i5<children.length;_i5++){var childNode=this.body.nodes[children[_i5]];minPos=Math.min(minPos,this._getPositionForHierarchy(childNode));maxPos=Math.max(maxPos,this._getPositionForHierarchy(childNode))}}var position=this._getPositionForHierarchy(parentNode);var _getSpaceAroundNode6=this._getSpaceAroundNode(parentNode),_getSpaceAroundNode7=(0,_slicedToArray3[\"default\"])(_getSpaceAroundNode6,2),minSpace=_getSpaceAroundNode7[0],maxSpace=_getSpaceAroundNode7[1];var newPosition=.5*(minPos+maxPos);var diff=position-newPosition;if(diff<0&&Math.abs(diff)<maxSpace-this.options.hierarchical.nodeSpacing||diff>0&&Math.abs(diff)<minSpace-this.options.hierarchical.nodeSpacing){this._setPositionForHierarchy(parentNode,newPosition,undefined,true)}}}}}},{key:\"_placeNodesByHierarchy\",value:function _placeNodesByHierarchy(distribution){this.positionedNodes={};for(var level in distribution){if(distribution.hasOwnProperty(level)){var nodeArray=(0,_keys2[\"default\"])(distribution[level]);nodeArray=this._indexArrayToNodes(nodeArray);this._sortNodeArray(nodeArray);var handledNodeCount=0;for(var i=0;i<nodeArray.length;i++){var node=nodeArray[i];if(this.positionedNodes[node.id]===undefined){var pos=this.options.hierarchical.nodeSpacing*handledNodeCount;if(handledNodeCount>0){pos=this._getPositionForHierarchy(nodeArray[i-1])+this.options.hierarchical.nodeSpacing}this._setPositionForHierarchy(node,pos,level);this._validataPositionAndContinue(node,level,pos);handledNodeCount++}}}}}},{key:\"_placeBranchNodes\",value:function _placeBranchNodes(parentId,parentLevel){if(this.hierarchicalChildrenReference[parentId]===undefined){return}var childNodes=[];for(var i=0;i<this.hierarchicalChildrenReference[parentId].length;i++){childNodes.push(this.body.nodes[this.hierarchicalChildrenReference[parentId][i]])}this._sortNodeArray(childNodes);for(var _i6=0;_i6<childNodes.length;_i6++){var childNode=childNodes[_i6];var childNodeLevel=this.hierarchicalLevels[childNode.id];if(childNodeLevel>parentLevel&&this.positionedNodes[childNode.id]===undefined){var pos=void 0;if(_i6===0){pos=this._getPositionForHierarchy(this.body.nodes[parentId])}else{pos=this._getPositionForHierarchy(childNodes[_i6-1])+this.options.hierarchical.nodeSpacing}this._setPositionForHierarchy(childNode,pos,childNodeLevel);this._validataPositionAndContinue(childNode,childNodeLevel,pos)}else{return}}var minPos=1e9;var maxPos=-1e9;for(var _i7=0;_i7<childNodes.length;_i7++){var childNodeId=childNodes[_i7].id;minPos=Math.min(minPos,this._getPositionForHierarchy(this.body.nodes[childNodeId]));maxPos=Math.max(maxPos,this._getPositionForHierarchy(this.body.nodes[childNodeId]))}this._setPositionForHierarchy(this.body.nodes[parentId],.5*(minPos+maxPos),parentLevel)}},{key:\"_validataPositionAndContinue\",value:function _validataPositionAndContinue(node,level,pos){if(this.lastNodeOnLevel[level]!==undefined){var previousPos=this._getPositionForHierarchy(this.body.nodes[this.lastNodeOnLevel[level]]);if(pos-previousPos<this.options.hierarchical.nodeSpacing){var diff=previousPos+this.options.hierarchical.nodeSpacing-pos;var sharedParent=this._findCommonParent(this.lastNodeOnLevel[level],node.id);this._shiftBlock(sharedParent.withChild,diff)}}this.lastNodeOnLevel[level]=node.id;this.positionedNodes[node.id]=true;this._placeBranchNodes(node.id,level)}},{key:\"_indexArrayToNodes\",value:function _indexArrayToNodes(idArray){var array=[];for(var i=0;i<idArray.length;i++){array.push(this.body.nodes[idArray[i]])}return array}},{key:\"_getDistribution\",value:function _getDistribution(){var distribution={};var nodeId=void 0,node=void 0;for(nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){node=this.body.nodes[nodeId];var level=this.hierarchicalLevels[nodeId]===undefined?0:this.hierarchicalLevels[nodeId];if(this.options.hierarchical.direction===\"UD\"||this.options.hierarchical.direction===\"DU\"){node.y=this.options.hierarchical.levelSeparation*level;node.options.fixed.y=true}else{node.x=this.options.hierarchical.levelSeparation*level;node.options.fixed.x=true}if(distribution[level]===undefined){distribution[level]={}}distribution[level][nodeId]=node}}return distribution}},{key:\"_getHubSize\",value:function _getHubSize(){var hubSize=0;for(var nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){var node=this.body.nodes[nodeId];if(this.hierarchicalLevels[nodeId]===undefined){hubSize=node.edges.length<hubSize?hubSize:node.edges.length}}}return hubSize}},{key:\"_determineLevelsByHubsize\",value:function _determineLevelsByHubsize(){var _this3=this;var hubSize=1;var levelDownstream=function levelDownstream(nodeA,nodeB){if(_this3.hierarchicalLevels[nodeB.id]===undefined){if(_this3.hierarchicalLevels[nodeA.id]===undefined){_this3.hierarchicalLevels[nodeA.id]=0}_this3.hierarchicalLevels[nodeB.id]=_this3.hierarchicalLevels[nodeA.id]+1}};while(hubSize>0){hubSize=this._getHubSize();if(hubSize===0)break;for(var nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){var node=this.body.nodes[nodeId];if(node.edges.length===hubSize){this._crawlNetwork(levelDownstream,nodeId)}}}}}},{key:\"_determineLevelsCustomCallback\",value:function _determineLevelsCustomCallback(){var _this4=this;var minLevel=1e5;var customCallback=function customCallback(nodeA,nodeB,edge){};var levelByDirection=function levelByDirection(nodeA,nodeB,edge){var levelA=_this4.hierarchicalLevels[nodeA.id];if(levelA===undefined){_this4.hierarchicalLevels[nodeA.id]=minLevel}var diff=customCallback(_NetworkUtil2[\"default\"].cloneOptions(nodeA,\"node\"),_NetworkUtil2[\"default\"].cloneOptions(nodeB,\"node\"),_NetworkUtil2[\"default\"].cloneOptions(edge,\"edge\"));_this4.hierarchicalLevels[nodeB.id]=_this4.hierarchicalLevels[nodeA.id]+diff};this._crawlNetwork(levelByDirection);this._setMinLevelToZero()}},{key:\"_determineLevelsDirected\",value:function _determineLevelsDirected(){var _this5=this;var minLevel=1e4;var levelByDirection=function levelByDirection(nodeA,nodeB,edge){var levelA=_this5.hierarchicalLevels[nodeA.id];if(levelA===undefined){_this5.hierarchicalLevels[nodeA.id]=minLevel}if(edge.toId==nodeB.id){_this5.hierarchicalLevels[nodeB.id]=_this5.hierarchicalLevels[nodeA.id]+1}else{_this5.hierarchicalLevels[nodeB.id]=_this5.hierarchicalLevels[nodeA.id]-1}};this._crawlNetwork(levelByDirection);this._setMinLevelToZero()}},{key:\"_setMinLevelToZero\",value:function _setMinLevelToZero(){var minLevel=1e9;for(var nodeId in this.body.nodes){if(this.body.nodes.hasOwnProperty(nodeId)){if(this.hierarchicalLevels[nodeId]!==undefined){minLevel=Math.min(this.hierarchicalLevels[nodeId],minLevel)}}}for(var _nodeId2 in this.body.nodes){if(this.body.nodes.hasOwnProperty(_nodeId2)){if(this.hierarchicalLevels[_nodeId2]!==undefined){this.hierarchicalLevels[_nodeId2]-=minLevel}}}}},{key:\"_generateMap\",value:function _generateMap(){var _this6=this;var fillInRelations=function fillInRelations(parentNode,childNode){if(_this6.hierarchicalLevels[childNode.id]>_this6.hierarchicalLevels[parentNode.id]){var parentNodeId=parentNode.id;var childNodeId=childNode.id;if(_this6.hierarchicalChildrenReference[parentNodeId]===undefined){_this6.hierarchicalChildrenReference[parentNodeId]=[]}_this6.hierarchicalChildrenReference[parentNodeId].push(childNodeId);if(_this6.hierarchicalParentReference[childNodeId]===undefined){_this6.hierarchicalParentReference[childNodeId]=[]}_this6.hierarchicalParentReference[childNodeId].push(parentNodeId)}};this._crawlNetwork(fillInRelations)}},{key:\"_crawlNetwork\",value:function _crawlNetwork(){var _this7=this;var callback=arguments.length>0&&arguments[0]!==undefined?arguments[0]:function(){};var startingNodeId=arguments[1];var progress={};var treeIndex=0;var crawler=function crawler(node,tree){if(progress[node.id]===undefined){if(_this7.hierarchicalTrees[node.id]===undefined){_this7.hierarchicalTrees[node.id]=tree;_this7.treeIndex=Math.max(tree,_this7.treeIndex)}progress[node.id]=true;var childNode=void 0;for(var i=0;i<node.edges.length;i++){if(node.edges[i].connected===true){if(node.edges[i].toId===node.id){childNode=node.edges[i].from}else{childNode=node.edges[i].to}if(node.id!==childNode.id){callback(node,childNode,node.edges[i]);crawler(childNode,tree)}}}}};if(startingNodeId===undefined){for(var i=0;i<this.body.nodeIndices.length;i++){var node=this.body.nodes[this.body.nodeIndices[i]];if(progress[node.id]===undefined){crawler(node,treeIndex);treeIndex+=1}}}else{var _node=this.body.nodes[startingNodeId];if(_node===undefined){console.error(\"Node not found:\",startingNodeId);return}crawler(_node)}}},{key:\"_shiftBlock\",value:function _shiftBlock(parentId,diff){var _this8=this;var progress={};var shifter=function shifter(parentId){if(progress[parentId]){return}progress[parentId]=true;if(_this8.options.hierarchical.direction===\"UD\"||_this8.options.hierarchical.direction===\"DU\"){_this8.body.nodes[parentId].x+=diff}else{_this8.body.nodes[parentId].y+=diff}if(_this8.hierarchicalChildrenReference[parentId]!==undefined){for(var i=0;i<_this8.hierarchicalChildrenReference[parentId].length;i++){shifter(_this8.hierarchicalChildrenReference[parentId][i])}}};shifter(parentId)}},{key:\"_findCommonParent\",value:function _findCommonParent(childA,childB){var _this9=this;var parents={};var iterateParents=function iterateParents(parents,child){if(_this9.hierarchicalParentReference[child]!==undefined){for(var i=0;i<_this9.hierarchicalParentReference[child].length;i++){var parent=_this9.hierarchicalParentReference[child][i];parents[parent]=true;iterateParents(parents,parent)}}};var findParent=function findParent(parents,child){if(_this9.hierarchicalParentReference[child]!==undefined){for(var i=0;i<_this9.hierarchicalParentReference[child].length;i++){var parent=_this9.hierarchicalParentReference[child][i];if(parents[parent]!==undefined){return{foundParent:parent,withChild:child}}var branch=findParent(parents,parent);if(branch.foundParent!==null){return branch}}}return{foundParent:null,withChild:child}};iterateParents(parents,childA);return findParent(parents,childB)}},{key:\"_setPositionForHierarchy\",value:function _setPositionForHierarchy(node,position,level){var doNotUpdate=arguments.length>3&&arguments[3]!==undefined?arguments[3]:false;if(doNotUpdate!==true){if(this.distributionOrdering[level]===undefined){this.distributionOrdering[level]=[];this.distributionOrderingPresence[level]={}}if(this.distributionOrderingPresence[level][node.id]===undefined){this.distributionOrdering[level].push(node);this.distributionIndex[node.id]=this.distributionOrdering[level].length-1}this.distributionOrderingPresence[level][node.id]=true}if(this.options.hierarchical.direction===\"UD\"||this.options.hierarchical.direction===\"DU\"){node.x=position}else{node.y=position}}},{key:\"_getPositionForHierarchy\",value:function _getPositionForHierarchy(node){if(this.options.hierarchical.direction===\"UD\"||this.options.hierarchical.direction===\"DU\"){return node.x}else{return node.y}}},{key:\"_sortNodeArray\",value:function _sortNodeArray(nodeArray){if(nodeArray.length>1){if(this.options.hierarchical.direction===\"UD\"||this.options.hierarchical.direction===\"DU\"){nodeArray.sort(function(a,b){return a.x-b.x})}else{nodeArray.sort(function(a,b){return a.y-b.y})}}}}]);return LayoutEngine}();exports[\"default\"]=LayoutEngine},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _keys=__webpack_require__(58);var _keys2=_interopRequireDefault(_keys);var _stringify=__webpack_require__(90);var _stringify2=_interopRequireDefault(_stringify);var _typeof2=__webpack_require__(62);var _typeof3=_interopRequireDefault(_typeof2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var util=__webpack_require__(1);var Hammer=__webpack_require__(112);var hammerUtil=__webpack_require__(125);var ManipulationSystem=function(){function ManipulationSystem(body,canvas,selectionHandler){var _this=this;(0,_classCallCheck3[\"default\"])(this,ManipulationSystem);this.body=body;this.canvas=canvas;this.selectionHandler=selectionHandler;this.editMode=false;this.manipulationDiv=undefined;this.editModeDiv=undefined;this.closeDiv=undefined;this.manipulationHammers=[];this.temporaryUIFunctions={};this.temporaryEventFunctions=[];this.touchTime=0;this.temporaryIds={nodes:[],edges:[]};this.guiEnabled=false;this.inMode=false;this.selectedControlNode=undefined;this.options={};this.defaultOptions={enabled:false,initiallyActive:false,addNode:true,addEdge:true,editNode:undefined,editEdge:true,deleteNode:true,deleteEdge:true,controlNodeStyle:{shape:\"dot\",size:6,color:{background:\"#ff0000\",border:\"#3c3c3c\",highlight:{background:\"#07f968\",border:\"#3c3c3c\"}},borderWidth:2,borderWidthSelected:2}};util.extend(this.options,this.defaultOptions);this.body.emitter.on(\"destroy\",function(){_this._clean()});this.body.emitter.on(\"_dataChanged\",this._restore.bind(this));this.body.emitter.on(\"_resetData\",this._restore.bind(this))}(0,_createClass3[\"default\"])(ManipulationSystem,[{key:\"_restore\",value:function _restore(){if(this.inMode!==false){if(this.options.initiallyActive===true){this.enableEditMode()}else{this.disableEditMode()}}}},{key:\"setOptions\",value:function setOptions(options,allOptions,globalOptions){if(allOptions!==undefined){if(allOptions.locale!==undefined){this.options.locale=allOptions.locale}else{this.options.locale=globalOptions.locale}if(allOptions.locales!==undefined){this.options.locales=allOptions.locales}else{this.options.locales=globalOptions.locales}}if(options!==undefined){if(typeof options===\"boolean\"){this.options.enabled=options}else{this.options.enabled=true;util.deepExtend(this.options,options)}if(this.options.initiallyActive===true){this.editMode=true}this._setup()}}},{key:\"toggleEditMode\",value:function toggleEditMode(){if(this.editMode===true){this.disableEditMode()}else{this.enableEditMode()}}},{key:\"enableEditMode\",value:function enableEditMode(){this.editMode=true;this._clean();if(this.guiEnabled===true){this.manipulationDiv.style.display=\"block\";this.closeDiv.style.display=\"block\";this.editModeDiv.style.display=\"none\";this.showManipulatorToolbar()}}},{key:\"disableEditMode\",value:function disableEditMode(){this.editMode=false;this._clean();if(this.guiEnabled===true){this.manipulationDiv.style.display=\"none\";this.closeDiv.style.display=\"none\";this.editModeDiv.style.display=\"block\";this._createEditButton()}}},{key:\"showManipulatorToolbar\",value:function showManipulatorToolbar(){this._clean();this.manipulationDOM={};if(this.guiEnabled===true){this.editMode=true;this.manipulationDiv.style.display=\"block\";this.closeDiv.style.display=\"block\";var selectedNodeCount=this.selectionHandler._getSelectedNodeCount();var selectedEdgeCount=this.selectionHandler._getSelectedEdgeCount();var selectedTotalCount=selectedNodeCount+selectedEdgeCount;var locale=this.options.locales[this.options.locale];var needSeperator=false;if(this.options.addNode!==false){this._createAddNodeButton(locale);needSeperator=true}if(this.options.addEdge!==false){if(needSeperator===true){this._createSeperator(1)}else{needSeperator=true}this._createAddEdgeButton(locale)}if(selectedNodeCount===1&&typeof this.options.editNode===\"function\"){if(needSeperator===true){this._createSeperator(2)}else{needSeperator=true}this._createEditNodeButton(locale)}else if(selectedEdgeCount===1&&selectedNodeCount===0&&this.options.editEdge!==false){if(needSeperator===true){this._createSeperator(3)}else{needSeperator=true}this._createEditEdgeButton(locale)}if(selectedTotalCount!==0){if(selectedNodeCount>0&&this.options.deleteNode!==false){if(needSeperator===true){this._createSeperator(4)}this._createDeleteButton(locale)}else if(selectedNodeCount===0&&this.options.deleteEdge!==false){if(needSeperator===true){this._createSeperator(4)}this._createDeleteButton(locale)}}this._bindHammerToDiv(this.closeDiv,this.toggleEditMode.bind(this));this._temporaryBindEvent(\"select\",this.showManipulatorToolbar.bind(this))}this.body.emitter.emit(\"_redraw\")}},{key:\"addNodeMode\",value:function addNodeMode(){if(this.editMode!==true){this.enableEditMode()}this._clean();this.inMode=\"addNode\";if(this.guiEnabled===true){var locale=this.options.locales[this.options.locale];this.manipulationDOM={};this._createBackButton(locale);this._createSeperator();this._createDescription(locale[\"addDescription\"]||this.options.locales[\"en\"][\"addDescription\"]);this._bindHammerToDiv(this.closeDiv,this.toggleEditMode.bind(this))}this._temporaryBindEvent(\"click\",this._performAddNode.bind(this))}},{key:\"editNode\",value:function editNode(){var _this2=this;if(this.editMode!==true){this.enableEditMode()}this._clean();var node=this.selectionHandler._getSelectedNode();if(node!==undefined){this.inMode=\"editNode\";if(typeof this.options.editNode===\"function\"){if(node.isCluster!==true){var data=util.deepExtend({},node.options,false);data.x=node.x;data.y=node.y;if(this.options.editNode.length===2){this.options.editNode(data,function(finalizedData){if(finalizedData!==null&&finalizedData!==undefined&&_this2.inMode===\"editNode\"){_this2.body.data.nodes.getDataSet().update(finalizedData)}_this2.showManipulatorToolbar()})}else{throw new Error(\"The function for edit does not support two arguments (data, callback)\")}}else{alert(this.options.locales[this.options.locale][\"editClusterError\"]||this.options.locales[\"en\"][\"editClusterError\"])}}else{throw new Error(\"No function has been configured to handle the editing of nodes.\")}}else{this.showManipulatorToolbar()}}},{key:\"addEdgeMode\",value:function addEdgeMode(){if(this.editMode!==true){this.enableEditMode()}this._clean();this.inMode=\"addEdge\";if(this.guiEnabled===true){var locale=this.options.locales[this.options.locale];this.manipulationDOM={};this._createBackButton(locale);this._createSeperator();this._createDescription(locale[\"edgeDescription\"]||this.options.locales[\"en\"][\"edgeDescription\"]);this._bindHammerToDiv(this.closeDiv,this.toggleEditMode.bind(this))}this._temporaryBindUI(\"onTouch\",this._handleConnect.bind(this));this._temporaryBindUI(\"onDragEnd\",this._finishConnect.bind(this));this._temporaryBindUI(\"onDrag\",this._dragControlNode.bind(this));this._temporaryBindUI(\"onRelease\",this._finishConnect.bind(this));this._temporaryBindUI(\"onDragStart\",function(){});this._temporaryBindUI(\"onHold\",function(){})}},{key:\"editEdgeMode\",value:function editEdgeMode(){if(this.editMode!==true){this.enableEditMode()}this._clean();this.inMode=\"editEdge\";if((0,_typeof3[\"default\"])(this.options.editEdge)===\"object\"&&typeof this.options.editEdge.editWithoutDrag===\"function\"){this.edgeBeingEditedId=this.selectionHandler.getSelectedEdges()[0];if(this.edgeBeingEditedId!==undefined){var edge=this.body.edges[this.edgeBeingEditedId];this._performEditEdge(edge.from,edge.to);return}}if(this.guiEnabled===true){var locale=this.options.locales[this.options.locale];this.manipulationDOM={};this._createBackButton(locale);this._createSeperator();this._createDescription(locale[\"editEdgeDescription\"]||this.options.locales[\"en\"][\"editEdgeDescription\"]);this._bindHammerToDiv(this.closeDiv,this.toggleEditMode.bind(this))}this.edgeBeingEditedId=this.selectionHandler.getSelectedEdges()[0];if(this.edgeBeingEditedId!==undefined){var _edge=this.body.edges[this.edgeBeingEditedId];var controlNodeFrom=this._getNewTargetNode(_edge.from.x,_edge.from.y);var controlNodeTo=this._getNewTargetNode(_edge.to.x,_edge.to.y);this.temporaryIds.nodes.push(controlNodeFrom.id);this.temporaryIds.nodes.push(controlNodeTo.id);this.body.nodes[controlNodeFrom.id]=controlNodeFrom;this.body.nodeIndices.push(controlNodeFrom.id);this.body.nodes[controlNodeTo.id]=controlNodeTo;this.body.nodeIndices.push(controlNodeTo.id);this._temporaryBindUI(\"onTouch\",this._controlNodeTouch.bind(this));this._temporaryBindUI(\"onTap\",function(){});this._temporaryBindUI(\"onHold\",function(){});this._temporaryBindUI(\"onDragStart\",this._controlNodeDragStart.bind(this));this._temporaryBindUI(\"onDrag\",this._controlNodeDrag.bind(this));this._temporaryBindUI(\"onDragEnd\",this._controlNodeDragEnd.bind(this));this._temporaryBindUI(\"onMouseMove\",function(){});this._temporaryBindEvent(\"beforeDrawing\",function(ctx){var positions=_edge.edgeType.findBorderPositions(ctx);if(controlNodeFrom.selected===false){controlNodeFrom.x=positions.from.x;controlNodeFrom.y=positions.from.y}if(controlNodeTo.selected===false){controlNodeTo.x=positions.to.x;controlNodeTo.y=positions.to.y}});this.body.emitter.emit(\"_redraw\")}else{this.showManipulatorToolbar()}}},{key:\"deleteSelected\",value:function deleteSelected(){var _this3=this;if(this.editMode!==true){this.enableEditMode()}this._clean();this.inMode=\"delete\";var selectedNodes=this.selectionHandler.getSelectedNodes();var selectedEdges=this.selectionHandler.getSelectedEdges();var deleteFunction=undefined;if(selectedNodes.length>0){for(var i=0;i<selectedNodes.length;i++){if(this.body.nodes[selectedNodes[i]].isCluster===true){alert(this.options.locales[this.options.locale][\"deleteClusterError\"]||this.options.locales[\"en\"][\"deleteClusterError\"]);return}}if(typeof this.options.deleteNode===\"function\"){deleteFunction=this.options.deleteNode}}else if(selectedEdges.length>0){if(typeof this.options.deleteEdge===\"function\"){deleteFunction=this.options.deleteEdge}}if(typeof deleteFunction===\"function\"){var data={nodes:selectedNodes,edges:selectedEdges};if(deleteFunction.length===2){deleteFunction(data,function(finalizedData){if(finalizedData!==null&&finalizedData!==undefined&&_this3.inMode===\"delete\"){_this3.body.data.edges.getDataSet().remove(finalizedData.edges);_this3.body.data.nodes.getDataSet().remove(finalizedData.nodes);_this3.body.emitter.emit(\"startSimulation\");_this3.showManipulatorToolbar()}else{_this3.body.emitter.emit(\"startSimulation\");_this3.showManipulatorToolbar()}})}else{throw new Error(\"The function for delete does not support two arguments (data, callback)\")}}else{this.body.data.edges.getDataSet().remove(selectedEdges);this.body.data.nodes.getDataSet().remove(selectedNodes);this.body.emitter.emit(\"startSimulation\");this.showManipulatorToolbar()}}},{key:\"_setup\",value:function _setup(){if(this.options.enabled===true){this.guiEnabled=true;this._createWrappers();if(this.editMode===false){this._createEditButton()}else{this.showManipulatorToolbar()}}else{this._removeManipulationDOM();this.guiEnabled=false}}},{key:\"_createWrappers\",value:function _createWrappers(){if(this.manipulationDiv===undefined){this.manipulationDiv=document.createElement(\"div\");this.manipulationDiv.className=\"vis-manipulation\";if(this.editMode===true){this.manipulationDiv.style.display=\"block\"}else{this.manipulationDiv.style.display=\"none\"}this.canvas.frame.appendChild(this.manipulationDiv)}if(this.editModeDiv===undefined){this.editModeDiv=document.createElement(\"div\");this.editModeDiv.className=\"vis-edit-mode\";if(this.editMode===true){this.editModeDiv.style.display=\"none\"}else{this.editModeDiv.style.display=\"block\"}this.canvas.frame.appendChild(this.editModeDiv)}if(this.closeDiv===undefined){this.closeDiv=document.createElement(\"div\");this.closeDiv.className=\"vis-close\";this.closeDiv.style.display=this.manipulationDiv.style.display;this.canvas.frame.appendChild(this.closeDiv)}}},{key:\"_getNewTargetNode\",value:function _getNewTargetNode(x,y){var controlNodeStyle=util.deepExtend({},this.options.controlNodeStyle);controlNodeStyle.id=\"targetNode\"+util.randomUUID();controlNodeStyle.hidden=false;controlNodeStyle.physics=false;controlNodeStyle.x=x;controlNodeStyle.y=y;var node=this.body.functions.createNode(controlNodeStyle);node.shape.boundingBox={left:x,right:x,top:y,bottom:y};return node}},{key:\"_createEditButton\",value:function _createEditButton(){this._clean();this.manipulationDOM={};util.recursiveDOMDelete(this.editModeDiv);var locale=this.options.locales[this.options.locale];var button=this._createButton(\"editMode\",\"vis-button vis-edit vis-edit-mode\",locale[\"edit\"]||this.options.locales[\"en\"][\"edit\"]);this.editModeDiv.appendChild(button);this._bindHammerToDiv(button,this.toggleEditMode.bind(this))}},{key:\"_clean\",value:function _clean(){this.inMode=false;if(this.guiEnabled===true){util.recursiveDOMDelete(this.editModeDiv);util.recursiveDOMDelete(this.manipulationDiv);this._cleanManipulatorHammers()}this._cleanupTemporaryNodesAndEdges();this._unbindTemporaryUIs();this._unbindTemporaryEvents();this.body.emitter.emit(\"restorePhysics\")}},{key:\"_cleanManipulatorHammers\",value:function _cleanManipulatorHammers(){if(this.manipulationHammers.length!=0){for(var i=0;i<this.manipulationHammers.length;i++){this.manipulationHammers[i].destroy()}this.manipulationHammers=[]}}},{key:\"_removeManipulationDOM\",value:function _removeManipulationDOM(){this._clean();util.recursiveDOMDelete(this.manipulationDiv);util.recursiveDOMDelete(this.editModeDiv);util.recursiveDOMDelete(this.closeDiv);if(this.manipulationDiv){this.canvas.frame.removeChild(this.manipulationDiv)}if(this.editModeDiv){this.canvas.frame.removeChild(this.editModeDiv)}if(this.closeDiv){this.canvas.frame.removeChild(this.closeDiv)}this.manipulationDiv=undefined;this.editModeDiv=undefined;this.closeDiv=undefined}},{key:\"_createSeperator\",value:function _createSeperator(){var index=arguments.length>0&&arguments[0]!==undefined?arguments[0]:1;this.manipulationDOM[\"seperatorLineDiv\"+index]=document.createElement(\"div\");this.manipulationDOM[\"seperatorLineDiv\"+index].className=\"vis-separator-line\";this.manipulationDiv.appendChild(this.manipulationDOM[\"seperatorLineDiv\"+index])}},{key:\"_createAddNodeButton\",value:function _createAddNodeButton(locale){var button=this._createButton(\"addNode\",\"vis-button vis-add\",locale[\"addNode\"]||this.options.locales[\"en\"][\"addNode\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.addNodeMode.bind(this))}},{key:\"_createAddEdgeButton\",value:function _createAddEdgeButton(locale){var button=this._createButton(\"addEdge\",\"vis-button vis-connect\",locale[\"addEdge\"]||this.options.locales[\"en\"][\"addEdge\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.addEdgeMode.bind(this))}},{key:\"_createEditNodeButton\",value:function _createEditNodeButton(locale){var button=this._createButton(\"editNode\",\"vis-button vis-edit\",locale[\"editNode\"]||this.options.locales[\"en\"][\"editNode\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.editNode.bind(this))}},{key:\"_createEditEdgeButton\",value:function _createEditEdgeButton(locale){var button=this._createButton(\"editEdge\",\"vis-button vis-edit\",locale[\"editEdge\"]||this.options.locales[\"en\"][\"editEdge\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.editEdgeMode.bind(this))}},{key:\"_createDeleteButton\",value:function _createDeleteButton(locale){if(this.options.rtl){var deleteBtnClass=\"vis-button vis-delete-rtl\"}else{var deleteBtnClass=\"vis-button vis-delete\"}var button=this._createButton(\"delete\",deleteBtnClass,locale[\"del\"]||this.options.locales[\"en\"][\"del\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.deleteSelected.bind(this))}},{key:\"_createBackButton\",value:function _createBackButton(locale){var button=this._createButton(\"back\",\"vis-button vis-back\",locale[\"back\"]||this.options.locales[\"en\"][\"back\"]);this.manipulationDiv.appendChild(button);this._bindHammerToDiv(button,this.showManipulatorToolbar.bind(this))}},{key:\"_createButton\",value:function _createButton(id,className,label){var labelClassName=arguments.length>3&&arguments[3]!==undefined?arguments[3]:\"vis-label\";this.manipulationDOM[id+\"Div\"]=document.createElement(\"div\");this.manipulationDOM[id+\"Div\"].className=className;this.manipulationDOM[id+\"Label\"]=document.createElement(\"div\");this.manipulationDOM[id+\"Label\"].className=labelClassName;this.manipulationDOM[id+\"Label\"].innerHTML=label;this.manipulationDOM[id+\"Div\"].appendChild(this.manipulationDOM[id+\"Label\"]);return this.manipulationDOM[id+\"Div\"]}},{key:\"_createDescription\",value:function _createDescription(label){this.manipulationDiv.appendChild(this._createButton(\"description\",\"vis-button vis-none\",label))}},{key:\"_temporaryBindEvent\",value:function _temporaryBindEvent(event,newFunction){this.temporaryEventFunctions.push({event:event,boundFunction:newFunction});this.body.emitter.on(event,newFunction)}},{key:\"_temporaryBindUI\",value:function _temporaryBindUI(UIfunctionName,newFunction){if(this.body.eventListeners[UIfunctionName]!==undefined){this.temporaryUIFunctions[UIfunctionName]=this.body.eventListeners[UIfunctionName];this.body.eventListeners[UIfunctionName]=newFunction}else{throw new Error(\"This UI function does not exist. Typo? You tried: \"+UIfunctionName+\" possible are: \"+(0,_stringify2[\"default\"])((0,_keys2[\"default\"])(this.body.eventListeners)))}}},{key:\"_unbindTemporaryUIs\",value:function _unbindTemporaryUIs(){for(var functionName in this.temporaryUIFunctions){if(this.temporaryUIFunctions.hasOwnProperty(functionName)){this.body.eventListeners[functionName]=this.temporaryUIFunctions[functionName];delete this.temporaryUIFunctions[functionName]}}this.temporaryUIFunctions={}}},{key:\"_unbindTemporaryEvents\",value:function _unbindTemporaryEvents(){for(var i=0;i<this.temporaryEventFunctions.length;i++){var eventName=this.temporaryEventFunctions[i].event;var boundFunction=this.temporaryEventFunctions[i].boundFunction;this.body.emitter.off(eventName,boundFunction)}this.temporaryEventFunctions=[]}},{key:\"_bindHammerToDiv\",value:function _bindHammerToDiv(domElement,boundFunction){var hammer=new Hammer(domElement,{});hammerUtil.onTouch(hammer,boundFunction);this.manipulationHammers.push(hammer)}},{key:\"_cleanupTemporaryNodesAndEdges\",value:function _cleanupTemporaryNodesAndEdges(){for(var i=0;i<this.temporaryIds.edges.length;i++){this.body.edges[this.temporaryIds.edges[i]].disconnect();delete this.body.edges[this.temporaryIds.edges[i]];var indexTempEdge=this.body.edgeIndices.indexOf(this.temporaryIds.edges[i]);if(indexTempEdge!==-1){this.body.edgeIndices.splice(indexTempEdge,1)}}for(var _i=0;_i<this.temporaryIds.nodes.length;_i++){delete this.body.nodes[this.temporaryIds.nodes[_i]];var indexTempNode=this.body.nodeIndices.indexOf(this.temporaryIds.nodes[_i]);if(indexTempNode!==-1){this.body.nodeIndices.splice(indexTempNode,1)}}this.temporaryIds={nodes:[],edges:[]}}},{key:\"_controlNodeTouch\",value:function _controlNodeTouch(event){this.selectionHandler.unselectAll();this.lastTouch=this.body.functions.getPointer(event.center);this.lastTouch.translation=util.extend({},this.body.view.translation)}},{key:\"_controlNodeDragStart\",value:function _controlNodeDragStart(event){var pointer=this.lastTouch;var pointerObj=this.selectionHandler._pointerToPositionObject(pointer);var from=this.body.nodes[this.temporaryIds.nodes[0]];var to=this.body.nodes[this.temporaryIds.nodes[1]];var edge=this.body.edges[this.edgeBeingEditedId];this.selectedControlNode=undefined;var fromSelect=from.isOverlappingWith(pointerObj);var toSelect=to.isOverlappingWith(pointerObj);if(fromSelect===true){this.selectedControlNode=from;edge.edgeType.from=from}else if(toSelect===true){this.selectedControlNode=to;edge.edgeType.to=to}if(this.selectedControlNode!==undefined){this.selectionHandler.selectObject(this.selectedControlNode)}this.body.emitter.emit(\"_redraw\")}},{key:\"_controlNodeDrag\",value:function _controlNodeDrag(event){this.body.emitter.emit(\"disablePhysics\");var pointer=this.body.functions.getPointer(event.center);var pos=this.canvas.DOMtoCanvas(pointer);if(this.selectedControlNode!==undefined){this.selectedControlNode.x=pos.x;this.selectedControlNode.y=pos.y}else{var diffX=pointer.x-this.lastTouch.x;var diffY=pointer.y-this.lastTouch.y;this.body.view.translation={x:this.lastTouch.translation.x+diffX,y:this.lastTouch.translation.y+diffY}}this.body.emitter.emit(\"_redraw\")}},{key:\"_controlNodeDragEnd\",value:function _controlNodeDragEnd(event){var pointer=this.body.functions.getPointer(event.center);var pointerObj=this.selectionHandler._pointerToPositionObject(pointer);var edge=this.body.edges[this.edgeBeingEditedId];if(this.selectedControlNode===undefined){return}this.selectionHandler.unselectAll();var overlappingNodeIds=this.selectionHandler._getAllNodesOverlappingWith(pointerObj);var node=undefined;for(var i=overlappingNodeIds.length-1;i>=0;i--){if(overlappingNodeIds[i]!==this.selectedControlNode.id){node=this.body.nodes[overlappingNodeIds[i]];break}}if(node!==undefined&&this.selectedControlNode!==undefined){if(node.isCluster===true){alert(this.options.locales[this.options.locale][\"createEdgeError\"]||this.options.locales[\"en\"][\"createEdgeError\"])}else{var from=this.body.nodes[this.temporaryIds.nodes[0]];if(this.selectedControlNode.id===from.id){this._performEditEdge(node.id,edge.to.id)}else{this._performEditEdge(edge.from.id,node.id)}}}else{edge.updateEdgeType();this.body.emitter.emit(\"restorePhysics\")}this.body.emitter.emit(\"_redraw\")}},{key:\"_handleConnect\",value:function _handleConnect(event){if((new Date).valueOf()-this.touchTime>100){this.lastTouch=this.body.functions.getPointer(event.center);this.lastTouch.translation=util.extend({},this.body.view.translation);var pointer=this.lastTouch;var node=this.selectionHandler.getNodeAt(pointer);if(node!==undefined){if(node.isCluster===true){alert(this.options.locales[this.options.locale][\"createEdgeError\"]||this.options.locales[\"en\"][\"createEdgeError\"])}else{var targetNode=this._getNewTargetNode(node.x,node.y);this.body.nodes[targetNode.id]=targetNode;this.body.nodeIndices.push(targetNode.id);var connectionEdge=this.body.functions.createEdge({id:\"connectionEdge\"+util.randomUUID(),from:node.id,to:targetNode.id,physics:false,smooth:{enabled:true,type:\"continuous\",roundness:.5}});this.body.edges[connectionEdge.id]=connectionEdge;this.body.edgeIndices.push(connectionEdge.id);this.temporaryIds.nodes.push(targetNode.id);this.temporaryIds.edges.push(connectionEdge.id)}}this.touchTime=(new Date).valueOf()}}},{key:\"_dragControlNode\",value:function _dragControlNode(event){var pointer=this.body.functions.getPointer(event.center);if(this.temporaryIds.nodes[0]!==undefined){var targetNode=this.body.nodes[this.temporaryIds.nodes[0]];targetNode.x=this.canvas._XconvertDOMtoCanvas(pointer.x);targetNode.y=this.canvas._YconvertDOMtoCanvas(pointer.y);this.body.emitter.emit(\"_redraw\")}else{var diffX=pointer.x-this.lastTouch.x;var diffY=pointer.y-this.lastTouch.y;this.body.view.translation={x:this.lastTouch.translation.x+diffX,y:this.lastTouch.translation.y+diffY}}}},{key:\"_finishConnect\",value:function _finishConnect(event){var pointer=this.body.functions.getPointer(event.center);var pointerObj=this.selectionHandler._pointerToPositionObject(pointer);var connectFromId=undefined;if(this.temporaryIds.edges[0]!==undefined){connectFromId=this.body.edges[this.temporaryIds.edges[0]].fromId}var overlappingNodeIds=this.selectionHandler._getAllNodesOverlappingWith(pointerObj);var node=undefined;for(var i=overlappingNodeIds.length-1;i>=0;i--){if(this.temporaryIds.nodes.indexOf(overlappingNodeIds[i])===-1){node=this.body.nodes[overlappingNodeIds[i]];break}}this._cleanupTemporaryNodesAndEdges();if(node!==undefined){if(node.isCluster===true){alert(this.options.locales[this.options.locale][\"createEdgeError\"]||this.options.locales[\"en\"][\"createEdgeError\"])}else{if(this.body.nodes[connectFromId]!==undefined&&this.body.nodes[node.id]!==undefined){this._performAddEdge(connectFromId,node.id)}}}this.body.emitter.emit(\"_redraw\")}},{key:\"_performAddNode\",value:function _performAddNode(clickData){var _this4=this;var defaultData={id:util.randomUUID(),x:clickData.pointer.canvas.x,y:clickData.pointer.canvas.y,label:\"new\"};if(typeof this.options.addNode===\"function\"){if(this.options.addNode.length===2){this.options.addNode(defaultData,function(finalizedData){if(finalizedData!==null&&finalizedData!==undefined&&_this4.inMode===\"addNode\"){_this4.body.data.nodes.getDataSet().add(finalizedData);_this4.showManipulatorToolbar()}})}else{throw new Error(\"The function for add does not support two arguments (data,callback)\");this.showManipulatorToolbar()}}else{this.body.data.nodes.getDataSet().add(defaultData);this.showManipulatorToolbar()}}},{key:\"_performAddEdge\",value:function _performAddEdge(sourceNodeId,targetNodeId){var _this5=this;var defaultData={from:sourceNodeId,to:targetNodeId};if(typeof this.options.addEdge===\"function\"){if(this.options.addEdge.length===2){this.options.addEdge(defaultData,function(finalizedData){if(finalizedData!==null&&finalizedData!==undefined&&_this5.inMode===\"addEdge\"){_this5.body.data.edges.getDataSet().add(finalizedData);_this5.selectionHandler.unselectAll();_this5.showManipulatorToolbar()}})}else{throw new Error(\"The function for connect does not support two arguments (data,callback)\")}}else{this.body.data.edges.getDataSet().add(defaultData);this.selectionHandler.unselectAll();this.showManipulatorToolbar()}}},{key:\"_performEditEdge\",value:function _performEditEdge(sourceNodeId,targetNodeId){var _this6=this;var defaultData={id:this.edgeBeingEditedId,from:sourceNodeId,to:targetNodeId,label:this.body.data.edges._data[this.edgeBeingEditedId].label};var eeFunct=this.options.editEdge;if((typeof eeFunct===\"undefined\"?\"undefined\":(0,_typeof3[\"default\"])(eeFunct))===\"object\"){eeFunct=eeFunct.editWithoutDrag}if(typeof eeFunct===\"function\"){if(eeFunct.length===2){eeFunct(defaultData,function(finalizedData){if(finalizedData===null||finalizedData===undefined||_this6.inMode!==\"editEdge\"){_this6.body.edges[defaultData.id].updateEdgeType();_this6.body.emitter.emit(\"_redraw\");_this6.showManipulatorToolbar()}else{_this6.body.data.edges.getDataSet().update(finalizedData);_this6.selectionHandler.unselectAll();_this6.showManipulatorToolbar()}})}else{throw new Error(\"The function for edit does not support two arguments (data, callback)\")}}else{this.body.data.edges.getDataSet().update(defaultData);this.selectionHandler.unselectAll();this.showManipulatorToolbar()}}}]);return ManipulationSystem}();exports[\"default\"]=ManipulationSystem},function(module,exports){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var string=\"string\";var bool=\"boolean\";var number=\"number\";var array=\"array\";var object=\"object\";var dom=\"dom\";var any=\"any\";var allOptions={configure:{enabled:{boolean:bool},filter:{boolean:bool,string:string,array:array,function:\"function\"},container:{dom:dom},showButton:{boolean:bool},__type__:{object:object,boolean:bool,string:string,array:array,function:\"function\"}},edges:{arrows:{to:{enabled:{boolean:bool},scaleFactor:{number:number},type:{string:[\"arrow\",\"circle\"]},__type__:{object:object,boolean:bool}},middle:{enabled:{boolean:bool},scaleFactor:{number:number},type:{string:[\"arrow\",\"circle\"]},__type__:{object:object,boolean:bool}},from:{enabled:{boolean:bool},scaleFactor:{number:number},type:{string:[\"arrow\",\"circle\"]},__type__:{object:object,boolean:bool}},__type__:{string:[\"from\",\"to\",\"middle\"],object:object}},arrowStrikethrough:{boolean:bool},chosen:{label:{boolean:bool,function:\"function\"},edge:{boolean:bool,function:\"function\"},__type__:{object:object,boolean:bool}},color:{color:{string:string},highlight:{string:string},hover:{string:string},inherit:{string:[\"from\",\"to\",\"both\"],boolean:bool},opacity:{number:number},__type__:{object:object,string:string}},dashes:{boolean:bool,array:array},font:{color:{string:string},size:{number:number},face:{string:string},background:{string:string},strokeWidth:{number:number},strokeColor:{string:string},align:{string:[\"horizontal\",\"top\",\"middle\",\"bottom\"]},vadjust:{number:number},multi:{boolean:bool,string:string},bold:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},boldital:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},ital:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},mono:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},__type__:{object:object,string:string}},hidden:{boolean:bool},hoverWidth:{function:\"function\",number:number},label:{string:string,undefined:\"undefined\"},labelHighlightBold:{boolean:bool},length:{number:number,undefined:\"undefined\"},physics:{boolean:bool},scaling:{min:{number:number},max:{number:number},label:{enabled:{boolean:bool},min:{number:number},max:{number:number},maxVisible:{number:number},drawThreshold:{number:number},__type__:{object:object,boolean:bool}},customScalingFunction:{function:\"function\"},__type__:{object:object}},selectionWidth:{function:\"function\",number:number},selfReferenceSize:{number:number},shadow:{enabled:{boolean:bool},color:{string:string},size:{number:number},x:{number:number},y:{number:number},__type__:{object:object,boolean:bool}},smooth:{enabled:{boolean:bool},type:{string:[\"dynamic\",\"continuous\",\"discrete\",\"diagonalCross\",\"straightCross\",\"horizontal\",\"vertical\",\"curvedCW\",\"curvedCCW\",\"cubicBezier\"]},roundness:{number:number},forceDirection:{string:[\"horizontal\",\"vertical\",\"none\"],boolean:bool},__type__:{object:object,boolean:bool}},title:{string:string,undefined:\"undefined\"},width:{number:number},widthConstraint:{maximum:{number:number},__type__:{object:object,boolean:bool,number:number}},value:{number:number,undefined:\"undefined\"},__type__:{object:object}},groups:{useDefaultGroups:{boolean:bool},__any__:\"get from nodes, will be overwritten below\",__type__:{object:object}},interaction:{dragNodes:{boolean:bool},dragView:{boolean:bool},hideEdgesOnDrag:{boolean:bool},hideNodesOnDrag:{boolean:bool},hover:{boolean:bool},keyboard:{enabled:{boolean:bool},speed:{x:{number:number},y:{number:number},zoom:{number:number},__type__:{object:object}},bindToWindow:{boolean:bool},__type__:{object:object,boolean:bool}},multiselect:{boolean:bool},navigationButtons:{boolean:bool},selectable:{boolean:bool},selectConnectedEdges:{boolean:bool},hoverConnectedEdges:{boolean:bool},tooltipDelay:{number:number},zoomView:{boolean:bool},__type__:{object:object}},layout:{randomSeed:{undefined:\"undefined\",number:number},improvedLayout:{boolean:bool},hierarchical:{enabled:{boolean:bool},levelSeparation:{number:number},nodeSpacing:{number:number},treeSpacing:{number:number},blockShifting:{boolean:bool},edgeMinimization:{boolean:bool},parentCentralization:{boolean:bool},direction:{string:[\"UD\",\"DU\",\"LR\",\"RL\"]},sortMethod:{string:[\"hubsize\",\"directed\"]},__type__:{object:object,boolean:bool}},__type__:{object:object}},manipulation:{enabled:{boolean:bool},initiallyActive:{boolean:bool},addNode:{boolean:bool,function:\"function\"},addEdge:{boolean:bool,function:\"function\"},editNode:{function:\"function\"},editEdge:{editWithoutDrag:{function:\"function\"},__type__:{object:object,boolean:bool,function:\"function\"}},deleteNode:{boolean:bool,function:\"function\"},deleteEdge:{boolean:bool,function:\"function\"},controlNodeStyle:\"get from nodes, will be overwritten below\",__type__:{object:object,boolean:bool}},nodes:{borderWidth:{number:number},borderWidthSelected:{number:number,undefined:\"undefined\"},brokenImage:{string:string,undefined:\"undefined\"},chosen:{label:{boolean:bool,function:\"function\"},node:{boolean:bool,function:\"function\"},__type__:{object:object,boolean:bool}},color:{border:{string:string},background:{string:string},highlight:{border:{string:string},background:{string:string},__type__:{object:object,string:string}},hover:{border:{string:string},background:{string:string},__type__:{object:object,string:string}},__type__:{object:object,string:string}},fixed:{x:{boolean:bool},y:{boolean:bool},__type__:{object:object,boolean:bool}},font:{align:{string:string},color:{string:string},size:{number:number},face:{string:string},background:{string:string},strokeWidth:{number:number},strokeColor:{string:string},vadjust:{number:number},multi:{boolean:bool,string:string},bold:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},boldital:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},ital:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},mono:{color:{string:string},size:{number:number},face:{string:string},mod:{string:string},vadjust:{number:number},__type__:{object:object,string:string}},__type__:{object:object,string:string}},group:{string:string,number:number,undefined:\"undefined\"},heightConstraint:{minimum:{number:number},valign:{string:string},__type__:{object:object,boolean:bool,number:number}},hidden:{boolean:bool},icon:{face:{string:string},code:{string:string},size:{number:number},color:{string:string},__type__:{object:object}},id:{string:string,number:number},image:{selected:{string:string,undefined:\"undefined\"},unselected:{string:string,undefined:\"undefined\"},__type__:{object:object,string:string}},label:{string:string,undefined:\"undefined\"},labelHighlightBold:{boolean:bool},level:{number:number,undefined:\"undefined\"},margin:{top:{number:number},right:{number:number},bottom:{number:number},left:{number:number},__type__:{object:object,number:number}},mass:{number:number},physics:{boolean:bool},scaling:{min:{number:number},max:{number:number},label:{enabled:{boolean:bool},min:{number:number},max:{number:number},maxVisible:{number:number},drawThreshold:{number:number},__type__:{object:object,boolean:bool}},customScalingFunction:{function:\"function\"},__type__:{object:object}},shadow:{enabled:{boolean:bool},color:{string:string},size:{number:number},x:{number:number},y:{number:number},__type__:{object:object,boolean:bool}},shape:{string:[\"ellipse\",\"circle\",\"database\",\"box\",\"text\",\"image\",\"circularImage\",\"diamond\",\"dot\",\"star\",\"triangle\",\"triangleDown\",\"square\",\"icon\"]},shapeProperties:{borderDashes:{boolean:bool,array:array},borderRadius:{number:number},interpolation:{boolean:bool},useImageSize:{boolean:bool},useBorderWithImage:{boolean:bool},__type__:{object:object}},size:{number:number},title:{string:string,undefined:\"undefined\"},value:{number:number,undefined:\"undefined\"},widthConstraint:{minimum:{number:number},maximum:{number:number},__type__:{object:object,boolean:bool,number:number}},x:{number:number},y:{number:number},__type__:{object:object}},physics:{enabled:{boolean:bool},barnesHut:{gravitationalConstant:{number:number},centralGravity:{number:number},springLength:{number:number},springConstant:{number:number},damping:{number:number},avoidOverlap:{number:number},__type__:{object:object}},forceAtlas2Based:{gravitationalConstant:{number:number},centralGravity:{number:number},springLength:{number:number},springConstant:{number:number},damping:{number:number},avoidOverlap:{number:number},__type__:{object:object}},repulsion:{centralGravity:{number:number},springLength:{number:number},springConstant:{number:number},nodeDistance:{number:number},damping:{number:number},__type__:{object:object}},hierarchicalRepulsion:{centralGravity:{number:number},springLength:{number:number},springConstant:{number:number},nodeDistance:{number:number},damping:{number:number},__type__:{object:object}},maxVelocity:{number:number},minVelocity:{number:number},solver:{string:[\"barnesHut\",\"repulsion\",\"hierarchicalRepulsion\",\"forceAtlas2Based\"]},stabilization:{enabled:{boolean:bool},iterations:{number:number},updateInterval:{number:number},onlyDynamicEdges:{boolean:bool},fit:{boolean:bool},__type__:{object:object,boolean:bool}},timestep:{number:number},adaptiveTimestep:{boolean:bool},__type__:{object:object,boolean:bool}},autoResize:{boolean:bool},clickToUse:{boolean:bool},locale:{string:string},locales:{__any__:{any:any},__type__:{object:object}},height:{string:string},width:{string:string},__type__:{object:object}};allOptions.groups.__any__=allOptions.nodes;allOptions.manipulation.controlNodeStyle=allOptions.nodes;var configureOptions={nodes:{borderWidth:[1,0,10,1],borderWidthSelected:[2,0,10,1],color:{border:[\"color\",\"#2B7CE9\"],background:[\"color\",\"#97C2FC\"],highlight:{border:[\"color\",\"#2B7CE9\"],background:[\"color\",\"#D2E5FF\"]},hover:{border:[\"color\",\"#2B7CE9\"],background:[\"color\",\"#D2E5FF\"]}},fixed:{x:false,y:false},font:{color:[\"color\",\"#343434\"],size:[14,0,100,1],face:[\"arial\",\"verdana\",\"tahoma\"],background:[\"color\",\"none\"],strokeWidth:[0,0,50,1],strokeColor:[\"color\",\"#ffffff\"]},hidden:false,labelHighlightBold:true,physics:true,scaling:{min:[10,0,200,1],max:[30,0,200,1],label:{enabled:false,min:[14,0,200,1],max:[30,0,200,1],maxVisible:[30,0,200,1],drawThreshold:[5,0,20,1]}},shadow:{enabled:false,color:\"rgba(0,0,0,0.5)\",size:[10,0,20,1],x:[5,-30,30,1],y:[5,-30,30,1]},shape:[\"ellipse\",\"box\",\"circle\",\"database\",\"diamond\",\"dot\",\"square\",\"star\",\"text\",\"triangle\",\"triangleDown\"],shapeProperties:{borderDashes:false,borderRadius:[6,0,20,1],interpolation:true,useImageSize:false},size:[25,0,200,1]},edges:{arrows:{to:{enabled:false,scaleFactor:[1,0,3,.05],type:\"arrow\"},middle:{enabled:false,scaleFactor:[1,0,3,.05],type:\"arrow\"},from:{enabled:false,scaleFactor:[1,0,3,.05],type:\"arrow\"}},arrowStrikethrough:true,color:{color:[\"color\",\"#848484\"],highlight:[\"color\",\"#848484\"],hover:[\"color\",\"#848484\"],inherit:[\"from\",\"to\",\"both\",true,false],opacity:[1,0,1,.05]},dashes:false,font:{color:[\"color\",\"#343434\"],size:[14,0,100,1],face:[\"arial\",\"verdana\",\"tahoma\"],background:[\"color\",\"none\"],strokeWidth:[2,0,50,1],strokeColor:[\"color\",\"#ffffff\"],align:[\"horizontal\",\"top\",\"middle\",\"bottom\"]},hidden:false,hoverWidth:[1.5,0,5,.1],labelHighlightBold:true,physics:true,scaling:{min:[1,0,100,1],max:[15,0,100,1],label:{enabled:true,min:[14,0,200,1],max:[30,0,200,1],maxVisible:[30,0,200,1],drawThreshold:[5,0,20,1]}},selectionWidth:[1.5,0,5,.1],selfReferenceSize:[20,0,200,1],shadow:{enabled:false,color:\"rgba(0,0,0,0.5)\",size:[10,0,20,1],x:[5,-30,30,1],y:[5,-30,30,1]},smooth:{enabled:true,type:[\"dynamic\",\"continuous\",\"discrete\",\"diagonalCross\",\"straightCross\",\"horizontal\",\"vertical\",\"curvedCW\",\"curvedCCW\",\"cubicBezier\"],forceDirection:[\"horizontal\",\"vertical\",\"none\"],roundness:[.5,0,1,.05]},width:[1,0,30,1]},layout:{hierarchical:{enabled:false,levelSeparation:[150,20,500,5],nodeSpacing:[100,20,500,5],treeSpacing:[200,20,500,5],blockShifting:true,edgeMinimization:true,parentCentralization:true,direction:[\"UD\",\"DU\",\"LR\",\"RL\"],sortMethod:[\"hubsize\",\"directed\"]}},interaction:{dragNodes:true,dragView:true,hideEdgesOnDrag:false,hideNodesOnDrag:false,hover:false,keyboard:{enabled:false,speed:{x:[10,0,40,1],y:[10,0,40,1],zoom:[.02,0,.1,.005]},bindToWindow:true},multiselect:false,navigationButtons:false,selectable:true,selectConnectedEdges:true,hoverConnectedEdges:true,tooltipDelay:[300,0,1e3,25],zoomView:true},manipulation:{enabled:false,initiallyActive:false},physics:{enabled:true,barnesHut:{gravitationalConstant:[-2e3,-3e4,0,50],centralGravity:[.3,0,10,.05],springLength:[95,0,500,5],springConstant:[.04,0,1.2,.005],damping:[.09,0,1,.01],avoidOverlap:[0,0,1,.01]},forceAtlas2Based:{gravitationalConstant:[-50,-500,0,1],centralGravity:[.01,0,1,.005],springLength:[95,0,500,5],springConstant:[.08,0,1.2,.005],damping:[.4,0,1,.01],avoidOverlap:[0,0,1,.01]},repulsion:{centralGravity:[.2,0,10,.05],springLength:[200,0,500,5],springConstant:[.05,0,1.2,.005],nodeDistance:[100,0,500,5],damping:[.09,0,1,.01]},hierarchicalRepulsion:{centralGravity:[.2,0,10,.05],springLength:[100,0,500,5],springConstant:[.01,0,1.2,.005],nodeDistance:[120,0,500,5],damping:[.09,0,1,.01]},maxVelocity:[50,0,150,1],minVelocity:[.1,.01,.5,.01],solver:[\"barnesHut\",\"forceAtlas2Based\",\"repulsion\",\"hierarchicalRepulsion\"],timestep:[.5,.01,1,.01]}};exports.allOptions=allOptions;exports.configureOptions=configureOptions},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _slicedToArray2=__webpack_require__(165);var _slicedToArray3=_interopRequireDefault(_slicedToArray2);var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);var _FloydWarshall=__webpack_require__(226);var _FloydWarshall2=_interopRequireDefault(_FloydWarshall);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var KamadaKawai=function(){function KamadaKawai(body,edgeLength,edgeStrength){(0,_classCallCheck3[\"default\"])(this,KamadaKawai);this.body=body;this.springLength=edgeLength;this.springConstant=edgeStrength;this.distanceSolver=new _FloydWarshall2[\"default\"]}(0,_createClass3[\"default\"])(KamadaKawai,[{key:\"setOptions\",value:function setOptions(options){if(options){if(options.springLength){this.springLength=options.springLength}if(options.springConstant){this.springConstant=options.springConstant}}}},{key:\"solve\",value:function solve(nodesArray,edgesArray){var ignoreClusters=arguments.length>2&&arguments[2]!==undefined?arguments[2]:false;var D_matrix=this.distanceSolver.getDistances(this.body,nodesArray,edgesArray);this._createL_matrix(D_matrix);this._createK_matrix(D_matrix);var threshold=.01;var innerThreshold=1;var iterations=0;var maxIterations=Math.max(1e3,Math.min(10*this.body.nodeIndices.length,6e3));var maxInnerIterations=5;var maxEnergy=1e9;var highE_nodeId=0,dE_dx=0,dE_dy=0,delta_m=0,subIterations=0;while(maxEnergy>threshold&&iterations<maxIterations){iterations+=1;var _getHighestEnergyNode2=this._getHighestEnergyNode(ignoreClusters);var _getHighestEnergyNode3=(0,_slicedToArray3[\"default\"])(_getHighestEnergyNode2,4);highE_nodeId=_getHighestEnergyNode3[0];maxEnergy=_getHighestEnergyNode3[1];dE_dx=_getHighestEnergyNode3[2];dE_dy=_getHighestEnergyNode3[3];delta_m=maxEnergy;subIterations=0;while(delta_m>innerThreshold&&subIterations<maxInnerIterations){subIterations+=1;this._moveNode(highE_nodeId,dE_dx,dE_dy);var _getEnergy2=this._getEnergy(highE_nodeId);var _getEnergy3=(0,_slicedToArray3[\"default\"])(_getEnergy2,3);delta_m=_getEnergy3[0];dE_dx=_getEnergy3[1];dE_dy=_getEnergy3[2]}}}},{key:\"_getHighestEnergyNode\",value:function _getHighestEnergyNode(ignoreClusters){var nodesArray=this.body.nodeIndices;var nodes=this.body.nodes;var maxEnergy=0;var maxEnergyNodeId=nodesArray[0];var dE_dx_max=0,dE_dy_max=0;for(var nodeIdx=0;nodeIdx<nodesArray.length;nodeIdx++){var m=nodesArray[nodeIdx];if(nodes[m].predefinedPosition===false||nodes[m].isCluster===true&&ignoreClusters===true||nodes[m].options.fixed.x===true||nodes[m].options.fixed.y===true){var _getEnergy4=this._getEnergy(m),_getEnergy5=(0,_slicedToArray3[\"default\"])(_getEnergy4,3),delta_m=_getEnergy5[0],dE_dx=_getEnergy5[1],dE_dy=_getEnergy5[2];if(maxEnergy<delta_m){maxEnergy=delta_m;maxEnergyNodeId=m;dE_dx_max=dE_dx;dE_dy_max=dE_dy}}}return[maxEnergyNodeId,maxEnergy,dE_dx_max,dE_dy_max]}},{key:\"_getEnergy\",value:function _getEnergy(m){var nodesArray=this.body.nodeIndices;var nodes=this.body.nodes;var x_m=nodes[m].x;var y_m=nodes[m].y;var dE_dx=0;var dE_dy=0;for(var iIdx=0;iIdx<nodesArray.length;iIdx++){var i=nodesArray[iIdx];if(i!==m){var x_i=nodes[i].x;var y_i=nodes[i].y;var denominator=1/Math.sqrt(Math.pow(x_m-x_i,2)+Math.pow(y_m-y_i,2));dE_dx+=this.K_matrix[m][i]*(x_m-x_i-this.L_matrix[m][i]*(x_m-x_i)*denominator);dE_dy+=this.K_matrix[m][i]*(y_m-y_i-this.L_matrix[m][i]*(y_m-y_i)*denominator)}}var delta_m=Math.sqrt(Math.pow(dE_dx,2)+Math.pow(dE_dy,2));return[delta_m,dE_dx,dE_dy]}},{key:\"_moveNode\",value:function _moveNode(m,dE_dx,dE_dy){var nodesArray=this.body.nodeIndices;var nodes=this.body.nodes;var d2E_dx2=0;var d2E_dxdy=0;var d2E_dy2=0;var x_m=nodes[m].x;var y_m=nodes[m].y;for(var iIdx=0;iIdx<nodesArray.length;iIdx++){var i=nodesArray[iIdx];if(i!==m){var x_i=nodes[i].x;var y_i=nodes[i].y;var denominator=1/Math.pow(Math.pow(x_m-x_i,2)+Math.pow(y_m-y_i,2),1.5);d2E_dx2+=this.K_matrix[m][i]*(1-this.L_matrix[m][i]*Math.pow(y_m-y_i,2)*denominator);d2E_dxdy+=this.K_matrix[m][i]*(this.L_matrix[m][i]*(x_m-x_i)*(y_m-y_i)*denominator);d2E_dy2+=this.K_matrix[m][i]*(1-this.L_matrix[m][i]*Math.pow(x_m-x_i,2)*denominator)}}var A=d2E_dx2,B=d2E_dxdy,C=dE_dx,D=d2E_dy2,E=dE_dy;var dy=(C/A+E/B)/(B/A-D/B);var dx=-(B*dy+C)/A;nodes[m].x+=dx;nodes[m].y+=dy}},{key:\"_createL_matrix\",value:function _createL_matrix(D_matrix){var nodesArray=this.body.nodeIndices;var edgeLength=this.springLength;this.L_matrix=[];for(var i=0;i<nodesArray.length;i++){this.L_matrix[nodesArray[i]]={};for(var j=0;j<nodesArray.length;j++){this.L_matrix[nodesArray[i]][nodesArray[j]]=edgeLength*D_matrix[nodesArray[i]][nodesArray[j]]}}}},{key:\"_createK_matrix\",value:function _createK_matrix(D_matrix){var nodesArray=this.body.nodeIndices;var edgeStrength=this.springConstant;this.K_matrix=[];for(var i=0;i<nodesArray.length;i++){this.K_matrix[nodesArray[i]]={};for(var j=0;j<nodesArray.length;j++){this.K_matrix[nodesArray[i]][nodesArray[j]]=edgeStrength*Math.pow(D_matrix[nodesArray[i]][nodesArray[j]],-2)}}}}]);return KamadaKawai}();exports[\"default\"]=KamadaKawai},function(module,exports,__webpack_require__){\"use strict\";Object.defineProperty(exports,\"__esModule\",{value:true});var _classCallCheck2=__webpack_require__(119);var _classCallCheck3=_interopRequireDefault(_classCallCheck2);var _createClass2=__webpack_require__(120);var _createClass3=_interopRequireDefault(_createClass2);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}var FloydWarshall=function(){function FloydWarshall(){(0,_classCallCheck3[\"default\"])(this,FloydWarshall)}(0,_createClass3[\"default\"])(FloydWarshall,[{key:\"getDistances\",value:function getDistances(body,nodesArray,edgesArray){var D_matrix={};var edges=body.edges;for(var i=0;i<nodesArray.length;i++){D_matrix[nodesArray[i]]={};D_matrix[nodesArray[i]]={};for(var j=0;j<nodesArray.length;j++){D_matrix[nodesArray[i]][nodesArray[j]]=i==j?0:1e9;D_matrix[nodesArray[i]][nodesArray[j]]=i==j?0:1e9}}for(var _i=0;_i<edgesArray.length;_i++){var edge=edges[edgesArray[_i]];if(edge.connected===true&&D_matrix[edge.fromId]!==undefined&&D_matrix[edge.toId]!==undefined){D_matrix[edge.fromId][edge.toId]=1;D_matrix[edge.toId][edge.fromId]=1}}var nodeCount=nodesArray.length;for(var k=0;k<nodeCount;k++){for(var _i2=0;_i2<nodeCount-1;_i2++){for(var _j=_i2+1;_j<nodeCount;_j++){D_matrix[nodesArray[_i2]][nodesArray[_j]]=Math.min(D_matrix[nodesArray[_i2]][nodesArray[_j]],D_matrix[nodesArray[_i2]][nodesArray[k]]+D_matrix[nodesArray[k]][nodesArray[_j]]);D_matrix[nodesArray[_j]][nodesArray[_i2]]=D_matrix[nodesArray[_i2]][nodesArray[_j]]}}}return D_matrix}}]);return FloydWarshall}();exports[\"default\"]=FloydWarshall},function(module,exports){\"use strict\";if(typeof CanvasRenderingContext2D!==\"undefined\"){CanvasRenderingContext2D.prototype.circle=function(x,y,r){this.beginPath();this.arc(x,y,r,0,2*Math.PI,false);this.closePath()};CanvasRenderingContext2D.prototype.square=function(x,y,r){this.beginPath();this.rect(x-r,y-r,r*2,r*2);this.closePath()};CanvasRenderingContext2D.prototype.triangle=function(x,y,r){this.beginPath();r*=1.15;y+=.275*r;var s=r*2;var s2=s/2;var ir=Math.sqrt(3)/6*s;var h=Math.sqrt(s*s-s2*s2);this.moveTo(x,y-(h-ir));this.lineTo(x+s2,y+ir);this.lineTo(x-s2,y+ir);this.lineTo(x,y-(h-ir));this.closePath()};CanvasRenderingContext2D.prototype.triangleDown=function(x,y,r){this.beginPath();r*=1.15;y-=.275*r;var s=r*2;var s2=s/2;var ir=Math.sqrt(3)/6*s;var h=Math.sqrt(s*s-s2*s2);this.moveTo(x,y+(h-ir));this.lineTo(x+s2,y-ir);this.lineTo(x-s2,y-ir);this.lineTo(x,y+(h-ir));this.closePath()};CanvasRenderingContext2D.prototype.star=function(x,y,r){this.beginPath();r*=.82;y+=.1*r;for(var n=0;n<10;n++){var radius=n%2===0?r*1.3:r*.5;this.lineTo(x+radius*Math.sin(n*2*Math.PI/10),y-radius*Math.cos(n*2*Math.PI/10))}this.closePath()};CanvasRenderingContext2D.prototype.diamond=function(x,y,r){this.beginPath();this.lineTo(x,y+r);this.lineTo(x+r,y);this.lineTo(x,y-r);this.lineTo(x-r,y);this.closePath()};CanvasRenderingContext2D.prototype.roundRect=function(x,y,w,h,r){var r2d=Math.PI/180;if(w-2*r<0){r=w/2}if(h-2*r<0){r=h/2}this.beginPath();this.moveTo(x+r,y);this.lineTo(x+w-r,y);this.arc(x+w-r,y+r,r,r2d*270,r2d*360,false);this.lineTo(x+w,y+h-r);this.arc(x+w-r,y+h-r,r,0,r2d*90,false);this.lineTo(x+r,y+h);this.arc(x+r,y+h-r,r,r2d*90,r2d*180,false);this.lineTo(x,y+r);this.arc(x+r,y+r,r,r2d*180,r2d*270,false);this.closePath()};CanvasRenderingContext2D.prototype.ellipse=function(x,y,w,h){var kappa=.5522848,ox=w/2*kappa,oy=h/2*kappa,xe=x+w,ye=y+h,xm=x+w/2,ym=y+h/2;this.beginPath();this.moveTo(x,ym);this.bezierCurveTo(x,ym-oy,xm-ox,y,xm,y);this.bezierCurveTo(xm+ox,y,xe,ym-oy,xe,ym);this.bezierCurveTo(xe,ym+oy,xm+ox,ye,xm,ye);this.bezierCurveTo(xm-ox,ye,x,ym+oy,x,ym);this.closePath()};CanvasRenderingContext2D.prototype.database=function(x,y,w,h){var f=1/3;var wEllipse=w;var hEllipse=h*f;var kappa=.5522848,ox=wEllipse/2*kappa,oy=hEllipse/2*kappa,xe=x+wEllipse,ye=y+hEllipse,xm=x+wEllipse/2,ym=y+hEllipse/2,ymb=y+(h-hEllipse/2),yeb=y+h;this.beginPath();this.moveTo(xe,ym);this.bezierCurveTo(xe,ym+oy,xm+ox,ye,xm,ye);this.bezierCurveTo(xm-ox,ye,x,ym+oy,x,ym);this.bezierCurveTo(x,ym-oy,xm-ox,y,xm,y);this.bezierCurveTo(xm+ox,y,xe,ym-oy,xe,ym);this.lineTo(xe,ymb);this.bezierCurveTo(xe,ymb+oy,xm+ox,yeb,xm,yeb);this.bezierCurveTo(xm-ox,yeb,x,ymb+oy,x,ymb);this.lineTo(x,ym)};CanvasRenderingContext2D.prototype.arrowEndpoint=function(x,y,angle,length){var xt=x-length*Math.cos(angle);var yt=y-length*Math.sin(angle);var xi=x-length*.9*Math.cos(angle);var yi=y-length*.9*Math.sin(angle);var xl=xt+length/3*Math.cos(angle+.5*Math.PI);var yl=yt+length/3*Math.sin(angle+.5*Math.PI);var xr=xt+length/3*Math.cos(angle-.5*Math.PI);var yr=yt+length/3*Math.sin(angle-.5*Math.PI);this.beginPath();this.moveTo(x,y);this.lineTo(xl,yl);this.lineTo(xi,yi);this.lineTo(xr,yr);this.closePath()};CanvasRenderingContext2D.prototype.circleEndpoint=function(x,y,angle,length){var radius=length*.4;var xc=x-radius*Math.cos(angle);var yc=y-radius*Math.sin(angle);this.circle(xc,yc,radius)};CanvasRenderingContext2D.prototype.dashedLine=function(x,y,x2,y2,pattern){this.beginPath();this.moveTo(x,y);var patternLength=pattern.length;var dx=x2-x;var dy=y2-y;var slope=dy/dx;var distRemaining=Math.sqrt(dx*dx+dy*dy);var patternIndex=0;var draw=true;var xStep=0;var dashLength=pattern[0];while(distRemaining>=.1){dashLength=pattern[patternIndex++%patternLength];if(dashLength>distRemaining){dashLength=distRemaining}xStep=Math.sqrt(dashLength*dashLength/(1+slope*slope));xStep=dx<0?-xStep:xStep;x+=xStep;y+=slope*xStep;if(draw===true){this.lineTo(x,y)}else{this.moveTo(x,y)}distRemaining-=dashLength;draw=!draw}}}},function(module,exports,__webpack_require__){\"use strict\";var _create=__webpack_require__(55);var _create2=_interopRequireDefault(_create);function _interopRequireDefault(obj){return obj&&obj.__esModule?obj:{default:obj}}function parseDOT(data){dot=data;return parseGraph()}var NODE_ATTR_MAPPING={fontsize:\"font.size\",fontcolor:\"font.color\",labelfontcolor:\"font.color\",fontname:\"font.face\",color:[\"color.border\",\"color.background\"],fillcolor:\"color.background\",tooltip:\"title\",labeltooltip:\"title\"};var EDGE_ATTR_MAPPING=(0,_create2[\"default\"])(NODE_ATTR_MAPPING);EDGE_ATTR_MAPPING.color=\"color.color\";var TOKENTYPE={NULL:0,DELIMITER:1,IDENTIFIER:2,UNKNOWN:3};var DELIMITERS={\"{\":true,\"}\":true,\"[\":true,\"]\":true,\";\":true,\"=\":true,\",\":true,\"->\":true,\"--\":true};var dot=\"\";var index=0;var c=\"\";var token=\"\";var tokenType=TOKENTYPE.NULL;function first(){index=0;c=dot.charAt(0)}function next(){index++;c=dot.charAt(index)}function nextPreview(){return dot.charAt(index+1)}var regexAlphaNumeric=/[a-zA-Z_0-9.:#]/;function isAlphaNumeric(c){return regexAlphaNumeric.test(c)}function merge(a,b){if(!a){a={}}if(b){for(var name in b){if(b.hasOwnProperty(name)){a[name]=b[name]}}}return a}function setValue(obj,path,value){var keys=path.split(\".\");var o=obj;while(keys.length){var key=keys.shift();if(keys.length){if(!o[key]){o[key]={}}o=o[key]}else{o[key]=value}}}function addNode(graph,node){var i,len;var current=null;var graphs=[graph];var root=graph;while(root.parent){graphs.push(root.parent);root=root.parent}if(root.nodes){for(i=0,len=root.nodes.length;i<len;i++){if(node.id===root.nodes[i].id){current=root.nodes[i];break}}}if(!current){current={id:node.id};if(graph.node){current.attr=merge(current.attr,graph.node)}}for(i=graphs.length-1;i>=0;i--){var g=graphs[i];if(!g.nodes){g.nodes=[]}if(g.nodes.indexOf(current)===-1){g.nodes.push(current)}}if(node.attr){current.attr=merge(current.attr,node.attr)}}function addEdge(graph,edge){if(!graph.edges){graph.edges=[]}graph.edges.push(edge);if(graph.edge){var attr=merge({},graph.edge);edge.attr=merge(attr,edge.attr)}}function createEdge(graph,from,to,type,attr){var edge={from:from,to:to,type:type};if(graph.edge){edge.attr=merge({},graph.edge)}edge.attr=merge(edge.attr||{},attr);return edge}function getToken(){tokenType=TOKENTYPE.NULL;token=\"\";while(c===\" \"||c===\"\\t\"||c===\"\\n\"||c===\"\\r\"){next()}do{var isComment=false;if(c===\"#\"){var i=index-1;while(dot.charAt(i)===\" \"||dot.charAt(i)===\"\\t\"){i--}if(dot.charAt(i)===\"\\n\"||dot.charAt(i)===\"\"){while(c!=\"\"&&c!=\"\\n\"){next()}isComment=true}}if(c===\"/\"&&nextPreview()===\"/\"){while(c!=\"\"&&c!=\"\\n\"){next()}isComment=true}if(c===\"/\"&&nextPreview()===\"*\"){while(c!=\"\"){if(c===\"*\"&&nextPreview()===\"/\"){next();next();break}else{next()}}isComment=true}while(c===\" \"||c===\"\\t\"||c===\"\\n\"||c===\"\\r\"){next()}}while(isComment);if(c===\"\"){tokenType=TOKENTYPE.DELIMITER;return}var c2=c+nextPreview();if(DELIMITERS[c2]){tokenType=TOKENTYPE.DELIMITER;token=c2;next();next();return}if(DELIMITERS[c]){tokenType=TOKENTYPE.DELIMITER;token=c;next();return}if(isAlphaNumeric(c)||c===\"-\"){token+=c;next();while(isAlphaNumeric(c)){token+=c;next()}if(token===\"false\"){token=false}else if(token===\"true\"){token=true}else if(!isNaN(Number(token))){token=Number(token)}tokenType=TOKENTYPE.IDENTIFIER;return}if(c==='\"'){next();while(c!=\"\"&&(c!='\"'||c==='\"'&&nextPreview()==='\"')){token+=c;if(c==='\"'){next()}next()}if(c!='\"'){throw newSyntaxError('End of string \" expected')}next();tokenType=TOKENTYPE.IDENTIFIER;return}tokenType=TOKENTYPE.UNKNOWN;while(c!=\"\"){token+=c;next()}throw new SyntaxError('Syntax error in part \"'+chop(token,30)+'\"')}function parseGraph(){var graph={};first();getToken();if(token===\"strict\"){graph.strict=true;getToken()}if(token===\"graph\"||token===\"digraph\"){graph.type=token;getToken()}if(tokenType===TOKENTYPE.IDENTIFIER){graph.id=token;getToken()}if(token!=\"{\"){throw newSyntaxError(\"Angle bracket { expected\")}getToken();parseStatements(graph);if(token!=\"}\"){throw newSyntaxError(\"Angle bracket } expected\")}getToken();if(token!==\"\"){throw newSyntaxError(\"End of file expected\")}getToken();delete graph.node;delete graph.edge;delete graph.graph;return graph}function parseStatements(graph){while(token!==\"\"&&token!=\"}\"){parseStatement(graph);if(token===\";\"){getToken()}}}function parseStatement(graph){var subgraph=parseSubgraph(graph);if(subgraph){parseEdge(graph,subgraph);return}var attr=parseAttributeStatement(graph);if(attr){return}if(tokenType!=TOKENTYPE.IDENTIFIER){throw newSyntaxError(\"Identifier expected\")}var id=token;getToken();if(token===\"=\"){getToken();if(tokenType!=TOKENTYPE.IDENTIFIER){throw newSyntaxError(\"Identifier expected\")}graph[id]=token;getToken()}else{parseNodeStatement(graph,id)}}function parseSubgraph(graph){var subgraph=null;if(token===\"subgraph\"){subgraph={};subgraph.type=\"subgraph\";getToken();if(tokenType===TOKENTYPE.IDENTIFIER){subgraph.id=token;getToken()}}if(token===\"{\"){getToken();if(!subgraph){subgraph={}}subgraph.parent=graph;subgraph.node=graph.node;subgraph.edge=graph.edge;subgraph.graph=graph.graph;parseStatements(subgraph);if(token!=\"}\"){throw newSyntaxError(\"Angle bracket } expected\")}getToken();delete subgraph.node;delete subgraph.edge;delete subgraph.graph;delete subgraph.parent;if(!graph.subgraphs){graph.subgraphs=[]}graph.subgraphs.push(subgraph)}return subgraph}function parseAttributeStatement(graph){if(token===\"node\"){getToken();graph.node=parseAttributeList();return\"node\"}else if(token===\"edge\"){getToken();graph.edge=parseAttributeList();return\"edge\"}else if(token===\"graph\"){getToken();graph.graph=parseAttributeList();return\"graph\"}return null}function parseNodeStatement(graph,id){var node={id:id};var attr=parseAttributeList();if(attr){node.attr=attr}addNode(graph,node);parseEdge(graph,id)}function parseEdge(graph,from){while(token===\"->\"||token===\"--\"){var to;var type=token;getToken();var subgraph=parseSubgraph(graph);if(subgraph){to=subgraph}else{if(tokenType!=TOKENTYPE.IDENTIFIER){throw newSyntaxError(\"Identifier or subgraph expected\")}to=token;addNode(graph,{id:to});getToken()}var attr=parseAttributeList();var edge=createEdge(graph,from,to,type,attr);addEdge(graph,edge);from=to}}function parseAttributeList(){var attr=null;while(token===\"[\"){getToken();attr={};while(token!==\"\"&&token!=\"]\"){if(tokenType!=TOKENTYPE.IDENTIFIER){throw newSyntaxError(\"Attribute name expected\")}var name=token;getToken();if(token!=\"=\"){throw newSyntaxError(\"Equal sign = expected\")}getToken();if(tokenType!=TOKENTYPE.IDENTIFIER){throw newSyntaxError(\"Attribute value expected\")}var value=token;setValue(attr,name,value);getToken();if(token==\",\"){getToken()}}if(token!=\"]\"){throw newSyntaxError(\"Bracket ] expected\")}getToken()}return attr}function newSyntaxError(message){return new SyntaxError(message+', got \"'+chop(token,30)+'\" (char '+index+\")\")}function chop(text,maxLength){return text.length<=maxLength?text:text.substr(0,27)+\"...\"}function forEach2(array1,array2,fn){if(Array.isArray(array1)){array1.forEach(function(elem1){if(Array.isArray(array2)){array2.forEach(function(elem2){fn(elem1,elem2)})}else{fn(elem1,array2)}})}else{if(Array.isArray(array2)){array2.forEach(function(elem2){fn(array1,elem2)})}else{fn(array1,array2)}}}function setProp(object,path,value){var names=path.split(\".\");var prop=names.pop();var obj=object;for(var i=0;i<names.length;i++){var name=names[i];if(!(name in obj)){obj[name]={}}obj=obj[name]}obj[prop]=value;return object}function convertAttr(attr,mapping){var converted={};for(var prop in attr){if(attr.hasOwnProperty(prop)){var visProp=mapping[prop];if(Array.isArray(visProp)){visProp.forEach(function(visPropI){setProp(converted,visPropI,attr[prop])})}else if(typeof visProp===\"string\"){setProp(converted,visProp,attr[prop])}else{setProp(converted,prop,attr[prop])}}}return converted}function DOTToGraph(data){var dotData=parseDOT(data);var graphData={nodes:[],edges:[],options:{}};if(dotData.nodes){dotData.nodes.forEach(function(dotNode){var graphNode={id:dotNode.id,label:String(dotNode.label||dotNode.id)};merge(graphNode,convertAttr(dotNode.attr,NODE_ATTR_MAPPING));if(graphNode.image){graphNode.shape=\"image\"}graphData.nodes.push(graphNode)})}if(dotData.edges){var convertEdge=function convertEdge(dotEdge){var graphEdge={from:dotEdge.from,to:dotEdge.to};merge(graphEdge,convertAttr(dotEdge.attr,EDGE_ATTR_MAPPING));graphEdge.arrows=dotEdge.type===\"->\"?\"to\":undefined;return graphEdge};dotData.edges.forEach(function(dotEdge){var from,to;if(dotEdge.from instanceof Object){from=dotEdge.from.nodes}else{from={id:dotEdge.from}}if(dotEdge.to instanceof Object){to=dotEdge.to.nodes}else{to={id:dotEdge.to}}if(dotEdge.from instanceof Object&&dotEdge.from.edges){dotEdge.from.edges.forEach(function(subEdge){var graphEdge=convertEdge(subEdge);graphData.edges.push(graphEdge)})}forEach2(from,to,function(from,to){var subEdge=createEdge(graphData,from.id,to.id,dotEdge.type,dotEdge.attr);var graphEdge=convertEdge(subEdge);graphData.edges.push(graphEdge)});if(dotEdge.to instanceof Object&&dotEdge.to.edges){dotEdge.to.edges.forEach(function(subEdge){var graphEdge=convertEdge(subEdge);graphData.edges.push(graphEdge)})}})}if(dotData.attr){graphData.options=dotData.attr}return graphData}exports.parseDOT=parseDOT;exports.DOTToGraph=DOTToGraph},function(module,exports){\"use strict\";function parseGephi(gephiJSON,optionsObj){var edges=[];var nodes=[];var options={edges:{inheritColor:false},nodes:{fixed:false,parseColor:false}};if(optionsObj!==undefined){if(optionsObj.fixed!==undefined){options.nodes.fixed=optionsObj.fixed}if(optionsObj.parseColor!==undefined){options.nodes.parseColor=optionsObj.parseColor}if(optionsObj.inheritColor!==undefined){options.edges.inheritColor=optionsObj.inheritColor}}var gEdges=gephiJSON.edges;var gNodes=gephiJSON.nodes;for(var i=0;i<gEdges.length;i++){var edge={};var gEdge=gEdges[i];edge[\"id\"]=gEdge.id;edge[\"from\"]=gEdge.source;edge[\"to\"]=gEdge.target;edge[\"attributes\"]=gEdge.attributes;edge[\"label\"]=gEdge.label;edge[\"title\"]=gEdge.attributes!==undefined?gEdge.attributes.title:undefined;if(gEdge[\"type\"]===\"Directed\"){edge[\"arrows\"]=\"to\"}if(gEdge.color&&options.inheritColor===false){edge[\"color\"]=gEdge.color}edges.push(edge)}for(var i=0;i<gNodes.length;i++){var node={};var gNode=gNodes[i];node[\"id\"]=gNode.id;node[\"attributes\"]=gNode.attributes;node[\"title\"]=gNode.title;node[\"x\"]=gNode.x;node[\"y\"]=gNode.y;node[\"label\"]=gNode.label;node[\"title\"]=gNode.attributes!==undefined?gNode.attributes.title:undefined;if(options.nodes.parseColor===true){node[\"color\"]=gNode.color}else{node[\"color\"]=gNode.color!==undefined?{background:gNode.color,border:gNode.color,highlight:{background:gNode.color,border:gNode.color},hover:{background:gNode.color,border:gNode.color}}:undefined}node[\"size\"]=gNode.size;node[\"fixed\"]=options.nodes.fixed&&gNode.x!==undefined&&gNode.y!==undefined;nodes.push(node)}return{nodes:nodes,edges:edges}}exports.parseGephi=parseGephi},function(module,exports){\"use strict\";exports[\"en\"]={edit:\"Edit\",del:\"Delete selected\",back:\"Back\",addNode:\"Add Node\",addEdge:\"Add Edge\",editNode:\"Edit Node\",editEdge:\"Edit Edge\",addDescription:\"Click in an empty space to place a new node.\",edgeDescription:\"Click on a node and drag the edge to another node to connect them.\",editEdgeDescription:\"Click on the control points and drag them to a node to connect to it.\",createEdgeError:\"Cannot link edges to a cluster.\",deleteClusterError:\"Clusters cannot be deleted.\",editClusterError:\"Clusters cannot be edited.\"};exports[\"en_EN\"]=exports[\"en\"];exports[\"en_US\"]=exports[\"en\"];exports[\"de\"]={edit:\"Editieren\",del:\"Lösche Auswahl\",back:\"Zurück\",addNode:\"Knoten hinzufügen\",addEdge:\"Kante hinzufügen\",editNode:\"Knoten editieren\",editEdge:\"Kante editieren\",addDescription:\"Klicke auf eine freie Stelle, um einen neuen Knoten zu plazieren.\",edgeDescription:\"Klicke auf einen Knoten und ziehe die Kante zu einem anderen Knoten, um diese zu verbinden.\",editEdgeDescription:\"Klicke auf die Verbindungspunkte und ziehe diese auf einen Knoten, um sie zu verbinden.\",createEdgeError:\"Es ist nicht möglich, Kanten mit Clustern zu verbinden.\",deleteClusterError:\"Cluster können nicht gelöscht werden.\",editClusterError:\"Cluster können nicht editiert werden.\"};exports[\"de_DE\"]=exports[\"de\"];exports[\"es\"]={edit:\"Editar\",del:\"Eliminar selección\",back:\"Átras\",addNode:\"Añadir nodo\",addEdge:\"Añadir arista\",editNode:\"Editar nodo\",editEdge:\"Editar arista\",addDescription:\"Haga clic en un lugar vacío para colocar un nuevo nodo.\",edgeDescription:\"Haga clic en un nodo y arrastre la arista hacia otro nodo para conectarlos.\",editEdgeDescription:\"Haga clic en un punto de control y arrastrelo a un nodo para conectarlo.\",createEdgeError:\"No se puede conectar una arista a un grupo.\",deleteClusterError:\"No es posible eliminar grupos.\",editClusterError:\"No es posible editar grupos.\"};exports[\"es_ES\"]=exports[\"es\"];exports[\"it\"]={edit:\"Modifica\",del:\"Cancella la selezione\",back:\"Indietro\",addNode:\"Aggiungi un nodo\",addEdge:\"Aggiungi un vertice\",editNode:\"Modifica il nodo\",editEdge:\"Modifica il vertice\",addDescription:\"Clicca per aggiungere un nuovo nodo\",edgeDescription:\"Clicca su un nodo e trascinalo ad un altro nodo per connetterli.\",editEdgeDescription:\"Clicca sui Punti di controllo e trascinali ad un nodo per connetterli.\",createEdgeError:\"Non si possono collegare vertici ad un cluster\",deleteClusterError:\"I cluster non possono essere cancellati\",editClusterError:\"I clusters non possono essere modificati.\"};exports[\"it_IT\"]=exports[\"it\"];exports[\"nl\"]={edit:\"Wijzigen\",del:\"Selectie verwijderen\",back:\"Terug\",addNode:\"Node toevoegen\",addEdge:\"Link toevoegen\",editNode:\"Node wijzigen\",editEdge:\"Link wijzigen\",addDescription:\"Klik op een leeg gebied om een nieuwe node te maken.\",edgeDescription:\"Klik op een node en sleep de link naar een andere node om ze te verbinden.\",editEdgeDescription:\"Klik op de verbindingspunten en sleep ze naar een node om daarmee te verbinden.\",createEdgeError:\"Kan geen link maken naar een cluster.\",deleteClusterError:\"Clusters kunnen niet worden verwijderd.\",editClusterError:\"Clusters kunnen niet worden aangepast.\"};exports[\"nl_NL\"]=exports[\"nl\"];exports[\"nl_BE\"]=exports[\"nl\"];exports[\"pt-br\"]={edit:\"Editar\",del:\"Remover selecionado\",back:\"Voltar\",addNode:\"Adicionar nó\",addEdge:\"Adicionar aresta\",editNode:\"Editar nó\",editEdge:\"Editar aresta\",addDescription:\"Clique em um espaço em branco para adicionar um novo nó\",edgeDescription:\"Clique em um nó e arraste a aresta até outro nó para conectá-los\",editEdgeDescription:\"Clique nos pontos de controle e os arraste para um nó para conectá-los\",createEdgeError:\"Não foi possível linkar arestas a um cluster.\",deleteClusterError:\"Clusters não puderam ser removidos.\",editClusterError:\"Clusters não puderam ser editados.\"};exports[\"pt-BR\"]=exports[\"pt-br\"];exports[\"pt_BR\"]=exports[\"pt-br\"];exports[\"pt_br\"]=exports[\"pt-br\"];exports[\"ru\"]={edit:\"Редактировать\",del:\"Удалить выбранное\",back:\"Назад\",addNode:\"Добавить узел\",addEdge:\"Добавить ребро\",editNode:\"Редактировать узел\",editEdge:\"Редактировать ребро\",addDescription:\"Кликните в свободное место, чтобы добавить новый узел.\",edgeDescription:\"Кликните на узел и протяните ребро к другому узлу, чтобы соединить их.\",editEdgeDescription:\"Кликните на контрольные точки и перетащите их в узел, чтобы подключиться к нему.\",createEdgeError:\"Невозможно соединить ребра в кластер.\",deleteClusterError:\"Кластеры не могут быть удалены\",editClusterError:\"Кластеры недоступны для редактирования.\"};exports[\"ru_RU\"]=exports[\"ru\"]}])});\n",
"title": "$:/plugins/felixhayashi/vis/vis.js",
"type": "application/javascript",
"module-type": "library"
}
}
}
iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAEjUlEQVRIiY2V32/aVhTH+RvW9LV/1pSHKBMpHU1cUwiJITjGxmBsjCFgfsUmxGCcOIP2YZoKk6i2apMWddVUEdRp05pVqFRW1qYVok1Q1PTsIbNLEpLlSl/5wVffz7nnnHuuzXbJAoBrB8Mj9MnO7w/0+9/1hKx8LGTl42rt296Tp88eDIZHKABcu8zjIuMvXr1+E4ul8hAR0mNFsQlLL43XsSuDPgyP5mKpPESTWYgIaUjlpM7qmtJU1E1N0+uyptdlRd3UckWlGU/lOwTDA8HwgIc5GAyHyKXm+/1BMJrMQjSZhVSu2FbUTU3Va9JlKlf1amxFbONhDvAwB3v778ix5gfDoztm1OlCcfuskbxeqYf5pIGHOQjzSUNer9RH/yfE/LafZMBPMtA/GN45m/MJyzwvnzNXN2pKMBIf4GEOTJNlmh2oGzVldB+fymxjBA0YQQMATFgAY+8NFxHSkMxK7XFpyK6WmniIhRCX6Kp6TQpxiS5G0JBdLTXP7mXjqbY3QEH3lcFZ0ZudUa7q1YsAfpKxACQT63oD1FhAuapX3T4C3D7i5BQHwyNXREiDIBY6FxUyu1pqYgQNJBPrqnpNImi26/YRYwGqXpMifKLjxnDoDw5ctl+fPmtEhDTk18qN0SjEQrFlKp2XWhhBA0GznwEYDum81BqVmQExLzfQhSX44efHTVtl636PYhNgtqS8XqmTEf7QTzKAETR4A5T1HQWgC0uALizBnHvR0t3FwKFcqtSL5Q1tzr0IGUnp2eKi9JFiE6DpdVnVaxLJxg0/yQDFCrumSIbbJRluN5oQH6t6TYomxMdLZHT3rJwuLyzgpKHpdXnOvQi+YPijLS6ufiQY3gKYkf/fBRsnB+IBB+KByuY3stPlBY8/eGyrbN3vEQxvpSgY4Q2MoGE0coJmT52A4ZPWCfwkfaIgvetAPDDvWzaK5Q3NgXggni70bNu/tRt4mLOKLJcq9QDFHHoDFLh9BLgx3Mq1L0h3Vb0m+YJ014zWgXjAfhsFuxOFr+/MHxZK5fpKTmrYb6Pw/Y8/NW2Dg6ELD3MQX8l0RrtotDuSmUJrHCCZKbSSmUJLEPMtQcy31iqbVVWvSXgo2rE7Udh/9/6uDQAmzBFw0UVL56Sm0+W1ANgy1bU7UUjnpHP3oFiuVqdvITB9C/k8LrrGHucnGWDjqbGjIp2Tmg7EA9gydQqQyq6eAwTDbHvq5iz88fxF7NSwM7uHT2XODburAkIsvz0144SpGScAwPVTE7U/GKLeAAXeAAVcQjwFUbQtBZlfGjgQD9idJwWddS0MFE1XRs0npx0w+ZUD/nnXR8e+CcbePml2Tigab4+OY7lUqc9jy4bdicI8tmwU5PX6CVxXcIppT047YHLaAS9eGuMfHHMNPgznRlszxAo7Yl5uFMsbWlW/J1X1e5KsqNpKptDAQ9Ed0/jLKTu8edu//MkcqcmNv/7uCXPuRTjV7/+lZ+rm7IlmnDA57YDOn88FALhxJfMzoOv7/ffuh49+aSSyhR7iwT4hHuxTNJHpPXj4qPF6v+8+V8wz619R8s4Pwq8F5AAAAABJRU5ErkJggg==
iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAEHUlEQVRIiZWVXU8aWRjH+Qxbe9vPY4xExwAjjMCgIy+igLYozIJDZVaxKLSoRQazI4o00XQTo2Laptkrw4WviOtLVlQCznrlZuGCG/XZi+awqIjsk/xvZs75/Z/znHOeIxJViXweXmSzVz0rX7+vef1TuT6b87bP5rz1+qdyK1+/r2WzVz35PLyoxqgY/wD8tLt3MEoZzPCUNDpjSbt7+6P5fL42o4vLv7rKQYx7ODX+YXI9yPFRPhLj+EiMC3J8dPzD5DrN/JJSU3pQU3rQ6IxwdnFprAo/OkkPlsDsaDLI8dHZuYVQNU2HZ+dpxp1ERqmjE6Yi/Cyb7UZLZz3jiefAD+ViPYk2jRbUHXo4y2a778EFQahDmbOesZrgvkBg1Tk0vNVno9PuEc9GuUmbRguCINSVDHYOjj2oLNWg7yc+rgw4XIcGY9+1QkVBm0YLChUFChUFyIRm3EmFioLN/QPPo+ynw7PzT8FtNHNUDtR1ma6dQ8Nb77y+bzhBgqXflkZ7ghMk4AT5YxUZ4cqETsuT2c8vhBUqCgh15+2gi92emA4ton/OoeEtnCCBHnRtlyWTwgkSzjOCSbT25fc4ZTCDLzAVr1Yem505UqgosA44jvlIjEPfOw3d1zhBgi8QWEXfvP5AHCdI+Ly8ui7y+qdyGp0RnjuSRrP1EidIaNea/v4YmvnER2LcxHRoUSpXQrvWcF0+NsiFo1K5ElzsWE7UO+C40eiMUJ5VNfhkkFtq1xquX1sdxzTDbkvlSrD2Ow7Lx0eiMU4qVwJlMN+ITFb6Rk3pnzSoBJfKlSCVKwGTKe5acALG30+slM/hIzGuBSeA7DTcikbGJ3NqSl+xREaz9VKhooDs6LoH1xosgrXfcdgsaQWLlT58OC/IhaPNklag37I50fLal7ia0oPXH4g/l3kLToBW1yOgMb/OL4QrrdrrC8SbJa2w+Hl5XZTJCCY1pQc74yodU/eIZ0Ohoh6VpRxeTa+t9pQYk0H6/NwsEgShTk3pQd2hL120PhudxgkS3nl938rLUgt8OhyeF2MyEGOy/9rF5v6Bp02jBZpxJ2fnFkJu1rOBEySgzawVPju3EHpj/zkpxmSwsbk7eq/ZoTbgYj0JZGLptaUZ948eU4toxp1oaJJAQ5MECoXCy3sd9TST7UF9Bpn8H9GMO1EvxqChSQKnp5meim9C6uiEQY3KPuhKzvBz/HPgGX6Of2O1J+vFGNQ3YrCb+qPyg4Pi4uKyC5lI5UoYsDn3vf5APMiFS/ckyIWjXl8g3ttv269vxADBT87Oqj+ZKIrF4qvEzt6YVK6EFpyAZklrSeiEiDEZNDRJoL4Rg43EzlixWHxVE7w8CoXCy/R5zrK0vBan37I5VbvujiA77+xONvdp6bf4n+lzy6PNfBD/Al0NiOUSdlPdAAAAAElFTkSuQmCC
iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAEHElEQVRIiZWVzU8bRxjG/Tc05Jq/qBXubpf1fM+wy669LGuzmFRVpUaJOECVtlLbHEySEoKBum4QJcEc2oZuL5wdZPnEbbU+wznO2wOMbT7ipCONtJf9Pc+87zPv5HJjVpqe3Wq+2Jt7sLSyH1XmU6ncvlRuvzQbpQ+WVvabL/bm0vTs1jjGjev09PSTrV+bK/Hil1COq1COqxBVYogqMcxGFYgqMQRhNNjPtxor6dlHCv31+p+gvLAI5YVFKMdV8IqlYyGdA8pEnTC+ShhfZULWhXQOph3/2A9C8IMQvGIAuy/3Z8fCf9vZ+0bDZ4qlNhOyTpmojdtcqI1px2t7xQC8YgCbjea9G+GtP/8Oy3EVyguL4M4Ujz4Evi4kj1yvCK5XhN//eBlegmdnZxO6JBrOhWoI6SSu53eZkIdXgUzIQyHdLhcy4UI1tMi064NULmRZNjEQ2Gw0l8txFWaKpbaG+0HY1/X1gxCU43c0XDl+Z9r1QcOkcvuECy3SlsqF1V/Wl8/dZ9mETgkXaoMyURPSSfwgBNfzu1zIRNdXOX5HKqdzAYVz97KrvykTNcLFBpcKuFTnp2js7EZRJQavWDrWDl3P7/pBCEI6yYWrRLvVP2sgFzLhUgHhojssnzrmUsGz7UaUu7+03IoqMQjltEbrq6M3ChoIDN0mXCqgXAJhYtAnwkWLcgmLX319kAuiShpVYrgaSV3n0eNzIRPCxQBOuQRMORAmO1dCUKdcAhcyzTEh3wZhBITx1atJ0fUeda3hmPIL59fgNcL4KsIUTGvq7VgBJlVH11w7HxXAlAPC5L0Chmn1c6XZKPWD8FqJRuGjNddCmPAEYQoIU8CEXRJBlNVthIFykebuLy23/CAELtSlJt8EP3dMARM+ELERBhthQJi81v8XMGlZNoL56t2DXGNnN/KDEIRyBjHlQnbfB0eYgo3wQKSAyL+WjQAhMojpVAEdWzaCJ+sb5VyWZRP6IumLNprty85ZBxPWsREGy0aAMEkQIl3TsgFhklAmaojwDcO0wLTs4bh4sra57HpFENJpXzhucKn6XCoYOh82E2HSsWwEpmWDadlgmFYfEd6gTNTsAmobpgXf/fBoZTjssmzC9Yo680dahHCRIMK7BUSuDbsCIocIkS7CJNHwqQI+MkwLDNOCXq93+9JEbe69mhvJ/P8e11MFfJQ3TMgbJjytb83d+Casbzfv6fRQLtqEibUPgTFha1/YhXbeMGEyb8BPj2o3PziDk+y+CiiXoMcAJvwNJryFKBvcE0RZHRPemkL4jXY9mTfg2XZj/JOp10mW3fm59vihbrBOjd4XjQXDtCBvmPDt9z8+PDk5ufNR8NHV6/VuP16rV+ard1uI8nTyc+Pdp59NvisgmpbnF1q1p88r15p5Zf0HUa28WQL0TioAAAAASUVORK5CYII=
iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAE30lEQVRIiZWV22scdRTH57mEZdPdNGsstVX0ISCkoIgULyiFQulep5mZ3/zm8puZncvOzuxsMtls3eZiWpI0tH/AJmKQ3ZaC9qUxm3op6IMEkSJJBF9sNe1uQR9UWm8PSY4PcWMS06QeOPweht/nfOf7O5xDUbtHqCUYcDqPds0ej56ss4q4yiri6vHoyXrn0a7ZQDDoUBQV2oOxY+wPRw5cONWdhM0ZY2lI8Mz6iRhIIAZoEcGBjsiFxy60r2VfZjM0KfBLp2U8l5BQNcqxk1GOnUxIqNqtSnOMIi3RIgJaRMAQDC2tAXtXeHB/cLAJpiW8kJBQNY5RedcU+QqryQucKgGnShCOHBjaEd4SaMk24SmJn98LnJKFy0mRv5wQ8HtxjMq8oc1jnQDWCQRaW7Pb+eH/A+9WpTk+rTSwToDXlUVaFq41i4imCsQ2gKKo8Aa9LdI23rRlLzirkY95XWkIlvZQMNM/Ko65KttmIyULH8QxKgumtqC6FkQOHRzfoj7G0hAX+cpeyqWM8TOxDejW5BtJCVfFTPobxbV+UxzzXpRjJ1MiruieDbpnr/9FIBR0YywNSYFf2ku5bJsNkjVWJFu/I5j6VylZuJwU+GktZ923er0/ohi9E8eoTLLGktnrQrAt5FKdR7tqMZYGWsK1R8EZRZyRbbOhuhYojvUDTcQr2Ex/Jhjal1xanTXzzl+aa9+JIW4qjlEZpZWa2ePCi68cm6NOJE7VEzwDj2pJRpU/km2zoTjmmuwYy4wqzzY7R3GspYyfW7N6cncZjcxEOXYyjlE5KeGq3edBNxHrFEOElQRioPlxi+dEut5UruWs+4xGZlIirsQQN4VN7Wam4P3uFH1AhnZj870ox046RR/cM/4KxRBhhRbRfwqkZOGqaKYX120x77Fp5cOUiCtRxJR5Q/3U7u/50yn6oOTsW9uFxQRu0isVoPds/yp1InaqTosIkhLesCjGo2ne0Ob/Uf6ASys3Y4ibiiFuSrDSn2T78w+9UgHMfO72TrbSslT1B4rAG6ROPf9CV40hGE4TaeOREyJ6V7bNhpZzfhEz5hdSxvia0cgMo5HrdqHngVcqgOV7y49qCt5M1wpDJXj5zdfnqGAo6DIEA6crG22aEnFFdS1Q3MztGI+mGVW+lnaz32b7/V/X4fm7u7W06XtLZ0YGoK29PUdRFBVuDqqUiCvNOaN7NkgZ/dZpIl/lDe3zTJ/3U+6tvtVMX76+64wiYqV0fhhK54f/HRftHR3jWCcgmNpCHKMyTcQrZq8LqmN9p3vOPSPvfG/53rI/UNyx2zan5ecXBsdG4PCzz1zYMuywToDYBvCGNh/HqJzOOct2nwdO0QevVAB/oAisRt7fDZ7ucecHx0ZgcGwEKIpq27oLQkGH2AaorgWiuV4kyrGTMYHbyL3gwxOjMDwxCqGOdmfHnRCORIZ0zwaz1wXZthZiPJrea7omBX7aLvoLwxOjcO7SOBx8+vDOC6cZgdbWjNnrgtnjgt3ngZazF5Gu1pISrkYRU44ipkzLUlW09FqmkF9sqj53aRxCkcjuK3NTHHryyFMXN/tfGCpBYagEpfPD0PR5cGwEhidG4Ujncxcpijr0uPDN0RZub/deeu3VGq+Tev/bZ9fOnBtcU1yrfuz4G7XwE+0etf0xt8XfLQJQnJxumcIAAAAASUVORK5CYII=
{
"tiddlers": {
"$:/plugins/inmysocks/extrafilters/angreaterthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/angreaterthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if an alphanumeric value is greater than an operand.\nHere greater than means comes after in an alphanumeric sort, so b > a and 2 > 10.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.angreaterthan = function(source,operator,options) {\n\tvar results = [],\n\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(text.toLowerCase() <= operator.operand.toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(text.toLowerCase() > operator.operand.toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/angreaterthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/inmysocks/extrafilters/anlessthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/anlessthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if an alphanumeric value is less than an operand. `lessthan` in this case means 'comes before in an alphabetical sort'.\nSo a < b and 10 < 2\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.anlessthan = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(text.toLowerCase() >= operator.operand.toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(text.toLowerCase() < operator.operand.toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/anlessthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/inmysocks/extrafilters/greaterthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/greaterthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a numeric value is greater than an operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.greaterthan = function(source,operator,options) {\n\tvar results = [],\n\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) <= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) > Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/greaterthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/inmysocks/extrafilters/greatereqthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/greatereqthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a numeric value is equal or greater than an operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.greatereqthan = function(source,operator,options) {\n\tvar results = [],\n\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) <= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) >= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/greatereqthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/inmysocks/extrafilters/lessthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/lessthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a numeric value is less than an operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.lessthan = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) >= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) < Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/lessthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/inmysocks/extrafilters/lesseqthan.js": {
"text": "/*\\\ntitle: $:/plugins/inmysocks/extrafilters/lesseqthan.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a numeric value is equal or less than an operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.lesseqthan = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) >= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\tif(Number(text) <= Number(operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/inmysocks/extrafilters/lesseqthan.js",
"type": "application/javascript",
"module-type": "filteroperator"
}
}
}
/*\
title: $:/plugins/inmysocks/extrafilters/greatereqthan.js
type: application/javascript
module-type: filteroperator
Filter operator for checking if a numeric value is equal or greater than an operand
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
/*
Export our filter function
*/
exports.greatereqthan = function(source,operator,options) {
var results = [],
fieldname = (operator.suffix || "title").toLowerCase();
if(operator.prefix === "!") {
source(function(tiddler,title) {
if(tiddler) {
var text = tiddler.getFieldString(fieldname);
if(Number(text) <= Number(operator.operand)) {
results.push(title);
}
} else {
results.push(title);
}
});
} else {
source(function(tiddler,title) {
if(tiddler) {
var text = tiddler.getFieldString(fieldname);
if(Number(text) >= Number(operator.operand)) {
results.push(title);
}
}
});
}
return results;
};
})();
/*\
title: $:/plugins/inmysocks/extrafilters/lesseqthan.js
type: application/javascript
module-type: filteroperator
Filter operator for checking if a numeric value is equal or less than an operand
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
/*
Export our filter function
*/
exports.lesseqthan = function(source,operator,options) {
var results = [],
fieldname = (operator.suffix || "title").toLowerCase();
if(operator.prefix === "!") {
source(function(tiddler,title) {
if(tiddler) {
var text = tiddler.getFieldString(fieldname);
if(Number(text) >= Number(operator.operand)) {
results.push(title);
}
} else {
results.push(title);
}
});
} else {
source(function(tiddler,title) {
if(tiddler) {
var text = tiddler.getFieldString(fieldname);
if(Number(text) <= Number(operator.operand)) {
results.push(title);
}
}
});
}
return results;
};
})();
{
"tiddlers": {
"$:/plugins/kixam/moment/moment.js": {
"text": "!function(a,b){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=b():\"function\"==typeof define&&define.amd?define(b):a.moment=b()}(this,function(){\"use strict\";function a(){return re.apply(null,arguments)}function b(a){re=a}function c(a){return a instanceof Array||\"[object Array]\"===Object.prototype.toString.call(a)}function d(a){return null!=a&&\"[object Object]\"===Object.prototype.toString.call(a)}function e(a){var b;for(b in a)return!1;return!0}function f(a){return void 0===a}function g(a){return\"number\"==typeof a||\"[object Number]\"===Object.prototype.toString.call(a)}function h(a){return a instanceof Date||\"[object Date]\"===Object.prototype.toString.call(a)}function i(a,b){var c,d=[];for(c=0;c<a.length;++c)d.push(b(a[c],c));return d}function j(a,b){return Object.prototype.hasOwnProperty.call(a,b)}function k(a,b){for(var c in b)j(b,c)&&(a[c]=b[c]);return j(b,\"toString\")&&(a.toString=b.toString),j(b,\"valueOf\")&&(a.valueOf=b.valueOf),a}function l(a,b,c,d){return sb(a,b,c,d,!0).utc()}function m(){return{empty:!1,unusedTokens:[],unusedInput:[],overflow:-2,charsLeftOver:0,nullInput:!1,invalidMonth:null,invalidFormat:!1,userInvalidated:!1,iso:!1,parsedDateParts:[],meridiem:null,rfc2822:!1,weekdayMismatch:!1}}function n(a){return null==a._pf&&(a._pf=m()),a._pf}function o(a){if(null==a._isValid){var b=n(a),c=te.call(b.parsedDateParts,function(a){return null!=a}),d=!isNaN(a._d.getTime())&&b.overflow<0&&!b.empty&&!b.invalidMonth&&!b.invalidWeekday&&!b.nullInput&&!b.invalidFormat&&!b.userInvalidated&&(!b.meridiem||b.meridiem&&c);if(a._strict&&(d=d&&0===b.charsLeftOver&&0===b.unusedTokens.length&&void 0===b.bigHour),null!=Object.isFrozen&&Object.isFrozen(a))return d;a._isValid=d}return a._isValid}function p(a){var b=l(NaN);return null!=a?k(n(b),a):n(b).userInvalidated=!0,b}function q(a,b){var c,d,e;if(f(b._isAMomentObject)||(a._isAMomentObject=b._isAMomentObject),f(b._i)||(a._i=b._i),f(b._f)||(a._f=b._f),f(b._l)||(a._l=b._l),f(b._strict)||(a._strict=b._strict),f(b._tzm)||(a._tzm=b._tzm),f(b._isUTC)||(a._isUTC=b._isUTC),f(b._offset)||(a._offset=b._offset),f(b._pf)||(a._pf=n(b)),f(b._locale)||(a._locale=b._locale),ue.length>0)for(c=0;c<ue.length;c++)d=ue[c],e=b[d],f(e)||(a[d]=e);return a}function r(b){q(this,b),this._d=new Date(null!=b._d?b._d.getTime():NaN),this.isValid()||(this._d=new Date(NaN)),ve===!1&&(ve=!0,a.updateOffset(this),ve=!1)}function s(a){return a instanceof r||null!=a&&null!=a._isAMomentObject}function t(a){return a<0?Math.ceil(a)||0:Math.floor(a)}function u(a){var b=+a,c=0;return 0!==b&&isFinite(b)&&(c=t(b)),c}function v(a,b,c){var d,e=Math.min(a.length,b.length),f=Math.abs(a.length-b.length),g=0;for(d=0;d<e;d++)(c&&a[d]!==b[d]||!c&&u(a[d])!==u(b[d]))&&g++;return g+f}function w(b){a.suppressDeprecationWarnings===!1&&\"undefined\"!=typeof console&&console.warn&&console.warn(\"Deprecation warning: \"+b)}function x(b,c){var d=!0;return k(function(){if(null!=a.deprecationHandler&&a.deprecationHandler(null,b),d){for(var e,f=[],g=0;g<arguments.length;g++){if(e=\"\",\"object\"==typeof arguments[g]){e+=\"\\n[\"+g+\"] \";for(var h in arguments[0])e+=h+\": \"+arguments[0][h]+\", \";e=e.slice(0,-2)}else e=arguments[g];f.push(e)}w(b+\"\\nArguments: \"+Array.prototype.slice.call(f).join(\"\")+\"\\n\"+(new Error).stack),d=!1}return c.apply(this,arguments)},c)}function y(b,c){null!=a.deprecationHandler&&a.deprecationHandler(b,c),we[b]||(w(c),we[b]=!0)}function z(a){return a instanceof Function||\"[object Function]\"===Object.prototype.toString.call(a)}function A(a){var b,c;for(c in a)b=a[c],z(b)?this[c]=b:this[\"_\"+c]=b;this._config=a,this._dayOfMonthOrdinalParseLenient=new RegExp((this._dayOfMonthOrdinalParse.source||this._ordinalParse.source)+\"|\"+/\\d{1,2}/.source)}function B(a,b){var c,e=k({},a);for(c in b)j(b,c)&&(d(a[c])&&d(b[c])?(e[c]={},k(e[c],a[c]),k(e[c],b[c])):null!=b[c]?e[c]=b[c]:delete e[c]);for(c in a)j(a,c)&&!j(b,c)&&d(a[c])&&(e[c]=k({},e[c]));return e}function C(a){null!=a&&this.set(a)}function D(a,b,c){var d=this._calendar[a]||this._calendar.sameElse;return z(d)?d.call(b,c):d}function E(a){var b=this._longDateFormat[a],c=this._longDateFormat[a.toUpperCase()];return b||!c?b:(this._longDateFormat[a]=c.replace(/MMMM|MM|DD|dddd/g,function(a){return a.slice(1)}),this._longDateFormat[a])}function F(){return this._invalidDate}function G(a){return this._ordinal.replace(\"%d\",a)}function H(a,b,c,d){var e=this._relativeTime[c];return z(e)?e(a,b,c,d):e.replace(/%d/i,a)}function I(a,b){var c=this._relativeTime[a>0?\"future\":\"past\"];return z(c)?c(b):c.replace(/%s/i,b)}function J(a,b){var c=a.toLowerCase();Ge[c]=Ge[c+\"s\"]=Ge[b]=a}function K(a){return\"string\"==typeof a?Ge[a]||Ge[a.toLowerCase()]:void 0}function L(a){var b,c,d={};for(c in a)j(a,c)&&(b=K(c),b&&(d[b]=a[c]));return d}function M(a,b){He[a]=b}function N(a){var b=[];for(var c in a)b.push({unit:c,priority:He[c]});return b.sort(function(a,b){return a.priority-b.priority}),b}function O(b,c){return function(d){return null!=d?(Q(this,b,d),a.updateOffset(this,c),this):P(this,b)}}function P(a,b){return a.isValid()?a._d[\"get\"+(a._isUTC?\"UTC\":\"\")+b]():NaN}function Q(a,b,c){a.isValid()&&a._d[\"set\"+(a._isUTC?\"UTC\":\"\")+b](c)}function R(a){return a=K(a),z(this[a])?this[a]():this}function S(a,b){if(\"object\"==typeof a){a=L(a);for(var c=N(a),d=0;d<c.length;d++)this[c[d].unit](a[c[d].unit])}else if(a=K(a),z(this[a]))return this[a](b);return this}function T(a,b,c){var d=\"\"+Math.abs(a),e=b-d.length,f=a>=0;return(f?c?\"+\":\"\":\"-\")+Math.pow(10,Math.max(0,e)).toString().substr(1)+d}function U(a,b,c,d){var e=d;\"string\"==typeof d&&(e=function(){return this[d]()}),a&&(Le[a]=e),b&&(Le[b[0]]=function(){return T(e.apply(this,arguments),b[1],b[2])}),c&&(Le[c]=function(){return this.localeData().ordinal(e.apply(this,arguments),a)})}function V(a){return a.match(/\\[[\\s\\S]/)?a.replace(/^\\[|\\]$/g,\"\"):a.replace(/\\\\/g,\"\")}function W(a){var b,c,d=a.match(Ie);for(b=0,c=d.length;b<c;b++)Le[d[b]]?d[b]=Le[d[b]]:d[b]=V(d[b]);return function(b){var e,f=\"\";for(e=0;e<c;e++)f+=z(d[e])?d[e].call(b,a):d[e];return f}}function X(a,b){return a.isValid()?(b=Y(b,a.localeData()),Ke[b]=Ke[b]||W(b),Ke[b](a)):a.localeData().invalidDate()}function Y(a,b){function c(a){return b.longDateFormat(a)||a}var d=5;for(Je.lastIndex=0;d>=0&&Je.test(a);)a=a.replace(Je,c),Je.lastIndex=0,d-=1;return a}function Z(a,b,c){bf[a]=z(b)?b:function(a,d){return a&&c?c:b}}function $(a,b){return j(bf,a)?bf[a](b._strict,b._locale):new RegExp(_(a))}function _(a){return aa(a.replace(\"\\\\\",\"\").replace(/\\\\(\\[)|\\\\(\\])|\\[([^\\]\\[]*)\\]|\\\\(.)/g,function(a,b,c,d,e){return b||c||d||e}))}function aa(a){return a.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g,\"\\\\$&\")}function ba(a,b){var c,d=b;for(\"string\"==typeof a&&(a=[a]),g(b)&&(d=function(a,c){c[b]=u(a)}),c=0;c<a.length;c++)cf[a[c]]=d}function ca(a,b){ba(a,function(a,c,d,e){d._w=d._w||{},b(a,d._w,d,e)})}function da(a,b,c){null!=b&&j(cf,a)&&cf[a](b,c._a,c,a)}function ea(a,b){return new Date(Date.UTC(a,b+1,0)).getUTCDate()}function fa(a,b){return a?c(this._months)?this._months[a.month()]:this._months[(this._months.isFormat||of).test(b)?\"format\":\"standalone\"][a.month()]:c(this._months)?this._months:this._months.standalone}function ga(a,b){return a?c(this._monthsShort)?this._monthsShort[a.month()]:this._monthsShort[of.test(b)?\"format\":\"standalone\"][a.month()]:c(this._monthsShort)?this._monthsShort:this._monthsShort.standalone}function ha(a,b,c){var d,e,f,g=a.toLocaleLowerCase();if(!this._monthsParse)for(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[],d=0;d<12;++d)f=l([2e3,d]),this._shortMonthsParse[d]=this.monthsShort(f,\"\").toLocaleLowerCase(),this._longMonthsParse[d]=this.months(f,\"\").toLocaleLowerCase();return c?\"MMM\"===b?(e=nf.call(this._shortMonthsParse,g),e!==-1?e:null):(e=nf.call(this._longMonthsParse,g),e!==-1?e:null):\"MMM\"===b?(e=nf.call(this._shortMonthsParse,g),e!==-1?e:(e=nf.call(this._longMonthsParse,g),e!==-1?e:null)):(e=nf.call(this._longMonthsParse,g),e!==-1?e:(e=nf.call(this._shortMonthsParse,g),e!==-1?e:null))}function ia(a,b,c){var d,e,f;if(this._monthsParseExact)return ha.call(this,a,b,c);for(this._monthsParse||(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[]),d=0;d<12;d++){if(e=l([2e3,d]),c&&!this._longMonthsParse[d]&&(this._longMonthsParse[d]=new RegExp(\"^\"+this.months(e,\"\").replace(\".\",\"\")+\"$\",\"i\"),this._shortMonthsParse[d]=new RegExp(\"^\"+this.monthsShort(e,\"\").replace(\".\",\"\")+\"$\",\"i\")),c||this._monthsParse[d]||(f=\"^\"+this.months(e,\"\")+\"|^\"+this.monthsShort(e,\"\"),this._monthsParse[d]=new RegExp(f.replace(\".\",\"\"),\"i\")),c&&\"MMMM\"===b&&this._longMonthsParse[d].test(a))return d;if(c&&\"MMM\"===b&&this._shortMonthsParse[d].test(a))return d;if(!c&&this._monthsParse[d].test(a))return d}}function ja(a,b){var c;if(!a.isValid())return a;if(\"string\"==typeof b)if(/^\\d+$/.test(b))b=u(b);else if(b=a.localeData().monthsParse(b),!g(b))return a;return c=Math.min(a.date(),ea(a.year(),b)),a._d[\"set\"+(a._isUTC?\"UTC\":\"\")+\"Month\"](b,c),a}function ka(b){return null!=b?(ja(this,b),a.updateOffset(this,!0),this):P(this,\"Month\")}function la(){return ea(this.year(),this.month())}function ma(a){return this._monthsParseExact?(j(this,\"_monthsRegex\")||oa.call(this),a?this._monthsShortStrictRegex:this._monthsShortRegex):(j(this,\"_monthsShortRegex\")||(this._monthsShortRegex=rf),this._monthsShortStrictRegex&&a?this._monthsShortStrictRegex:this._monthsShortRegex)}function na(a){return this._monthsParseExact?(j(this,\"_monthsRegex\")||oa.call(this),a?this._monthsStrictRegex:this._monthsRegex):(j(this,\"_monthsRegex\")||(this._monthsRegex=sf),this._monthsStrictRegex&&a?this._monthsStrictRegex:this._monthsRegex)}function oa(){function a(a,b){return b.length-a.length}var b,c,d=[],e=[],f=[];for(b=0;b<12;b++)c=l([2e3,b]),d.push(this.monthsShort(c,\"\")),e.push(this.months(c,\"\")),f.push(this.months(c,\"\")),f.push(this.monthsShort(c,\"\"));for(d.sort(a),e.sort(a),f.sort(a),b=0;b<12;b++)d[b]=aa(d[b]),e[b]=aa(e[b]);for(b=0;b<24;b++)f[b]=aa(f[b]);this._monthsRegex=new RegExp(\"^(\"+f.join(\"|\")+\")\",\"i\"),this._monthsShortRegex=this._monthsRegex,this._monthsStrictRegex=new RegExp(\"^(\"+e.join(\"|\")+\")\",\"i\"),this._monthsShortStrictRegex=new RegExp(\"^(\"+d.join(\"|\")+\")\",\"i\")}function pa(a){return qa(a)?366:365}function qa(a){return a%4===0&&a%100!==0||a%400===0}function ra(){return qa(this.year())}function sa(a,b,c,d,e,f,g){var h=new Date(a,b,c,d,e,f,g);return a<100&&a>=0&&isFinite(h.getFullYear())&&h.setFullYear(a),h}function ta(a){var b=new Date(Date.UTC.apply(null,arguments));return a<100&&a>=0&&isFinite(b.getUTCFullYear())&&b.setUTCFullYear(a),b}function ua(a,b,c){var d=7+b-c,e=(7+ta(a,0,d).getUTCDay()-b)%7;return-e+d-1}function va(a,b,c,d,e){var f,g,h=(7+c-d)%7,i=ua(a,d,e),j=1+7*(b-1)+h+i;return j<=0?(f=a-1,g=pa(f)+j):j>pa(a)?(f=a+1,g=j-pa(a)):(f=a,g=j),{year:f,dayOfYear:g}}function wa(a,b,c){var d,e,f=ua(a.year(),b,c),g=Math.floor((a.dayOfYear()-f-1)/7)+1;return g<1?(e=a.year()-1,d=g+xa(e,b,c)):g>xa(a.year(),b,c)?(d=g-xa(a.year(),b,c),e=a.year()+1):(e=a.year(),d=g),{week:d,year:e}}function xa(a,b,c){var d=ua(a,b,c),e=ua(a+1,b,c);return(pa(a)-d+e)/7}function ya(a){return wa(a,this._week.dow,this._week.doy).week}function za(){return this._week.dow}function Aa(){return this._week.doy}function Ba(a){var b=this.localeData().week(this);return null==a?b:this.add(7*(a-b),\"d\")}function Ca(a){var b=wa(this,1,4).week;return null==a?b:this.add(7*(a-b),\"d\")}function Da(a,b){return\"string\"!=typeof a?a:isNaN(a)?(a=b.weekdaysParse(a),\"number\"==typeof a?a:null):parseInt(a,10)}function Ea(a,b){return\"string\"==typeof a?b.weekdaysParse(a)%7||7:isNaN(a)?null:a}function Fa(a,b){return a?c(this._weekdays)?this._weekdays[a.day()]:this._weekdays[this._weekdays.isFormat.test(b)?\"format\":\"standalone\"][a.day()]:c(this._weekdays)?this._weekdays:this._weekdays.standalone}function Ga(a){return a?this._weekdaysShort[a.day()]:this._weekdaysShort}function Ha(a){return a?this._weekdaysMin[a.day()]:this._weekdaysMin}function Ia(a,b,c){var d,e,f,g=a.toLocaleLowerCase();if(!this._weekdaysParse)for(this._weekdaysParse=[],this._shortWeekdaysParse=[],this._minWeekdaysParse=[],d=0;d<7;++d)f=l([2e3,1]).day(d),this._minWeekdaysParse[d]=this.weekdaysMin(f,\"\").toLocaleLowerCase(),this._shortWeekdaysParse[d]=this.weekdaysShort(f,\"\").toLocaleLowerCase(),this._weekdaysParse[d]=this.weekdays(f,\"\").toLocaleLowerCase();return c?\"dddd\"===b?(e=nf.call(this._weekdaysParse,g),e!==-1?e:null):\"ddd\"===b?(e=nf.call(this._shortWeekdaysParse,g),e!==-1?e:null):(e=nf.call(this._minWeekdaysParse,g),e!==-1?e:null):\"dddd\"===b?(e=nf.call(this._weekdaysParse,g),e!==-1?e:(e=nf.call(this._shortWeekdaysParse,g),e!==-1?e:(e=nf.call(this._minWeekdaysParse,g),e!==-1?e:null))):\"ddd\"===b?(e=nf.call(this._shortWeekdaysParse,g),e!==-1?e:(e=nf.call(this._weekdaysParse,g),e!==-1?e:(e=nf.call(this._minWeekdaysParse,g),e!==-1?e:null))):(e=nf.call(this._minWeekdaysParse,g),e!==-1?e:(e=nf.call(this._weekdaysParse,g),e!==-1?e:(e=nf.call(this._shortWeekdaysParse,g),e!==-1?e:null)))}function Ja(a,b,c){var d,e,f;if(this._weekdaysParseExact)return Ia.call(this,a,b,c);for(this._weekdaysParse||(this._weekdaysParse=[],this._minWeekdaysParse=[],this._shortWeekdaysParse=[],this._fullWeekdaysParse=[]),d=0;d<7;d++){if(e=l([2e3,1]).day(d),c&&!this._fullWeekdaysParse[d]&&(this._fullWeekdaysParse[d]=new RegExp(\"^\"+this.weekdays(e,\"\").replace(\".\",\".?\")+\"$\",\"i\"),this._shortWeekdaysParse[d]=new RegExp(\"^\"+this.weekdaysShort(e,\"\").replace(\".\",\".?\")+\"$\",\"i\"),this._minWeekdaysParse[d]=new RegExp(\"^\"+this.weekdaysMin(e,\"\").replace(\".\",\".?\")+\"$\",\"i\")),this._weekdaysParse[d]||(f=\"^\"+this.weekdays(e,\"\")+\"|^\"+this.weekdaysShort(e,\"\")+\"|^\"+this.weekdaysMin(e,\"\"),this._weekdaysParse[d]=new RegExp(f.replace(\".\",\"\"),\"i\")),c&&\"dddd\"===b&&this._fullWeekdaysParse[d].test(a))return d;if(c&&\"ddd\"===b&&this._shortWeekdaysParse[d].test(a))return d;if(c&&\"dd\"===b&&this._minWeekdaysParse[d].test(a))return d;if(!c&&this._weekdaysParse[d].test(a))return d}}function Ka(a){if(!this.isValid())return null!=a?this:NaN;var b=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=a?(a=Da(a,this.localeData()),this.add(a-b,\"d\")):b}function La(a){if(!this.isValid())return null!=a?this:NaN;var b=(this.day()+7-this.localeData()._week.dow)%7;return null==a?b:this.add(a-b,\"d\")}function Ma(a){if(!this.isValid())return null!=a?this:NaN;if(null!=a){var b=Ea(a,this.localeData());return this.day(this.day()%7?b:b-7)}return this.day()||7}function Na(a){return this._weekdaysParseExact?(j(this,\"_weekdaysRegex\")||Qa.call(this),a?this._weekdaysStrictRegex:this._weekdaysRegex):(j(this,\"_weekdaysRegex\")||(this._weekdaysRegex=yf),this._weekdaysStrictRegex&&a?this._weekdaysStrictRegex:this._weekdaysRegex)}function Oa(a){return this._weekdaysParseExact?(j(this,\"_weekdaysRegex\")||Qa.call(this),a?this._weekdaysShortStrictRegex:this._weekdaysShortRegex):(j(this,\"_weekdaysShortRegex\")||(this._weekdaysShortRegex=zf),this._weekdaysShortStrictRegex&&a?this._weekdaysShortStrictRegex:this._weekdaysShortRegex)}function Pa(a){return this._weekdaysParseExact?(j(this,\"_weekdaysRegex\")||Qa.call(this),a?this._weekdaysMinStrictRegex:this._weekdaysMinRegex):(j(this,\"_weekdaysMinRegex\")||(this._weekdaysMinRegex=Af),this._weekdaysMinStrictRegex&&a?this._weekdaysMinStrictRegex:this._weekdaysMinRegex)}function Qa(){function a(a,b){return b.length-a.length}var b,c,d,e,f,g=[],h=[],i=[],j=[];for(b=0;b<7;b++)c=l([2e3,1]).day(b),d=this.weekdaysMin(c,\"\"),e=this.weekdaysShort(c,\"\"),f=this.weekdays(c,\"\"),g.push(d),h.push(e),i.push(f),j.push(d),j.push(e),j.push(f);for(g.sort(a),h.sort(a),i.sort(a),j.sort(a),b=0;b<7;b++)h[b]=aa(h[b]),i[b]=aa(i[b]),j[b]=aa(j[b]);this._weekdaysRegex=new RegExp(\"^(\"+j.join(\"|\")+\")\",\"i\"),this._weekdaysShortRegex=this._weekdaysRegex,this._weekdaysMinRegex=this._weekdaysRegex,this._weekdaysStrictRegex=new RegExp(\"^(\"+i.join(\"|\")+\")\",\"i\"),this._weekdaysShortStrictRegex=new RegExp(\"^(\"+h.join(\"|\")+\")\",\"i\"),this._weekdaysMinStrictRegex=new RegExp(\"^(\"+g.join(\"|\")+\")\",\"i\")}function Ra(){return this.hours()%12||12}function Sa(){return this.hours()||24}function Ta(a,b){U(a,0,0,function(){return this.localeData().meridiem(this.hours(),this.minutes(),b)})}function Ua(a,b){return b._meridiemParse}function Va(a){return\"p\"===(a+\"\").toLowerCase().charAt(0)}function Wa(a,b,c){return a>11?c?\"pm\":\"PM\":c?\"am\":\"AM\"}function Xa(a){return a?a.toLowerCase().replace(\"_\",\"-\"):a}function Ya(a){for(var b,c,d,e,f=0;f<a.length;){for(e=Xa(a[f]).split(\"-\"),b=e.length,c=Xa(a[f+1]),c=c?c.split(\"-\"):null;b>0;){if(d=Za(e.slice(0,b).join(\"-\")))return d;if(c&&c.length>=b&&v(e,c,!0)>=b-1)break;b--}f++}return null}function Za(a){var b=null;if(!Ff[a]&&\"undefined\"!=typeof module&&module&&module.exports)try{b=Bf._abbr,require(\"./locale/\"+a),$a(b)}catch(a){}return Ff[a]}function $a(a,b){var c;return a&&(c=f(b)?bb(a):_a(a,b),c&&(Bf=c)),Bf._abbr}function _a(a,b){if(null!==b){var c=Ef;if(b.abbr=a,null!=Ff[a])y(\"defineLocaleOverride\",\"use moment.updateLocale(localeName, config) to change an existing locale. moment.defineLocale(localeName, config) should only be used for creating a new locale See http://momentjs.com/guides/#/warnings/define-locale/ for more info.\"),c=Ff[a]._config;else if(null!=b.parentLocale){if(null==Ff[b.parentLocale])return Gf[b.parentLocale]||(Gf[b.parentLocale]=[]),Gf[b.parentLocale].push({name:a,config:b}),null;c=Ff[b.parentLocale]._config}return Ff[a]=new C(B(c,b)),Gf[a]&&Gf[a].forEach(function(a){_a(a.name,a.config)}),$a(a),Ff[a]}return delete Ff[a],null}function ab(a,b){if(null!=b){var c,d=Ef;null!=Ff[a]&&(d=Ff[a]._config),b=B(d,b),c=new C(b),c.parentLocale=Ff[a],Ff[a]=c,$a(a)}else null!=Ff[a]&&(null!=Ff[a].parentLocale?Ff[a]=Ff[a].parentLocale:null!=Ff[a]&&delete Ff[a]);return Ff[a]}function bb(a){var b;if(a&&a._locale&&a._locale._abbr&&(a=a._locale._abbr),!a)return Bf;if(!c(a)){if(b=Za(a))return b;a=[a]}return Ya(a)}function cb(){return ze(Ff)}function db(a){var b,c=a._a;return c&&n(a).overflow===-2&&(b=c[ef]<0||c[ef]>11?ef:c[ff]<1||c[ff]>ea(c[df],c[ef])?ff:c[gf]<0||c[gf]>24||24===c[gf]&&(0!==c[hf]||0!==c[jf]||0!==c[kf])?gf:c[hf]<0||c[hf]>59?hf:c[jf]<0||c[jf]>59?jf:c[kf]<0||c[kf]>999?kf:-1,n(a)._overflowDayOfYear&&(b<df||b>ff)&&(b=ff),n(a)._overflowWeeks&&b===-1&&(b=lf),n(a)._overflowWeekday&&b===-1&&(b=mf),n(a).overflow=b),a}function eb(a){var b,c,d,e,f,g,h=a._i,i=Hf.exec(h)||If.exec(h);if(i){for(n(a).iso=!0,b=0,c=Kf.length;b<c;b++)if(Kf[b][1].exec(i[1])){e=Kf[b][0],d=Kf[b][2]!==!1;break}if(null==e)return void(a._isValid=!1);if(i[3]){for(b=0,c=Lf.length;b<c;b++)if(Lf[b][1].exec(i[3])){f=(i[2]||\" \")+Lf[b][0];break}if(null==f)return void(a._isValid=!1)}if(!d&&null!=f)return void(a._isValid=!1);if(i[4]){if(!Jf.exec(i[4]))return void(a._isValid=!1);g=\"Z\"}a._f=e+(f||\"\")+(g||\"\"),lb(a)}else a._isValid=!1}function fb(a){var b,c,d,e,f,g,h,i,j={\" GMT\":\" +0000\",\" EDT\":\" -0400\",\" EST\":\" -0500\",\" CDT\":\" -0500\",\" CST\":\" -0600\",\" MDT\":\" -0600\",\" MST\":\" -0700\",\" PDT\":\" -0700\",\" PST\":\" -0800\"},k=\"YXWVUTSRQPONZABCDEFGHIKLM\";if(b=a._i.replace(/\\([^\\)]*\\)|[\\n\\t]/g,\" \").replace(/(\\s\\s+)/g,\" \").replace(/^\\s|\\s$/g,\"\"),c=Nf.exec(b)){if(d=c[1]?\"ddd\"+(5===c[1].length?\", \":\" \"):\"\",e=\"D MMM \"+(c[2].length>10?\"YYYY \":\"YY \"),f=\"HH:mm\"+(c[4]?\":ss\":\"\"),c[1]){var l=new Date(c[2]),m=[\"Sun\",\"Mon\",\"Tue\",\"Wed\",\"Thu\",\"Fri\",\"Sat\"][l.getDay()];if(c[1].substr(0,3)!==m)return n(a).weekdayMismatch=!0,void(a._isValid=!1)}switch(c[5].length){case 2:0===i?h=\" +0000\":(i=k.indexOf(c[5][1].toUpperCase())-12,h=(i<0?\" -\":\" +\")+(\"\"+i).replace(/^-?/,\"0\").match(/..$/)[0]+\"00\");break;case 4:h=j[c[5]];break;default:h=j[\" GMT\"]}c[5]=h,a._i=c.splice(1).join(\"\"),g=\" ZZ\",a._f=d+e+f+g,lb(a),n(a).rfc2822=!0}else a._isValid=!1}function gb(b){var c=Mf.exec(b._i);return null!==c?void(b._d=new Date(+c[1])):(eb(b),void(b._isValid===!1&&(delete b._isValid,fb(b),b._isValid===!1&&(delete b._isValid,a.createFromInputFallback(b)))))}function hb(a,b,c){return null!=a?a:null!=b?b:c}function ib(b){var c=new Date(a.now());return b._useUTC?[c.getUTCFullYear(),c.getUTCMonth(),c.getUTCDate()]:[c.getFullYear(),c.getMonth(),c.getDate()]}function jb(a){var b,c,d,e,f=[];if(!a._d){for(d=ib(a),a._w&&null==a._a[ff]&&null==a._a[ef]&&kb(a),null!=a._dayOfYear&&(e=hb(a._a[df],d[df]),(a._dayOfYear>pa(e)||0===a._dayOfYear)&&(n(a)._overflowDayOfYear=!0),c=ta(e,0,a._dayOfYear),a._a[ef]=c.getUTCMonth(),a._a[ff]=c.getUTCDate()),b=0;b<3&&null==a._a[b];++b)a._a[b]=f[b]=d[b];for(;b<7;b++)a._a[b]=f[b]=null==a._a[b]?2===b?1:0:a._a[b];24===a._a[gf]&&0===a._a[hf]&&0===a._a[jf]&&0===a._a[kf]&&(a._nextDay=!0,a._a[gf]=0),a._d=(a._useUTC?ta:sa).apply(null,f),null!=a._tzm&&a._d.setUTCMinutes(a._d.getUTCMinutes()-a._tzm),a._nextDay&&(a._a[gf]=24)}}function kb(a){var b,c,d,e,f,g,h,i;if(b=a._w,null!=b.GG||null!=b.W||null!=b.E)f=1,g=4,c=hb(b.GG,a._a[df],wa(tb(),1,4).year),d=hb(b.W,1),e=hb(b.E,1),(e<1||e>7)&&(i=!0);else{f=a._locale._week.dow,g=a._locale._week.doy;var j=wa(tb(),f,g);c=hb(b.gg,a._a[df],j.year),d=hb(b.w,j.week),null!=b.d?(e=b.d,(e<0||e>6)&&(i=!0)):null!=b.e?(e=b.e+f,(b.e<0||b.e>6)&&(i=!0)):e=f}d<1||d>xa(c,f,g)?n(a)._overflowWeeks=!0:null!=i?n(a)._overflowWeekday=!0:(h=va(c,d,e,f,g),a._a[df]=h.year,a._dayOfYear=h.dayOfYear)}function lb(b){if(b._f===a.ISO_8601)return void eb(b);if(b._f===a.RFC_2822)return void fb(b);b._a=[],n(b).empty=!0;var c,d,e,f,g,h=\"\"+b._i,i=h.length,j=0;for(e=Y(b._f,b._locale).match(Ie)||[],c=0;c<e.length;c++)f=e[c],d=(h.match($(f,b))||[])[0],d&&(g=h.substr(0,h.indexOf(d)),g.length>0&&n(b).unusedInput.push(g),h=h.slice(h.indexOf(d)+d.length),j+=d.length),Le[f]?(d?n(b).empty=!1:n(b).unusedTokens.push(f),da(f,d,b)):b._strict&&!d&&n(b).unusedTokens.push(f);n(b).charsLeftOver=i-j,h.length>0&&n(b).unusedInput.push(h),b._a[gf]<=12&&n(b).bigHour===!0&&b._a[gf]>0&&(n(b).bigHour=void 0),n(b).parsedDateParts=b._a.slice(0),n(b).meridiem=b._meridiem,b._a[gf]=mb(b._locale,b._a[gf],b._meridiem),jb(b),db(b)}function mb(a,b,c){var d;return null==c?b:null!=a.meridiemHour?a.meridiemHour(b,c):null!=a.isPM?(d=a.isPM(c),d&&b<12&&(b+=12),d||12!==b||(b=0),b):b}function nb(a){var b,c,d,e,f;if(0===a._f.length)return n(a).invalidFormat=!0,void(a._d=new Date(NaN));for(e=0;e<a._f.length;e++)f=0,b=q({},a),null!=a._useUTC&&(b._useUTC=a._useUTC),b._f=a._f[e],lb(b),o(b)&&(f+=n(b).charsLeftOver,f+=10*n(b).unusedTokens.length,n(b).score=f,(null==d||f<d)&&(d=f,c=b));k(a,c||b)}function ob(a){if(!a._d){var b=L(a._i);a._a=i([b.year,b.month,b.day||b.date,b.hour,b.minute,b.second,b.millisecond],function(a){return a&&parseInt(a,10)}),jb(a)}}function pb(a){var b=new r(db(qb(a)));return b._nextDay&&(b.add(1,\"d\"),b._nextDay=void 0),b}function qb(a){var b=a._i,d=a._f;return a._locale=a._locale||bb(a._l),null===b||void 0===d&&\"\"===b?p({nullInput:!0}):(\"string\"==typeof b&&(a._i=b=a._locale.preparse(b)),s(b)?new r(db(b)):(h(b)?a._d=b:c(d)?nb(a):d?lb(a):rb(a),o(a)||(a._d=null),a))}function rb(b){var e=b._i;f(e)?b._d=new Date(a.now()):h(e)?b._d=new Date(e.valueOf()):\"string\"==typeof e?gb(b):c(e)?(b._a=i(e.slice(0),function(a){return parseInt(a,10)}),jb(b)):d(e)?ob(b):g(e)?b._d=new Date(e):a.createFromInputFallback(b)}function sb(a,b,f,g,h){var i={};return f!==!0&&f!==!1||(g=f,f=void 0),(d(a)&&e(a)||c(a)&&0===a.length)&&(a=void 0),i._isAMomentObject=!0,i._useUTC=i._isUTC=h,i._l=f,i._i=a,i._f=b,i._strict=g,pb(i)}function tb(a,b,c,d){return sb(a,b,c,d,!1)}function ub(a,b){var d,e;if(1===b.length&&c(b[0])&&(b=b[0]),!b.length)return tb();for(d=b[0],e=1;e<b.length;++e)b[e].isValid()&&!b[e][a](d)||(d=b[e]);return d}function vb(){var a=[].slice.call(arguments,0);return ub(\"isBefore\",a)}function wb(){var a=[].slice.call(arguments,0);return ub(\"isAfter\",a)}function xb(a){for(var b in a)if(Rf.indexOf(b)===-1||null!=a[b]&&isNaN(a[b]))return!1;for(var c=!1,d=0;d<Rf.length;++d)if(a[Rf[d]]){if(c)return!1;parseFloat(a[Rf[d]])!==u(a[Rf[d]])&&(c=!0)}return!0}function yb(){return this._isValid}function zb(){return Sb(NaN)}function Ab(a){var b=L(a),c=b.year||0,d=b.quarter||0,e=b.month||0,f=b.week||0,g=b.day||0,h=b.hour||0,i=b.minute||0,j=b.second||0,k=b.millisecond||0;this._isValid=xb(b),this._milliseconds=+k+1e3*j+6e4*i+1e3*h*60*60,this._days=+g+7*f,this._months=+e+3*d+12*c,this._data={},this._locale=bb(),this._bubble()}function Bb(a){return a instanceof Ab}function Cb(a){return a<0?Math.round(-1*a)*-1:Math.round(a)}function Db(a,b){U(a,0,0,function(){var a=this.utcOffset(),c=\"+\";return a<0&&(a=-a,c=\"-\"),c+T(~~(a/60),2)+b+T(~~a%60,2)})}function Eb(a,b){var c=(b||\"\").match(a);if(null===c)return null;var d=c[c.length-1]||[],e=(d+\"\").match(Sf)||[\"-\",0,0],f=+(60*e[1])+u(e[2]);return 0===f?0:\"+\"===e[0]?f:-f}function Fb(b,c){var d,e;return c._isUTC?(d=c.clone(),e=(s(b)||h(b)?b.valueOf():tb(b).valueOf())-d.valueOf(),d._d.setTime(d._d.valueOf()+e),a.updateOffset(d,!1),d):tb(b).local()}function Gb(a){return 15*-Math.round(a._d.getTimezoneOffset()/15)}function Hb(b,c,d){var e,f=this._offset||0;if(!this.isValid())return null!=b?this:NaN;if(null!=b){if(\"string\"==typeof b){if(b=Eb($e,b),null===b)return this}else Math.abs(b)<16&&!d&&(b=60*b);return!this._isUTC&&c&&(e=Gb(this)),this._offset=b,this._isUTC=!0,null!=e&&this.add(e,\"m\"),f!==b&&(!c||this._changeInProgress?Xb(this,Sb(b-f,\"m\"),1,!1):this._changeInProgress||(this._changeInProgress=!0,a.updateOffset(this,!0),this._changeInProgress=null)),this}return this._isUTC?f:Gb(this)}function Ib(a,b){return null!=a?(\"string\"!=typeof a&&(a=-a),this.utcOffset(a,b),this):-this.utcOffset()}function Jb(a){return this.utcOffset(0,a)}function Kb(a){return this._isUTC&&(this.utcOffset(0,a),this._isUTC=!1,a&&this.subtract(Gb(this),\"m\")),this}function Lb(){if(null!=this._tzm)this.utcOffset(this._tzm,!1,!0);else if(\"string\"==typeof this._i){var a=Eb(Ze,this._i);null!=a?this.utcOffset(a):this.utcOffset(0,!0)}return this}function Mb(a){return!!this.isValid()&&(a=a?tb(a).utcOffset():0,(this.utcOffset()-a)%60===0)}function Nb(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()}function Ob(){if(!f(this._isDSTShifted))return this._isDSTShifted;var a={};if(q(a,this),a=qb(a),a._a){var b=a._isUTC?l(a._a):tb(a._a);this._isDSTShifted=this.isValid()&&v(a._a,b.toArray())>0}else this._isDSTShifted=!1;return this._isDSTShifted}function Pb(){return!!this.isValid()&&!this._isUTC}function Qb(){return!!this.isValid()&&this._isUTC}function Rb(){return!!this.isValid()&&(this._isUTC&&0===this._offset)}function Sb(a,b){var c,d,e,f=a,h=null;return Bb(a)?f={ms:a._milliseconds,d:a._days,M:a._months}:g(a)?(f={},b?f[b]=a:f.milliseconds=a):(h=Tf.exec(a))?(c=\"-\"===h[1]?-1:1,f={y:0,d:u(h[ff])*c,h:u(h[gf])*c,m:u(h[hf])*c,s:u(h[jf])*c,ms:u(Cb(1e3*h[kf]))*c}):(h=Uf.exec(a))?(c=\"-\"===h[1]?-1:1,f={y:Tb(h[2],c),M:Tb(h[3],c),w:Tb(h[4],c),d:Tb(h[5],c),h:Tb(h[6],c),m:Tb(h[7],c),s:Tb(h[8],c)}):null==f?f={}:\"object\"==typeof f&&(\"from\"in f||\"to\"in f)&&(e=Vb(tb(f.from),tb(f.to)),f={},f.ms=e.milliseconds,f.M=e.months),d=new Ab(f),Bb(a)&&j(a,\"_locale\")&&(d._locale=a._locale),d}function Tb(a,b){var c=a&&parseFloat(a.replace(\",\",\".\"));return(isNaN(c)?0:c)*b}function Ub(a,b){var c={milliseconds:0,months:0};return c.months=b.month()-a.month()+12*(b.year()-a.year()),a.clone().add(c.months,\"M\").isAfter(b)&&--c.months,c.milliseconds=+b-+a.clone().add(c.months,\"M\"),c}function Vb(a,b){var c;return a.isValid()&&b.isValid()?(b=Fb(b,a),a.isBefore(b)?c=Ub(a,b):(c=Ub(b,a),c.milliseconds=-c.milliseconds,c.months=-c.months),c):{milliseconds:0,months:0}}function Wb(a,b){return function(c,d){var e,f;return null===d||isNaN(+d)||(y(b,\"moment().\"+b+\"(period, number) is deprecated. Please use moment().\"+b+\"(number, period). See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info.\"),f=c,c=d,d=f),c=\"string\"==typeof c?+c:c,e=Sb(c,d),Xb(this,e,a),this}}function Xb(b,c,d,e){var f=c._milliseconds,g=Cb(c._days),h=Cb(c._months);b.isValid()&&(e=null==e||e,f&&b._d.setTime(b._d.valueOf()+f*d),g&&Q(b,\"Date\",P(b,\"Date\")+g*d),h&&ja(b,P(b,\"Month\")+h*d),e&&a.updateOffset(b,g||h))}function Yb(a,b){var c=a.diff(b,\"days\",!0);return c<-6?\"sameElse\":c<-1?\"lastWeek\":c<0?\"lastDay\":c<1?\"sameDay\":c<2?\"nextDay\":c<7?\"nextWeek\":\"sameElse\"}function Zb(b,c){var d=b||tb(),e=Fb(d,this).startOf(\"day\"),f=a.calendarFormat(this,e)||\"sameElse\",g=c&&(z(c[f])?c[f].call(this,d):c[f]);return this.format(g||this.localeData().calendar(f,this,tb(d)))}function $b(){return new r(this)}function _b(a,b){var c=s(a)?a:tb(a);return!(!this.isValid()||!c.isValid())&&(b=K(f(b)?\"millisecond\":b),\"millisecond\"===b?this.valueOf()>c.valueOf():c.valueOf()<this.clone().startOf(b).valueOf())}function ac(a,b){var c=s(a)?a:tb(a);return!(!this.isValid()||!c.isValid())&&(b=K(f(b)?\"millisecond\":b),\"millisecond\"===b?this.valueOf()<c.valueOf():this.clone().endOf(b).valueOf()<c.valueOf())}function bc(a,b,c,d){return d=d||\"()\",(\"(\"===d[0]?this.isAfter(a,c):!this.isBefore(a,c))&&(\")\"===d[1]?this.isBefore(b,c):!this.isAfter(b,c))}function cc(a,b){var c,d=s(a)?a:tb(a);return!(!this.isValid()||!d.isValid())&&(b=K(b||\"millisecond\"),\"millisecond\"===b?this.valueOf()===d.valueOf():(c=d.valueOf(),this.clone().startOf(b).valueOf()<=c&&c<=this.clone().endOf(b).valueOf()))}function dc(a,b){return this.isSame(a,b)||this.isAfter(a,b)}function ec(a,b){return this.isSame(a,b)||this.isBefore(a,b)}function fc(a,b,c){var d,e,f,g;return this.isValid()?(d=Fb(a,this),d.isValid()?(e=6e4*(d.utcOffset()-this.utcOffset()),b=K(b),\"year\"===b||\"month\"===b||\"quarter\"===b?(g=gc(this,d),\"quarter\"===b?g/=3:\"year\"===b&&(g/=12)):(f=this-d,g=\"second\"===b?f/1e3:\"minute\"===b?f/6e4:\"hour\"===b?f/36e5:\"day\"===b?(f-e)/864e5:\"week\"===b?(f-e)/6048e5:f),c?g:t(g)):NaN):NaN}function gc(a,b){var c,d,e=12*(b.year()-a.year())+(b.month()-a.month()),f=a.clone().add(e,\"months\");return b-f<0?(c=a.clone().add(e-1,\"months\"),d=(b-f)/(f-c)):(c=a.clone().add(e+1,\"months\"),d=(b-f)/(c-f)),-(e+d)||0}function hc(){return this.clone().locale(\"en\").format(\"ddd MMM DD YYYY HH:mm:ss [GMT]ZZ\")}function ic(){if(!this.isValid())return null;var a=this.clone().utc();return a.year()<0||a.year()>9999?X(a,\"YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]\"):z(Date.prototype.toISOString)?this.toDate().toISOString():X(a,\"YYYY-MM-DD[T]HH:mm:ss.SSS[Z]\")}function jc(){if(!this.isValid())return\"moment.invalid(/* \"+this._i+\" */)\";var a=\"moment\",b=\"\";this.isLocal()||(a=0===this.utcOffset()?\"moment.utc\":\"moment.parseZone\",b=\"Z\");var c=\"[\"+a+'(\"]',d=0<=this.year()&&this.year()<=9999?\"YYYY\":\"YYYYYY\",e=\"-MM-DD[T]HH:mm:ss.SSS\",f=b+'[\")]';return this.format(c+d+e+f)}function kc(b){b||(b=this.isUtc()?a.defaultFormatUtc:a.defaultFormat);var c=X(this,b);return this.localeData().postformat(c)}function lc(a,b){return this.isValid()&&(s(a)&&a.isValid()||tb(a).isValid())?Sb({to:this,from:a}).locale(this.locale()).humanize(!b):this.localeData().invalidDate()}function mc(a){return this.from(tb(),a)}function nc(a,b){return this.isValid()&&(s(a)&&a.isValid()||tb(a).isValid())?Sb({from:this,to:a}).locale(this.locale()).humanize(!b):this.localeData().invalidDate()}function oc(a){return this.to(tb(),a)}function pc(a){var b;return void 0===a?this._locale._abbr:(b=bb(a),null!=b&&(this._locale=b),this)}function qc(){return this._locale}function rc(a){switch(a=K(a)){case\"year\":this.month(0);case\"quarter\":case\"month\":this.date(1);case\"week\":case\"isoWeek\":case\"day\":case\"date\":this.hours(0);case\"hour\":this.minutes(0);case\"minute\":this.seconds(0);case\"second\":this.milliseconds(0)}return\"week\"===a&&this.weekday(0),\"isoWeek\"===a&&this.isoWeekday(1),\"quarter\"===a&&this.month(3*Math.floor(this.month()/3)),this}function sc(a){return a=K(a),void 0===a||\"millisecond\"===a?this:(\"date\"===a&&(a=\"day\"),this.startOf(a).add(1,\"isoWeek\"===a?\"week\":a).subtract(1,\"ms\"))}function tc(){return this._d.valueOf()-6e4*(this._offset||0)}function uc(){return Math.floor(this.valueOf()/1e3)}function vc(){return new Date(this.valueOf())}function wc(){var a=this;return[a.year(),a.month(),a.date(),a.hour(),a.minute(),a.second(),a.millisecond()]}function xc(){var a=this;return{years:a.year(),months:a.month(),date:a.date(),hours:a.hours(),minutes:a.minutes(),seconds:a.seconds(),milliseconds:a.milliseconds()}}function yc(){return this.isValid()?this.toISOString():null}function zc(){return o(this)}function Ac(){\nreturn k({},n(this))}function Bc(){return n(this).overflow}function Cc(){return{input:this._i,format:this._f,locale:this._locale,isUTC:this._isUTC,strict:this._strict}}function Dc(a,b){U(0,[a,a.length],0,b)}function Ec(a){return Ic.call(this,a,this.week(),this.weekday(),this.localeData()._week.dow,this.localeData()._week.doy)}function Fc(a){return Ic.call(this,a,this.isoWeek(),this.isoWeekday(),1,4)}function Gc(){return xa(this.year(),1,4)}function Hc(){var a=this.localeData()._week;return xa(this.year(),a.dow,a.doy)}function Ic(a,b,c,d,e){var f;return null==a?wa(this,d,e).year:(f=xa(a,d,e),b>f&&(b=f),Jc.call(this,a,b,c,d,e))}function Jc(a,b,c,d,e){var f=va(a,b,c,d,e),g=ta(f.year,0,f.dayOfYear);return this.year(g.getUTCFullYear()),this.month(g.getUTCMonth()),this.date(g.getUTCDate()),this}function Kc(a){return null==a?Math.ceil((this.month()+1)/3):this.month(3*(a-1)+this.month()%3)}function Lc(a){var b=Math.round((this.clone().startOf(\"day\")-this.clone().startOf(\"year\"))/864e5)+1;return null==a?b:this.add(a-b,\"d\")}function Mc(a,b){b[kf]=u(1e3*(\"0.\"+a))}function Nc(){return this._isUTC?\"UTC\":\"\"}function Oc(){return this._isUTC?\"Coordinated Universal Time\":\"\"}function Pc(a){return tb(1e3*a)}function Qc(){return tb.apply(null,arguments).parseZone()}function Rc(a){return a}function Sc(a,b,c,d){var e=bb(),f=l().set(d,b);return e[c](f,a)}function Tc(a,b,c){if(g(a)&&(b=a,a=void 0),a=a||\"\",null!=b)return Sc(a,b,c,\"month\");var d,e=[];for(d=0;d<12;d++)e[d]=Sc(a,d,c,\"month\");return e}function Uc(a,b,c,d){\"boolean\"==typeof a?(g(b)&&(c=b,b=void 0),b=b||\"\"):(b=a,c=b,a=!1,g(b)&&(c=b,b=void 0),b=b||\"\");var e=bb(),f=a?e._week.dow:0;if(null!=c)return Sc(b,(c+f)%7,d,\"day\");var h,i=[];for(h=0;h<7;h++)i[h]=Sc(b,(h+f)%7,d,\"day\");return i}function Vc(a,b){return Tc(a,b,\"months\")}function Wc(a,b){return Tc(a,b,\"monthsShort\")}function Xc(a,b,c){return Uc(a,b,c,\"weekdays\")}function Yc(a,b,c){return Uc(a,b,c,\"weekdaysShort\")}function Zc(a,b,c){return Uc(a,b,c,\"weekdaysMin\")}function $c(){var a=this._data;return this._milliseconds=dg(this._milliseconds),this._days=dg(this._days),this._months=dg(this._months),a.milliseconds=dg(a.milliseconds),a.seconds=dg(a.seconds),a.minutes=dg(a.minutes),a.hours=dg(a.hours),a.months=dg(a.months),a.years=dg(a.years),this}function _c(a,b,c,d){var e=Sb(b,c);return a._milliseconds+=d*e._milliseconds,a._days+=d*e._days,a._months+=d*e._months,a._bubble()}function ad(a,b){return _c(this,a,b,1)}function bd(a,b){return _c(this,a,b,-1)}function cd(a){return a<0?Math.floor(a):Math.ceil(a)}function dd(){var a,b,c,d,e,f=this._milliseconds,g=this._days,h=this._months,i=this._data;return f>=0&&g>=0&&h>=0||f<=0&&g<=0&&h<=0||(f+=864e5*cd(fd(h)+g),g=0,h=0),i.milliseconds=f%1e3,a=t(f/1e3),i.seconds=a%60,b=t(a/60),i.minutes=b%60,c=t(b/60),i.hours=c%24,g+=t(c/24),e=t(ed(g)),h+=e,g-=cd(fd(e)),d=t(h/12),h%=12,i.days=g,i.months=h,i.years=d,this}function ed(a){return 4800*a/146097}function fd(a){return 146097*a/4800}function gd(a){if(!this.isValid())return NaN;var b,c,d=this._milliseconds;if(a=K(a),\"month\"===a||\"year\"===a)return b=this._days+d/864e5,c=this._months+ed(b),\"month\"===a?c:c/12;switch(b=this._days+Math.round(fd(this._months)),a){case\"week\":return b/7+d/6048e5;case\"day\":return b+d/864e5;case\"hour\":return 24*b+d/36e5;case\"minute\":return 1440*b+d/6e4;case\"second\":return 86400*b+d/1e3;case\"millisecond\":return Math.floor(864e5*b)+d;default:throw new Error(\"Unknown unit \"+a)}}function hd(){return this.isValid()?this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*u(this._months/12):NaN}function id(a){return function(){return this.as(a)}}function jd(a){return a=K(a),this.isValid()?this[a+\"s\"]():NaN}function kd(a){return function(){return this.isValid()?this._data[a]:NaN}}function ld(){return t(this.days()/7)}function md(a,b,c,d,e){return e.relativeTime(b||1,!!c,a,d)}function nd(a,b,c){var d=Sb(a).abs(),e=tg(d.as(\"s\")),f=tg(d.as(\"m\")),g=tg(d.as(\"h\")),h=tg(d.as(\"d\")),i=tg(d.as(\"M\")),j=tg(d.as(\"y\")),k=e<=ug.ss&&[\"s\",e]||e<ug.s&&[\"ss\",e]||f<=1&&[\"m\"]||f<ug.m&&[\"mm\",f]||g<=1&&[\"h\"]||g<ug.h&&[\"hh\",g]||h<=1&&[\"d\"]||h<ug.d&&[\"dd\",h]||i<=1&&[\"M\"]||i<ug.M&&[\"MM\",i]||j<=1&&[\"y\"]||[\"yy\",j];return k[2]=b,k[3]=+a>0,k[4]=c,md.apply(null,k)}function od(a){return void 0===a?tg:\"function\"==typeof a&&(tg=a,!0)}function pd(a,b){return void 0!==ug[a]&&(void 0===b?ug[a]:(ug[a]=b,\"s\"===a&&(ug.ss=b-1),!0))}function qd(a){if(!this.isValid())return this.localeData().invalidDate();var b=this.localeData(),c=nd(this,!a,b);return a&&(c=b.pastFuture(+this,c)),b.postformat(c)}function rd(){if(!this.isValid())return this.localeData().invalidDate();var a,b,c,d=vg(this._milliseconds)/1e3,e=vg(this._days),f=vg(this._months);a=t(d/60),b=t(a/60),d%=60,a%=60,c=t(f/12),f%=12;var g=c,h=f,i=e,j=b,k=a,l=d,m=this.asSeconds();return m?(m<0?\"-\":\"\")+\"P\"+(g?g+\"Y\":\"\")+(h?h+\"M\":\"\")+(i?i+\"D\":\"\")+(j||k||l?\"T\":\"\")+(j?j+\"H\":\"\")+(k?k+\"M\":\"\")+(l?l+\"S\":\"\"):\"P0D\"}\n//! moment.js locale configuration\n//! locale : Belarusian [be]\n//! author : Dmitry Demidov : https://github.com/demidov91\n//! author: Praleska: http://praleska.pro/\n//! Author : Menelion Elensúle : https://github.com/Oire\nfunction sd(a,b){var c=a.split(\"_\");return b%10===1&&b%100!==11?c[0]:b%10>=2&&b%10<=4&&(b%100<10||b%100>=20)?c[1]:c[2]}function td(a,b,c){var d={mm:b?\"хвіліна_хвіліны_хвілін\":\"хвіліну_хвіліны_хвілін\",hh:b?\"гадзіна_гадзіны_гадзін\":\"гадзіну_гадзіны_гадзін\",dd:\"дзень_дні_дзён\",MM:\"месяц_месяцы_месяцаў\",yy:\"год_гады_гадоў\"};return\"m\"===c?b?\"хвіліна\":\"хвіліну\":\"h\"===c?b?\"гадзіна\":\"гадзіну\":a+\" \"+sd(d[c],+a)}\n//! moment.js locale configuration\n//! locale : Breton [br]\n//! author : Jean-Baptiste Le Duigou : https://github.com/jbleduigou\nfunction ud(a,b,c){var d={mm:\"munutenn\",MM:\"miz\",dd:\"devezh\"};return a+\" \"+xd(d[c],a)}function vd(a){switch(wd(a)){case 1:case 3:case 4:case 5:case 9:return a+\" bloaz\";default:return a+\" vloaz\"}}function wd(a){return a>9?wd(a%10):a}function xd(a,b){return 2===b?yd(a):a}function yd(a){var b={m:\"v\",b:\"v\",d:\"z\"};return void 0===b[a.charAt(0)]?a:b[a.charAt(0)]+a.substring(1)}\n//! moment.js locale configuration\n//! locale : Bosnian [bs]\n//! author : Nedim Cholich : https://github.com/frontyard\n//! based on (hr) translation by Bojan Marković\nfunction zd(a,b,c){var d=a+\" \";switch(c){case\"m\":return b?\"jedna minuta\":\"jedne minute\";case\"mm\":return d+=1===a?\"minuta\":2===a||3===a||4===a?\"minute\":\"minuta\";case\"h\":return b?\"jedan sat\":\"jednog sata\";case\"hh\":return d+=1===a?\"sat\":2===a||3===a||4===a?\"sata\":\"sati\";case\"dd\":return d+=1===a?\"dan\":\"dana\";case\"MM\":return d+=1===a?\"mjesec\":2===a||3===a||4===a?\"mjeseca\":\"mjeseci\";case\"yy\":return d+=1===a?\"godina\":2===a||3===a||4===a?\"godine\":\"godina\"}}function Ad(a){return a>1&&a<5&&1!==~~(a/10)}function Bd(a,b,c,d){var e=a+\" \";switch(c){case\"s\":return b||d?\"pár sekund\":\"pár sekundami\";case\"m\":return b?\"minuta\":d?\"minutu\":\"minutou\";case\"mm\":return b||d?e+(Ad(a)?\"minuty\":\"minut\"):e+\"minutami\";break;case\"h\":return b?\"hodina\":d?\"hodinu\":\"hodinou\";case\"hh\":return b||d?e+(Ad(a)?\"hodiny\":\"hodin\"):e+\"hodinami\";break;case\"d\":return b||d?\"den\":\"dnem\";case\"dd\":return b||d?e+(Ad(a)?\"dny\":\"dní\"):e+\"dny\";break;case\"M\":return b||d?\"měsíc\":\"měsícem\";case\"MM\":return b||d?e+(Ad(a)?\"měsíce\":\"měsíců\"):e+\"měsíci\";break;case\"y\":return b||d?\"rok\":\"rokem\";case\"yy\":return b||d?e+(Ad(a)?\"roky\":\"let\"):e+\"lety\"}}\n//! moment.js locale configuration\n//! locale : German (Austria) [de-at]\n//! author : lluchs : https://github.com/lluchs\n//! author: Menelion Elensúle: https://github.com/Oire\n//! author : Martin Groller : https://github.com/MadMG\n//! author : Mikolaj Dadela : https://github.com/mik01aj\nfunction Cd(a,b,c,d){var e={m:[\"eine Minute\",\"einer Minute\"],h:[\"eine Stunde\",\"einer Stunde\"],d:[\"ein Tag\",\"einem Tag\"],dd:[a+\" Tage\",a+\" Tagen\"],M:[\"ein Monat\",\"einem Monat\"],MM:[a+\" Monate\",a+\" Monaten\"],y:[\"ein Jahr\",\"einem Jahr\"],yy:[a+\" Jahre\",a+\" Jahren\"]};return b?e[c][0]:e[c][1]}\n//! moment.js locale configuration\n//! locale : German (Switzerland) [de-ch]\n//! author : sschueller : https://github.com/sschueller\nfunction Dd(a,b,c,d){var e={m:[\"eine Minute\",\"einer Minute\"],h:[\"eine Stunde\",\"einer Stunde\"],d:[\"ein Tag\",\"einem Tag\"],dd:[a+\" Tage\",a+\" Tagen\"],M:[\"ein Monat\",\"einem Monat\"],MM:[a+\" Monate\",a+\" Monaten\"],y:[\"ein Jahr\",\"einem Jahr\"],yy:[a+\" Jahre\",a+\" Jahren\"]};return b?e[c][0]:e[c][1]}\n//! moment.js locale configuration\n//! locale : German [de]\n//! author : lluchs : https://github.com/lluchs\n//! author: Menelion Elensúle: https://github.com/Oire\n//! author : Mikolaj Dadela : https://github.com/mik01aj\nfunction Ed(a,b,c,d){var e={m:[\"eine Minute\",\"einer Minute\"],h:[\"eine Stunde\",\"einer Stunde\"],d:[\"ein Tag\",\"einem Tag\"],dd:[a+\" Tage\",a+\" Tagen\"],M:[\"ein Monat\",\"einem Monat\"],MM:[a+\" Monate\",a+\" Monaten\"],y:[\"ein Jahr\",\"einem Jahr\"],yy:[a+\" Jahre\",a+\" Jahren\"]};return b?e[c][0]:e[c][1]}\n//! moment.js locale configuration\n//! locale : Estonian [et]\n//! author : Henry Kehlmann : https://github.com/madhenry\n//! improvements : Illimar Tambek : https://github.com/ragulka\nfunction Fd(a,b,c,d){var e={s:[\"mõne sekundi\",\"mõni sekund\",\"paar sekundit\"],m:[\"ühe minuti\",\"üks minut\"],mm:[a+\" minuti\",a+\" minutit\"],h:[\"ühe tunni\",\"tund aega\",\"üks tund\"],hh:[a+\" tunni\",a+\" tundi\"],d:[\"ühe päeva\",\"üks päev\"],M:[\"kuu aja\",\"kuu aega\",\"üks kuu\"],MM:[a+\" kuu\",a+\" kuud\"],y:[\"ühe aasta\",\"aasta\",\"üks aasta\"],yy:[a+\" aasta\",a+\" aastat\"]};return b?e[c][2]?e[c][2]:e[c][1]:d?e[c][0]:e[c][1]}function Gd(a,b,c,d){var e=\"\";switch(c){case\"s\":return d?\"muutaman sekunnin\":\"muutama sekunti\";case\"m\":return d?\"minuutin\":\"minuutti\";case\"mm\":e=d?\"minuutin\":\"minuuttia\";break;case\"h\":return d?\"tunnin\":\"tunti\";case\"hh\":e=d?\"tunnin\":\"tuntia\";break;case\"d\":return d?\"päivän\":\"päivä\";case\"dd\":e=d?\"päivän\":\"päivää\";break;case\"M\":return d?\"kuukauden\":\"kuukausi\";case\"MM\":e=d?\"kuukauden\":\"kuukautta\";break;case\"y\":return d?\"vuoden\":\"vuosi\";case\"yy\":e=d?\"vuoden\":\"vuotta\"}return e=Hd(a,d)+\" \"+e}function Hd(a,b){return a<10?b?$g[a]:Zg[a]:a}\n//! moment.js locale configuration\n//! locale : Konkani Latin script [gom-latn]\n//! author : The Discoverer : https://github.com/WikiDiscoverer\nfunction Id(a,b,c,d){var e={s:[\"thodde secondanim\",\"thodde second\"],m:[\"eka mintan\",\"ek minute\"],mm:[a+\" mintanim\",a+\" mintam\"],h:[\"eka horan\",\"ek hor\"],hh:[a+\" horanim\",a+\" hor\"],d:[\"eka disan\",\"ek dis\"],dd:[a+\" disanim\",a+\" dis\"],M:[\"eka mhoinean\",\"ek mhoino\"],MM:[a+\" mhoineanim\",a+\" mhoine\"],y:[\"eka vorsan\",\"ek voros\"],yy:[a+\" vorsanim\",a+\" vorsam\"]};return b?e[c][0]:e[c][1]}\n//! moment.js locale configuration\n//! locale : Croatian [hr]\n//! author : Bojan Marković : https://github.com/bmarkovic\nfunction Jd(a,b,c){var d=a+\" \";switch(c){case\"m\":return b?\"jedna minuta\":\"jedne minute\";case\"mm\":return d+=1===a?\"minuta\":2===a||3===a||4===a?\"minute\":\"minuta\";case\"h\":return b?\"jedan sat\":\"jednog sata\";case\"hh\":return d+=1===a?\"sat\":2===a||3===a||4===a?\"sata\":\"sati\";case\"dd\":return d+=1===a?\"dan\":\"dana\";case\"MM\":return d+=1===a?\"mjesec\":2===a||3===a||4===a?\"mjeseca\":\"mjeseci\";case\"yy\":return d+=1===a?\"godina\":2===a||3===a||4===a?\"godine\":\"godina\"}}function Kd(a,b,c,d){var e=a;switch(c){case\"s\":return d||b?\"néhány másodperc\":\"néhány másodperce\";case\"m\":return\"egy\"+(d||b?\" perc\":\" perce\");case\"mm\":return e+(d||b?\" perc\":\" perce\");case\"h\":return\"egy\"+(d||b?\" óra\":\" órája\");case\"hh\":return e+(d||b?\" óra\":\" órája\");case\"d\":return\"egy\"+(d||b?\" nap\":\" napja\");case\"dd\":return e+(d||b?\" nap\":\" napja\");case\"M\":return\"egy\"+(d||b?\" hónap\":\" hónapja\");case\"MM\":return e+(d||b?\" hónap\":\" hónapja\");case\"y\":return\"egy\"+(d||b?\" év\":\" éve\");case\"yy\":return e+(d||b?\" év\":\" éve\")}return\"\"}function Ld(a){return(a?\"\":\"[múlt] \")+\"[\"+ih[this.day()]+\"] LT[-kor]\"}\n//! moment.js locale configuration\n//! locale : Icelandic [is]\n//! author : Hinrik Örn Sigurðsson : https://github.com/hinrik\nfunction Md(a){return a%100===11||a%10!==1}function Nd(a,b,c,d){var e=a+\" \";switch(c){case\"s\":return b||d?\"nokkrar sekúndur\":\"nokkrum sekúndum\";case\"m\":return b?\"mínúta\":\"mínútu\";case\"mm\":return Md(a)?e+(b||d?\"mínútur\":\"mínútum\"):b?e+\"mínúta\":e+\"mínútu\";case\"hh\":return Md(a)?e+(b||d?\"klukkustundir\":\"klukkustundum\"):e+\"klukkustund\";case\"d\":return b?\"dagur\":d?\"dag\":\"degi\";case\"dd\":return Md(a)?b?e+\"dagar\":e+(d?\"daga\":\"dögum\"):b?e+\"dagur\":e+(d?\"dag\":\"degi\");case\"M\":return b?\"mánuður\":d?\"mánuð\":\"mánuði\";case\"MM\":return Md(a)?b?e+\"mánuðir\":e+(d?\"mánuði\":\"mánuðum\"):b?e+\"mánuður\":e+(d?\"mánuð\":\"mánuði\");case\"y\":return b||d?\"ár\":\"ári\";case\"yy\":return Md(a)?e+(b||d?\"ár\":\"árum\"):e+(b||d?\"ár\":\"ári\")}}\n//! moment.js locale configuration\n//! locale : Luxembourgish [lb]\n//! author : mweimerskirch : https://github.com/mweimerskirch\n//! author : David Raison : https://github.com/kwisatz\nfunction Od(a,b,c,d){var e={m:[\"eng Minutt\",\"enger Minutt\"],h:[\"eng Stonn\",\"enger Stonn\"],d:[\"een Dag\",\"engem Dag\"],M:[\"ee Mount\",\"engem Mount\"],y:[\"ee Joer\",\"engem Joer\"]};return b?e[c][0]:e[c][1]}function Pd(a){var b=a.substr(0,a.indexOf(\" \"));return Rd(b)?\"a \"+a:\"an \"+a}function Qd(a){var b=a.substr(0,a.indexOf(\" \"));return Rd(b)?\"viru \"+a:\"virun \"+a}function Rd(a){if(a=parseInt(a,10),isNaN(a))return!1;if(a<0)return!0;if(a<10)return 4<=a&&a<=7;if(a<100){var b=a%10,c=a/10;return Rd(0===b?c:b)}if(a<1e4){for(;a>=10;)a/=10;return Rd(a)}return a/=1e3,Rd(a)}function Sd(a,b,c,d){return b?\"kelios sekundės\":d?\"kelių sekundžių\":\"kelias sekundes\"}function Td(a,b,c,d){return b?Vd(c)[0]:d?Vd(c)[1]:Vd(c)[2]}function Ud(a){return a%10===0||a>10&&a<20}function Vd(a){return nh[a].split(\"_\")}function Wd(a,b,c,d){var e=a+\" \";return 1===a?e+Td(a,b,c[0],d):b?e+(Ud(a)?Vd(c)[1]:Vd(c)[0]):d?e+Vd(c)[1]:e+(Ud(a)?Vd(c)[1]:Vd(c)[2])}function Xd(a,b,c){return c?b%10===1&&b%100!==11?a[2]:a[3]:b%10===1&&b%100!==11?a[0]:a[1]}function Yd(a,b,c){return a+\" \"+Xd(oh[c],a,b)}function Zd(a,b,c){return Xd(oh[c],a,b)}function $d(a,b){return b?\"dažas sekundes\":\"dažām sekundēm\"}function _d(a,b,c,d){var e=\"\";if(b)switch(c){case\"s\":e=\"काही सेकंद\";break;case\"m\":e=\"एक मिनिट\";break;case\"mm\":e=\"%d मिनिटे\";break;case\"h\":e=\"एक तास\";break;case\"hh\":e=\"%d तास\";break;case\"d\":e=\"एक दिवस\";break;case\"dd\":e=\"%d दिवस\";break;case\"M\":e=\"एक महिना\";break;case\"MM\":e=\"%d महिने\";break;case\"y\":e=\"एक वर्ष\";break;case\"yy\":e=\"%d वर्षे\"}else switch(c){case\"s\":e=\"काही सेकंदां\";break;case\"m\":e=\"एका मिनिटा\";break;case\"mm\":e=\"%d मिनिटां\";break;case\"h\":e=\"एका तासा\";break;case\"hh\":e=\"%d तासां\";break;case\"d\":e=\"एका दिवसा\";break;case\"dd\":e=\"%d दिवसां\";break;case\"M\":e=\"एका महिन्या\";break;case\"MM\":e=\"%d महिन्यां\";break;case\"y\":e=\"एका वर्षा\";break;case\"yy\":e=\"%d वर्षां\"}return e.replace(/%d/i,a)}function ae(a){return a%10<5&&a%10>1&&~~(a/10)%10!==1}function be(a,b,c){var d=a+\" \";switch(c){case\"m\":return b?\"minuta\":\"minutę\";case\"mm\":return d+(ae(a)?\"minuty\":\"minut\");case\"h\":return b?\"godzina\":\"godzinę\";case\"hh\":return d+(ae(a)?\"godziny\":\"godzin\");case\"MM\":return d+(ae(a)?\"miesiące\":\"miesięcy\");case\"yy\":return d+(ae(a)?\"lata\":\"lat\")}}\n//! moment.js locale configuration\n//! locale : Romanian [ro]\n//! author : Vlad Gurdiga : https://github.com/gurdiga\n//! author : Valentin Agachi : https://github.com/avaly\nfunction ce(a,b,c){var d={mm:\"minute\",hh:\"ore\",dd:\"zile\",MM:\"luni\",yy:\"ani\"},e=\" \";return(a%100>=20||a>=100&&a%100===0)&&(e=\" de \"),a+e+d[c]}\n//! moment.js locale configuration\n//! locale : Russian [ru]\n//! author : Viktorminator : https://github.com/Viktorminator\n//! Author : Menelion Elensúle : https://github.com/Oire\n//! author : Коренберг Марк : https://github.com/socketpair\nfunction de(a,b){var c=a.split(\"_\");return b%10===1&&b%100!==11?c[0]:b%10>=2&&b%10<=4&&(b%100<10||b%100>=20)?c[1]:c[2]}function ee(a,b,c){var d={mm:b?\"минута_минуты_минут\":\"минуту_минуты_минут\",hh:\"час_часа_часов\",dd:\"день_дня_дней\",MM:\"месяц_месяца_месяцев\",yy:\"год_года_лет\"};return\"m\"===c?b?\"минута\":\"минуту\":a+\" \"+de(d[c],+a)}function fe(a){return a>1&&a<5}function ge(a,b,c,d){var e=a+\" \";switch(c){case\"s\":return b||d?\"pár sekúnd\":\"pár sekundami\";case\"m\":return b?\"minúta\":d?\"minútu\":\"minútou\";case\"mm\":return b||d?e+(fe(a)?\"minúty\":\"minút\"):e+\"minútami\";break;case\"h\":return b?\"hodina\":d?\"hodinu\":\"hodinou\";case\"hh\":return b||d?e+(fe(a)?\"hodiny\":\"hodín\"):e+\"hodinami\";break;case\"d\":return b||d?\"deň\":\"dňom\";case\"dd\":return b||d?e+(fe(a)?\"dni\":\"dní\"):e+\"dňami\";break;case\"M\":return b||d?\"mesiac\":\"mesiacom\";case\"MM\":return b||d?e+(fe(a)?\"mesiace\":\"mesiacov\"):e+\"mesiacmi\";break;case\"y\":return b||d?\"rok\":\"rokom\";case\"yy\":return b||d?e+(fe(a)?\"roky\":\"rokov\"):e+\"rokmi\"}}\n//! moment.js locale configuration\n//! locale : Slovenian [sl]\n//! author : Robert Sedovšek : https://github.com/sedovsek\nfunction he(a,b,c,d){var e=a+\" \";switch(c){case\"s\":return b||d?\"nekaj sekund\":\"nekaj sekundami\";case\"m\":return b?\"ena minuta\":\"eno minuto\";case\"mm\":return e+=1===a?b?\"minuta\":\"minuto\":2===a?b||d?\"minuti\":\"minutama\":a<5?b||d?\"minute\":\"minutami\":b||d?\"minut\":\"minutami\";case\"h\":return b?\"ena ura\":\"eno uro\";case\"hh\":return e+=1===a?b?\"ura\":\"uro\":2===a?b||d?\"uri\":\"urama\":a<5?b||d?\"ure\":\"urami\":b||d?\"ur\":\"urami\";case\"d\":return b||d?\"en dan\":\"enim dnem\";case\"dd\":return e+=1===a?b||d?\"dan\":\"dnem\":2===a?b||d?\"dni\":\"dnevoma\":b||d?\"dni\":\"dnevi\";case\"M\":return b||d?\"en mesec\":\"enim mesecem\";case\"MM\":return e+=1===a?b||d?\"mesec\":\"mesecem\":2===a?b||d?\"meseca\":\"mesecema\":a<5?b||d?\"mesece\":\"meseci\":b||d?\"mesecev\":\"meseci\";case\"y\":return b||d?\"eno leto\":\"enim letom\";case\"yy\":return e+=1===a?b||d?\"leto\":\"letom\":2===a?b||d?\"leti\":\"letoma\":a<5?b||d?\"leta\":\"leti\":b||d?\"let\":\"leti\"}}function ie(a){var b=a;return b=a.indexOf(\"jaj\")!==-1?b.slice(0,-3)+\"leS\":a.indexOf(\"jar\")!==-1?b.slice(0,-3)+\"waQ\":a.indexOf(\"DIS\")!==-1?b.slice(0,-3)+\"nem\":b+\" pIq\"}function je(a){var b=a;return b=a.indexOf(\"jaj\")!==-1?b.slice(0,-3)+\"Hu’\":a.indexOf(\"jar\")!==-1?b.slice(0,-3)+\"wen\":a.indexOf(\"DIS\")!==-1?b.slice(0,-3)+\"ben\":b+\" ret\"}function ke(a,b,c,d){var e=le(a);switch(c){case\"mm\":return e+\" tup\";case\"hh\":return e+\" rep\";case\"dd\":return e+\" jaj\";case\"MM\":return e+\" jar\";case\"yy\":return e+\" DIS\"}}function le(a){var b=Math.floor(a%1e3/100),c=Math.floor(a%100/10),d=a%10,e=\"\";return b>0&&(e+=Rh[b]+\"vatlh\"),c>0&&(e+=(\"\"!==e?\" \":\"\")+Rh[c]+\"maH\"),d>0&&(e+=(\"\"!==e?\" \":\"\")+Rh[d]),\"\"===e?\"pagh\":e}function me(a,b,c,d){var e={s:[\"viensas secunds\",\"'iensas secunds\"],m:[\"'n míut\",\"'iens míut\"],mm:[a+\" míuts\",\"\"+a+\" míuts\"],h:[\"'n þora\",\"'iensa þora\"],hh:[a+\" þoras\",\"\"+a+\" þoras\"],d:[\"'n ziua\",\"'iensa ziua\"],dd:[a+\" ziuas\",\"\"+a+\" ziuas\"],M:[\"'n mes\",\"'iens mes\"],MM:[a+\" mesen\",\"\"+a+\" mesen\"],y:[\"'n ar\",\"'iens ar\"],yy:[a+\" ars\",\"\"+a+\" ars\"]};return d?e[c][0]:b?e[c][0]:e[c][1]}\n//! moment.js locale configuration\n//! locale : Ukrainian [uk]\n//! author : zemlanin : https://github.com/zemlanin\n//! Author : Menelion Elensúle : https://github.com/Oire\nfunction ne(a,b){var c=a.split(\"_\");return b%10===1&&b%100!==11?c[0]:b%10>=2&&b%10<=4&&(b%100<10||b%100>=20)?c[1]:c[2]}function oe(a,b,c){var d={mm:b?\"хвилина_хвилини_хвилин\":\"хвилину_хвилини_хвилин\",hh:b?\"година_години_годин\":\"годину_години_годин\",dd:\"день_дні_днів\",MM:\"місяць_місяці_місяців\",yy:\"рік_роки_років\"};return\"m\"===c?b?\"хвилина\":\"хвилину\":\"h\"===c?b?\"година\":\"годину\":a+\" \"+ne(d[c],+a)}function pe(a,b){var c={nominative:\"неділя_понеділок_вівторок_середа_четвер_п’ятниця_субота\".split(\"_\"),accusative:\"неділю_понеділок_вівторок_середу_четвер_п’ятницю_суботу\".split(\"_\"),genitive:\"неділі_понеділка_вівторка_середи_четверга_п’ятниці_суботи\".split(\"_\")};if(!a)return c.nominative;var d=/(\\[[ВвУу]\\]) ?dddd/.test(b)?\"accusative\":/\\[?(?:минулої|наступної)? ?\\] ?dddd/.test(b)?\"genitive\":\"nominative\";return c[d][a.day()]}function qe(a){return function(){return a+\"о\"+(11===this.hours()?\"б\":\"\")+\"] LT\"}}var re,se;se=Array.prototype.some?Array.prototype.some:function(a){for(var b=Object(this),c=b.length>>>0,d=0;d<c;d++)if(d in b&&a.call(this,b[d],d,b))return!0;return!1};var te=se,ue=a.momentProperties=[],ve=!1,we={};a.suppressDeprecationWarnings=!1,a.deprecationHandler=null;var xe;xe=Object.keys?Object.keys:function(a){var b,c=[];for(b in a)j(a,b)&&c.push(b);return c};var ye,ze=xe,Ae={sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},Be={LTS:\"h:mm:ss A\",LT:\"h:mm A\",L:\"MM/DD/YYYY\",LL:\"MMMM D, YYYY\",LLL:\"MMMM D, YYYY h:mm A\",LLLL:\"dddd, MMMM D, YYYY h:mm A\"},Ce=\"Invalid date\",De=\"%d\",Ee=/\\d{1,2}/,Fe={future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",ss:\"%d seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},Ge={},He={},Ie=/(\\[[^\\[]*\\])|(\\\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g,Je=/(\\[[^\\[]*\\])|(\\\\)?(LTS|LT|LL?L?L?|l{1,4})/g,Ke={},Le={},Me=/\\d/,Ne=/\\d\\d/,Oe=/\\d{3}/,Pe=/\\d{4}/,Qe=/[+-]?\\d{6}/,Re=/\\d\\d?/,Se=/\\d\\d\\d\\d?/,Te=/\\d\\d\\d\\d\\d\\d?/,Ue=/\\d{1,3}/,Ve=/\\d{1,4}/,We=/[+-]?\\d{1,6}/,Xe=/\\d+/,Ye=/[+-]?\\d+/,Ze=/Z|[+-]\\d\\d:?\\d\\d/gi,$e=/Z|[+-]\\d\\d(?::?\\d\\d)?/gi,_e=/[+-]?\\d+(\\.\\d{1,3})?/,af=/[0-9]*['a-z\\u00A0-\\u05FF\\u0700-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFEF]+|[\\u0600-\\u06FF\\/]+(\\s*?[\\u0600-\\u06FF]+){1,2}/i,bf={},cf={},df=0,ef=1,ff=2,gf=3,hf=4,jf=5,kf=6,lf=7,mf=8;ye=Array.prototype.indexOf?Array.prototype.indexOf:function(a){var b;for(b=0;b<this.length;++b)if(this[b]===a)return b;return-1};var nf=ye;U(\"M\",[\"MM\",2],\"Mo\",function(){return this.month()+1}),U(\"MMM\",0,0,function(a){return this.localeData().monthsShort(this,a)}),U(\"MMMM\",0,0,function(a){return this.localeData().months(this,a)}),J(\"month\",\"M\"),M(\"month\",8),Z(\"M\",Re),Z(\"MM\",Re,Ne),Z(\"MMM\",function(a,b){return b.monthsShortRegex(a)}),Z(\"MMMM\",function(a,b){return b.monthsRegex(a)}),ba([\"M\",\"MM\"],function(a,b){b[ef]=u(a)-1}),ba([\"MMM\",\"MMMM\"],function(a,b,c,d){var e=c._locale.monthsParse(a,d,c._strict);null!=e?b[ef]=e:n(c).invalidMonth=a});var of=/D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?/,pf=\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),qf=\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),rf=af,sf=af;U(\"Y\",0,0,function(){var a=this.year();return a<=9999?\"\"+a:\"+\"+a}),U(0,[\"YY\",2],0,function(){return this.year()%100}),U(0,[\"YYYY\",4],0,\"year\"),U(0,[\"YYYYY\",5],0,\"year\"),U(0,[\"YYYYYY\",6,!0],0,\"year\"),J(\"year\",\"y\"),M(\"year\",1),Z(\"Y\",Ye),Z(\"YY\",Re,Ne),Z(\"YYYY\",Ve,Pe),Z(\"YYYYY\",We,Qe),Z(\"YYYYYY\",We,Qe),ba([\"YYYYY\",\"YYYYYY\"],df),ba(\"YYYY\",function(b,c){c[df]=2===b.length?a.parseTwoDigitYear(b):u(b)}),ba(\"YY\",function(b,c){c[df]=a.parseTwoDigitYear(b)}),ba(\"Y\",function(a,b){b[df]=parseInt(a,10)}),a.parseTwoDigitYear=function(a){return u(a)+(u(a)>68?1900:2e3)};var tf=O(\"FullYear\",!0);U(\"w\",[\"ww\",2],\"wo\",\"week\"),U(\"W\",[\"WW\",2],\"Wo\",\"isoWeek\"),J(\"week\",\"w\"),J(\"isoWeek\",\"W\"),M(\"week\",5),M(\"isoWeek\",5),Z(\"w\",Re),Z(\"ww\",Re,Ne),Z(\"W\",Re),Z(\"WW\",Re,Ne),ca([\"w\",\"ww\",\"W\",\"WW\"],function(a,b,c,d){b[d.substr(0,1)]=u(a)});var uf={dow:0,doy:6};U(\"d\",0,\"do\",\"day\"),U(\"dd\",0,0,function(a){return this.localeData().weekdaysMin(this,a)}),U(\"ddd\",0,0,function(a){return this.localeData().weekdaysShort(this,a)}),U(\"dddd\",0,0,function(a){return this.localeData().weekdays(this,a)}),U(\"e\",0,0,\"weekday\"),U(\"E\",0,0,\"isoWeekday\"),J(\"day\",\"d\"),J(\"weekday\",\"e\"),J(\"isoWeekday\",\"E\"),M(\"day\",11),M(\"weekday\",11),M(\"isoWeekday\",11),Z(\"d\",Re),Z(\"e\",Re),Z(\"E\",Re),Z(\"dd\",function(a,b){return b.weekdaysMinRegex(a)}),Z(\"ddd\",function(a,b){return b.weekdaysShortRegex(a)}),Z(\"dddd\",function(a,b){return b.weekdaysRegex(a)}),ca([\"dd\",\"ddd\",\"dddd\"],function(a,b,c,d){var e=c._locale.weekdaysParse(a,d,c._strict);null!=e?b.d=e:n(c).invalidWeekday=a}),ca([\"d\",\"e\",\"E\"],function(a,b,c,d){b[d]=u(a)});var vf=\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),wf=\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),xf=\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),yf=af,zf=af,Af=af;U(\"H\",[\"HH\",2],0,\"hour\"),U(\"h\",[\"hh\",2],0,Ra),U(\"k\",[\"kk\",2],0,Sa),U(\"hmm\",0,0,function(){return\"\"+Ra.apply(this)+T(this.minutes(),2)}),U(\"hmmss\",0,0,function(){return\"\"+Ra.apply(this)+T(this.minutes(),2)+T(this.seconds(),2)}),U(\"Hmm\",0,0,function(){return\"\"+this.hours()+T(this.minutes(),2)}),U(\"Hmmss\",0,0,function(){return\"\"+this.hours()+T(this.minutes(),2)+T(this.seconds(),2)}),Ta(\"a\",!0),Ta(\"A\",!1),J(\"hour\",\"h\"),M(\"hour\",13),Z(\"a\",Ua),Z(\"A\",Ua),Z(\"H\",Re),Z(\"h\",Re),Z(\"k\",Re),Z(\"HH\",Re,Ne),Z(\"hh\",Re,Ne),Z(\"kk\",Re,Ne),Z(\"hmm\",Se),Z(\"hmmss\",Te),Z(\"Hmm\",Se),Z(\"Hmmss\",Te),ba([\"H\",\"HH\"],gf),ba([\"k\",\"kk\"],function(a,b,c){var d=u(a);b[gf]=24===d?0:d}),ba([\"a\",\"A\"],function(a,b,c){c._isPm=c._locale.isPM(a),c._meridiem=a}),ba([\"h\",\"hh\"],function(a,b,c){b[gf]=u(a),n(c).bigHour=!0}),ba(\"hmm\",function(a,b,c){var d=a.length-2;b[gf]=u(a.substr(0,d)),b[hf]=u(a.substr(d)),n(c).bigHour=!0}),ba(\"hmmss\",function(a,b,c){var d=a.length-4,e=a.length-2;b[gf]=u(a.substr(0,d)),b[hf]=u(a.substr(d,2)),b[jf]=u(a.substr(e)),n(c).bigHour=!0}),ba(\"Hmm\",function(a,b,c){var d=a.length-2;b[gf]=u(a.substr(0,d)),b[hf]=u(a.substr(d))}),ba(\"Hmmss\",function(a,b,c){var d=a.length-4,e=a.length-2;b[gf]=u(a.substr(0,d)),b[hf]=u(a.substr(d,2)),b[jf]=u(a.substr(e))});var Bf,Cf=/[ap]\\.?m?\\.?/i,Df=O(\"Hours\",!0),Ef={calendar:Ae,longDateFormat:Be,invalidDate:Ce,ordinal:De,dayOfMonthOrdinalParse:Ee,relativeTime:Fe,months:pf,monthsShort:qf,week:uf,weekdays:vf,weekdaysMin:xf,weekdaysShort:wf,meridiemParse:Cf},Ff={},Gf={},Hf=/^\\s*((?:[+-]\\d{6}|\\d{4})-(?:\\d\\d-\\d\\d|W\\d\\d-\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?::\\d\\d(?::\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/,If=/^\\s*((?:[+-]\\d{6}|\\d{4})(?:\\d\\d\\d\\d|W\\d\\d\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?:\\d\\d(?:\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/,Jf=/Z|[+-]\\d\\d(?::?\\d\\d)?/,Kf=[[\"YYYYYY-MM-DD\",/[+-]\\d{6}-\\d\\d-\\d\\d/],[\"YYYY-MM-DD\",/\\d{4}-\\d\\d-\\d\\d/],[\"GGGG-[W]WW-E\",/\\d{4}-W\\d\\d-\\d/],[\"GGGG-[W]WW\",/\\d{4}-W\\d\\d/,!1],[\"YYYY-DDD\",/\\d{4}-\\d{3}/],[\"YYYY-MM\",/\\d{4}-\\d\\d/,!1],[\"YYYYYYMMDD\",/[+-]\\d{10}/],[\"YYYYMMDD\",/\\d{8}/],[\"GGGG[W]WWE\",/\\d{4}W\\d{3}/],[\"GGGG[W]WW\",/\\d{4}W\\d{2}/,!1],[\"YYYYDDD\",/\\d{7}/]],Lf=[[\"HH:mm:ss.SSSS\",/\\d\\d:\\d\\d:\\d\\d\\.\\d+/],[\"HH:mm:ss,SSSS\",/\\d\\d:\\d\\d:\\d\\d,\\d+/],[\"HH:mm:ss\",/\\d\\d:\\d\\d:\\d\\d/],[\"HH:mm\",/\\d\\d:\\d\\d/],[\"HHmmss.SSSS\",/\\d\\d\\d\\d\\d\\d\\.\\d+/],[\"HHmmss,SSSS\",/\\d\\d\\d\\d\\d\\d,\\d+/],[\"HHmmss\",/\\d\\d\\d\\d\\d\\d/],[\"HHmm\",/\\d\\d\\d\\d/],[\"HH\",/\\d\\d/]],Mf=/^\\/?Date\\((\\-?\\d+)/i,Nf=/^((?:Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\\s)?(\\d?\\d\\s(?:Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\\s(?:\\d\\d)?\\d\\d\\s)(\\d\\d:\\d\\d)(\\:\\d\\d)?(\\s(?:UT|GMT|[ECMP][SD]T|[A-IK-Za-ik-z]|[+-]\\d{4}))$/;a.createFromInputFallback=x(\"value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are discouraged and will be removed in an upcoming major release. Please refer to http://momentjs.com/guides/#/warnings/js-date/ for more info.\",function(a){a._d=new Date(a._i+(a._useUTC?\" UTC\":\"\"))}),a.ISO_8601=function(){},a.RFC_2822=function(){};var Of=x(\"moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/\",function(){var a=tb.apply(null,arguments);return this.isValid()&&a.isValid()?a<this?this:a:p()}),Pf=x(\"moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/\",function(){var a=tb.apply(null,arguments);return this.isValid()&&a.isValid()?a>this?this:a:p()}),Qf=function(){return Date.now?Date.now():+new Date},Rf=[\"year\",\"quarter\",\"month\",\"week\",\"day\",\"hour\",\"minute\",\"second\",\"millisecond\"];Db(\"Z\",\":\"),Db(\"ZZ\",\"\"),Z(\"Z\",$e),Z(\"ZZ\",$e),ba([\"Z\",\"ZZ\"],function(a,b,c){c._useUTC=!0,c._tzm=Eb($e,a)});var Sf=/([\\+\\-]|\\d\\d)/gi;a.updateOffset=function(){};var Tf=/^(\\-)?(?:(\\d*)[. ])?(\\d+)\\:(\\d+)(?:\\:(\\d+)(\\.\\d*)?)?$/,Uf=/^(-)?P(?:(-?[0-9,.]*)Y)?(?:(-?[0-9,.]*)M)?(?:(-?[0-9,.]*)W)?(?:(-?[0-9,.]*)D)?(?:T(?:(-?[0-9,.]*)H)?(?:(-?[0-9,.]*)M)?(?:(-?[0-9,.]*)S)?)?$/;Sb.fn=Ab.prototype,Sb.invalid=zb;var Vf=Wb(1,\"add\"),Wf=Wb(-1,\"subtract\");a.defaultFormat=\"YYYY-MM-DDTHH:mm:ssZ\",a.defaultFormatUtc=\"YYYY-MM-DDTHH:mm:ss[Z]\";var Xf=x(\"moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.\",function(a){return void 0===a?this.localeData():this.locale(a)});U(0,[\"gg\",2],0,function(){return this.weekYear()%100}),U(0,[\"GG\",2],0,function(){return this.isoWeekYear()%100}),Dc(\"gggg\",\"weekYear\"),Dc(\"ggggg\",\"weekYear\"),Dc(\"GGGG\",\"isoWeekYear\"),Dc(\"GGGGG\",\"isoWeekYear\"),J(\"weekYear\",\"gg\"),J(\"isoWeekYear\",\"GG\"),M(\"weekYear\",1),M(\"isoWeekYear\",1),Z(\"G\",Ye),Z(\"g\",Ye),Z(\"GG\",Re,Ne),Z(\"gg\",Re,Ne),Z(\"GGGG\",Ve,Pe),Z(\"gggg\",Ve,Pe),Z(\"GGGGG\",We,Qe),Z(\"ggggg\",We,Qe),ca([\"gggg\",\"ggggg\",\"GGGG\",\"GGGGG\"],function(a,b,c,d){b[d.substr(0,2)]=u(a)}),ca([\"gg\",\"GG\"],function(b,c,d,e){c[e]=a.parseTwoDigitYear(b)}),U(\"Q\",0,\"Qo\",\"quarter\"),J(\"quarter\",\"Q\"),M(\"quarter\",7),Z(\"Q\",Me),ba(\"Q\",function(a,b){b[ef]=3*(u(a)-1)}),U(\"D\",[\"DD\",2],\"Do\",\"date\"),J(\"date\",\"D\"),M(\"date\",9),Z(\"D\",Re),Z(\"DD\",Re,Ne),Z(\"Do\",function(a,b){return a?b._dayOfMonthOrdinalParse||b._ordinalParse:b._dayOfMonthOrdinalParseLenient}),ba([\"D\",\"DD\"],ff),ba(\"Do\",function(a,b){b[ff]=u(a.match(Re)[0],10)});var Yf=O(\"Date\",!0);U(\"DDD\",[\"DDDD\",3],\"DDDo\",\"dayOfYear\"),J(\"dayOfYear\",\"DDD\"),M(\"dayOfYear\",4),Z(\"DDD\",Ue),Z(\"DDDD\",Oe),ba([\"DDD\",\"DDDD\"],function(a,b,c){c._dayOfYear=u(a)}),U(\"m\",[\"mm\",2],0,\"minute\"),J(\"minute\",\"m\"),M(\"minute\",14),Z(\"m\",Re),Z(\"mm\",Re,Ne),ba([\"m\",\"mm\"],hf);var Zf=O(\"Minutes\",!1);U(\"s\",[\"ss\",2],0,\"second\"),J(\"second\",\"s\"),M(\"second\",15),Z(\"s\",Re),Z(\"ss\",Re,Ne),ba([\"s\",\"ss\"],jf);var $f=O(\"Seconds\",!1);U(\"S\",0,0,function(){return~~(this.millisecond()/100)}),U(0,[\"SS\",2],0,function(){return~~(this.millisecond()/10)}),U(0,[\"SSS\",3],0,\"millisecond\"),U(0,[\"SSSS\",4],0,function(){return 10*this.millisecond()}),U(0,[\"SSSSS\",5],0,function(){return 100*this.millisecond()}),U(0,[\"SSSSSS\",6],0,function(){return 1e3*this.millisecond()}),U(0,[\"SSSSSSS\",7],0,function(){return 1e4*this.millisecond()}),U(0,[\"SSSSSSSS\",8],0,function(){return 1e5*this.millisecond()}),U(0,[\"SSSSSSSSS\",9],0,function(){return 1e6*this.millisecond()}),J(\"millisecond\",\"ms\"),M(\"millisecond\",16),Z(\"S\",Ue,Me),Z(\"SS\",Ue,Ne),Z(\"SSS\",Ue,Oe);var _f;for(_f=\"SSSS\";_f.length<=9;_f+=\"S\")Z(_f,Xe);for(_f=\"S\";_f.length<=9;_f+=\"S\")ba(_f,Mc);var ag=O(\"Milliseconds\",!1);U(\"z\",0,0,\"zoneAbbr\"),U(\"zz\",0,0,\"zoneName\");var bg=r.prototype;bg.add=Vf,bg.calendar=Zb,bg.clone=$b,bg.diff=fc,bg.endOf=sc,bg.format=kc,bg.from=lc,bg.fromNow=mc,bg.to=nc,bg.toNow=oc,bg.get=R,bg.invalidAt=Bc,bg.isAfter=_b,bg.isBefore=ac,bg.isBetween=bc,bg.isSame=cc,bg.isSameOrAfter=dc,bg.isSameOrBefore=ec,bg.isValid=zc,bg.lang=Xf,bg.locale=pc,bg.localeData=qc,bg.max=Pf,bg.min=Of,bg.parsingFlags=Ac,bg.set=S,bg.startOf=rc,bg.subtract=Wf,bg.toArray=wc,bg.toObject=xc,bg.toDate=vc,bg.toISOString=ic,bg.inspect=jc,bg.toJSON=yc,bg.toString=hc,bg.unix=uc,bg.valueOf=tc,bg.creationData=Cc,bg.year=tf,bg.isLeapYear=ra,bg.weekYear=Ec,bg.isoWeekYear=Fc,bg.quarter=bg.quarters=Kc,bg.month=ka,bg.daysInMonth=la,bg.week=bg.weeks=Ba,bg.isoWeek=bg.isoWeeks=Ca,bg.weeksInYear=Hc,bg.isoWeeksInYear=Gc,bg.date=Yf,bg.day=bg.days=Ka,bg.weekday=La,bg.isoWeekday=Ma,bg.dayOfYear=Lc,bg.hour=bg.hours=Df,bg.minute=bg.minutes=Zf,bg.second=bg.seconds=$f,bg.millisecond=bg.milliseconds=ag,bg.utcOffset=Hb,bg.utc=Jb,bg.local=Kb,bg.parseZone=Lb,bg.hasAlignedHourOffset=Mb,bg.isDST=Nb,bg.isLocal=Pb,bg.isUtcOffset=Qb,bg.isUtc=Rb,bg.isUTC=Rb,bg.zoneAbbr=Nc,bg.zoneName=Oc,bg.dates=x(\"dates accessor is deprecated. Use date instead.\",Yf),bg.months=x(\"months accessor is deprecated. Use month instead\",ka),bg.years=x(\"years accessor is deprecated. Use year instead\",tf),bg.zone=x(\"moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/\",Ib),bg.isDSTShifted=x(\"isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information\",Ob);var cg=C.prototype;cg.calendar=D,cg.longDateFormat=E,cg.invalidDate=F,cg.ordinal=G,cg.preparse=Rc,cg.postformat=Rc,cg.relativeTime=H,cg.pastFuture=I,cg.set=A,cg.months=fa,cg.monthsShort=ga,cg.monthsParse=ia,cg.monthsRegex=na,cg.monthsShortRegex=ma,cg.week=ya,cg.firstDayOfYear=Aa,cg.firstDayOfWeek=za,cg.weekdays=Fa,cg.weekdaysMin=Ha,cg.weekdaysShort=Ga,cg.weekdaysParse=Ja,cg.weekdaysRegex=Na,cg.weekdaysShortRegex=Oa,cg.weekdaysMinRegex=Pa,cg.isPM=Va,cg.meridiem=Wa,$a(\"en\",{dayOfMonthOrdinalParse:/\\d{1,2}(th|st|nd|rd)/,ordinal:function(a){var b=a%10,c=1===u(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c}}),a.lang=x(\"moment.lang is deprecated. Use moment.locale instead.\",$a),a.langData=x(\"moment.langData is deprecated. Use moment.localeData instead.\",bb);var dg=Math.abs,eg=id(\"ms\"),fg=id(\"s\"),gg=id(\"m\"),hg=id(\"h\"),ig=id(\"d\"),jg=id(\"w\"),kg=id(\"M\"),lg=id(\"y\"),mg=kd(\"milliseconds\"),ng=kd(\"seconds\"),og=kd(\"minutes\"),pg=kd(\"hours\"),qg=kd(\"days\"),rg=kd(\"months\"),sg=kd(\"years\"),tg=Math.round,ug={ss:44,s:45,m:45,h:22,d:26,M:11},vg=Math.abs,wg=Ab.prototype;wg.isValid=yb,wg.abs=$c,wg.add=ad,wg.subtract=bd,wg.as=gd,wg.asMilliseconds=eg,wg.asSeconds=fg,wg.asMinutes=gg,wg.asHours=hg,wg.asDays=ig,wg.asWeeks=jg,wg.asMonths=kg,wg.asYears=lg,wg.valueOf=hd,wg._bubble=dd,wg.get=jd,wg.milliseconds=mg,wg.seconds=ng,wg.minutes=og,wg.hours=pg,wg.days=qg,wg.weeks=ld,wg.months=rg,wg.years=sg,wg.humanize=qd,wg.toISOString=rd,wg.toString=rd,wg.toJSON=rd,wg.locale=pc,wg.localeData=qc,wg.toIsoString=x(\"toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)\",rd),wg.lang=Xf,U(\"X\",0,0,\"unix\"),U(\"x\",0,0,\"valueOf\"),Z(\"x\",Ye),Z(\"X\",_e),ba(\"X\",function(a,b,c){c._d=new Date(1e3*parseFloat(a,10))}),ba(\"x\",function(a,b,c){c._d=new Date(u(a))}),\n//! moment.js\n//! version : 2.18.1\n//! authors : Tim Wood, Iskren Chernev, Moment.js contributors\n//! license : MIT\n//! momentjs.com\na.version=\"2.18.1\",b(tb),a.fn=bg,a.min=vb,a.max=wb,a.now=Qf,a.utc=l,a.unix=Pc,a.months=Vc,a.isDate=h,a.locale=$a,a.invalid=p,a.duration=Sb,a.isMoment=s,a.weekdays=Xc,a.parseZone=Qc,a.localeData=bb,a.isDuration=Bb,a.monthsShort=Wc,a.weekdaysMin=Zc,a.defineLocale=_a,a.updateLocale=ab,a.locales=cb,a.weekdaysShort=Yc,a.normalizeUnits=K,a.relativeTimeRounding=od,a.relativeTimeThreshold=pd,a.calendarFormat=Yb,a.prototype=bg,\n//! moment.js locale configuration\n//! locale : Afrikaans [af]\n//! author : Werner Mollentze : https://github.com/wernerm\na.defineLocale(\"af\",{months:\"Januarie_Februarie_Maart_April_Mei_Junie_Julie_Augustus_September_Oktober_November_Desember\".split(\"_\"),monthsShort:\"Jan_Feb_Mrt_Apr_Mei_Jun_Jul_Aug_Sep_Okt_Nov_Des\".split(\"_\"),weekdays:\"Sondag_Maandag_Dinsdag_Woensdag_Donderdag_Vrydag_Saterdag\".split(\"_\"),weekdaysShort:\"Son_Maa_Din_Woe_Don_Vry_Sat\".split(\"_\"),weekdaysMin:\"So_Ma_Di_Wo_Do_Vr_Sa\".split(\"_\"),meridiemParse:/vm|nm/i,isPM:function(a){return/^nm$/i.test(a)},meridiem:function(a,b,c){return a<12?c?\"vm\":\"VM\":c?\"nm\":\"NM\"},longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Vandag om] LT\",nextDay:\"[Môre om] LT\",nextWeek:\"dddd [om] LT\",lastDay:\"[Gister om] LT\",lastWeek:\"[Laas] dddd [om] LT\",sameElse:\"L\"},relativeTime:{future:\"oor %s\",past:\"%s gelede\",s:\"'n paar sekondes\",m:\"'n minuut\",mm:\"%d minute\",h:\"'n uur\",hh:\"%d ure\",d:\"'n dag\",dd:\"%d dae\",M:\"'n maand\",MM:\"%d maande\",y:\"'n jaar\",yy:\"%d jaar\"},dayOfMonthOrdinalParse:/\\d{1,2}(ste|de)/,ordinal:function(a){return a+(1===a||8===a||a>=20?\"ste\":\"de\")},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Arabic (Algeria) [ar-dz]\n//! author : Noureddine LOUAHEDJ : https://github.com/noureddineme\na.defineLocale(\"ar-dz\",{months:\"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),monthsShort:\"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),weekdays:\"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"احد_اثنين_ثلاثاء_اربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"أح_إث_ثلا_أر_خم_جم_سب\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[اليوم على الساعة] LT\",nextDay:\"[غدا على الساعة] LT\",nextWeek:\"dddd [على الساعة] LT\",lastDay:\"[أمس على الساعة] LT\",lastWeek:\"dddd [على الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"في %s\",past:\"منذ %s\",s:\"ثوان\",m:\"دقيقة\",mm:\"%d دقائق\",h:\"ساعة\",hh:\"%d ساعات\",d:\"يوم\",dd:\"%d أيام\",M:\"شهر\",MM:\"%d أشهر\",y:\"سنة\",yy:\"%d سنوات\"},week:{dow:0,doy:4}}),\n//! moment.js locale configuration\n//! locale : Arabic (Kuwait) [ar-kw]\n//! author : Nusret Parlak: https://github.com/nusretparlak\na.defineLocale(\"ar-kw\",{months:\"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر\".split(\"_\"),monthsShort:\"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر\".split(\"_\"),weekdays:\"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[اليوم على الساعة] LT\",nextDay:\"[غدا على الساعة] LT\",nextWeek:\"dddd [على الساعة] LT\",lastDay:\"[أمس على الساعة] LT\",lastWeek:\"dddd [على الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"في %s\",past:\"منذ %s\",s:\"ثوان\",m:\"دقيقة\",mm:\"%d دقائق\",h:\"ساعة\",hh:\"%d ساعات\",d:\"يوم\",dd:\"%d أيام\",M:\"شهر\",MM:\"%d أشهر\",y:\"سنة\",yy:\"%d سنوات\"},week:{dow:0,doy:12}});\n//! moment.js locale configuration\n//! locale : Arabic (Lybia) [ar-ly]\n//! author : Ali Hmer: https://github.com/kikoanis\nvar xg={1:\"1\",2:\"2\",3:\"3\",4:\"4\",5:\"5\",6:\"6\",7:\"7\",8:\"8\",9:\"9\",0:\"0\"},yg=function(a){return 0===a?0:1===a?1:2===a?2:a%100>=3&&a%100<=10?3:a%100>=11?4:5},zg={s:[\"أقل من ثانية\",\"ثانية واحدة\",[\"ثانيتان\",\"ثانيتين\"],\"%d ثوان\",\"%d ثانية\",\"%d ثانية\"],m:[\"أقل من دقيقة\",\"دقيقة واحدة\",[\"دقيقتان\",\"دقيقتين\"],\"%d دقائق\",\"%d دقيقة\",\"%d دقيقة\"],h:[\"أقل من ساعة\",\"ساعة واحدة\",[\"ساعتان\",\"ساعتين\"],\"%d ساعات\",\"%d ساعة\",\"%d ساعة\"],d:[\"أقل من يوم\",\"يوم واحد\",[\"يومان\",\"يومين\"],\"%d أيام\",\"%d يومًا\",\"%d يوم\"],M:[\"أقل من شهر\",\"شهر واحد\",[\"شهران\",\"شهرين\"],\"%d أشهر\",\"%d شهرا\",\"%d شهر\"],y:[\"أقل من عام\",\"عام واحد\",[\"عامان\",\"عامين\"],\"%d أعوام\",\"%d عامًا\",\"%d عام\"]},Ag=function(a){return function(b,c,d,e){var f=yg(b),g=zg[a][yg(b)];return 2===f&&(g=g[c?0:1]),g.replace(/%d/i,b)}},Bg=[\"يناير\",\"فبراير\",\"مارس\",\"أبريل\",\"مايو\",\"يونيو\",\"يوليو\",\"أغسطس\",\"سبتمبر\",\"أكتوبر\",\"نوفمبر\",\"ديسمبر\"];a.defineLocale(\"ar-ly\",{months:Bg,monthsShort:Bg,weekdays:\"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"D/M/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},meridiemParse:/ص|م/,isPM:function(a){return\"م\"===a},meridiem:function(a,b,c){return a<12?\"ص\":\"م\"},calendar:{sameDay:\"[اليوم عند الساعة] LT\",nextDay:\"[غدًا عند الساعة] LT\",nextWeek:\"dddd [عند الساعة] LT\",lastDay:\"[أمس عند الساعة] LT\",lastWeek:\"dddd [عند الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"بعد %s\",past:\"منذ %s\",s:Ag(\"s\"),m:Ag(\"m\"),mm:Ag(\"m\"),h:Ag(\"h\"),hh:Ag(\"h\"),d:Ag(\"d\"),dd:Ag(\"d\"),M:Ag(\"M\"),MM:Ag(\"M\"),y:Ag(\"y\"),yy:Ag(\"y\")},preparse:function(a){return a.replace(/\\u200f/g,\"\").replace(/،/g,\",\")},postformat:function(a){return a.replace(/\\d/g,function(a){return xg[a]}).replace(/,/g,\"،\")},week:{dow:6,doy:12}}),\n//! moment.js locale configuration\n//! locale : Arabic (Morocco) [ar-ma]\n//! author : ElFadili Yassine : https://github.com/ElFadiliY\n//! author : Abdel Said : https://github.com/abdelsaid\na.defineLocale(\"ar-ma\",{months:\"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر\".split(\"_\"),monthsShort:\"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر\".split(\"_\"),weekdays:\"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[اليوم على الساعة] LT\",nextDay:\"[غدا على الساعة] LT\",nextWeek:\"dddd [على الساعة] LT\",lastDay:\"[أمس على الساعة] LT\",lastWeek:\"dddd [على الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"في %s\",past:\"منذ %s\",s:\"ثوان\",m:\"دقيقة\",mm:\"%d دقائق\",h:\"ساعة\",hh:\"%d ساعات\",d:\"يوم\",dd:\"%d أيام\",M:\"شهر\",MM:\"%d أشهر\",y:\"سنة\",yy:\"%d سنوات\"},week:{dow:6,doy:12}});\n//! moment.js locale configuration\n//! locale : Arabic (Saudi Arabia) [ar-sa]\n//! author : Suhail Alkowaileet : https://github.com/xsoh\nvar Cg={1:\"١\",2:\"٢\",3:\"٣\",4:\"٤\",5:\"٥\",6:\"٦\",7:\"٧\",8:\"٨\",9:\"٩\",0:\"٠\"},Dg={\"١\":\"1\",\"٢\":\"2\",\"٣\":\"3\",\"٤\":\"4\",\"٥\":\"5\",\"٦\":\"6\",\"٧\":\"7\",\"٨\":\"8\",\"٩\":\"9\",\"٠\":\"0\"};a.defineLocale(\"ar-sa\",{months:\"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),monthsShort:\"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),weekdays:\"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},meridiemParse:/ص|م/,isPM:function(a){return\"م\"===a},meridiem:function(a,b,c){return a<12?\"ص\":\"م\"},calendar:{sameDay:\"[اليوم على الساعة] LT\",nextDay:\"[غدا على الساعة] LT\",nextWeek:\"dddd [على الساعة] LT\",lastDay:\"[أمس على الساعة] LT\",lastWeek:\"dddd [على الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"في %s\",past:\"منذ %s\",s:\"ثوان\",m:\"دقيقة\",mm:\"%d دقائق\",h:\"ساعة\",hh:\"%d ساعات\",d:\"يوم\",dd:\"%d أيام\",M:\"شهر\",MM:\"%d أشهر\",y:\"سنة\",yy:\"%d سنوات\"},preparse:function(a){return a.replace(/[١٢٣٤٥٦٧٨٩٠]/g,function(a){return Dg[a]}).replace(/،/g,\",\")},postformat:function(a){return a.replace(/\\d/g,function(a){return Cg[a]}).replace(/,/g,\"،\")},week:{dow:0,doy:6}}),\n//! moment.js locale configuration\n//! locale : Arabic (Tunisia) [ar-tn]\n//! author : Nader Toukabri : https://github.com/naderio\na.defineLocale(\"ar-tn\",{months:\"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),monthsShort:\"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر\".split(\"_\"),weekdays:\"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[اليوم على الساعة] LT\",nextDay:\"[غدا على الساعة] LT\",nextWeek:\"dddd [على الساعة] LT\",lastDay:\"[أمس على الساعة] LT\",lastWeek:\"dddd [على الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"في %s\",past:\"منذ %s\",s:\"ثوان\",m:\"دقيقة\",mm:\"%d دقائق\",h:\"ساعة\",hh:\"%d ساعات\",d:\"يوم\",dd:\"%d أيام\",M:\"شهر\",MM:\"%d أشهر\",y:\"سنة\",yy:\"%d سنوات\"},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Arabic [ar]\n//! author : Abdel Said: https://github.com/abdelsaid\n//! author : Ahmed Elkhatib\n//! author : forabi https://github.com/forabi\nvar Eg={1:\"١\",2:\"٢\",3:\"٣\",4:\"٤\",5:\"٥\",6:\"٦\",7:\"٧\",8:\"٨\",9:\"٩\",0:\"٠\"},Fg={\"١\":\"1\",\"٢\":\"2\",\"٣\":\"3\",\"٤\":\"4\",\"٥\":\"5\",\"٦\":\"6\",\"٧\":\"7\",\"٨\":\"8\",\"٩\":\"9\",\"٠\":\"0\"},Gg=function(a){return 0===a?0:1===a?1:2===a?2:a%100>=3&&a%100<=10?3:a%100>=11?4:5},Hg={s:[\"أقل من ثانية\",\"ثانية واحدة\",[\"ثانيتان\",\"ثانيتين\"],\"%d ثوان\",\"%d ثانية\",\"%d ثانية\"],m:[\"أقل من دقيقة\",\"دقيقة واحدة\",[\"دقيقتان\",\"دقيقتين\"],\"%d دقائق\",\"%d دقيقة\",\"%d دقيقة\"],h:[\"أقل من ساعة\",\"ساعة واحدة\",[\"ساعتان\",\"ساعتين\"],\"%d ساعات\",\"%d ساعة\",\"%d ساعة\"],d:[\"أقل من يوم\",\"يوم واحد\",[\"يومان\",\"يومين\"],\"%d أيام\",\"%d يومًا\",\"%d يوم\"],M:[\"أقل من شهر\",\"شهر واحد\",[\"شهران\",\"شهرين\"],\"%d أشهر\",\"%d شهرا\",\"%d شهر\"],y:[\"أقل من عام\",\"عام واحد\",[\"عامان\",\"عامين\"],\"%d أعوام\",\"%d عامًا\",\"%d عام\"]},Ig=function(a){return function(b,c,d,e){var f=Gg(b),g=Hg[a][Gg(b)];return 2===f&&(g=g[c?0:1]),g.replace(/%d/i,b)}},Jg=[\"كانون الثاني يناير\",\"شباط فبراير\",\"آذار مارس\",\"نيسان أبريل\",\"أيار مايو\",\"حزيران يونيو\",\"تموز يوليو\",\"آب أغسطس\",\"أيلول سبتمبر\",\"تشرين الأول أكتوبر\",\"تشرين الثاني نوفمبر\",\"كانون الأول ديسمبر\"];a.defineLocale(\"ar\",{months:Jg,monthsShort:Jg,weekdays:\"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت\".split(\"_\"),weekdaysShort:\"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت\".split(\"_\"),weekdaysMin:\"ح_ن_ث_ر_خ_ج_س\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"D/M/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},meridiemParse:/ص|م/,isPM:function(a){return\"م\"===a},meridiem:function(a,b,c){return a<12?\"ص\":\"م\"},calendar:{sameDay:\"[اليوم عند الساعة] LT\",nextDay:\"[غدًا عند الساعة] LT\",nextWeek:\"dddd [عند الساعة] LT\",lastDay:\"[أمس عند الساعة] LT\",lastWeek:\"dddd [عند الساعة] LT\",sameElse:\"L\"},relativeTime:{future:\"بعد %s\",past:\"منذ %s\",s:Ig(\"s\"),m:Ig(\"m\"),mm:Ig(\"m\"),h:Ig(\"h\"),hh:Ig(\"h\"),d:Ig(\"d\"),dd:Ig(\"d\"),M:Ig(\"M\"),MM:Ig(\"M\"),y:Ig(\"y\"),yy:Ig(\"y\")},preparse:function(a){return a.replace(/\\u200f/g,\"\").replace(/[١٢٣٤٥٦٧٨٩٠]/g,function(a){return Fg[a]}).replace(/،/g,\",\")},postformat:function(a){return a.replace(/\\d/g,function(a){return Eg[a]}).replace(/,/g,\"،\")},week:{dow:6,doy:12}});\n//! moment.js locale configuration\n//! locale : Azerbaijani [az]\n//! author : topchiyev : https://github.com/topchiyev\nvar Kg={1:\"-inci\",5:\"-inci\",8:\"-inci\",70:\"-inci\",80:\"-inci\",2:\"-nci\",7:\"-nci\",20:\"-nci\",50:\"-nci\",3:\"-üncü\",4:\"-üncü\",100:\"-üncü\",6:\"-ncı\",9:\"-uncu\",10:\"-uncu\",30:\"-uncu\",60:\"-ıncı\",90:\"-ıncı\"};a.defineLocale(\"az\",{months:\"yanvar_fevral_mart_aprel_may_iyun_iyul_avqust_sentyabr_oktyabr_noyabr_dekabr\".split(\"_\"),monthsShort:\"yan_fev_mar_apr_may_iyn_iyl_avq_sen_okt_noy_dek\".split(\"_\"),weekdays:\"Bazar_Bazar ertəsi_Çərşənbə axşamı_Çərşənbə_Cümə axşamı_Cümə_Şənbə\".split(\"_\"),weekdaysShort:\"Baz_BzE_ÇAx_Çər_CAx_Cüm_Şən\".split(\"_\"),weekdaysMin:\"Bz_BE_ÇA_Çə_CA_Cü_Şə\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[bugün saat] LT\",nextDay:\"[sabah saat] LT\",nextWeek:\"[gələn həftə] dddd [saat] LT\",lastDay:\"[dünən] LT\",lastWeek:\"[keçən həftə] dddd [saat] LT\",sameElse:\"L\"},relativeTime:{future:\"%s sonra\",past:\"%s əvvəl\",s:\"birneçə saniyyə\",m:\"bir dəqiqə\",mm:\"%d dəqiqə\",h:\"bir saat\",hh:\"%d saat\",d:\"bir gün\",dd:\"%d gün\",M:\"bir ay\",MM:\"%d ay\",y:\"bir il\",yy:\"%d il\"},meridiemParse:/gecə|səhər|gündüz|axşam/,isPM:function(a){return/^(gündüz|axşam)$/.test(a)},meridiem:function(a,b,c){return a<4?\"gecə\":a<12?\"səhər\":a<17?\"gündüz\":\"axşam\"},dayOfMonthOrdinalParse:/\\d{1,2}-(ıncı|inci|nci|üncü|ncı|uncu)/,ordinal:function(a){if(0===a)return a+\"-ıncı\";var b=a%10,c=a%100-b,d=a>=100?100:null;return a+(Kg[b]||Kg[c]||Kg[d])},week:{dow:1,doy:7}}),a.defineLocale(\"be\",{months:{format:\"студзеня_лютага_сакавіка_красавіка_траўня_чэрвеня_ліпеня_жніўня_верасня_кастрычніка_лістапада_снежня\".split(\"_\"),standalone:\"студзень_люты_сакавік_красавік_травень_чэрвень_ліпень_жнівень_верасень_кастрычнік_лістапад_снежань\".split(\"_\")},monthsShort:\"студ_лют_сак_крас_трав_чэрв_ліп_жнів_вер_каст_ліст_снеж\".split(\"_\"),weekdays:{format:\"нядзелю_панядзелак_аўторак_сераду_чацвер_пятніцу_суботу\".split(\"_\"),standalone:\"нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота\".split(\"_\"),isFormat:/\\[ ?[Вв] ?(?:мінулую|наступную)? ?\\] ?dddd/},weekdaysShort:\"нд_пн_ат_ср_чц_пт_сб\".split(\"_\"),weekdaysMin:\"нд_пн_ат_ср_чц_пт_сб\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY г.\",LLL:\"D MMMM YYYY г., HH:mm\",LLLL:\"dddd, D MMMM YYYY г., HH:mm\"},calendar:{sameDay:\"[Сёння ў] LT\",nextDay:\"[Заўтра ў] LT\",lastDay:\"[Учора ў] LT\",nextWeek:function(){return\"[У] dddd [ў] LT\"},lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return\"[У мінулую] dddd [ў] LT\";case 1:case 2:case 4:return\"[У мінулы] dddd [ў] LT\"}},sameElse:\"L\"},relativeTime:{future:\"праз %s\",past:\"%s таму\",s:\"некалькі секунд\",m:td,mm:td,h:td,hh:td,d:\"дзень\",dd:td,M:\"месяц\",MM:td,y:\"год\",yy:td},meridiemParse:/ночы|раніцы|дня|вечара/,isPM:function(a){return/^(дня|вечара)$/.test(a)},meridiem:function(a,b,c){return a<4?\"ночы\":a<12?\"раніцы\":a<17?\"дня\":\"вечара\"},dayOfMonthOrdinalParse:/\\d{1,2}-(і|ы|га)/,ordinal:function(a,b){switch(b){case\"M\":case\"d\":case\"DDD\":case\"w\":case\"W\":return a%10!==2&&a%10!==3||a%100===12||a%100===13?a+\"-ы\":a+\"-і\";case\"D\":return a+\"-га\";default:return a}},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Bulgarian [bg]\n//! author : Krasen Borisov : https://github.com/kraz\na.defineLocale(\"bg\",{months:\"януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември\".split(\"_\"),monthsShort:\"янр_фев_мар_апр_май_юни_юли_авг_сеп_окт_ное_дек\".split(\"_\"),weekdays:\"неделя_понеделник_вторник_сряда_четвъртък_петък_събота\".split(\"_\"),weekdaysShort:\"нед_пон_вто_сря_чет_пет_съб\".split(\"_\"),weekdaysMin:\"нд_пн_вт_ср_чт_пт_сб\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"D.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY H:mm\",LLLL:\"dddd, D MMMM YYYY H:mm\"},calendar:{sameDay:\"[Днес в] LT\",nextDay:\"[Утре в] LT\",nextWeek:\"dddd [в] LT\",lastDay:\"[Вчера в] LT\",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return\"[В изминалата] dddd [в] LT\";case 1:case 2:case 4:case 5:return\"[В изминалия] dddd [в] LT\"}},sameElse:\"L\"},relativeTime:{future:\"след %s\",past:\"преди %s\",s:\"няколко секунди\",m:\"минута\",mm:\"%d минути\",h:\"час\",hh:\"%d часа\",d:\"ден\",dd:\"%d дни\",M:\"месец\",MM:\"%d месеца\",y:\"година\",yy:\"%d години\"},dayOfMonthOrdinalParse:/\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(a){var b=a%10,c=a%100;return 0===a?a+\"-ев\":0===c?a+\"-ен\":c>10&&c<20?a+\"-ти\":1===b?a+\"-ви\":2===b?a+\"-ри\":7===b||8===b?a+\"-ми\":a+\"-ти\"},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Bengali [bn]\n//! author : Kaushik Gandhi : https://github.com/kaushikgandhi\nvar Lg={1:\"১\",2:\"২\",3:\"৩\",4:\"৪\",5:\"৫\",6:\"৬\",7:\"৭\",8:\"৮\",9:\"৯\",0:\"০\"},Mg={\"১\":\"1\",\"২\":\"2\",\"৩\":\"3\",\"৪\":\"4\",\"৫\":\"5\",\"৬\":\"6\",\"৭\":\"7\",\"৮\":\"8\",\"৯\":\"9\",\"০\":\"0\"};a.defineLocale(\"bn\",{months:\"জানুয়ারী_ফেব্রুয়ারি_মার্চ_এপ্রিল_মে_জুন_জুলাই_আগস্ট_সেপ্টেম্বর_অক্টোবর_নভেম্বর_ডিসেম্বর\".split(\"_\"),monthsShort:\"জানু_ফেব_মার্চ_এপ্র_মে_জুন_জুল_আগ_সেপ্ট_অক্টো_নভে_ডিসে\".split(\"_\"),weekdays:\"রবিবার_সোমবার_মঙ্গলবার_বুধবার_বৃহস্পতিবার_শুক্রবার_শনিবার\".split(\"_\"),weekdaysShort:\"রবি_সোম_মঙ্গল_বুধ_বৃহস্পতি_শুক্র_শনি\".split(\"_\"),weekdaysMin:\"রবি_সোম_মঙ্গ_বুধ_বৃহঃ_শুক্র_শনি\".split(\"_\"),longDateFormat:{LT:\"A h:mm সময়\",LTS:\"A h:mm:ss সময়\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm সময়\",LLLL:\"dddd, D MMMM YYYY, A h:mm সময়\"},calendar:{sameDay:\"[আজ] LT\",nextDay:\"[আগামীকাল] LT\",nextWeek:\"dddd, LT\",lastDay:\"[গতকাল] LT\",lastWeek:\"[গত] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s পরে\",past:\"%s আগে\",s:\"কয়েক সেকেন্ড\",m:\"এক মিনিট\",mm:\"%d মিনিট\",h:\"এক ঘন্টা\",hh:\"%d ঘন্টা\",d:\"এক দিন\",dd:\"%d দিন\",M:\"এক মাস\",MM:\"%d মাস\",y:\"এক বছর\",yy:\"%d বছর\"},preparse:function(a){return a.replace(/[১২৩৪৫৬৭৮৯০]/g,function(a){return Mg[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return Lg[a]})},meridiemParse:/রাত|সকাল|দুপুর|বিকাল|রাত/,meridiemHour:function(a,b){return 12===a&&(a=0),\"রাত\"===b&&a>=4||\"দুপুর\"===b&&a<5||\"বিকাল\"===b?a+12:a},meridiem:function(a,b,c){return a<4?\"রাত\":a<10?\"সকাল\":a<17?\"দুপুর\":a<20?\"বিকাল\":\"রাত\"},week:{dow:0,doy:6}});\n//! moment.js locale configuration\n//! locale : Tibetan [bo]\n//! author : Thupten N. Chakrishar : https://github.com/vajradog\nvar Ng={1:\"༡\",2:\"༢\",3:\"༣\",4:\"༤\",5:\"༥\",6:\"༦\",7:\"༧\",8:\"༨\",9:\"༩\",0:\"༠\"},Og={\"༡\":\"1\",\"༢\":\"2\",\"༣\":\"3\",\"༤\":\"4\",\"༥\":\"5\",\"༦\":\"6\",\"༧\":\"7\",\"༨\":\"8\",\"༩\":\"9\",\"༠\":\"0\"};a.defineLocale(\"bo\",{months:\"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ\".split(\"_\"),monthsShort:\"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ\".split(\"_\"),weekdays:\"གཟའ་ཉི་མ་_གཟའ་ཟླ་བ་_གཟའ་མིག་དམར་_གཟའ་ལྷག་པ་_གཟའ་ཕུར་བུ_གཟའ་པ་སངས་_གཟའ་སྤེན་པ་\".split(\"_\"),weekdaysShort:\"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་\".split(\"_\"),weekdaysMin:\"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་\".split(\"_\"),longDateFormat:{LT:\"A h:mm\",LTS:\"A h:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm\",LLLL:\"dddd, D MMMM YYYY, A h:mm\"},calendar:{sameDay:\"[དི་རིང] LT\",nextDay:\"[སང་ཉིན] LT\",nextWeek:\"[བདུན་ཕྲག་རྗེས་མ], LT\",lastDay:\"[ཁ་སང] LT\",lastWeek:\"[བདུན་ཕྲག་མཐའ་མ] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s ལ་\",past:\"%s སྔན་ལ\",s:\"ལམ་སང\",m:\"སྐར་མ་གཅིག\",mm:\"%d སྐར་མ\",h:\"ཆུ་ཚོད་གཅིག\",hh:\"%d ཆུ་ཚོད\",d:\"ཉིན་གཅིག\",dd:\"%d ཉིན་\",M:\"ཟླ་བ་གཅིག\",MM:\"%d ཟླ་བ\",y:\"ལོ་གཅིག\",yy:\"%d ལོ\"},preparse:function(a){return a.replace(/[༡༢༣༤༥༦༧༨༩༠]/g,function(a){return Og[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return Ng[a]})},meridiemParse:/མཚན་མོ|ཞོགས་ཀས|ཉིན་གུང|དགོང་དག|མཚན་མོ/,meridiemHour:function(a,b){return 12===a&&(a=0),\"མཚན་མོ\"===b&&a>=4||\"ཉིན་གུང\"===b&&a<5||\"དགོང་དག\"===b?a+12:a},meridiem:function(a,b,c){return a<4?\"མཚན་མོ\":a<10?\"ཞོགས་ཀས\":a<17?\"ཉིན་གུང\":a<20?\"དགོང་དག\":\"མཚན་མོ\"},week:{dow:0,doy:6}}),a.defineLocale(\"br\",{months:\"Genver_C'hwevrer_Meurzh_Ebrel_Mae_Mezheven_Gouere_Eost_Gwengolo_Here_Du_Kerzu\".split(\"_\"),monthsShort:\"Gen_C'hwe_Meu_Ebr_Mae_Eve_Gou_Eos_Gwe_Her_Du_Ker\".split(\"_\"),weekdays:\"Sul_Lun_Meurzh_Merc'her_Yaou_Gwener_Sadorn\".split(\"_\"),weekdaysShort:\"Sul_Lun_Meu_Mer_Yao_Gwe_Sad\".split(\"_\"),weekdaysMin:\"Su_Lu_Me_Mer_Ya_Gw_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"h[e]mm A\",LTS:\"h[e]mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D [a viz] MMMM YYYY\",LLL:\"D [a viz] MMMM YYYY h[e]mm A\",LLLL:\"dddd, D [a viz] MMMM YYYY h[e]mm A\"},calendar:{sameDay:\"[Hiziv da] LT\",nextDay:\"[Warc'hoazh da] LT\",nextWeek:\"dddd [da] LT\",lastDay:\"[Dec'h da] LT\",lastWeek:\"dddd [paset da] LT\",sameElse:\"L\"},relativeTime:{future:\"a-benn %s\",past:\"%s 'zo\",s:\"un nebeud segondennoù\",m:\"ur vunutenn\",mm:ud,h:\"un eur\",hh:\"%d eur\",d:\"un devezh\",dd:ud,M:\"ur miz\",MM:ud,y:\"ur bloaz\",yy:vd},dayOfMonthOrdinalParse:/\\d{1,2}(añ|vet)/,ordinal:function(a){var b=1===a?\"añ\":\"vet\";return a+b},week:{dow:1,doy:4}}),a.defineLocale(\"bs\",{months:\"januar_februar_mart_april_maj_juni_juli_august_septembar_oktobar_novembar_decembar\".split(\"_\"),monthsShort:\"jan._feb._mar._apr._maj._jun._jul._aug._sep._okt._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota\".split(\"_\"),weekdaysShort:\"ned._pon._uto._sri._čet._pet._sub.\".split(\"_\"),weekdaysMin:\"ne_po_ut_sr_če_pe_su\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[danas u] LT\",nextDay:\"[sutra u] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[u] [nedjelju] [u] LT\";case 3:return\"[u] [srijedu] [u] LT\";case 6:return\"[u] [subotu] [u] LT\";case 1:case 2:case 4:case 5:return\"[u] dddd [u] LT\"}},lastDay:\"[jučer u] LT\",lastWeek:function(){switch(this.day()){case 0:case 3:return\"[prošlu] dddd [u] LT\";case 6:return\"[prošle] [subote] [u] LT\";case 1:case 2:case 4:case 5:return\"[prošli] dddd [u] LT\"}},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"prije %s\",s:\"par sekundi\",m:zd,mm:zd,h:zd,hh:zd,d:\"dan\",dd:zd,M:\"mjesec\",MM:zd,y:\"godinu\",yy:zd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Catalan [ca]\n//! author : Juan G. Hurtado : https://github.com/juanghurtado\na.defineLocale(\"ca\",{months:{standalone:\"gener_febrer_març_abril_maig_juny_juliol_agost_setembre_octubre_novembre_desembre\".split(\"_\"),format:\"de gener_de febrer_de març_d'abril_de maig_de juny_de juliol_d'agost_de setembre_d'octubre_de novembre_de desembre\".split(\"_\"),isFormat:/D[oD]?(\\s)+MMMM/},monthsShort:\"gen._febr._març_abr._maig_juny_jul._ag._set._oct._nov._des.\".split(\"_\"),monthsParseExact:!0,weekdays:\"diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte\".split(\"_\"),weekdaysShort:\"dg._dl._dt._dc._dj._dv._ds.\".split(\"_\"),weekdaysMin:\"Dg_Dl_Dt_Dc_Dj_Dv_Ds\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD/MM/YYYY\",LL:\"[el] D MMMM [de] YYYY\",ll:\"D MMM YYYY\",LLL:\"[el] D MMMM [de] YYYY [a les] H:mm\",lll:\"D MMM YYYY, H:mm\",LLLL:\"[el] dddd D MMMM [de] YYYY [a les] H:mm\",llll:\"ddd D MMM YYYY, H:mm\"},calendar:{sameDay:function(){return\"[avui a \"+(1!==this.hours()?\"les\":\"la\")+\"] LT\"},nextDay:function(){return\"[demà a \"+(1!==this.hours()?\"les\":\"la\")+\"] LT\"},nextWeek:function(){return\"dddd [a \"+(1!==this.hours()?\"les\":\"la\")+\"] LT\"},lastDay:function(){return\"[ahir a \"+(1!==this.hours()?\"les\":\"la\")+\"] LT\"},lastWeek:function(){return\"[el] dddd [passat a \"+(1!==this.hours()?\"les\":\"la\")+\"] LT\"},sameElse:\"L\"},relativeTime:{future:\"d'aquí %s\",past:\"fa %s\",s:\"uns segons\",m:\"un minut\",mm:\"%d minuts\",h:\"una hora\",hh:\"%d hores\",d:\"un dia\",dd:\"%d dies\",M:\"un mes\",MM:\"%d mesos\",y:\"un any\",yy:\"%d anys\"},dayOfMonthOrdinalParse:/\\d{1,2}(r|n|t|è|a)/,ordinal:function(a,b){var c=1===a?\"r\":2===a?\"n\":3===a?\"r\":4===a?\"t\":\"è\";return\"w\"!==b&&\"W\"!==b||(c=\"a\"),a+c},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Czech [cs]\n//! author : petrbela : https://github.com/petrbela\nvar Pg=\"leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec\".split(\"_\"),Qg=\"led_úno_bře_dub_kvě_čvn_čvc_srp_zář_říj_lis_pro\".split(\"_\");a.defineLocale(\"cs\",{months:Pg,monthsShort:Qg,monthsParse:function(a,b){var c,d=[];for(c=0;c<12;c++)d[c]=new RegExp(\"^\"+a[c]+\"$|^\"+b[c]+\"$\",\"i\");return d}(Pg,Qg),shortMonthsParse:function(a){var b,c=[];for(b=0;b<12;b++)c[b]=new RegExp(\"^\"+a[b]+\"$\",\"i\");return c}(Qg),longMonthsParse:function(a){var b,c=[];for(b=0;b<12;b++)c[b]=new RegExp(\"^\"+a[b]+\"$\",\"i\");return c}(Pg),weekdays:\"neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota\".split(\"_\"),weekdaysShort:\"ne_po_út_st_čt_pá_so\".split(\"_\"),weekdaysMin:\"ne_po_út_st_čt_pá_so\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd D. MMMM YYYY H:mm\",l:\"D. M. YYYY\"},calendar:{sameDay:\"[dnes v] LT\",nextDay:\"[zítra v] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[v neděli v] LT\";case 1:case 2:return\"[v] dddd [v] LT\";case 3:return\"[ve středu v] LT\";case 4:return\"[ve čtvrtek v] LT\";case 5:return\"[v pátek v] LT\";case 6:return\"[v sobotu v] LT\"}},lastDay:\"[včera v] LT\",lastWeek:function(){switch(this.day()){case 0:return\"[minulou neděli v] LT\";case 1:case 2:return\"[minulé] dddd [v] LT\";case 3:return\"[minulou středu v] LT\";case 4:case 5:return\"[minulý] dddd [v] LT\";case 6:return\"[minulou sobotu v] LT\"}},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"před %s\",s:Bd,m:Bd,mm:Bd,h:Bd,hh:Bd,d:Bd,dd:Bd,M:Bd,MM:Bd,y:Bd,yy:Bd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Chuvash [cv]\n//! author : Anatoly Mironov : https://github.com/mirontoli\na.defineLocale(\"cv\",{months:\"кӑрлач_нарӑс_пуш_ака_май_ҫӗртме_утӑ_ҫурла_авӑн_юпа_чӳк_раштав\".split(\"_\"),monthsShort:\"кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш\".split(\"_\"),weekdays:\"вырсарникун_тунтикун_ытларикун_юнкун_кӗҫнерникун_эрнекун_шӑматкун\".split(\"_\"),weekdaysShort:\"выр_тун_ытл_юн_кӗҫ_эрн_шӑм\".split(\"_\"),weekdaysMin:\"вр_тн_ыт_юн_кҫ_эр_шм\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD-MM-YYYY\",LL:\"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ]\",LLL:\"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm\",LLLL:\"dddd, YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm\"},calendar:{sameDay:\"[Паян] LT [сехетре]\",nextDay:\"[Ыран] LT [сехетре]\",lastDay:\"[Ӗнер] LT [сехетре]\",nextWeek:\"[Ҫитес] dddd LT [сехетре]\",lastWeek:\"[Иртнӗ] dddd LT [сехетре]\",sameElse:\"L\"},relativeTime:{future:function(a){var b=/сехет$/i.exec(a)?\"рен\":/ҫул$/i.exec(a)?\"тан\":\"ран\";return a+b},past:\"%s каялла\",s:\"пӗр-ик ҫеккунт\",m:\"пӗр минут\",mm:\"%d минут\",h:\"пӗр сехет\",hh:\"%d сехет\",d:\"пӗр кун\",dd:\"%d кун\",M:\"пӗр уйӑх\",MM:\"%d уйӑх\",y:\"пӗр ҫул\",yy:\"%d ҫул\"},dayOfMonthOrdinalParse:/\\d{1,2}-мӗш/,ordinal:\"%d-мӗш\",week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Welsh [cy]\n//! author : Robert Allen : https://github.com/robgallen\n//! author : https://github.com/ryangreaves\na.defineLocale(\"cy\",{months:\"Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr\".split(\"_\"),monthsShort:\"Ion_Chwe_Maw_Ebr_Mai_Meh_Gor_Aws_Med_Hyd_Tach_Rhag\".split(\"_\"),weekdays:\"Dydd Sul_Dydd Llun_Dydd Mawrth_Dydd Mercher_Dydd Iau_Dydd Gwener_Dydd Sadwrn\".split(\"_\"),weekdaysShort:\"Sul_Llun_Maw_Mer_Iau_Gwe_Sad\".split(\"_\"),weekdaysMin:\"Su_Ll_Ma_Me_Ia_Gw_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Heddiw am] LT\",nextDay:\"[Yfory am] LT\",nextWeek:\"dddd [am] LT\",lastDay:\"[Ddoe am] LT\",lastWeek:\"dddd [diwethaf am] LT\",sameElse:\"L\"},relativeTime:{future:\"mewn %s\",past:\"%s yn ôl\",s:\"ychydig eiliadau\",m:\"munud\",mm:\"%d munud\",h:\"awr\",hh:\"%d awr\",d:\"diwrnod\",dd:\"%d diwrnod\",M:\"mis\",MM:\"%d mis\",y:\"blwyddyn\",yy:\"%d flynedd\"},dayOfMonthOrdinalParse:/\\d{1,2}(fed|ain|af|il|ydd|ed|eg)/,ordinal:function(a){var b=a,c=\"\",d=[\"\",\"af\",\"il\",\"ydd\",\"ydd\",\"ed\",\"ed\",\"ed\",\"fed\",\"fed\",\"fed\",\"eg\",\"fed\",\"eg\",\"eg\",\"fed\",\"eg\",\"eg\",\"fed\",\"eg\",\"fed\"];return b>20?c=40===b||50===b||60===b||80===b||100===b?\"fed\":\"ain\":b>0&&(c=d[b]),a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Danish [da]\n//! author : Ulrik Nielsen : https://github.com/mrbase\na.defineLocale(\"da\",{months:\"januar_februar_marts_april_maj_juni_juli_august_september_oktober_november_december\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec\".split(\"_\"),weekdays:\"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag\".split(\"_\"),weekdaysShort:\"søn_man_tir_ons_tor_fre_lør\".split(\"_\"),weekdaysMin:\"sø_ma_ti_on_to_fr_lø\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY HH:mm\",LLLL:\"dddd [d.] D. MMMM YYYY [kl.] HH:mm\"},calendar:{sameDay:\"[i dag kl.] LT\",nextDay:\"[i morgen kl.] LT\",nextWeek:\"på dddd [kl.] LT\",lastDay:\"[i går kl.] LT\",lastWeek:\"[i] dddd[s kl.] LT\",sameElse:\"L\"},relativeTime:{future:\"om %s\",past:\"%s siden\",s:\"få sekunder\",m:\"et minut\",mm:\"%d minutter\",h:\"en time\",hh:\"%d timer\",d:\"en dag\",dd:\"%d dage\",M:\"en måned\",MM:\"%d måneder\",y:\"et år\",yy:\"%d år\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),a.defineLocale(\"de-at\",{months:\"Jänner_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember\".split(\"_\"),monthsShort:\"Jän._Febr._Mrz._Apr._Mai_Jun._Jul._Aug._Sept._Okt._Nov._Dez.\".split(\"_\"),monthsParseExact:!0,weekdays:\"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag\".split(\"_\"),weekdaysShort:\"So._Mo._Di._Mi._Do._Fr._Sa.\".split(\"_\"),weekdaysMin:\"So_Mo_Di_Mi_Do_Fr_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY HH:mm\",LLLL:\"dddd, D. MMMM YYYY HH:mm\"},calendar:{sameDay:\"[heute um] LT [Uhr]\",sameElse:\"L\",nextDay:\"[morgen um] LT [Uhr]\",nextWeek:\"dddd [um] LT [Uhr]\",lastDay:\"[gestern um] LT [Uhr]\",lastWeek:\"[letzten] dddd [um] LT [Uhr]\"},relativeTime:{future:\"in %s\",past:\"vor %s\",s:\"ein paar Sekunden\",m:Cd,mm:\"%d Minuten\",h:Cd,hh:\"%d Stunden\",d:Cd,dd:Cd,M:Cd,MM:Cd,y:Cd,yy:Cd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),a.defineLocale(\"de-ch\",{months:\"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember\".split(\"_\"),monthsShort:\"Jan._Febr._März_April_Mai_Juni_Juli_Aug._Sept._Okt._Nov._Dez.\".split(\"_\"),monthsParseExact:!0,weekdays:\"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag\".split(\"_\"),weekdaysShort:\"So_Mo_Di_Mi_Do_Fr_Sa\".split(\"_\"),weekdaysMin:\"So_Mo_Di_Mi_Do_Fr_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY HH.mm\",LLLL:\"dddd, D. MMMM YYYY HH.mm\"},calendar:{sameDay:\"[heute um] LT [Uhr]\",sameElse:\"L\",nextDay:\"[morgen um] LT [Uhr]\",nextWeek:\"dddd [um] LT [Uhr]\",lastDay:\"[gestern um] LT [Uhr]\",lastWeek:\"[letzten] dddd [um] LT [Uhr]\"},relativeTime:{future:\"in %s\",past:\"vor %s\",s:\"ein paar Sekunden\",m:Dd,mm:\"%d Minuten\",h:Dd,hh:\"%d Stunden\",d:Dd,dd:Dd,M:Dd,MM:Dd,y:Dd,yy:Dd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),a.defineLocale(\"de\",{months:\"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember\".split(\"_\"),monthsShort:\"Jan._Febr._Mrz._Apr._Mai_Jun._Jul._Aug._Sept._Okt._Nov._Dez.\".split(\"_\"),monthsParseExact:!0,weekdays:\"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag\".split(\"_\"),weekdaysShort:\"So._Mo._Di._Mi._Do._Fr._Sa.\".split(\"_\"),weekdaysMin:\"So_Mo_Di_Mi_Do_Fr_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY HH:mm\",LLLL:\"dddd, D. MMMM YYYY HH:mm\"},calendar:{sameDay:\"[heute um] LT [Uhr]\",sameElse:\"L\",nextDay:\"[morgen um] LT [Uhr]\",nextWeek:\"dddd [um] LT [Uhr]\",lastDay:\"[gestern um] LT [Uhr]\",lastWeek:\"[letzten] dddd [um] LT [Uhr]\"},relativeTime:{future:\"in %s\",past:\"vor %s\",s:\"ein paar Sekunden\",m:Ed,mm:\"%d Minuten\",h:Ed,hh:\"%d Stunden\",d:Ed,dd:Ed,M:Ed,MM:Ed,y:Ed,yy:Ed},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Maldivian [dv]\n//! author : Jawish Hameed : https://github.com/jawish\nvar Rg=[\"ޖެނުއަރީ\",\"ފެބްރުއަރީ\",\"މާރިޗު\",\"އޭޕްރީލު\",\"މޭ\",\"ޖޫން\",\"ޖުލައި\",\"އޯގަސްޓު\",\"ސެޕްޓެމްބަރު\",\"އޮކްޓޯބަރު\",\"ނޮވެމްބަރު\",\"ޑިސެމްބަރު\"],Sg=[\"އާދިއްތަ\",\"ހޯމަ\",\"އަންގާރަ\",\"ބުދަ\",\"ބުރާސްފަތި\",\"ހުކުރު\",\"ހޮނިހިރު\"];a.defineLocale(\"dv\",{months:Rg,monthsShort:Rg,weekdays:Sg,weekdaysShort:Sg,weekdaysMin:\"އާދި_ހޯމަ_އަން_ބުދަ_ބުރާ_ހުކު_ހޮނި\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"D/M/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},meridiemParse:/މކ|މފ/,isPM:function(a){return\"މފ\"===a},meridiem:function(a,b,c){return a<12?\"މކ\":\"މފ\"},calendar:{sameDay:\"[މިއަދު] LT\",nextDay:\"[މާދަމާ] LT\",nextWeek:\"dddd LT\",lastDay:\"[އިއްޔެ] LT\",lastWeek:\"[ފާއިތުވި] dddd LT\",sameElse:\"L\"},relativeTime:{future:\"ތެރޭގައި %s\",past:\"ކުރިން %s\",s:\"ސިކުންތުކޮޅެއް\",m:\"މިނިޓެއް\",mm:\"މިނިޓު %d\",h:\"ގަޑިއިރެއް\",hh:\"ގަޑިއިރު %d\",d:\"ދުވަހެއް\",dd:\"ދުވަސް %d\",M:\"މަހެއް\",MM:\"މަސް %d\",y:\"އަހަރެއް\",yy:\"އަހަރު %d\"},preparse:function(a){return a.replace(/،/g,\",\")},postformat:function(a){return a.replace(/,/g,\"،\")},week:{dow:7,doy:12}}),\n//! moment.js locale configuration\n//! locale : Greek [el]\n//! author : Aggelos Karalias : https://github.com/mehiel\na.defineLocale(\"el\",{monthsNominativeEl:\"Ιανουάριος_Φεβρουάριος_Μάρτιος_Απρίλιος_Μάιος_Ιούνιος_Ιούλιος_Αύγουστος_Σεπτέμβριος_Οκτώβριος_Νοέμβριος_Δεκέμβριος\".split(\"_\"),monthsGenitiveEl:\"Ιανουαρίου_Φεβρουαρίου_Μαρτίου_Απριλίου_Μαΐου_Ιουνίου_Ιουλίου_Αυγούστου_Σεπτεμβρίου_Οκτωβρίου_Νοεμβρίου_Δεκεμβρίου\".split(\"_\"),months:function(a,b){return a?/D/.test(b.substring(0,b.indexOf(\"MMMM\")))?this._monthsGenitiveEl[a.month()]:this._monthsNominativeEl[a.month()]:this._monthsNominativeEl},monthsShort:\"Ιαν_Φεβ_Μαρ_Απρ_Μαϊ_Ιουν_Ιουλ_Αυγ_Σεπ_Οκτ_Νοε_Δεκ\".split(\"_\"),weekdays:\"Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο\".split(\"_\"),weekdaysShort:\"Κυρ_Δευ_Τρι_Τετ_Πεμ_Παρ_Σαβ\".split(\"_\"),weekdaysMin:\"Κυ_Δε_Τρ_Τε_Πε_Πα_Σα\".split(\"_\"),meridiem:function(a,b,c){return a>11?c?\"μμ\":\"ΜΜ\":c?\"πμ\":\"ΠΜ\"},isPM:function(a){return\"μ\"===(a+\"\").toLowerCase()[0]},meridiemParse:/[ΠΜ]\\.?Μ?\\.?/i,longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendarEl:{sameDay:\"[Σήμερα {}] LT\",nextDay:\"[Αύριο {}] LT\",nextWeek:\"dddd [{}] LT\",lastDay:\"[Χθες {}] LT\",lastWeek:function(){switch(this.day()){case 6:return\"[το προηγούμενο] dddd [{}] LT\";default:return\"[την προηγούμενη] dddd [{}] LT\"}},sameElse:\"L\"},calendar:function(a,b){var c=this._calendarEl[a],d=b&&b.hours();return z(c)&&(c=c.apply(b)),c.replace(\"{}\",d%12===1?\"στη\":\"στις\")},relativeTime:{future:\"σε %s\",past:\"%s πριν\",s:\"λίγα δευτερόλεπτα\",m:\"ένα λεπτό\",mm:\"%d λεπτά\",h:\"μία ώρα\",hh:\"%d ώρες\",d:\"μία μέρα\",dd:\"%d μέρες\",M:\"ένας μήνας\",MM:\"%d μήνες\",y:\"ένας χρόνος\",yy:\"%d χρόνια\"},dayOfMonthOrdinalParse:/\\d{1,2}η/,ordinal:\"%dη\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : English (Australia) [en-au]\n//! author : Jared Morse : https://github.com/jarcoal\na.defineLocale(\"en-au\",{months:\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),weekdays:\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),weekdaysShort:\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),weekdaysMin:\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendar:{sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},relativeTime:{future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : English (Canada) [en-ca]\n//! author : Jonathan Abourbih : https://github.com/jonbca\na.defineLocale(\"en-ca\",{months:\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),weekdays:\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),weekdaysShort:\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),weekdaysMin:\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"YYYY-MM-DD\",LL:\"MMMM D, YYYY\",LLL:\"MMMM D, YYYY h:mm A\",LLLL:\"dddd, MMMM D, YYYY h:mm A\"},calendar:{sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},relativeTime:{future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c}}),\n//! moment.js locale configuration\n//! locale : English (United Kingdom) [en-gb]\n//! author : Chris Gedrim : https://github.com/chrisgedrim\na.defineLocale(\"en-gb\",{months:\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),weekdays:\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),weekdaysShort:\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),weekdaysMin:\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},relativeTime:{future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : English (Ireland) [en-ie]\n//! author : Chris Cartlidge : https://github.com/chriscartlidge\na.defineLocale(\"en-ie\",{months:\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),weekdays:\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),weekdaysShort:\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),weekdaysMin:\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD-MM-YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},relativeTime:{future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : English (New Zealand) [en-nz]\n//! author : Luke McGregor : https://github.com/lukemcgregor\na.defineLocale(\"en-nz\",{months:\"January_February_March_April_May_June_July_August_September_October_November_December\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec\".split(\"_\"),weekdays:\"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday\".split(\"_\"),weekdaysShort:\"Sun_Mon_Tue_Wed_Thu_Fri_Sat\".split(\"_\"),weekdaysMin:\"Su_Mo_Tu_We_Th_Fr_Sa\".split(\"_\"),longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendar:{sameDay:\"[Today at] LT\",nextDay:\"[Tomorrow at] LT\",nextWeek:\"dddd [at] LT\",lastDay:\"[Yesterday at] LT\",lastWeek:\"[Last] dddd [at] LT\",sameElse:\"L\"},relativeTime:{future:\"in %s\",past:\"%s ago\",s:\"a few seconds\",m:\"a minute\",mm:\"%d minutes\",h:\"an hour\",hh:\"%d hours\",d:\"a day\",dd:\"%d days\",M:\"a month\",MM:\"%d months\",y:\"a year\",yy:\"%d years\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Esperanto [eo]\n//! author : Colin Dean : https://github.com/colindean\n//! author : Mia Nordentoft Imperatori : https://github.com/miestasmia\n//! comment : miestasmia corrected the translation by colindean\na.defineLocale(\"eo\",{months:\"januaro_februaro_marto_aprilo_majo_junio_julio_aŭgusto_septembro_oktobro_novembro_decembro\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_maj_jun_jul_aŭg_sep_okt_nov_dec\".split(\"_\"),weekdays:\"dimanĉo_lundo_mardo_merkredo_ĵaŭdo_vendredo_sabato\".split(\"_\"),weekdaysShort:\"dim_lun_mard_merk_ĵaŭ_ven_sab\".split(\"_\"),weekdaysMin:\"di_lu_ma_me_ĵa_ve_sa\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY-MM-DD\",LL:\"D[-a de] MMMM, YYYY\",LLL:\"D[-a de] MMMM, YYYY HH:mm\",LLLL:\"dddd, [la] D[-a de] MMMM, YYYY HH:mm\"},meridiemParse:/[ap]\\.t\\.m/i,isPM:function(a){return\"p\"===a.charAt(0).toLowerCase()},meridiem:function(a,b,c){return a>11?c?\"p.t.m.\":\"P.T.M.\":c?\"a.t.m.\":\"A.T.M.\"},calendar:{sameDay:\"[Hodiaŭ je] LT\",nextDay:\"[Morgaŭ je] LT\",nextWeek:\"dddd [je] LT\",lastDay:\"[Hieraŭ je] LT\",lastWeek:\"[pasinta] dddd [je] LT\",sameElse:\"L\"},relativeTime:{future:\"post %s\",past:\"antaŭ %s\",s:\"sekundoj\",m:\"minuto\",mm:\"%d minutoj\",h:\"horo\",hh:\"%d horoj\",d:\"tago\",dd:\"%d tagoj\",M:\"monato\",MM:\"%d monatoj\",y:\"jaro\",yy:\"%d jaroj\"},dayOfMonthOrdinalParse:/\\d{1,2}a/,ordinal:\"%da\",week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Spanish (Dominican Republic) [es-do]\nvar Tg=\"ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.\".split(\"_\"),Ug=\"ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic\".split(\"_\");a.defineLocale(\"es-do\",{months:\"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre\".split(\"_\"),monthsShort:function(a,b){return a?/-MMM-/.test(b)?Ug[a.month()]:Tg[a.month()]:Tg},monthsParseExact:!0,weekdays:\"domingo_lunes_martes_miércoles_jueves_viernes_sábado\".split(\"_\"),weekdaysShort:\"dom._lun._mar._mié._jue._vie._sáb.\".split(\"_\"),weekdaysMin:\"do_lu_ma_mi_ju_vi_sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D [de] MMMM [de] YYYY\",LLL:\"D [de] MMMM [de] YYYY h:mm A\",LLLL:\"dddd, D [de] MMMM [de] YYYY h:mm A\"},calendar:{sameDay:function(){return\"[hoy a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},nextDay:function(){return\"[mañana a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},nextWeek:function(){return\"dddd [a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},lastDay:function(){return\"[ayer a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},lastWeek:function(){return\"[el] dddd [pasado a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},sameElse:\"L\"},relativeTime:{future:\"en %s\",past:\"hace %s\",s:\"unos segundos\",m:\"un minuto\",mm:\"%d minutos\",h:\"una hora\",hh:\"%d horas\",d:\"un día\",dd:\"%d días\",M:\"un mes\",MM:\"%d meses\",y:\"un año\",yy:\"%d años\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Spanish [es]\n//! author : Julio Napurí : https://github.com/julionc\nvar Vg=\"ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.\".split(\"_\"),Wg=\"ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic\".split(\"_\");a.defineLocale(\"es\",{months:\"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre\".split(\"_\"),monthsShort:function(a,b){return a?/-MMM-/.test(b)?Wg[a.month()]:Vg[a.month()]:Vg},monthsParseExact:!0,weekdays:\"domingo_lunes_martes_miércoles_jueves_viernes_sábado\".split(\"_\"),weekdaysShort:\"dom._lun._mar._mié._jue._vie._sáb.\".split(\"_\"),weekdaysMin:\"do_lu_ma_mi_ju_vi_sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D [de] MMMM [de] YYYY\",LLL:\"D [de] MMMM [de] YYYY H:mm\",LLLL:\"dddd, D [de] MMMM [de] YYYY H:mm\"},calendar:{sameDay:function(){return\"[hoy a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},nextDay:function(){return\"[mañana a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},nextWeek:function(){return\"dddd [a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},lastDay:function(){return\"[ayer a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},lastWeek:function(){return\"[el] dddd [pasado a la\"+(1!==this.hours()?\"s\":\"\")+\"] LT\"},sameElse:\"L\"},relativeTime:{future:\"en %s\",past:\"hace %s\",s:\"unos segundos\",m:\"un minuto\",mm:\"%d minutos\",h:\"una hora\",hh:\"%d horas\",d:\"un día\",dd:\"%d días\",M:\"un mes\",MM:\"%d meses\",y:\"un año\",yy:\"%d años\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}}),a.defineLocale(\"et\",{months:\"jaanuar_veebruar_märts_aprill_mai_juuni_juuli_august_september_oktoober_november_detsember\".split(\"_\"),monthsShort:\"jaan_veebr_märts_apr_mai_juuni_juuli_aug_sept_okt_nov_dets\".split(\"_\"),weekdays:\"pühapäev_esmaspäev_teisipäev_kolmapäev_neljapäev_reede_laupäev\".split(\"_\"),weekdaysShort:\"P_E_T_K_N_R_L\".split(\"_\"),weekdaysMin:\"P_E_T_K_N_R_L\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[Täna,] LT\",nextDay:\"[Homme,] LT\",nextWeek:\"[Järgmine] dddd LT\",lastDay:\"[Eile,] LT\",lastWeek:\"[Eelmine] dddd LT\",sameElse:\"L\"},relativeTime:{future:\"%s pärast\",past:\"%s tagasi\",s:Fd,m:Fd,mm:Fd,h:Fd,hh:Fd,d:Fd,dd:\"%d päeva\",M:Fd,MM:Fd,y:Fd,yy:Fd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Basque [eu]\n//! author : Eneko Illarramendi : https://github.com/eillarra\na.defineLocale(\"eu\",{months:\"urtarrila_otsaila_martxoa_apirila_maiatza_ekaina_uztaila_abuztua_iraila_urria_azaroa_abendua\".split(\"_\"),monthsShort:\"urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.\".split(\"_\"),monthsParseExact:!0,weekdays:\"igandea_astelehena_asteartea_asteazkena_osteguna_ostirala_larunbata\".split(\"_\"),weekdaysShort:\"ig._al._ar._az._og._ol._lr.\".split(\"_\"),weekdaysMin:\"ig_al_ar_az_og_ol_lr\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY-MM-DD\",LL:\"YYYY[ko] MMMM[ren] D[a]\",LLL:\"YYYY[ko] MMMM[ren] D[a] HH:mm\",LLLL:\"dddd, YYYY[ko] MMMM[ren] D[a] HH:mm\",l:\"YYYY-M-D\",ll:\"YYYY[ko] MMM D[a]\",lll:\"YYYY[ko] MMM D[a] HH:mm\",llll:\"ddd, YYYY[ko] MMM D[a] HH:mm\"},calendar:{sameDay:\"[gaur] LT[etan]\",nextDay:\"[bihar] LT[etan]\",nextWeek:\"dddd LT[etan]\",lastDay:\"[atzo] LT[etan]\",lastWeek:\"[aurreko] dddd LT[etan]\",sameElse:\"L\"},relativeTime:{future:\"%s barru\",past:\"duela %s\",s:\"segundo batzuk\",m:\"minutu bat\",mm:\"%d minutu\",h:\"ordu bat\",hh:\"%d ordu\",d:\"egun bat\",dd:\"%d egun\",M:\"hilabete bat\",MM:\"%d hilabete\",y:\"urte bat\",yy:\"%d urte\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Persian [fa]\n//! author : Ebrahim Byagowi : https://github.com/ebraminio\nvar Xg={1:\"۱\",2:\"۲\",3:\"۳\",4:\"۴\",5:\"۵\",6:\"۶\",7:\"۷\",8:\"۸\",9:\"۹\",0:\"۰\"},Yg={\"۱\":\"1\",\"۲\":\"2\",\"۳\":\"3\",\"۴\":\"4\",\"۵\":\"5\",\"۶\":\"6\",\"۷\":\"7\",\"۸\":\"8\",\"۹\":\"9\",\"۰\":\"0\"};a.defineLocale(\"fa\",{months:\"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر\".split(\"_\"),monthsShort:\"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر\".split(\"_\"),weekdays:\"یکشنبه_دوشنبه_سهشنبه_چهارشنبه_پنجشنبه_جمعه_شنبه\".split(\"_\"),weekdaysShort:\"یکشنبه_دوشنبه_سهشنبه_چهارشنبه_پنجشنبه_جمعه_شنبه\".split(\"_\"),weekdaysMin:\"ی_د_س_چ_پ_ج_ش\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},meridiemParse:/قبل از ظهر|بعد از ظهر/,isPM:function(a){return/بعد از ظهر/.test(a)},meridiem:function(a,b,c){return a<12?\"قبل از ظهر\":\"بعد از ظهر\"},calendar:{sameDay:\"[امروز ساعت] LT\",nextDay:\"[فردا ساعت] LT\",nextWeek:\"dddd [ساعت] LT\",lastDay:\"[دیروز ساعت] LT\",lastWeek:\"dddd [پیش] [ساعت] LT\",sameElse:\"L\"},relativeTime:{future:\"در %s\",past:\"%s پیش\",s:\"چند ثانیه\",m:\"یک دقیقه\",mm:\"%d دقیقه\",h:\"یک ساعت\",hh:\"%d ساعت\",d:\"یک روز\",dd:\"%d روز\",M:\"یک ماه\",MM:\"%d ماه\",y:\"یک سال\",yy:\"%d سال\"},preparse:function(a){return a.replace(/[۰-۹]/g,function(a){return Yg[a]}).replace(/،/g,\",\")},postformat:function(a){return a.replace(/\\d/g,function(a){return Xg[a]}).replace(/,/g,\"،\")},dayOfMonthOrdinalParse:/\\d{1,2}م/,ordinal:\"%dم\",week:{dow:6,doy:12}});\n//! moment.js locale configuration\n//! locale : Finnish [fi]\n//! author : Tarmo Aidantausta : https://github.com/bleadof\nvar Zg=\"nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän\".split(\" \"),$g=[\"nolla\",\"yhden\",\"kahden\",\"kolmen\",\"neljän\",\"viiden\",\"kuuden\",Zg[7],Zg[8],Zg[9]];a.defineLocale(\"fi\",{months:\"tammikuu_helmikuu_maaliskuu_huhtikuu_toukokuu_kesäkuu_heinäkuu_elokuu_syyskuu_lokakuu_marraskuu_joulukuu\".split(\"_\"),monthsShort:\"tammi_helmi_maalis_huhti_touko_kesä_heinä_elo_syys_loka_marras_joulu\".split(\"_\"),weekdays:\"sunnuntai_maanantai_tiistai_keskiviikko_torstai_perjantai_lauantai\".split(\"_\"),weekdaysShort:\"su_ma_ti_ke_to_pe_la\".split(\"_\"),weekdaysMin:\"su_ma_ti_ke_to_pe_la\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD.MM.YYYY\",LL:\"Do MMMM[ta] YYYY\",LLL:\"Do MMMM[ta] YYYY, [klo] HH.mm\",LLLL:\"dddd, Do MMMM[ta] YYYY, [klo] HH.mm\",l:\"D.M.YYYY\",ll:\"Do MMM YYYY\",lll:\"Do MMM YYYY, [klo] HH.mm\",llll:\"ddd, Do MMM YYYY, [klo] HH.mm\"},calendar:{sameDay:\"[tänään] [klo] LT\",nextDay:\"[huomenna] [klo] LT\",nextWeek:\"dddd [klo] LT\",lastDay:\"[eilen] [klo] LT\",lastWeek:\"[viime] dddd[na] [klo] LT\",sameElse:\"L\"},relativeTime:{future:\"%s päästä\",past:\"%s sitten\",s:Gd,m:Gd,mm:Gd,h:Gd,hh:Gd,d:Gd,dd:Gd,M:Gd,MM:Gd,y:Gd,yy:Gd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Faroese [fo]\n//! author : Ragnar Johannesen : https://github.com/ragnar123\na.defineLocale(\"fo\",{months:\"januar_februar_mars_apríl_mai_juni_juli_august_september_oktober_november_desember\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des\".split(\"_\"),weekdays:\"sunnudagur_mánadagur_týsdagur_mikudagur_hósdagur_fríggjadagur_leygardagur\".split(\"_\"),weekdaysShort:\"sun_mán_týs_mik_hós_frí_ley\".split(\"_\"),weekdaysMin:\"su_má_tý_mi_hó_fr_le\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D. MMMM, YYYY HH:mm\"},calendar:{sameDay:\"[Í dag kl.] LT\",nextDay:\"[Í morgin kl.] LT\",nextWeek:\"dddd [kl.] LT\",lastDay:\"[Í gjár kl.] LT\",lastWeek:\"[síðstu] dddd [kl] LT\",sameElse:\"L\"},relativeTime:{future:\"um %s\",past:\"%s síðani\",s:\"fá sekund\",m:\"ein minutt\",mm:\"%d minuttir\",h:\"ein tími\",hh:\"%d tímar\",d:\"ein dagur\",dd:\"%d dagar\",M:\"ein mánaði\",MM:\"%d mánaðir\",y:\"eitt ár\",yy:\"%d ár\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : French (Canada) [fr-ca]\n//! author : Jonathan Abourbih : https://github.com/jonbca\na.defineLocale(\"fr-ca\",{months:\"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre\".split(\"_\"),monthsShort:\"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.\".split(\"_\"),monthsParseExact:!0,weekdays:\"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi\".split(\"_\"),weekdaysShort:\"dim._lun._mar._mer._jeu._ven._sam.\".split(\"_\"),weekdaysMin:\"Di_Lu_Ma_Me_Je_Ve_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY-MM-DD\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Aujourd’hui à] LT\",nextDay:\"[Demain à] LT\",nextWeek:\"dddd [à] LT\",lastDay:\"[Hier à] LT\",lastWeek:\"dddd [dernier à] LT\",sameElse:\"L\"},relativeTime:{future:\"dans %s\",past:\"il y a %s\",s:\"quelques secondes\",m:\"une minute\",mm:\"%d minutes\",h:\"une heure\",hh:\"%d heures\",d:\"un jour\",dd:\"%d jours\",M:\"un mois\",MM:\"%d mois\",y:\"un an\",yy:\"%d ans\"},dayOfMonthOrdinalParse:/\\d{1,2}(er|e)/,ordinal:function(a,b){switch(b){default:case\"M\":case\"Q\":case\"D\":case\"DDD\":case\"d\":return a+(1===a?\"er\":\"e\");case\"w\":case\"W\":return a+(1===a?\"re\":\"e\")}}}),\n//! moment.js locale configuration\n//! locale : French (Switzerland) [fr-ch]\n//! author : Gaspard Bucher : https://github.com/gaspard\na.defineLocale(\"fr-ch\",{months:\"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre\".split(\"_\"),monthsShort:\"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.\".split(\"_\"),monthsParseExact:!0,weekdays:\"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi\".split(\"_\"),weekdaysShort:\"dim._lun._mar._mer._jeu._ven._sam.\".split(\"_\"),weekdaysMin:\"Di_Lu_Ma_Me_Je_Ve_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Aujourd’hui à] LT\",nextDay:\"[Demain à] LT\",nextWeek:\"dddd [à] LT\",lastDay:\"[Hier à] LT\",lastWeek:\"dddd [dernier à] LT\",sameElse:\"L\"},relativeTime:{future:\"dans %s\",past:\"il y a %s\",s:\"quelques secondes\",m:\"une minute\",mm:\"%d minutes\",h:\"une heure\",hh:\"%d heures\",d:\"un jour\",dd:\"%d jours\",M:\"un mois\",MM:\"%d mois\",y:\"un an\",yy:\"%d ans\"},dayOfMonthOrdinalParse:/\\d{1,2}(er|e)/,ordinal:function(a,b){switch(b){default:case\"M\":case\"Q\":case\"D\":case\"DDD\":case\"d\":return a+(1===a?\"er\":\"e\");case\"w\":case\"W\":return a+(1===a?\"re\":\"e\")}},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : French [fr]\n//! author : John Fischer : https://github.com/jfroffice\na.defineLocale(\"fr\",{months:\"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre\".split(\"_\"),monthsShort:\"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.\".split(\"_\"),monthsParseExact:!0,weekdays:\"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi\".split(\"_\"),weekdaysShort:\"dim._lun._mar._mer._jeu._ven._sam.\".split(\"_\"),weekdaysMin:\"Di_Lu_Ma_Me_Je_Ve_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Aujourd’hui à] LT\",nextDay:\"[Demain à] LT\",nextWeek:\"dddd [à] LT\",lastDay:\"[Hier à] LT\",lastWeek:\"dddd [dernier à] LT\",sameElse:\"L\"},relativeTime:{future:\"dans %s\",past:\"il y a %s\",s:\"quelques secondes\",m:\"une minute\",mm:\"%d minutes\",h:\"une heure\",hh:\"%d heures\",d:\"un jour\",dd:\"%d jours\",M:\"un mois\",MM:\"%d mois\",y:\"un an\",yy:\"%d ans\"},dayOfMonthOrdinalParse:/\\d{1,2}(er|)/,ordinal:function(a,b){switch(b){case\"D\":return a+(1===a?\"er\":\"\");default:case\"M\":case\"Q\":case\"DDD\":case\"d\":return a+(1===a?\"er\":\"e\");case\"w\":case\"W\":return a+(1===a?\"re\":\"e\")}},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Frisian [fy]\n//! author : Robin van der Vliet : https://github.com/robin0van0der0v\nvar _g=\"jan._feb._mrt._apr._mai_jun._jul._aug._sep._okt._nov._des.\".split(\"_\"),ah=\"jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des\".split(\"_\");a.defineLocale(\"fy\",{months:\"jannewaris_febrewaris_maart_april_maaie_juny_july_augustus_septimber_oktober_novimber_desimber\".split(\"_\"),monthsShort:function(a,b){return a?/-MMM-/.test(b)?ah[a.month()]:_g[a.month()]:_g},monthsParseExact:!0,weekdays:\"snein_moandei_tiisdei_woansdei_tongersdei_freed_sneon\".split(\"_\"),weekdaysShort:\"si._mo._ti._wo._to._fr._so.\".split(\"_\"),weekdaysMin:\"Si_Mo_Ti_Wo_To_Fr_So\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD-MM-YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[hjoed om] LT\",nextDay:\"[moarn om] LT\",nextWeek:\"dddd [om] LT\",lastDay:\"[juster om] LT\",lastWeek:\"[ôfrûne] dddd [om] LT\",sameElse:\"L\"},relativeTime:{future:\"oer %s\",past:\"%s lyn\",s:\"in pear sekonden\",m:\"ien minút\",mm:\"%d minuten\",h:\"ien oere\",hh:\"%d oeren\",d:\"ien dei\",dd:\"%d dagen\",M:\"ien moanne\",MM:\"%d moannen\",y:\"ien jier\",yy:\"%d jierren\"},dayOfMonthOrdinalParse:/\\d{1,2}(ste|de)/,ordinal:function(a){return a+(1===a||8===a||a>=20?\"ste\":\"de\")},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Scottish Gaelic [gd]\n//! author : Jon Ashdown : https://github.com/jonashdown\nvar bh=[\"Am Faoilleach\",\"An Gearran\",\"Am Màrt\",\"An Giblean\",\"An Cèitean\",\"An t-Ògmhios\",\"An t-Iuchar\",\"An Lùnastal\",\"An t-Sultain\",\"An Dàmhair\",\"An t-Samhain\",\"An Dùbhlachd\"],ch=[\"Faoi\",\"Gear\",\"Màrt\",\"Gibl\",\"Cèit\",\"Ògmh\",\"Iuch\",\"Lùn\",\"Sult\",\"Dàmh\",\"Samh\",\"Dùbh\"],dh=[\"Didòmhnaich\",\"Diluain\",\"Dimàirt\",\"Diciadain\",\"Diardaoin\",\"Dihaoine\",\"Disathairne\"],eh=[\"Did\",\"Dil\",\"Dim\",\"Dic\",\"Dia\",\"Dih\",\"Dis\"],fh=[\"Dò\",\"Lu\",\"Mà\",\"Ci\",\"Ar\",\"Ha\",\"Sa\"];a.defineLocale(\"gd\",{months:bh,monthsShort:ch,monthsParseExact:!0,weekdays:dh,weekdaysShort:eh,weekdaysMin:fh,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[An-diugh aig] LT\",nextDay:\"[A-màireach aig] LT\",nextWeek:\"dddd [aig] LT\",lastDay:\"[An-dè aig] LT\",lastWeek:\"dddd [seo chaidh] [aig] LT\",sameElse:\"L\"},relativeTime:{future:\"ann an %s\",past:\"bho chionn %s\",s:\"beagan diogan\",m:\"mionaid\",mm:\"%d mionaidean\",h:\"uair\",hh:\"%d uairean\",d:\"latha\",dd:\"%d latha\",M:\"mìos\",MM:\"%d mìosan\",y:\"bliadhna\",yy:\"%d bliadhna\"},dayOfMonthOrdinalParse:/\\d{1,2}(d|na|mh)/,ordinal:function(a){var b=1===a?\"d\":a%10===2?\"na\":\"mh\";return a+b},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Galician [gl]\n//! author : Juan G. Hurtado : https://github.com/juanghurtado\na.defineLocale(\"gl\",{months:\"xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro\".split(\"_\"),monthsShort:\"xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"domingo_luns_martes_mércores_xoves_venres_sábado\".split(\"_\"),weekdaysShort:\"dom._lun._mar._mér._xov._ven._sáb.\".split(\"_\"),weekdaysMin:\"do_lu_ma_mé_xo_ve_sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D [de] MMMM [de] YYYY\",LLL:\"D [de] MMMM [de] YYYY H:mm\",LLLL:\"dddd, D [de] MMMM [de] YYYY H:mm\"},calendar:{sameDay:function(){return\"[hoxe \"+(1!==this.hours()?\"ás\":\"á\")+\"] LT\"},nextDay:function(){return\"[mañá \"+(1!==this.hours()?\"ás\":\"á\")+\"] LT\"},nextWeek:function(){return\"dddd [\"+(1!==this.hours()?\"ás\":\"a\")+\"] LT\"},lastDay:function(){return\"[onte \"+(1!==this.hours()?\"á\":\"a\")+\"] LT\"},lastWeek:function(){return\"[o] dddd [pasado \"+(1!==this.hours()?\"ás\":\"a\")+\"] LT\"},sameElse:\"L\"},relativeTime:{future:function(a){return 0===a.indexOf(\"un\")?\"n\"+a:\"en \"+a},past:\"hai %s\",s:\"uns segundos\",m:\"un minuto\",mm:\"%d minutos\",h:\"unha hora\",hh:\"%d horas\",d:\"un día\",dd:\"%d días\",M:\"un mes\",MM:\"%d meses\",y:\"un ano\",yy:\"%d anos\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}}),a.defineLocale(\"gom-latn\",{months:\"Janer_Febrer_Mars_Abril_Mai_Jun_Julai_Agost_Setembr_Otubr_Novembr_Dezembr\".split(\"_\"),monthsShort:\"Jan._Feb._Mars_Abr._Mai_Jun_Jul._Ago._Set._Otu._Nov._Dez.\".split(\"_\"),monthsParseExact:!0,weekdays:\"Aitar_Somar_Mongllar_Budvar_Brestar_Sukrar_Son'var\".split(\"_\"),weekdaysShort:\"Ait._Som._Mon._Bud._Bre._Suk._Son.\".split(\"_\"),weekdaysMin:\"Ai_Sm_Mo_Bu_Br_Su_Sn\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"A h:mm [vazta]\",LTS:\"A h:mm:ss [vazta]\",L:\"DD-MM-YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY A h:mm [vazta]\",LLLL:\"dddd, MMMM[achea] Do, YYYY, A h:mm [vazta]\",llll:\"ddd, D MMM YYYY, A h:mm [vazta]\"},calendar:{sameDay:\"[Aiz] LT\",nextDay:\"[Faleam] LT\",nextWeek:\"[Ieta to] dddd[,] LT\",lastDay:\"[Kal] LT\",lastWeek:\"[Fatlo] dddd[,] LT\",sameElse:\"L\"},relativeTime:{future:\"%s\",past:\"%s adim\",s:Id,m:Id,mm:Id,h:Id,hh:Id,d:Id,dd:Id,M:Id,MM:Id,y:Id,yy:Id},dayOfMonthOrdinalParse:/\\d{1,2}(er)/,ordinal:function(a,b){switch(b){case\"D\":return a+\"er\";default:case\"M\":case\"Q\":case\"DDD\":case\"d\":case\"w\":case\"W\":return a}},week:{dow:1,doy:4},meridiemParse:/rati|sokalli|donparam|sanje/,meridiemHour:function(a,b){return 12===a&&(a=0),\"rati\"===b?a<4?a:a+12:\"sokalli\"===b?a:\"donparam\"===b?a>12?a:a+12:\"sanje\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"rati\":a<12?\"sokalli\":a<16?\"donparam\":a<20?\"sanje\":\"rati\"}}),\n//! moment.js locale configuration\n//! locale : Hebrew [he]\n//! author : Tomer Cohen : https://github.com/tomer\n//! author : Moshe Simantov : https://github.com/DevelopmentIL\n//! author : Tal Ater : https://github.com/TalAter\na.defineLocale(\"he\",{months:\"ינואר_פברואר_מרץ_אפריל_מאי_יוני_יולי_אוגוסט_ספטמבר_אוקטובר_נובמבר_דצמבר\".split(\"_\"),monthsShort:\"ינו׳_פבר׳_מרץ_אפר׳_מאי_יוני_יולי_אוג׳_ספט׳_אוק׳_נוב׳_דצמ׳\".split(\"_\"),weekdays:\"ראשון_שני_שלישי_רביעי_חמישי_שישי_שבת\".split(\"_\"),weekdaysShort:\"א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳\".split(\"_\"),weekdaysMin:\"א_ב_ג_ד_ה_ו_ש\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D [ב]MMMM YYYY\",LLL:\"D [ב]MMMM YYYY HH:mm\",LLLL:\"dddd, D [ב]MMMM YYYY HH:mm\",l:\"D/M/YYYY\",ll:\"D MMM YYYY\",lll:\"D MMM YYYY HH:mm\",llll:\"ddd, D MMM YYYY HH:mm\"},calendar:{sameDay:\"[היום ב־]LT\",nextDay:\"[מחר ב־]LT\",nextWeek:\"dddd [בשעה] LT\",lastDay:\"[אתמול ב־]LT\",lastWeek:\"[ביום] dddd [האחרון בשעה] LT\",sameElse:\"L\"},relativeTime:{future:\"בעוד %s\",past:\"לפני %s\",s:\"מספר שניות\",m:\"דקה\",mm:\"%d דקות\",h:\"שעה\",hh:function(a){return 2===a?\"שעתיים\":a+\" שעות\"},d:\"יום\",dd:function(a){return 2===a?\"יומיים\":a+\" ימים\"},M:\"חודש\",MM:function(a){return 2===a?\"חודשיים\":a+\" חודשים\"},y:\"שנה\",yy:function(a){return 2===a?\"שנתיים\":a%10===0&&10!==a?a+\" שנה\":a+\" שנים\"}},meridiemParse:/אחה\"צ|לפנה\"צ|אחרי הצהריים|לפני הצהריים|לפנות בוקר|בבוקר|בערב/i,isPM:function(a){return/^(אחה\"צ|אחרי הצהריים|בערב)$/.test(a)},meridiem:function(a,b,c){return a<5?\"לפנות בוקר\":a<10?\"בבוקר\":a<12?c?'לפנה\"צ':\"לפני הצהריים\":a<18?c?'אחה\"צ':\"אחרי הצהריים\":\"בערב\"}});\n//! moment.js locale configuration\n//! locale : Hindi [hi]\n//! author : Mayank Singhal : https://github.com/mayanksinghal\nvar gh={1:\"१\",2:\"२\",3:\"३\",4:\"४\",5:\"५\",6:\"६\",7:\"७\",8:\"८\",9:\"९\",0:\"०\"},hh={\"१\":\"1\",\"२\":\"2\",\"३\":\"3\",\"४\":\"4\",\"५\":\"5\",\"६\":\"6\",\"७\":\"7\",\"८\":\"8\",\"९\":\"9\",\"०\":\"0\"};a.defineLocale(\"hi\",{months:\"जनवरी_फ़रवरी_मार्च_अप्रैल_मई_जून_जुलाई_अगस्त_सितम्बर_अक्टूबर_नवम्बर_दिसम्बर\".split(\"_\"),monthsShort:\"जन._फ़र._मार्च_अप्रै._मई_जून_जुल._अग._सित._अक्टू._नव._दिस.\".split(\"_\"),monthsParseExact:!0,weekdays:\"रविवार_सोमवार_मंगलवार_बुधवार_गुरूवार_शुक्रवार_शनिवार\".split(\"_\"),weekdaysShort:\"रवि_सोम_मंगल_बुध_गुरू_शुक्र_शनि\".split(\"_\"),weekdaysMin:\"र_सो_मं_बु_गु_शु_श\".split(\"_\"),longDateFormat:{LT:\"A h:mm बजे\",LTS:\"A h:mm:ss बजे\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm बजे\",LLLL:\"dddd, D MMMM YYYY, A h:mm बजे\"},calendar:{sameDay:\"[आज] LT\",nextDay:\"[कल] LT\",nextWeek:\"dddd, LT\",lastDay:\"[कल] LT\",lastWeek:\"[पिछले] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s में\",past:\"%s पहले\",s:\"कुछ ही क्षण\",m:\"एक मिनट\",mm:\"%d मिनट\",h:\"एक घंटा\",hh:\"%d घंटे\",d:\"एक दिन\",dd:\"%d दिन\",M:\"एक महीने\",MM:\"%d महीने\",y:\"एक वर्ष\",yy:\"%d वर्ष\"},preparse:function(a){return a.replace(/[१२३४५६७८९०]/g,function(a){return hh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return gh[a]})},meridiemParse:/रात|सुबह|दोपहर|शाम/,meridiemHour:function(a,b){return 12===a&&(a=0),\"रात\"===b?a<4?a:a+12:\"सुबह\"===b?a:\"दोपहर\"===b?a>=10?a:a+12:\"शाम\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"रात\":a<10?\"सुबह\":a<17?\"दोपहर\":a<20?\"शाम\":\"रात\"},week:{dow:0,doy:6}}),a.defineLocale(\"hr\",{months:{format:\"siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca\".split(\"_\"),standalone:\"siječanj_veljača_ožujak_travanj_svibanj_lipanj_srpanj_kolovoz_rujan_listopad_studeni_prosinac\".split(\"_\")},monthsShort:\"sij._velj._ožu._tra._svi._lip._srp._kol._ruj._lis._stu._pro.\".split(\"_\"),monthsParseExact:!0,weekdays:\"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota\".split(\"_\"),weekdaysShort:\"ned._pon._uto._sri._čet._pet._sub.\".split(\"_\"),weekdaysMin:\"ne_po_ut_sr_če_pe_su\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[danas u] LT\",nextDay:\"[sutra u] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[u] [nedjelju] [u] LT\";case 3:return\"[u] [srijedu] [u] LT\";case 6:return\"[u] [subotu] [u] LT\";case 1:case 2:case 4:case 5:return\"[u] dddd [u] LT\"}},lastDay:\"[jučer u] LT\",lastWeek:function(){switch(this.day()){case 0:case 3:return\"[prošlu] dddd [u] LT\";case 6:return\"[prošle] [subote] [u] LT\";case 1:case 2:case 4:case 5:return\"[prošli] dddd [u] LT\"}},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"prije %s\",s:\"par sekundi\",m:Jd,mm:Jd,h:Jd,hh:Jd,d:\"dan\",dd:Jd,M:\"mjesec\",MM:Jd,y:\"godinu\",yy:Jd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Hungarian [hu]\n//! author : Adam Brunner : https://github.com/adambrunner\nvar ih=\"vasárnap hétfőn kedden szerdán csütörtökön pénteken szombaton\".split(\" \");a.defineLocale(\"hu\",{months:\"január_február_március_április_május_június_július_augusztus_szeptember_október_november_december\".split(\"_\"),monthsShort:\"jan_feb_márc_ápr_máj_jún_júl_aug_szept_okt_nov_dec\".split(\"_\"),weekdays:\"vasárnap_hétfő_kedd_szerda_csütörtök_péntek_szombat\".split(\"_\"),weekdaysShort:\"vas_hét_kedd_sze_csüt_pén_szo\".split(\"_\"),weekdaysMin:\"v_h_k_sze_cs_p_szo\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"YYYY.MM.DD.\",LL:\"YYYY. MMMM D.\",LLL:\"YYYY. MMMM D. H:mm\",LLLL:\"YYYY. MMMM D., dddd H:mm\"},meridiemParse:/de|du/i,isPM:function(a){return\"u\"===a.charAt(1).toLowerCase()},meridiem:function(a,b,c){return a<12?c===!0?\"de\":\"DE\":c===!0?\"du\":\"DU\"},calendar:{sameDay:\"[ma] LT[-kor]\",nextDay:\"[holnap] LT[-kor]\",nextWeek:function(){return Ld.call(this,!0)},lastDay:\"[tegnap] LT[-kor]\",lastWeek:function(){return Ld.call(this,!1)},sameElse:\"L\"},relativeTime:{future:\"%s múlva\",past:\"%s\",s:Kd,m:Kd,mm:Kd,h:Kd,hh:Kd,d:Kd,dd:Kd,M:Kd,MM:Kd,y:Kd,yy:Kd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Armenian [hy-am]\n//! author : Armendarabyan : https://github.com/armendarabyan\na.defineLocale(\"hy-am\",{months:{format:\"հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի\".split(\"_\"),standalone:\"հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր\".split(\"_\")},monthsShort:\"հնվ_փտր_մրտ_ապր_մյս_հնս_հլս_օգս_սպտ_հկտ_նմբ_դկտ\".split(\"_\"),weekdays:\"կիրակի_երկուշաբթի_երեքշաբթի_չորեքշաբթի_հինգշաբթի_ուրբաթ_շաբաթ\".split(\"_\"),weekdaysShort:\"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ\".split(\"_\"),weekdaysMin:\"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY թ.\",LLL:\"D MMMM YYYY թ., HH:mm\",LLLL:\"dddd, D MMMM YYYY թ., HH:mm\"},calendar:{sameDay:\"[այսօր] LT\",nextDay:\"[վաղը] LT\",lastDay:\"[երեկ] LT\",nextWeek:function(){return\"dddd [օրը ժամը] LT\"},lastWeek:function(){return\"[անցած] dddd [օրը ժամը] LT\"},sameElse:\"L\"},relativeTime:{future:\"%s հետո\",past:\"%s առաջ\",s:\"մի քանի վայրկյան\",m:\"րոպե\",mm:\"%d րոպե\",h:\"ժամ\",hh:\"%d ժամ\",d:\"օր\",dd:\"%d օր\",M:\"ամիս\",MM:\"%d ամիս\",y:\"տարի\",yy:\"%d տարի\"},meridiemParse:/գիշերվա|առավոտվա|ցերեկվա|երեկոյան/,isPM:function(a){return/^(ցերեկվա|երեկոյան)$/.test(a)},meridiem:function(a){return a<4?\"գիշերվա\":a<12?\"առավոտվա\":a<17?\"ցերեկվա\":\"երեկոյան\"},dayOfMonthOrdinalParse:/\\d{1,2}|\\d{1,2}-(ին|րդ)/,ordinal:function(a,b){switch(b){case\"DDD\":case\"w\":case\"W\":case\"DDDo\":return 1===a?a+\"-ին\":a+\"-րդ\";default:return a}},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Indonesian [id]\n//! author : Mohammad Satrio Utomo : https://github.com/tyok\n//! reference: http://id.wikisource.org/wiki/Pedoman_Umum_Ejaan_Bahasa_Indonesia_yang_Disempurnakan\na.defineLocale(\"id\",{months:\"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nov_Des\".split(\"_\"),weekdays:\"Minggu_Senin_Selasa_Rabu_Kamis_Jumat_Sabtu\".split(\"_\"),weekdaysShort:\"Min_Sen_Sel_Rab_Kam_Jum_Sab\".split(\"_\"),weekdaysMin:\"Mg_Sn_Sl_Rb_Km_Jm_Sb\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [pukul] HH.mm\",LLLL:\"dddd, D MMMM YYYY [pukul] HH.mm\"},meridiemParse:/pagi|siang|sore|malam/,meridiemHour:function(a,b){return 12===a&&(a=0),\"pagi\"===b?a:\"siang\"===b?a>=11?a:a+12:\"sore\"===b||\"malam\"===b?a+12:void 0},meridiem:function(a,b,c){return a<11?\"pagi\":a<15?\"siang\":a<19?\"sore\":\"malam\"},calendar:{sameDay:\"[Hari ini pukul] LT\",nextDay:\"[Besok pukul] LT\",nextWeek:\"dddd [pukul] LT\",lastDay:\"[Kemarin pukul] LT\",lastWeek:\"dddd [lalu pukul] LT\",sameElse:\"L\"},relativeTime:{future:\"dalam %s\",past:\"%s yang lalu\",s:\"beberapa detik\",m:\"semenit\",mm:\"%d menit\",h:\"sejam\",hh:\"%d jam\",d:\"sehari\",dd:\"%d hari\",M:\"sebulan\",MM:\"%d bulan\",y:\"setahun\",yy:\"%d tahun\"},week:{dow:1,doy:7}}),a.defineLocale(\"is\",{months:\"janúar_febrúar_mars_apríl_maí_júní_júlí_ágúst_september_október_nóvember_desember\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des\".split(\"_\"),weekdays:\"sunnudagur_mánudagur_þriðjudagur_miðvikudagur_fimmtudagur_föstudagur_laugardagur\".split(\"_\"),weekdaysShort:\"sun_mán_þri_mið_fim_fös_lau\".split(\"_\"),weekdaysMin:\"Su_Má_Þr_Mi_Fi_Fö_La\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY [kl.] H:mm\",LLLL:\"dddd, D. MMMM YYYY [kl.] H:mm\"},calendar:{sameDay:\"[í dag kl.] LT\",nextDay:\"[á morgun kl.] LT\",nextWeek:\"dddd [kl.] LT\",lastDay:\"[í gær kl.] LT\",lastWeek:\"[síðasta] dddd [kl.] LT\",sameElse:\"L\"},relativeTime:{future:\"eftir %s\",past:\"fyrir %s síðan\",s:Nd,m:Nd,mm:Nd,h:\"klukkustund\",hh:Nd,d:Nd,dd:Nd,M:Nd,MM:Nd,y:Nd,yy:Nd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Italian [it]\n//! author : Lorenzo : https://github.com/aliem\n//! author: Mattia Larentis: https://github.com/nostalgiaz\na.defineLocale(\"it\",{months:\"gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre\".split(\"_\"),monthsShort:\"gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic\".split(\"_\"),weekdays:\"domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato\".split(\"_\"),weekdaysShort:\"dom_lun_mar_mer_gio_ven_sab\".split(\"_\"),weekdaysMin:\"do_lu_ma_me_gi_ve_sa\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Oggi alle] LT\",nextDay:\"[Domani alle] LT\",nextWeek:\"dddd [alle] LT\",lastDay:\"[Ieri alle] LT\",lastWeek:function(){switch(this.day()){case 0:return\"[la scorsa] dddd [alle] LT\";default:return\"[lo scorso] dddd [alle] LT\"}},sameElse:\"L\"},relativeTime:{future:function(a){return(/^[0-9].+$/.test(a)?\"tra\":\"in\")+\" \"+a},past:\"%s fa\",s:\"alcuni secondi\",m:\"un minuto\",mm:\"%d minuti\",h:\"un'ora\",hh:\"%d ore\",d:\"un giorno\",dd:\"%d giorni\",M:\"un mese\",MM:\"%d mesi\",y:\"un anno\",yy:\"%d anni\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Japanese [ja]\n//! author : LI Long : https://github.com/baryon\na.defineLocale(\"ja\",{months:\"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月\".split(\"_\"),monthsShort:\"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月\".split(\"_\"),weekdays:\"日曜日_月曜日_火曜日_水曜日_木曜日_金曜日_土曜日\".split(\"_\"),weekdaysShort:\"日_月_火_水_木_金_土\".split(\"_\"),weekdaysMin:\"日_月_火_水_木_金_土\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY/MM/DD\",LL:\"YYYY年M月D日\",LLL:\"YYYY年M月D日 HH:mm\",LLLL:\"YYYY年M月D日 HH:mm dddd\",l:\"YYYY/MM/DD\",ll:\"YYYY年M月D日\",lll:\"YYYY年M月D日 HH:mm\",llll:\"YYYY年M月D日 HH:mm dddd\"},meridiemParse:/午前|午後/i,isPM:function(a){return\"午後\"===a},meridiem:function(a,b,c){return a<12?\"午前\":\"午後\"},calendar:{sameDay:\"[今日] LT\",nextDay:\"[明日] LT\",nextWeek:\"[来週]dddd LT\",lastDay:\"[昨日] LT\",lastWeek:\"[前週]dddd LT\",sameElse:\"L\"},dayOfMonthOrdinalParse:/\\d{1,2}日/,ordinal:function(a,b){switch(b){case\"d\":case\"D\":case\"DDD\":return a+\"日\";default:return a}},relativeTime:{future:\"%s後\",past:\"%s前\",s:\"数秒\",m:\"1分\",mm:\"%d分\",h:\"1時間\",hh:\"%d時間\",d:\"1日\",dd:\"%d日\",M:\"1ヶ月\",MM:\"%dヶ月\",y:\"1年\",yy:\"%d年\"}}),\n//! moment.js locale configuration\n//! locale : Javanese [jv]\n//! author : Rony Lantip : https://github.com/lantip\n//! reference: http://jv.wikipedia.org/wiki/Basa_Jawa\na.defineLocale(\"jv\",{months:\"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember\".split(\"_\"),monthsShort:\"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nop_Des\".split(\"_\"),weekdays:\"Minggu_Senen_Seloso_Rebu_Kemis_Jemuwah_Septu\".split(\"_\"),weekdaysShort:\"Min_Sen_Sel_Reb_Kem_Jem_Sep\".split(\"_\"),weekdaysMin:\"Mg_Sn_Sl_Rb_Km_Jm_Sp\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [pukul] HH.mm\",LLLL:\"dddd, D MMMM YYYY [pukul] HH.mm\"},meridiemParse:/enjing|siyang|sonten|ndalu/,meridiemHour:function(a,b){return 12===a&&(a=0),\"enjing\"===b?a:\"siyang\"===b?a>=11?a:a+12:\"sonten\"===b||\"ndalu\"===b?a+12:void 0},meridiem:function(a,b,c){return a<11?\"enjing\":a<15?\"siyang\":a<19?\"sonten\":\"ndalu\"},calendar:{sameDay:\"[Dinten puniko pukul] LT\",nextDay:\"[Mbenjang pukul] LT\",nextWeek:\"dddd [pukul] LT\",lastDay:\"[Kala wingi pukul] LT\",lastWeek:\"dddd [kepengker pukul] LT\",sameElse:\"L\"},relativeTime:{future:\"wonten ing %s\",past:\"%s ingkang kepengker\",s:\"sawetawis detik\",m:\"setunggal menit\",mm:\"%d menit\",h:\"setunggal jam\",hh:\"%d jam\",d:\"sedinten\",dd:\"%d dinten\",M:\"sewulan\",MM:\"%d wulan\",y:\"setaun\",yy:\"%d taun\"},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Georgian [ka]\n//! author : Irakli Janiashvili : https://github.com/irakli-janiashvili\na.defineLocale(\"ka\",{months:{standalone:\"იანვარი_თებერვალი_მარტი_აპრილი_მაისი_ივნისი_ივლისი_აგვისტო_სექტემბერი_ოქტომბერი_ნოემბერი_დეკემბერი\".split(\"_\"),format:\"იანვარს_თებერვალს_მარტს_აპრილის_მაისს_ივნისს_ივლისს_აგვისტს_სექტემბერს_ოქტომბერს_ნოემბერს_დეკემბერს\".split(\"_\")},monthsShort:\"იან_თებ_მარ_აპრ_მაი_ივნ_ივლ_აგვ_სექ_ოქტ_ნოე_დეკ\".split(\"_\"),weekdays:{standalone:\"კვირა_ორშაბათი_სამშაბათი_ოთხშაბათი_ხუთშაბათი_პარასკევი_შაბათი\".split(\"_\"),format:\"კვირას_ორშაბათს_სამშაბათს_ოთხშაბათს_ხუთშაბათს_პარასკევს_შაბათს\".split(\"_\"),isFormat:/(წინა|შემდეგ)/},weekdaysShort:\"კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ\".split(\"_\"),weekdaysMin:\"კვ_ორ_სა_ოთ_ხუ_პა_შა\".split(\"_\"),longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendar:{sameDay:\"[დღეს] LT[-ზე]\",nextDay:\"[ხვალ] LT[-ზე]\",lastDay:\"[გუშინ] LT[-ზე]\",nextWeek:\"[შემდეგ] dddd LT[-ზე]\",lastWeek:\"[წინა] dddd LT-ზე\",sameElse:\"L\"},relativeTime:{future:function(a){return/(წამი|წუთი|საათი|წელი)/.test(a)?a.replace(/ი$/,\"ში\"):a+\"ში\"},past:function(a){return/(წამი|წუთი|საათი|დღე|თვე)/.test(a)?a.replace(/(ი|ე)$/,\"ის უკან\"):/წელი/.test(a)?a.replace(/წელი$/,\"წლის უკან\"):void 0},s:\"რამდენიმე წამი\",m:\"წუთი\",mm:\"%d წუთი\",h:\"საათი\",hh:\"%d საათი\",d:\"დღე\",dd:\"%d დღე\",M:\"თვე\",MM:\"%d თვე\",y:\"წელი\",yy:\"%d წელი\"},dayOfMonthOrdinalParse:/0|1-ლი|მე-\\d{1,2}|\\d{1,2}-ე/,ordinal:function(a){return 0===a?a:1===a?a+\"-ლი\":a<20||a<=100&&a%20===0||a%100===0?\"მე-\"+a:a+\"-ე\"},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Kazakh [kk]\n//! authors : Nurlan Rakhimzhanov : https://github.com/nurlan\nvar jh={0:\"-ші\",1:\"-ші\",2:\"-ші\",3:\"-ші\",4:\"-ші\",5:\"-ші\",6:\"-шы\",7:\"-ші\",8:\"-ші\",9:\"-шы\",10:\"-шы\",20:\"-шы\",30:\"-шы\",40:\"-шы\",50:\"-ші\",60:\"-шы\",70:\"-ші\",80:\"-ші\",90:\"-шы\",100:\"-ші\"};a.defineLocale(\"kk\",{months:\"қаңтар_ақпан_наурыз_сәуір_мамыр_маусым_шілде_тамыз_қыркүйек_қазан_қараша_желтоқсан\".split(\"_\"),monthsShort:\"қаң_ақп_нау_сәу_мам_мау_шіл_там_қыр_қаз_қар_жел\".split(\"_\"),weekdays:\"жексенбі_дүйсенбі_сейсенбі_сәрсенбі_бейсенбі_жұма_сенбі\".split(\"_\"),weekdaysShort:\"жек_дүй_сей_сәр_бей_жұм_сен\".split(\"_\"),weekdaysMin:\"жк_дй_сй_ср_бй_жм_сн\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Бүгін сағат] LT\",nextDay:\"[Ертең сағат] LT\",nextWeek:\"dddd [сағат] LT\",lastDay:\"[Кеше сағат] LT\",lastWeek:\"[Өткен аптаның] dddd [сағат] LT\",sameElse:\"L\"},relativeTime:{future:\"%s ішінде\",past:\"%s бұрын\",s:\"бірнеше секунд\",m:\"бір минут\",mm:\"%d минут\",h:\"бір сағат\",hh:\"%d сағат\",d:\"бір күн\",dd:\"%d күн\",M:\"бір ай\",MM:\"%d ай\",y:\"бір жыл\",yy:\"%d жыл\"},dayOfMonthOrdinalParse:/\\d{1,2}-(ші|шы)/,ordinal:function(a){var b=a%10,c=a>=100?100:null;return a+(jh[a]||jh[b]||jh[c])},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Cambodian [km]\n//! author : Kruy Vanna : https://github.com/kruyvanna\na.defineLocale(\"km\",{months:\"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ\".split(\"_\"),monthsShort:\"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ\".split(\"_\"),weekdays:\"អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍\".split(\"_\"),weekdaysShort:\"អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍\".split(\"_\"),weekdaysMin:\"អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[ថ្ងៃនេះ ម៉ោង] LT\",nextDay:\"[ស្អែក ម៉ោង] LT\",nextWeek:\"dddd [ម៉ោង] LT\",lastDay:\"[ម្សិលមិញ ម៉ោង] LT\",lastWeek:\"dddd [សប្តាហ៍មុន] [ម៉ោង] LT\",sameElse:\"L\"},relativeTime:{future:\"%sទៀត\",past:\"%sមុន\",s:\"ប៉ុន្មានវិនាទី\",m:\"មួយនាទី\",mm:\"%d នាទី\",h:\"មួយម៉ោង\",hh:\"%d ម៉ោង\",d:\"មួយថ្ងៃ\",dd:\"%d ថ្ងៃ\",M:\"មួយខែ\",MM:\"%d ខែ\",y:\"មួយឆ្នាំ\",yy:\"%d ឆ្នាំ\"},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Kannada [kn]\n//! author : Rajeev Naik : https://github.com/rajeevnaikte\nvar kh={1:\"೧\",2:\"೨\",3:\"೩\",4:\"೪\",5:\"೫\",6:\"೬\",7:\"೭\",8:\"೮\",9:\"೯\",0:\"೦\"},lh={\"೧\":\"1\",\"೨\":\"2\",\"೩\":\"3\",\"೪\":\"4\",\"೫\":\"5\",\"೬\":\"6\",\"೭\":\"7\",\"೮\":\"8\",\"೯\":\"9\",\"೦\":\"0\"};a.defineLocale(\"kn\",{months:\"ಜನವರಿ_ಫೆಬ್ರವರಿ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬರ್_ಅಕ್ಟೋಬರ್_ನವೆಂಬರ್_ಡಿಸೆಂಬರ್\".split(\"_\"),monthsShort:\"ಜನ_ಫೆಬ್ರ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬ_ಅಕ್ಟೋಬ_ನವೆಂಬ_ಡಿಸೆಂಬ\".split(\"_\"),monthsParseExact:!0,weekdays:\"ಭಾನುವಾರ_ಸೋಮವಾರ_ಮಂಗಳವಾರ_ಬುಧವಾರ_ಗುರುವಾರ_ಶುಕ್ರವಾರ_ಶನಿವಾರ\".split(\"_\"),weekdaysShort:\"ಭಾನು_ಸೋಮ_ಮಂಗಳ_ಬುಧ_ಗುರು_ಶುಕ್ರ_ಶನಿ\".split(\"_\"),weekdaysMin:\"ಭಾ_ಸೋ_ಮಂ_ಬು_ಗು_ಶು_ಶ\".split(\"_\"),longDateFormat:{LT:\"A h:mm\",LTS:\"A h:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm\",LLLL:\"dddd, D MMMM YYYY, A h:mm\"},calendar:{sameDay:\"[ಇಂದು] LT\",nextDay:\"[ನಾಳೆ] LT\",nextWeek:\"dddd, LT\",lastDay:\"[ನಿನ್ನೆ] LT\",lastWeek:\"[ಕೊನೆಯ] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s ನಂತರ\",past:\"%s ಹಿಂದೆ\",s:\"ಕೆಲವು ಕ್ಷಣಗಳು\",m:\"ಒಂದು ನಿಮಿಷ\",mm:\"%d ನಿಮಿಷ\",h:\"ಒಂದು ಗಂಟೆ\",hh:\"%d ಗಂಟೆ\",d:\"ಒಂದು ದಿನ\",dd:\"%d ದಿನ\",M:\"ಒಂದು ತಿಂಗಳು\",MM:\"%d ತಿಂಗಳು\",y:\"ಒಂದು ವರ್ಷ\",yy:\"%d ವರ್ಷ\"},preparse:function(a){return a.replace(/[೧೨೩೪೫೬೭೮೯೦]/g,function(a){return lh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return kh[a]})},meridiemParse:/ರಾತ್ರಿ|ಬೆಳಿಗ್ಗೆ|ಮಧ್ಯಾಹ್ನ|ಸಂಜೆ/,meridiemHour:function(a,b){return 12===a&&(a=0),\"ರಾತ್ರಿ\"===b?a<4?a:a+12:\"ಬೆಳಿಗ್ಗೆ\"===b?a:\"ಮಧ್ಯಾಹ್ನ\"===b?a>=10?a:a+12:\"ಸಂಜೆ\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"ರಾತ್ರಿ\":a<10?\"ಬೆಳಿಗ್ಗೆ\":a<17?\"ಮಧ್ಯಾಹ್ನ\":a<20?\"ಸಂಜೆ\":\"ರಾತ್ರಿ\"},dayOfMonthOrdinalParse:/\\d{1,2}(ನೇ)/,ordinal:function(a){return a+\"ನೇ\"},week:{dow:0,doy:6}}),\n//! moment.js locale configuration\n//! locale : Korean [ko]\n//! author : Kyungwook, Park : https://github.com/kyungw00k\n//! author : Jeeeyul Lee <jeeeyul@gmail.com>\na.defineLocale(\"ko\",{months:\"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월\".split(\"_\"),monthsShort:\"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월\".split(\"_\"),weekdays:\"일요일_월요일_화요일_수요일_목요일_금요일_토요일\".split(\"_\"),weekdaysShort:\"일_월_화_수_목_금_토\".split(\"_\"),weekdaysMin:\"일_월_화_수_목_금_토\".split(\"_\"),longDateFormat:{LT:\"A h:mm\",LTS:\"A h:mm:ss\",L:\"YYYY.MM.DD\",LL:\"YYYY년 MMMM D일\",LLL:\"YYYY년 MMMM D일 A h:mm\",LLLL:\"YYYY년 MMMM D일 dddd A h:mm\",l:\"YYYY.MM.DD\",ll:\"YYYY년 MMMM D일\",lll:\"YYYY년 MMMM D일 A h:mm\",llll:\"YYYY년 MMMM D일 dddd A h:mm\"},calendar:{sameDay:\"오늘 LT\",nextDay:\"내일 LT\",nextWeek:\"dddd LT\",lastDay:\"어제 LT\",lastWeek:\"지난주 dddd LT\",sameElse:\"L\"},relativeTime:{future:\"%s 후\",past:\"%s 전\",s:\"몇 초\",ss:\"%d초\",m:\"1분\",mm:\"%d분\",h:\"한 시간\",hh:\"%d시간\",d:\"하루\",dd:\"%d일\",M:\"한 달\",MM:\"%d달\",y:\"일 년\",yy:\"%d년\"},dayOfMonthOrdinalParse:/\\d{1,2}일/,ordinal:\"%d일\",meridiemParse:/오전|오후/,isPM:function(a){return\"오후\"===a},meridiem:function(a,b,c){return a<12?\"오전\":\"오후\"}});\n//! moment.js locale configuration\n//! locale : Kyrgyz [ky]\n//! author : Chyngyz Arystan uulu : https://github.com/chyngyz\nvar mh={0:\"-чү\",1:\"-чи\",2:\"-чи\",3:\"-чү\",4:\"-чү\",5:\"-чи\",6:\"-чы\",7:\"-чи\",8:\"-чи\",9:\"-чу\",10:\"-чу\",20:\"-чы\",30:\"-чу\",40:\"-чы\",50:\"-чү\",60:\"-чы\",70:\"-чи\",80:\"-чи\",90:\"-чу\",100:\"-чү\"};a.defineLocale(\"ky\",{months:\"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь\".split(\"_\"),monthsShort:\"янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек\".split(\"_\"),weekdays:\"Жекшемби_Дүйшөмбү_Шейшемби_Шаршемби_Бейшемби_Жума_Ишемби\".split(\"_\"),weekdaysShort:\"Жек_Дүй_Шей_Шар_Бей_Жум_Ише\".split(\"_\"),weekdaysMin:\"Жк_Дй_Шй_Шр_Бй_Жм_Иш\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Бүгүн саат] LT\",nextDay:\"[Эртең саат] LT\",nextWeek:\"dddd [саат] LT\",lastDay:\"[Кече саат] LT\",lastWeek:\"[Өткен аптанын] dddd [күнү] [саат] LT\",sameElse:\"L\"},relativeTime:{future:\"%s ичинде\",past:\"%s мурун\",s:\"бирнече секунд\",m:\"бир мүнөт\",mm:\"%d мүнөт\",h:\"бир саат\",hh:\"%d саат\",d:\"бир күн\",dd:\"%d күн\",M:\"бир ай\",MM:\"%d ай\",y:\"бир жыл\",yy:\"%d жыл\"},dayOfMonthOrdinalParse:/\\d{1,2}-(чи|чы|чү|чу)/,ordinal:function(a){var b=a%10,c=a>=100?100:null;return a+(mh[a]||mh[b]||mh[c])},week:{dow:1,doy:7}}),a.defineLocale(\"lb\",{months:\"Januar_Februar_Mäerz_Abrëll_Mee_Juni_Juli_August_September_Oktober_November_Dezember\".split(\"_\"),monthsShort:\"Jan._Febr._Mrz._Abr._Mee_Jun._Jul._Aug._Sept._Okt._Nov._Dez.\".split(\"_\"),monthsParseExact:!0,weekdays:\"Sonndeg_Méindeg_Dënschdeg_Mëttwoch_Donneschdeg_Freideg_Samschdeg\".split(\"_\"),weekdaysShort:\"So._Mé._Dë._Më._Do._Fr._Sa.\".split(\"_\"),weekdaysMin:\"So_Mé_Dë_Më_Do_Fr_Sa\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm [Auer]\",LTS:\"H:mm:ss [Auer]\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm [Auer]\",LLLL:\"dddd, D. MMMM YYYY H:mm [Auer]\"},calendar:{sameDay:\"[Haut um] LT\",sameElse:\"L\",nextDay:\"[Muer um] LT\",nextWeek:\"dddd [um] LT\",lastDay:\"[Gëschter um] LT\",lastWeek:function(){switch(this.day()){case 2:case 4:return\"[Leschten] dddd [um] LT\";default:return\"[Leschte] dddd [um] LT\"}}},relativeTime:{future:Pd,past:Qd,s:\"e puer Sekonnen\",m:Od,mm:\"%d Minutten\",h:Od,hh:\"%d Stonnen\",d:Od,dd:\"%d Deeg\",M:Od,MM:\"%d Méint\",y:Od,yy:\"%d Joer\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Lao [lo]\n//! author : Ryan Hart : https://github.com/ryanhart2\na.defineLocale(\"lo\",{months:\"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ\".split(\"_\"),monthsShort:\"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ\".split(\"_\"),weekdays:\"ອາທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ\".split(\"_\"),weekdaysShort:\"ທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ\".split(\"_\"),weekdaysMin:\"ທ_ຈ_ອຄ_ພ_ພຫ_ສກ_ສ\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"ວັນdddd D MMMM YYYY HH:mm\"},meridiemParse:/ຕອນເຊົ້າ|ຕອນແລງ/,isPM:function(a){return\"ຕອນແລງ\"===a},meridiem:function(a,b,c){return a<12?\"ຕອນເຊົ້າ\":\"ຕອນແລງ\"},calendar:{sameDay:\"[ມື້ນີ້ເວລາ] LT\",nextDay:\"[ມື້ອື່ນເວລາ] LT\",nextWeek:\"[ວັນ]dddd[ໜ້າເວລາ] LT\",lastDay:\"[ມື້ວານນີ້ເວລາ] LT\",lastWeek:\"[ວັນ]dddd[ແລ້ວນີ້ເວລາ] LT\",sameElse:\"L\"},relativeTime:{future:\"ອີກ %s\",past:\"%sຜ່ານມາ\",s:\"ບໍ່ເທົ່າໃດວິນາທີ\",m:\"1 ນາທີ\",mm:\"%d ນາທີ\",h:\"1 ຊົ່ວໂມງ\",hh:\"%d ຊົ່ວໂມງ\",d:\"1 ມື້\",dd:\"%d ມື້\",M:\"1 ເດືອນ\",MM:\"%d ເດືອນ\",y:\"1 ປີ\",yy:\"%d ປີ\"},dayOfMonthOrdinalParse:/(ທີ່)\\d{1,2}/,ordinal:function(a){return\"ທີ່\"+a}});\n//! moment.js locale configuration\n//! locale : Lithuanian [lt]\n//! author : Mindaugas Mozūras : https://github.com/mmozuras\nvar nh={m:\"minutė_minutės_minutę\",mm:\"minutės_minučių_minutes\",h:\"valanda_valandos_valandą\",hh:\"valandos_valandų_valandas\",d:\"diena_dienos_dieną\",dd:\"dienos_dienų_dienas\",M:\"mėnuo_mėnesio_mėnesį\",MM:\"mėnesiai_mėnesių_mėnesius\",y:\"metai_metų_metus\",yy:\"metai_metų_metus\"};a.defineLocale(\"lt\",{months:{format:\"sausio_vasario_kovo_balandžio_gegužės_birželio_liepos_rugpjūčio_rugsėjo_spalio_lapkričio_gruodžio\".split(\"_\"),standalone:\"sausis_vasaris_kovas_balandis_gegužė_birželis_liepa_rugpjūtis_rugsėjis_spalis_lapkritis_gruodis\".split(\"_\"),isFormat:/D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?|MMMM?(\\[[^\\[\\]]*\\]|\\s)+D[oD]?/},monthsShort:\"sau_vas_kov_bal_geg_bir_lie_rgp_rgs_spa_lap_grd\".split(\"_\"),weekdays:{format:\"sekmadienį_pirmadienį_antradienį_trečiadienį_ketvirtadienį_penktadienį_šeštadienį\".split(\"_\"),standalone:\"sekmadienis_pirmadienis_antradienis_trečiadienis_ketvirtadienis_penktadienis_šeštadienis\".split(\"_\"),isFormat:/dddd HH:mm/},weekdaysShort:\"Sek_Pir_Ant_Tre_Ket_Pen_Šeš\".split(\"_\"),weekdaysMin:\"S_P_A_T_K_Pn_Š\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY-MM-DD\",LL:\"YYYY [m.] MMMM D [d.]\",LLL:\"YYYY [m.] MMMM D [d.], HH:mm [val.]\",LLLL:\"YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]\",l:\"YYYY-MM-DD\",ll:\"YYYY [m.] MMMM D [d.]\",lll:\"YYYY [m.] MMMM D [d.], HH:mm [val.]\",llll:\"YYYY [m.] MMMM D [d.], ddd, HH:mm [val.]\"},calendar:{sameDay:\"[Šiandien] LT\",nextDay:\"[Rytoj] LT\",nextWeek:\"dddd LT\",lastDay:\"[Vakar] LT\",lastWeek:\"[Praėjusį] dddd LT\",sameElse:\"L\"},relativeTime:{future:\"po %s\",past:\"prieš %s\",s:Sd,m:Td,mm:Wd,h:Td,hh:Wd,d:Td,dd:Wd,M:Td,MM:Wd,y:Td,yy:Wd},dayOfMonthOrdinalParse:/\\d{1,2}-oji/,ordinal:function(a){return a+\"-oji\"},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Latvian [lv]\n//! author : Kristaps Karlsons : https://github.com/skakri\n//! author : Jānis Elmeris : https://github.com/JanisE\nvar oh={m:\"minūtes_minūtēm_minūte_minūtes\".split(\"_\"),mm:\"minūtes_minūtēm_minūte_minūtes\".split(\"_\"),h:\"stundas_stundām_stunda_stundas\".split(\"_\"),hh:\"stundas_stundām_stunda_stundas\".split(\"_\"),d:\"dienas_dienām_diena_dienas\".split(\"_\"),dd:\"dienas_dienām_diena_dienas\".split(\"_\"),M:\"mēneša_mēnešiem_mēnesis_mēneši\".split(\"_\"),MM:\"mēneša_mēnešiem_mēnesis_mēneši\".split(\"_\"),y:\"gada_gadiem_gads_gadi\".split(\"_\"),yy:\"gada_gadiem_gads_gadi\".split(\"_\")};a.defineLocale(\"lv\",{months:\"janvāris_februāris_marts_aprīlis_maijs_jūnijs_jūlijs_augusts_septembris_oktobris_novembris_decembris\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_mai_jūn_jūl_aug_sep_okt_nov_dec\".split(\"_\"),weekdays:\"svētdiena_pirmdiena_otrdiena_trešdiena_ceturtdiena_piektdiena_sestdiena\".split(\"_\"),weekdaysShort:\"Sv_P_O_T_C_Pk_S\".split(\"_\"),weekdaysMin:\"Sv_P_O_T_C_Pk_S\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY.\",LL:\"YYYY. [gada] D. MMMM\",LLL:\"YYYY. [gada] D. MMMM, HH:mm\",LLLL:\"YYYY. [gada] D. MMMM, dddd, HH:mm\"},calendar:{sameDay:\"[Šodien pulksten] LT\",nextDay:\"[Rīt pulksten] LT\",nextWeek:\"dddd [pulksten] LT\",lastDay:\"[Vakar pulksten] LT\",lastWeek:\"[Pagājušā] dddd [pulksten] LT\",sameElse:\"L\"},relativeTime:{future:\"pēc %s\",past:\"pirms %s\",s:$d,m:Zd,mm:Yd,h:Zd,hh:Yd,d:Zd,dd:Yd,M:Zd,MM:Yd,y:Zd,yy:Yd},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Montenegrin [me]\n//! author : Miodrag Nikač <miodrag@restartit.me> : https://github.com/miodragnikac\nvar ph={words:{m:[\"jedan minut\",\"jednog minuta\"],mm:[\"minut\",\"minuta\",\"minuta\"],h:[\"jedan sat\",\"jednog sata\"],hh:[\"sat\",\"sata\",\"sati\"],dd:[\"dan\",\"dana\",\"dana\"],MM:[\"mjesec\",\"mjeseca\",\"mjeseci\"],yy:[\"godina\",\"godine\",\"godina\"]},correctGrammaticalCase:function(a,b){return 1===a?b[0]:a>=2&&a<=4?b[1]:b[2]},translate:function(a,b,c){var d=ph.words[c];return 1===c.length?b?d[0]:d[1]:a+\" \"+ph.correctGrammaticalCase(a,d)}};a.defineLocale(\"me\",{months:\"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar\".split(\"_\"),monthsShort:\"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota\".split(\"_\"),weekdaysShort:\"ned._pon._uto._sri._čet._pet._sub.\".split(\"_\"),weekdaysMin:\"ne_po_ut_sr_če_pe_su\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[danas u] LT\",nextDay:\"[sjutra u] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[u] [nedjelju] [u] LT\";case 3:return\"[u] [srijedu] [u] LT\";case 6:return\"[u] [subotu] [u] LT\";case 1:case 2:case 4:case 5:return\"[u] dddd [u] LT\"}},lastDay:\"[juče u] LT\",lastWeek:function(){var a=[\"[prošle] [nedjelje] [u] LT\",\"[prošlog] [ponedjeljka] [u] LT\",\"[prošlog] [utorka] [u] LT\",\"[prošle] [srijede] [u] LT\",\"[prošlog] [četvrtka] [u] LT\",\"[prošlog] [petka] [u] LT\",\"[prošle] [subote] [u] LT\"];return a[this.day()]},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"prije %s\",s:\"nekoliko sekundi\",m:ph.translate,mm:ph.translate,h:ph.translate,hh:ph.translate,d:\"dan\",dd:ph.translate,M:\"mjesec\",MM:ph.translate,y:\"godinu\",yy:ph.translate},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Maori [mi]\n//! author : John Corrigan <robbiecloset@gmail.com> : https://github.com/johnideal\na.defineLocale(\"mi\",{months:\"Kohi-tāte_Hui-tanguru_Poutū-te-rangi_Paenga-whāwhā_Haratua_Pipiri_Hōngoingoi_Here-turi-kōkā_Mahuru_Whiringa-ā-nuku_Whiringa-ā-rangi_Hakihea\".split(\"_\"),monthsShort:\"Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki\".split(\"_\"),monthsRegex:/(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,monthsStrictRegex:/(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,monthsShortRegex:/(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,monthsShortStrictRegex:/(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,2}/i,weekdays:\"Rātapu_Mane_Tūrei_Wenerei_Tāite_Paraire_Hātarei\".split(\"_\"),weekdaysShort:\"Ta_Ma_Tū_We_Tāi_Pa_Hā\".split(\"_\"),weekdaysMin:\"Ta_Ma_Tū_We_Tāi_Pa_Hā\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [i] HH:mm\",LLLL:\"dddd, D MMMM YYYY [i] HH:mm\"},calendar:{sameDay:\"[i teie mahana, i] LT\",nextDay:\"[apopo i] LT\",nextWeek:\"dddd [i] LT\",lastDay:\"[inanahi i] LT\",lastWeek:\"dddd [whakamutunga i] LT\",sameElse:\"L\"},relativeTime:{future:\"i roto i %s\",past:\"%s i mua\",s:\"te hēkona ruarua\",m:\"he meneti\",mm:\"%d meneti\",h:\"te haora\",hh:\"%d haora\",d:\"he ra\",dd:\"%d ra\",M:\"he marama\",MM:\"%d marama\",y:\"he tau\",yy:\"%d tau\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Macedonian [mk]\n//! author : Borislav Mickov : https://github.com/B0k0\na.defineLocale(\"mk\",{months:\"јануари_февруари_март_април_мај_јуни_јули_август_септември_октомври_ноември_декември\".split(\"_\"),monthsShort:\"јан_фев_мар_апр_мај_јун_јул_авг_сеп_окт_ное_дек\".split(\"_\"),weekdays:\"недела_понеделник_вторник_среда_четврток_петок_сабота\".split(\"_\"),weekdaysShort:\"нед_пон_вто_сре_чет_пет_саб\".split(\"_\"),weekdaysMin:\"нe_пo_вт_ср_че_пе_сa\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"D.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY H:mm\",LLLL:\"dddd, D MMMM YYYY H:mm\"},calendar:{sameDay:\"[Денес во] LT\",nextDay:\"[Утре во] LT\",nextWeek:\"[Во] dddd [во] LT\",lastDay:\"[Вчера во] LT\",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return\"[Изминатата] dddd [во] LT\";case 1:case 2:case 4:case 5:return\"[Изминатиот] dddd [во] LT\"}},sameElse:\"L\"},relativeTime:{future:\"после %s\",past:\"пред %s\",s:\"неколку секунди\",m:\"минута\",mm:\"%d минути\",h:\"час\",hh:\"%d часа\",d:\"ден\",dd:\"%d дена\",M:\"месец\",MM:\"%d месеци\",y:\"година\",yy:\"%d години\"},dayOfMonthOrdinalParse:/\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(a){var b=a%10,c=a%100;return 0===a?a+\"-ев\":0===c?a+\"-ен\":c>10&&c<20?a+\"-ти\":1===b?a+\"-ви\":2===b?a+\"-ри\":7===b||8===b?a+\"-ми\":a+\"-ти\"},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Malayalam [ml]\n//! author : Floyd Pink : https://github.com/floydpink\na.defineLocale(\"ml\",{months:\"ജനുവരി_ഫെബ്രുവരി_മാർച്ച്_ഏപ്രിൽ_മേയ്_ജൂൺ_ജൂലൈ_ഓഗസ്റ്റ്_സെപ്റ്റംബർ_ഒക്ടോബർ_നവംബർ_ഡിസംബർ\".split(\"_\"),monthsShort:\"ജനു._ഫെബ്രു._മാർ._ഏപ്രി._മേയ്_ജൂൺ_ജൂലൈ._ഓഗ._സെപ്റ്റ._ഒക്ടോ._നവം._ഡിസം.\".split(\"_\"),monthsParseExact:!0,weekdays:\"ഞായറാഴ്ച_തിങ്കളാഴ്ച_ചൊവ്വാഴ്ച_ബുധനാഴ്ച_വ്യാഴാഴ്ച_വെള്ളിയാഴ്ച_ശനിയാഴ്ച\".split(\"_\"),weekdaysShort:\"ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി\".split(\"_\"),weekdaysMin:\"ഞാ_തി_ചൊ_ബു_വ്യാ_വെ_ശ\".split(\"_\"),longDateFormat:{LT:\"A h:mm -നു\",LTS:\"A h:mm:ss -നു\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm -നു\",LLLL:\"dddd, D MMMM YYYY, A h:mm -നു\"},calendar:{sameDay:\"[ഇന്ന്] LT\",nextDay:\"[നാളെ] LT\",nextWeek:\"dddd, LT\",lastDay:\"[ഇന്നലെ] LT\",lastWeek:\"[കഴിഞ്ഞ] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s കഴിഞ്ഞ്\",past:\"%s മുൻപ്\",s:\"അൽപ നിമിഷങ്ങൾ\",m:\"ഒരു മിനിറ്റ്\",mm:\"%d മിനിറ്റ്\",h:\"ഒരു മണിക്കൂർ\",hh:\"%d മണിക്കൂർ\",d:\"ഒരു ദിവസം\",dd:\"%d ദിവസം\",M:\"ഒരു മാസം\",MM:\"%d മാസം\",y:\"ഒരു വർഷം\",yy:\"%d വർഷം\"},meridiemParse:/രാത്രി|രാവിലെ|ഉച്ച കഴിഞ്ഞ്|വൈകുന്നേരം|രാത്രി/i,meridiemHour:function(a,b){return 12===a&&(a=0),\"രാത്രി\"===b&&a>=4||\"ഉച്ച കഴിഞ്ഞ്\"===b||\"വൈകുന്നേരം\"===b?a+12:a},meridiem:function(a,b,c){return a<4?\"രാത്രി\":a<12?\"രാവിലെ\":a<17?\"ഉച്ച കഴിഞ്ഞ്\":a<20?\"വൈകുന്നേരം\":\"രാത്രി\"}});\n//! moment.js locale configuration\n//! locale : Marathi [mr]\n//! author : Harshad Kale : https://github.com/kalehv\n//! author : Vivek Athalye : https://github.com/vnathalye\nvar qh={1:\"१\",2:\"२\",3:\"३\",4:\"४\",5:\"५\",6:\"६\",7:\"७\",8:\"८\",9:\"९\",0:\"०\"},rh={\"१\":\"1\",\"२\":\"2\",\"३\":\"3\",\"४\":\"4\",\"५\":\"5\",\"६\":\"6\",\"७\":\"7\",\"८\":\"8\",\"९\":\"9\",\"०\":\"0\"};a.defineLocale(\"mr\",{months:\"जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर\".split(\"_\"),monthsShort:\"जाने._फेब्रु._मार्च._एप्रि._मे._जून._जुलै._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.\".split(\"_\"),monthsParseExact:!0,weekdays:\"रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार\".split(\"_\"),weekdaysShort:\"रवि_सोम_मंगळ_बुध_गुरू_शुक्र_शनि\".split(\"_\"),weekdaysMin:\"र_सो_मं_बु_गु_शु_श\".split(\"_\"),longDateFormat:{LT:\"A h:mm वाजता\",LTS:\"A h:mm:ss वाजता\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm वाजता\",LLLL:\"dddd, D MMMM YYYY, A h:mm वाजता\"},calendar:{sameDay:\"[आज] LT\",nextDay:\"[उद्या] LT\",nextWeek:\"dddd, LT\",lastDay:\"[काल] LT\",lastWeek:\"[मागील] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%sमध्ये\",past:\"%sपूर्वी\",s:_d,m:_d,mm:_d,h:_d,hh:_d,d:_d,dd:_d,M:_d,MM:_d,y:_d,yy:_d},preparse:function(a){return a.replace(/[१२३४५६७८९०]/g,function(a){return rh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return qh[a]})},meridiemParse:/रात्री|सकाळी|दुपारी|सायंकाळी/,meridiemHour:function(a,b){return 12===a&&(a=0),\"रात्री\"===b?a<4?a:a+12:\"सकाळी\"===b?a:\"दुपारी\"===b?a>=10?a:a+12:\"सायंकाळी\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"रात्री\":a<10?\"सकाळी\":a<17?\"दुपारी\":a<20?\"सायंकाळी\":\"रात्री\"},week:{dow:0,doy:6}}),\n//! moment.js locale configuration\n//! locale : Malay [ms-my]\n//! note : DEPRECATED, the correct one is [ms]\n//! author : Weldan Jamili : https://github.com/weldan\na.defineLocale(\"ms-my\",{months:\"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember\".split(\"_\"),monthsShort:\"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis\".split(\"_\"),weekdays:\"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu\".split(\"_\"),weekdaysShort:\"Ahd_Isn_Sel_Rab_Kha_Jum_Sab\".split(\"_\"),weekdaysMin:\"Ah_Is_Sl_Rb_Km_Jm_Sb\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [pukul] HH.mm\",LLLL:\"dddd, D MMMM YYYY [pukul] HH.mm\"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(a,b){return 12===a&&(a=0),\"pagi\"===b?a:\"tengahari\"===b?a>=11?a:a+12:\"petang\"===b||\"malam\"===b?a+12:void 0},meridiem:function(a,b,c){return a<11?\"pagi\":a<15?\"tengahari\":a<19?\"petang\":\"malam\"},calendar:{sameDay:\"[Hari ini pukul] LT\",nextDay:\"[Esok pukul] LT\",nextWeek:\"dddd [pukul] LT\",lastDay:\"[Kelmarin pukul] LT\",lastWeek:\"dddd [lepas pukul] LT\",sameElse:\"L\"},relativeTime:{future:\"dalam %s\",past:\"%s yang lepas\",s:\"beberapa saat\",m:\"seminit\",mm:\"%d minit\",h:\"sejam\",hh:\"%d jam\",d:\"sehari\",dd:\"%d hari\",M:\"sebulan\",MM:\"%d bulan\",y:\"setahun\",yy:\"%d tahun\"},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Malay [ms]\n//! author : Weldan Jamili : https://github.com/weldan\na.defineLocale(\"ms\",{months:\"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember\".split(\"_\"),monthsShort:\"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis\".split(\"_\"),weekdays:\"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu\".split(\"_\"),weekdaysShort:\"Ahd_Isn_Sel_Rab_Kha_Jum_Sab\".split(\"_\"),weekdaysMin:\"Ah_Is_Sl_Rb_Km_Jm_Sb\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [pukul] HH.mm\",LLLL:\"dddd, D MMMM YYYY [pukul] HH.mm\"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(a,b){return 12===a&&(a=0),\"pagi\"===b?a:\"tengahari\"===b?a>=11?a:a+12:\"petang\"===b||\"malam\"===b?a+12:void 0},meridiem:function(a,b,c){return a<11?\"pagi\":a<15?\"tengahari\":a<19?\"petang\":\"malam\"},calendar:{sameDay:\"[Hari ini pukul] LT\",nextDay:\"[Esok pukul] LT\",nextWeek:\"dddd [pukul] LT\",lastDay:\"[Kelmarin pukul] LT\",lastWeek:\"dddd [lepas pukul] LT\",sameElse:\"L\"},relativeTime:{future:\"dalam %s\",past:\"%s yang lepas\",s:\"beberapa saat\",m:\"seminit\",mm:\"%d minit\",h:\"sejam\",hh:\"%d jam\",d:\"sehari\",dd:\"%d hari\",M:\"sebulan\",MM:\"%d bulan\",y:\"setahun\",yy:\"%d tahun\"},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Burmese [my]\n//! author : Squar team, mysquar.com\n//! author : David Rossellat : https://github.com/gholadr\n//! author : Tin Aung Lin : https://github.com/thanyawzinmin\nvar sh={1:\"၁\",2:\"၂\",3:\"၃\",4:\"၄\",5:\"၅\",6:\"၆\",7:\"၇\",8:\"၈\",9:\"၉\",0:\"၀\"},th={\"၁\":\"1\",\"၂\":\"2\",\"၃\":\"3\",\"၄\":\"4\",\"၅\":\"5\",\"၆\":\"6\",\"၇\":\"7\",\"၈\":\"8\",\"၉\":\"9\",\"၀\":\"0\"};a.defineLocale(\"my\",{months:\"ဇန်နဝါရီ_ဖေဖော်ဝါရီ_မတ်_ဧပြီ_မေ_ဇွန်_ဇူလိုင်_သြဂုတ်_စက်တင်ဘာ_အောက်တိုဘာ_နိုဝင်ဘာ_ဒီဇင်ဘာ\".split(\"_\"),monthsShort:\"ဇန်_ဖေ_မတ်_ပြီ_မေ_ဇွန်_လိုင်_သြ_စက်_အောက်_နို_ဒီ\".split(\"_\"),weekdays:\"တနင်္ဂနွေ_တနင်္လာ_အင်္ဂါ_ဗုဒ္ဓဟူး_ကြာသပတေး_သောကြာ_စနေ\".split(\"_\"),weekdaysShort:\"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ\".split(\"_\"),weekdaysMin:\"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[ယနေ.] LT [မှာ]\",nextDay:\"[မနက်ဖြန်] LT [မှာ]\",nextWeek:\"dddd LT [မှာ]\",lastDay:\"[မနေ.က] LT [မှာ]\",lastWeek:\"[ပြီးခဲ့သော] dddd LT [မှာ]\",sameElse:\"L\"},relativeTime:{future:\"လာမည့် %s မှာ\",past:\"လွန်ခဲ့သော %s က\",s:\"စက္ကန်.အနည်းငယ်\",m:\"တစ်မိနစ်\",mm:\"%d မိနစ်\",h:\"တစ်နာရီ\",hh:\"%d နာရီ\",d:\"တစ်ရက်\",dd:\"%d ရက်\",M:\"တစ်လ\",MM:\"%d လ\",y:\"တစ်နှစ်\",yy:\"%d နှစ်\"},preparse:function(a){return a.replace(/[၁၂၃၄၅၆၇၈၉၀]/g,function(a){return th[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return sh[a]})},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Norwegian Bokmål [nb]\n//! authors : Espen Hovlandsdal : https://github.com/rexxars\n//! Sigurd Gartmann : https://github.com/sigurdga\na.defineLocale(\"nb\",{months:\"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember\".split(\"_\"),monthsShort:\"jan._feb._mars_april_mai_juni_juli_aug._sep._okt._nov._des.\".split(\"_\"),monthsParseExact:!0,weekdays:\"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag\".split(\"_\"),weekdaysShort:\"sø._ma._ti._on._to._fr._lø.\".split(\"_\"),weekdaysMin:\"sø_ma_ti_on_to_fr_lø\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY [kl.] HH:mm\",LLLL:\"dddd D. MMMM YYYY [kl.] HH:mm\"},calendar:{sameDay:\"[i dag kl.] LT\",nextDay:\"[i morgen kl.] LT\",nextWeek:\"dddd [kl.] LT\",lastDay:\"[i går kl.] LT\",lastWeek:\"[forrige] dddd [kl.] LT\",sameElse:\"L\"},relativeTime:{future:\"om %s\",past:\"%s siden\",s:\"noen sekunder\",m:\"ett minutt\",mm:\"%d minutter\",h:\"en time\",hh:\"%d timer\",d:\"en dag\",dd:\"%d dager\",M:\"en måned\",MM:\"%d måneder\",y:\"ett år\",yy:\"%d år\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Nepalese [ne]\n//! author : suvash : https://github.com/suvash\nvar uh={1:\"१\",2:\"२\",3:\"३\",4:\"४\",5:\"५\",6:\"६\",7:\"७\",8:\"८\",9:\"९\",0:\"०\"},vh={\"१\":\"1\",\"२\":\"2\",\"३\":\"3\",\"४\":\"4\",\"५\":\"5\",\"६\":\"6\",\"७\":\"7\",\"८\":\"8\",\"९\":\"9\",\"०\":\"0\"};a.defineLocale(\"ne\",{months:\"जनवरी_फेब्रुवरी_मार्च_अप्रिल_मई_जुन_जुलाई_अगष्ट_सेप्टेम्बर_अक्टोबर_नोभेम्बर_डिसेम्बर\".split(\"_\"),monthsShort:\"जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.\".split(\"_\"),monthsParseExact:!0,weekdays:\"आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार\".split(\"_\"),weekdaysShort:\"आइत._सोम._मङ्गल._बुध._बिहि._शुक्र._शनि.\".split(\"_\"),weekdaysMin:\"आ._सो._मं._बु._बि._शु._श.\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"Aको h:mm बजे\",LTS:\"Aको h:mm:ss बजे\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, Aको h:mm बजे\",LLLL:\"dddd, D MMMM YYYY, Aको h:mm बजे\"},preparse:function(a){return a.replace(/[१२३४५६७८९०]/g,function(a){return vh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return uh[a]})},meridiemParse:/राति|बिहान|दिउँसो|साँझ/,meridiemHour:function(a,b){return 12===a&&(a=0),\"राति\"===b?a<4?a:a+12:\"बिहान\"===b?a:\"दिउँसो\"===b?a>=10?a:a+12:\"साँझ\"===b?a+12:void 0},meridiem:function(a,b,c){return a<3?\"राति\":a<12?\"बिहान\":a<16?\"दिउँसो\":a<20?\"साँझ\":\"राति\"},calendar:{sameDay:\"[आज] LT\",nextDay:\"[भोलि] LT\",nextWeek:\"[आउँदो] dddd[,] LT\",lastDay:\"[हिजो] LT\",lastWeek:\"[गएको] dddd[,] LT\",sameElse:\"L\"},relativeTime:{future:\"%sमा\",past:\"%s अगाडि\",s:\"केही क्षण\",m:\"एक मिनेट\",mm:\"%d मिनेट\",h:\"एक घण्टा\",hh:\"%d घण्टा\",d:\"एक दिन\",dd:\"%d दिन\",M:\"एक महिना\",MM:\"%d महिना\",y:\"एक बर्ष\",yy:\"%d बर्ष\"},week:{dow:0,doy:6}});\n//! moment.js locale configuration\n//! locale : Dutch (Belgium) [nl-be]\n//! author : Joris Röling : https://github.com/jorisroling\n//! author : Jacob Middag : https://github.com/middagj\nvar wh=\"jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.\".split(\"_\"),xh=\"jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec\".split(\"_\"),yh=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],zh=/^(januari|februari|maart|april|mei|april|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;a.defineLocale(\"nl-be\",{months:\"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december\".split(\"_\"),monthsShort:function(a,b){return a?/-MMM-/.test(b)?xh[a.month()]:wh[a.month()]:wh},monthsRegex:zh,monthsShortRegex:zh,monthsStrictRegex:/^(januari|februari|maart|mei|ju[nl]i|april|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,monthsParse:yh,longMonthsParse:yh,shortMonthsParse:yh,weekdays:\"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag\".split(\"_\"),weekdaysShort:\"zo._ma._di._wo._do._vr._za.\".split(\"_\"),weekdaysMin:\"Zo_Ma_Di_Wo_Do_Vr_Za\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[vandaag om] LT\",nextDay:\"[morgen om] LT\",nextWeek:\"dddd [om] LT\",lastDay:\"[gisteren om] LT\",lastWeek:\"[afgelopen] dddd [om] LT\",sameElse:\"L\"},relativeTime:{future:\"over %s\",past:\"%s geleden\",s:\"een paar seconden\",m:\"één minuut\",mm:\"%d minuten\",h:\"één uur\",hh:\"%d uur\",d:\"één dag\",dd:\"%d dagen\",M:\"één maand\",MM:\"%d maanden\",y:\"één jaar\",yy:\"%d jaar\"},dayOfMonthOrdinalParse:/\\d{1,2}(ste|de)/,ordinal:function(a){return a+(1===a||8===a||a>=20?\"ste\":\"de\")},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Dutch [nl]\n//! author : Joris Röling : https://github.com/jorisroling\n//! author : Jacob Middag : https://github.com/middagj\nvar Ah=\"jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.\".split(\"_\"),Bh=\"jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec\".split(\"_\"),Ch=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],Dh=/^(januari|februari|maart|april|mei|april|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;a.defineLocale(\"nl\",{months:\"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december\".split(\"_\"),monthsShort:function(a,b){return a?/-MMM-/.test(b)?Bh[a.month()]:Ah[a.month()]:Ah},monthsRegex:Dh,monthsShortRegex:Dh,monthsStrictRegex:/^(januari|februari|maart|mei|ju[nl]i|april|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,monthsParse:Ch,longMonthsParse:Ch,shortMonthsParse:Ch,weekdays:\"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag\".split(\"_\"),weekdaysShort:\"zo._ma._di._wo._do._vr._za.\".split(\"_\"),weekdaysMin:\"Zo_Ma_Di_Wo_Do_Vr_Za\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD-MM-YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[vandaag om] LT\",nextDay:\"[morgen om] LT\",nextWeek:\"dddd [om] LT\",lastDay:\"[gisteren om] LT\",lastWeek:\"[afgelopen] dddd [om] LT\",sameElse:\"L\"},relativeTime:{future:\"over %s\",past:\"%s geleden\",s:\"een paar seconden\",m:\"één minuut\",mm:\"%d minuten\",h:\"één uur\",hh:\"%d uur\",d:\"één dag\",dd:\"%d dagen\",M:\"één maand\",MM:\"%d maanden\",y:\"één jaar\",yy:\"%d jaar\"},dayOfMonthOrdinalParse:/\\d{1,2}(ste|de)/,ordinal:function(a){return a+(1===a||8===a||a>=20?\"ste\":\"de\")},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Nynorsk [nn]\n//! author : https://github.com/mechuwind\na.defineLocale(\"nn\",{months:\"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des\".split(\"_\"),weekdays:\"sundag_måndag_tysdag_onsdag_torsdag_fredag_laurdag\".split(\"_\"),weekdaysShort:\"sun_mån_tys_ons_tor_fre_lau\".split(\"_\"),weekdaysMin:\"su_må_ty_on_to_fr_lø\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY [kl.] H:mm\",LLLL:\"dddd D. MMMM YYYY [kl.] HH:mm\"},calendar:{sameDay:\"[I dag klokka] LT\",nextDay:\"[I morgon klokka] LT\",nextWeek:\"dddd [klokka] LT\",lastDay:\"[I går klokka] LT\",lastWeek:\"[Føregåande] dddd [klokka] LT\",sameElse:\"L\"},relativeTime:{future:\"om %s\",past:\"%s sidan\",s:\"nokre sekund\",m:\"eit minutt\",mm:\"%d minutt\",h:\"ein time\",hh:\"%d timar\",d:\"ein dag\",dd:\"%d dagar\",M:\"ein månad\",MM:\"%d månader\",y:\"eit år\",yy:\"%d år\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Punjabi (India) [pa-in]\n//! author : Harpreet Singh : https://github.com/harpreetkhalsagtbit\nvar Eh={1:\"੧\",2:\"੨\",3:\"੩\",4:\"੪\",5:\"੫\",6:\"੬\",7:\"੭\",8:\"੮\",9:\"੯\",0:\"੦\"},Fh={\"੧\":\"1\",\"੨\":\"2\",\"੩\":\"3\",\"੪\":\"4\",\"੫\":\"5\",\"੬\":\"6\",\"੭\":\"7\",\"੮\":\"8\",\"੯\":\"9\",\"੦\":\"0\"};a.defineLocale(\"pa-in\",{months:\"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ\".split(\"_\"),monthsShort:\"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ\".split(\"_\"),weekdays:\"ਐਤਵਾਰ_ਸੋਮਵਾਰ_ਮੰਗਲਵਾਰ_ਬੁਧਵਾਰ_ਵੀਰਵਾਰ_ਸ਼ੁੱਕਰਵਾਰ_ਸ਼ਨੀਚਰਵਾਰ\".split(\"_\"),weekdaysShort:\"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ\".split(\"_\"),weekdaysMin:\"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ\".split(\"_\"),longDateFormat:{LT:\"A h:mm ਵਜੇ\",LTS:\"A h:mm:ss ਵਜੇ\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm ਵਜੇ\",LLLL:\"dddd, D MMMM YYYY, A h:mm ਵਜੇ\"},calendar:{sameDay:\"[ਅਜ] LT\",nextDay:\"[ਕਲ] LT\",nextWeek:\"dddd, LT\",lastDay:\"[ਕਲ] LT\",lastWeek:\"[ਪਿਛਲੇ] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s ਵਿੱਚ\",past:\"%s ਪਿਛਲੇ\",s:\"ਕੁਝ ਸਕਿੰਟ\",m:\"ਇਕ ਮਿੰਟ\",mm:\"%d ਮਿੰਟ\",h:\"ਇੱਕ ਘੰਟਾ\",hh:\"%d ਘੰਟੇ\",d:\"ਇੱਕ ਦਿਨ\",dd:\"%d ਦਿਨ\",M:\"ਇੱਕ ਮਹੀਨਾ\",MM:\"%d ਮਹੀਨੇ\",y:\"ਇੱਕ ਸਾਲ\",yy:\"%d ਸਾਲ\"},preparse:function(a){return a.replace(/[੧੨੩੪੫੬੭੮੯੦]/g,function(a){return Fh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return Eh[a]})},meridiemParse:/ਰਾਤ|ਸਵੇਰ|ਦੁਪਹਿਰ|ਸ਼ਾਮ/,meridiemHour:function(a,b){return 12===a&&(a=0),\"ਰਾਤ\"===b?a<4?a:a+12:\"ਸਵੇਰ\"===b?a:\"ਦੁਪਹਿਰ\"===b?a>=10?a:a+12:\"ਸ਼ਾਮ\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"ਰਾਤ\":a<10?\"ਸਵੇਰ\":a<17?\"ਦੁਪਹਿਰ\":a<20?\"ਸ਼ਾਮ\":\"ਰਾਤ\"},week:{dow:0,doy:6}});\n//! moment.js locale configuration\n//! locale : Polish [pl]\n//! author : Rafal Hirsz : https://github.com/evoL\nvar Gh=\"styczeń_luty_marzec_kwiecień_maj_czerwiec_lipiec_sierpień_wrzesień_październik_listopad_grudzień\".split(\"_\"),Hh=\"stycznia_lutego_marca_kwietnia_maja_czerwca_lipca_sierpnia_września_października_listopada_grudnia\".split(\"_\");a.defineLocale(\"pl\",{months:function(a,b){return a?\"\"===b?\"(\"+Hh[a.month()]+\"|\"+Gh[a.month()]+\")\":/D MMMM/.test(b)?Hh[a.month()]:Gh[a.month()]:Gh},monthsShort:\"sty_lut_mar_kwi_maj_cze_lip_sie_wrz_paź_lis_gru\".split(\"_\"),weekdays:\"niedziela_poniedziałek_wtorek_środa_czwartek_piątek_sobota\".split(\"_\"),weekdaysShort:\"ndz_pon_wt_śr_czw_pt_sob\".split(\"_\"),weekdaysMin:\"Nd_Pn_Wt_Śr_Cz_Pt_So\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Dziś o] LT\",nextDay:\"[Jutro o] LT\",nextWeek:\"[W] dddd [o] LT\",lastDay:\"[Wczoraj o] LT\",lastWeek:function(){switch(this.day()){case 0:return\"[W zeszłą niedzielę o] LT\";case 3:return\"[W zeszłą środę o] LT\";case 6:return\"[W zeszłą sobotę o] LT\";default:return\"[W zeszły] dddd [o] LT\"}},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"%s temu\",s:\"kilka sekund\",m:be,mm:be,h:be,hh:be,d:\"1 dzień\",dd:\"%d dni\",M:\"miesiąc\",MM:be,y:\"rok\",yy:be},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Portuguese (Brazil) [pt-br]\n//! author : Caio Ribeiro Pereira : https://github.com/caio-ribeiro-pereira\na.defineLocale(\"pt-br\",{months:\"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro\".split(\"_\"),monthsShort:\"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez\".split(\"_\"),weekdays:\"Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado\".split(\"_\"),weekdaysShort:\"Dom_Seg_Ter_Qua_Qui_Sex_Sáb\".split(\"_\"),weekdaysMin:\"Do_2ª_3ª_4ª_5ª_6ª_Sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D [de] MMMM [de] YYYY\",LLL:\"D [de] MMMM [de] YYYY [às] HH:mm\",LLLL:\"dddd, D [de] MMMM [de] YYYY [às] HH:mm\"},calendar:{sameDay:\"[Hoje às] LT\",nextDay:\"[Amanhã às] LT\",nextWeek:\"dddd [às] LT\",lastDay:\"[Ontem às] LT\",lastWeek:function(){return 0===this.day()||6===this.day()?\"[Último] dddd [às] LT\":\"[Última] dddd [às] LT\"},sameElse:\"L\"},relativeTime:{future:\"em %s\",past:\"%s atrás\",s:\"poucos segundos\",m:\"um minuto\",mm:\"%d minutos\",h:\"uma hora\",hh:\"%d horas\",d:\"um dia\",dd:\"%d dias\",M:\"um mês\",MM:\"%d meses\",y:\"um ano\",yy:\"%d anos\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\"}),\n//! moment.js locale configuration\n//! locale : Portuguese [pt]\n//! author : Jefferson : https://github.com/jalex79\na.defineLocale(\"pt\",{months:\"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro\".split(\"_\"),monthsShort:\"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez\".split(\"_\"),weekdays:\"Domingo_Segunda-Feira_Terça-Feira_Quarta-Feira_Quinta-Feira_Sexta-Feira_Sábado\".split(\"_\"),weekdaysShort:\"Dom_Seg_Ter_Qua_Qui_Sex_Sáb\".split(\"_\"),weekdaysMin:\"Do_2ª_3ª_4ª_5ª_6ª_Sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D [de] MMMM [de] YYYY\",LLL:\"D [de] MMMM [de] YYYY HH:mm\",LLLL:\"dddd, D [de] MMMM [de] YYYY HH:mm\"},calendar:{sameDay:\"[Hoje às] LT\",nextDay:\"[Amanhã às] LT\",nextWeek:\"dddd [às] LT\",lastDay:\"[Ontem às] LT\",lastWeek:function(){return 0===this.day()||6===this.day()?\"[Último] dddd [às] LT\":\"[Última] dddd [às] LT\"},sameElse:\"L\"},relativeTime:{future:\"em %s\",past:\"há %s\",s:\"segundos\",m:\"um minuto\",mm:\"%d minutos\",h:\"uma hora\",hh:\"%d horas\",d:\"um dia\",dd:\"%d dias\",M:\"um mês\",MM:\"%d meses\",y:\"um ano\",yy:\"%d anos\"},dayOfMonthOrdinalParse:/\\d{1,2}º/,ordinal:\"%dº\",week:{dow:1,doy:4}}),a.defineLocale(\"ro\",{months:\"ianuarie_februarie_martie_aprilie_mai_iunie_iulie_august_septembrie_octombrie_noiembrie_decembrie\".split(\"_\"),monthsShort:\"ian._febr._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"duminică_luni_marți_miercuri_joi_vineri_sâmbătă\".split(\"_\"),weekdaysShort:\"Dum_Lun_Mar_Mie_Joi_Vin_Sâm\".split(\"_\"),weekdaysMin:\"Du_Lu_Ma_Mi_Jo_Vi_Sâ\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY H:mm\",LLLL:\"dddd, D MMMM YYYY H:mm\"},calendar:{sameDay:\"[azi la] LT\",nextDay:\"[mâine la] LT\",nextWeek:\"dddd [la] LT\",lastDay:\"[ieri la] LT\",lastWeek:\"[fosta] dddd [la] LT\",sameElse:\"L\"},relativeTime:{future:\"peste %s\",past:\"%s în urmă\",s:\"câteva secunde\",m:\"un minut\",mm:ce,h:\"o oră\",hh:ce,d:\"o zi\",dd:ce,M:\"o lună\",MM:ce,y:\"un an\",yy:ce},week:{dow:1,doy:7}});var Ih=[/^янв/i,/^фев/i,/^мар/i,/^апр/i,/^ма[йя]/i,/^июн/i,/^июл/i,/^авг/i,/^сен/i,/^окт/i,/^ноя/i,/^дек/i];a.defineLocale(\"ru\",{months:{format:\"января_февраля_марта_апреля_мая_июня_июля_августа_сентября_октября_ноября_декабря\".split(\"_\"),standalone:\"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь\".split(\"_\")},monthsShort:{format:\"янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.\".split(\"_\"),standalone:\"янв._февр._март_апр._май_июнь_июль_авг._сент._окт._нояб._дек.\".split(\"_\")},weekdays:{standalone:\"воскресенье_понедельник_вторник_среда_четверг_пятница_суббота\".split(\"_\"),format:\"воскресенье_понедельник_вторник_среду_четверг_пятницу_субботу\".split(\"_\"),isFormat:/\\[ ?[Вв] ?(?:прошлую|следующую|эту)? ?\\] ?dddd/},weekdaysShort:\"вс_пн_вт_ср_чт_пт_сб\".split(\"_\"),weekdaysMin:\"вс_пн_вт_ср_чт_пт_сб\".split(\"_\"),monthsParse:Ih,longMonthsParse:Ih,shortMonthsParse:Ih,monthsRegex:/^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,monthsShortRegex:/^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,monthsStrictRegex:/^(январ[яь]|феврал[яь]|марта?|апрел[яь]|ма[яй]|июн[яь]|июл[яь]|августа?|сентябр[яь]|октябр[яь]|ноябр[яь]|декабр[яь])/i,monthsShortStrictRegex:/^(янв\\.|февр?\\.|мар[т.]|апр\\.|ма[яй]|июн[ья.]|июл[ья.]|авг\\.|сент?\\.|окт\\.|нояб?\\.|дек\\.)/i,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY г.\",LLL:\"D MMMM YYYY г., HH:mm\",LLLL:\"dddd, D MMMM YYYY г., HH:mm\"},calendar:{sameDay:\"[Сегодня в] LT\",nextDay:\"[Завтра в] LT\",lastDay:\"[Вчера в] LT\",nextWeek:function(a){if(a.week()===this.week())return 2===this.day()?\"[Во] dddd [в] LT\":\"[В] dddd [в] LT\";switch(this.day()){case 0:return\"[В следующее] dddd [в] LT\";case 1:case 2:case 4:return\"[В следующий] dddd [в] LT\";case 3:case 5:case 6:return\"[В следующую] dddd [в] LT\"}},lastWeek:function(a){if(a.week()===this.week())return 2===this.day()?\"[Во] dddd [в] LT\":\"[В] dddd [в] LT\";switch(this.day()){case 0:return\"[В прошлое] dddd [в] LT\";case 1:case 2:case 4:return\"[В прошлый] dddd [в] LT\";case 3:case 5:case 6:return\"[В прошлую] dddd [в] LT\"}},sameElse:\"L\"},relativeTime:{future:\"через %s\",past:\"%s назад\",s:\"несколько секунд\",m:ee,mm:ee,h:\"час\",hh:ee,d:\"день\",dd:ee,M:\"месяц\",MM:ee,y:\"год\",yy:ee},meridiemParse:/ночи|утра|дня|вечера/i,isPM:function(a){return/^(дня|вечера)$/.test(a)},meridiem:function(a,b,c){return a<4?\"ночи\":a<12?\"утра\":a<17?\"дня\":\"вечера\"},dayOfMonthOrdinalParse:/\\d{1,2}-(й|го|я)/,ordinal:function(a,b){switch(b){case\"M\":case\"d\":case\"DDD\":return a+\"-й\";case\"D\":return a+\"-го\";case\"w\":case\"W\":return a+\"-я\";default:return a}},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Sindhi [sd]\n//! author : Narain Sagar : https://github.com/narainsagar\nvar Jh=[\"جنوري\",\"فيبروري\",\"مارچ\",\"اپريل\",\"مئي\",\"جون\",\"جولاءِ\",\"آگسٽ\",\"سيپٽمبر\",\"آڪٽوبر\",\"نومبر\",\"ڊسمبر\"],Kh=[\"آچر\",\"سومر\",\"اڱارو\",\"اربع\",\"خميس\",\"جمع\",\"ڇنڇر\"];a.defineLocale(\"sd\",{months:Jh,monthsShort:Jh,weekdays:Kh,weekdaysShort:Kh,weekdaysMin:Kh,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd، D MMMM YYYY HH:mm\"},meridiemParse:/صبح|شام/,isPM:function(a){return\"شام\"===a},meridiem:function(a,b,c){return a<12?\"صبح\":\"شام\"},calendar:{sameDay:\"[اڄ] LT\",nextDay:\"[سڀاڻي] LT\",nextWeek:\"dddd [اڳين هفتي تي] LT\",lastDay:\"[ڪالهه] LT\",lastWeek:\"[گزريل هفتي] dddd [تي] LT\",sameElse:\"L\"},relativeTime:{future:\"%s پوء\",past:\"%s اڳ\",s:\"چند سيڪنڊ\",m:\"هڪ منٽ\",mm:\"%d منٽ\",h:\"هڪ ڪلاڪ\",hh:\"%d ڪلاڪ\",d:\"هڪ ڏينهن\",dd:\"%d ڏينهن\",M:\"هڪ مهينو\",MM:\"%d مهينا\",y:\"هڪ سال\",yy:\"%d سال\"},preparse:function(a){return a.replace(/،/g,\",\")},postformat:function(a){return a.replace(/,/g,\"،\")},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Northern Sami [se]\n//! authors : Bård Rolstad Henriksen : https://github.com/karamell\na.defineLocale(\"se\",{months:\"ođđajagemánnu_guovvamánnu_njukčamánnu_cuoŋománnu_miessemánnu_geassemánnu_suoidnemánnu_borgemánnu_čakčamánnu_golggotmánnu_skábmamánnu_juovlamánnu\".split(\"_\"),monthsShort:\"ođđj_guov_njuk_cuo_mies_geas_suoi_borg_čakč_golg_skáb_juov\".split(\"_\"),weekdays:\"sotnabeaivi_vuossárga_maŋŋebárga_gaskavahkku_duorastat_bearjadat_lávvardat\".split(\"_\"),weekdaysShort:\"sotn_vuos_maŋ_gask_duor_bear_láv\".split(\"_\"),weekdaysMin:\"s_v_m_g_d_b_L\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"MMMM D. [b.] YYYY\",LLL:\"MMMM D. [b.] YYYY [ti.] HH:mm\",LLLL:\"dddd, MMMM D. [b.] YYYY [ti.] HH:mm\"},calendar:{sameDay:\"[otne ti] LT\",nextDay:\"[ihttin ti] LT\",nextWeek:\"dddd [ti] LT\",lastDay:\"[ikte ti] LT\",lastWeek:\"[ovddit] dddd [ti] LT\",sameElse:\"L\"},relativeTime:{future:\"%s geažes\",past:\"maŋit %s\",s:\"moadde sekunddat\",m:\"okta minuhta\",mm:\"%d minuhtat\",h:\"okta diimmu\",hh:\"%d diimmut\",d:\"okta beaivi\",dd:\"%d beaivvit\",M:\"okta mánnu\",MM:\"%d mánut\",y:\"okta jahki\",yy:\"%d jagit\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Sinhalese [si]\n//! author : Sampath Sitinamaluwa : https://github.com/sampathsris\na.defineLocale(\"si\",{months:\"ජනවාරි_පෙබරවාරි_මාර්තු_අප්රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්\".split(\"_\"),monthsShort:\"ජන_පෙබ_මාර්_අප්_මැයි_ජූනි_ජූලි_අගෝ_සැප්_ඔක්_නොවැ_දෙසැ\".split(\"_\"),weekdays:\"ඉරිදා_සඳුදා_අඟහරුවාදා_බදාදා_බ්රහස්පතින්දා_සිකුරාදා_සෙනසුරාදා\".split(\"_\"),weekdaysShort:\"ඉරි_සඳු_අඟ_බදා_බ්රහ_සිකු_සෙන\".split(\"_\"),weekdaysMin:\"ඉ_ස_අ_බ_බ්ර_සි_සෙ\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"a h:mm\",LTS:\"a h:mm:ss\",L:\"YYYY/MM/DD\",LL:\"YYYY MMMM D\",LLL:\"YYYY MMMM D, a h:mm\",LLLL:\"YYYY MMMM D [වැනි] dddd, a h:mm:ss\"},calendar:{sameDay:\"[අද] LT[ට]\",nextDay:\"[හෙට] LT[ට]\",nextWeek:\"dddd LT[ට]\",lastDay:\"[ඊයේ] LT[ට]\",lastWeek:\"[පසුගිය] dddd LT[ට]\",sameElse:\"L\"},relativeTime:{future:\"%sකින්\",past:\"%sකට පෙර\",s:\"තත්පර කිහිපය\",m:\"මිනිත්තුව\",mm:\"මිනිත්තු %d\",h:\"පැය\",hh:\"පැය %d\",d:\"දිනය\",dd:\"දින %d\",M:\"මාසය\",MM:\"මාස %d\",y:\"වසර\",yy:\"වසර %d\"},dayOfMonthOrdinalParse:/\\d{1,2} වැනි/,ordinal:function(a){return a+\" වැනි\"},meridiemParse:/පෙර වරු|පස් වරු|පෙ.ව|ප.ව./,isPM:function(a){return\"ප.ව.\"===a||\"පස් වරු\"===a},meridiem:function(a,b,c){return a>11?c?\"ප.ව.\":\"පස් වරු\":c?\"පෙ.ව.\":\"පෙර වරු\"}});\n//! moment.js locale configuration\n//! locale : Slovak [sk]\n//! author : Martin Minka : https://github.com/k2s\n//! based on work of petrbela : https://github.com/petrbela\nvar Lh=\"január_február_marec_apríl_máj_jún_júl_august_september_október_november_december\".split(\"_\"),Mh=\"jan_feb_mar_apr_máj_jún_júl_aug_sep_okt_nov_dec\".split(\"_\");a.defineLocale(\"sk\",{months:Lh,monthsShort:Mh,weekdays:\"nedeľa_pondelok_utorok_streda_štvrtok_piatok_sobota\".split(\"_\"),weekdaysShort:\"ne_po_ut_st_št_pi_so\".split(\"_\"),weekdaysMin:\"ne_po_ut_st_št_pi_so\".split(\"_\"),longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[dnes o] LT\",nextDay:\"[zajtra o] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[v nedeľu o] LT\";case 1:case 2:return\"[v] dddd [o] LT\";case 3:return\"[v stredu o] LT\";case 4:return\"[vo štvrtok o] LT\";case 5:return\"[v piatok o] LT\";case 6:return\"[v sobotu o] LT\"}},lastDay:\"[včera o] LT\",lastWeek:function(){switch(this.day()){case 0:return\"[minulú nedeľu o] LT\";case 1:case 2:return\"[minulý] dddd [o] LT\";case 3:return\"[minulú stredu o] LT\";case 4:case 5:return\"[minulý] dddd [o] LT\";case 6:return\"[minulú sobotu o] LT\"}},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"pred %s\",s:ge,m:ge,mm:ge,h:ge,hh:ge,d:ge,dd:ge,M:ge,MM:ge,y:ge,yy:ge},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),a.defineLocale(\"sl\",{months:\"januar_februar_marec_april_maj_junij_julij_avgust_september_oktober_november_december\".split(\"_\"),monthsShort:\"jan._feb._mar._apr._maj._jun._jul._avg._sep._okt._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"nedelja_ponedeljek_torek_sreda_četrtek_petek_sobota\".split(\"_\"),weekdaysShort:\"ned._pon._tor._sre._čet._pet._sob.\".split(\"_\"),weekdaysMin:\"ne_po_to_sr_če_pe_so\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[danes ob] LT\",nextDay:\"[jutri ob] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[v] [nedeljo] [ob] LT\";case 3:return\"[v] [sredo] [ob] LT\";case 6:return\"[v] [soboto] [ob] LT\";case 1:case 2:case 4:case 5:return\"[v] dddd [ob] LT\"}},lastDay:\"[včeraj ob] LT\",lastWeek:function(){switch(this.day()){case 0:return\"[prejšnjo] [nedeljo] [ob] LT\";case 3:return\"[prejšnjo] [sredo] [ob] LT\";case 6:return\"[prejšnjo] [soboto] [ob] LT\";case 1:case 2:case 4:case 5:return\"[prejšnji] dddd [ob] LT\"}},sameElse:\"L\"},relativeTime:{future:\"čez %s\",past:\"pred %s\",s:he,m:he,mm:he,h:he,hh:he,d:he,dd:he,M:he,MM:he,y:he,yy:he},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Albanian [sq]\n//! author : Flakërim Ismani : https://github.com/flakerimi\n//! author : Menelion Elensúle : https://github.com/Oire\n//! author : Oerd Cukalla : https://github.com/oerd\na.defineLocale(\"sq\",{months:\"Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor\".split(\"_\"),monthsShort:\"Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj\".split(\"_\"),weekdays:\"E Diel_E Hënë_E Martë_E Mërkurë_E Enjte_E Premte_E Shtunë\".split(\"_\"),weekdaysShort:\"Die_Hën_Mar_Mër_Enj_Pre_Sht\".split(\"_\"),weekdaysMin:\"D_H_Ma_Më_E_P_Sh\".split(\"_\"),weekdaysParseExact:!0,meridiemParse:/PD|MD/,isPM:function(a){return\"M\"===a.charAt(0)},meridiem:function(a,b,c){return a<12?\"PD\":\"MD\"},longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Sot në] LT\",nextDay:\"[Nesër në] LT\",nextWeek:\"dddd [në] LT\",lastDay:\"[Dje në] LT\",lastWeek:\"dddd [e kaluar në] LT\",sameElse:\"L\"},relativeTime:{future:\"në %s\",past:\"%s më parë\",s:\"disa sekonda\",m:\"një minutë\",mm:\"%d minuta\",h:\"një orë\",hh:\"%d orë\",d:\"një ditë\",dd:\"%d ditë\",M:\"një muaj\",MM:\"%d muaj\",y:\"një vit\",yy:\"%d vite\"},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Serbian Cyrillic [sr-cyrl]\n//! author : Milan Janačković<milanjanackovic@gmail.com> : https://github.com/milan-j\nvar Nh={words:{m:[\"један минут\",\"једне минуте\"],mm:[\"минут\",\"минуте\",\"минута\"],h:[\"један сат\",\"једног сата\"],hh:[\"сат\",\"сата\",\"сати\"],dd:[\"дан\",\"дана\",\"дана\"],MM:[\"месец\",\"месеца\",\"месеци\"],yy:[\"година\",\"године\",\"година\"]},correctGrammaticalCase:function(a,b){return 1===a?b[0]:a>=2&&a<=4?b[1]:b[2]},translate:function(a,b,c){var d=Nh.words[c];return 1===c.length?b?d[0]:d[1]:a+\" \"+Nh.correctGrammaticalCase(a,d)}};a.defineLocale(\"sr-cyrl\",{months:\"јануар_фебруар_март_април_мај_јун_јул_август_септембар_октобар_новембар_децембар\".split(\"_\"),monthsShort:\"јан._феб._мар._апр._мај_јун_јул_авг._сеп._окт._нов._дец.\".split(\"_\"),monthsParseExact:!0,weekdays:\"недеља_понедељак_уторак_среда_четвртак_петак_субота\".split(\"_\"),weekdaysShort:\"нед._пон._уто._сре._чет._пет._суб.\".split(\"_\"),weekdaysMin:\"не_по_ут_ср_че_пе_су\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[данас у] LT\",nextDay:\"[сутра у] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[у] [недељу] [у] LT\";case 3:return\"[у] [среду] [у] LT\";case 6:return\"[у] [суботу] [у] LT\";case 1:case 2:case 4:case 5:return\"[у] dddd [у] LT\"}},lastDay:\"[јуче у] LT\",lastWeek:function(){var a=[\"[прошле] [недеље] [у] LT\",\"[прошлог] [понедељка] [у] LT\",\"[прошлог] [уторка] [у] LT\",\"[прошле] [среде] [у] LT\",\"[прошлог] [четвртка] [у] LT\",\"[прошлог] [петка] [у] LT\",\"[прошле] [суботе] [у] LT\"];return a[this.day()]},sameElse:\"L\"},relativeTime:{future:\"за %s\",past:\"пре %s\",s:\"неколико секунди\",m:Nh.translate,mm:Nh.translate,h:Nh.translate,hh:Nh.translate,d:\"дан\",dd:Nh.translate,M:\"месец\",MM:Nh.translate,y:\"годину\",yy:Nh.translate},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Serbian [sr]\n//! author : Milan Janačković<milanjanackovic@gmail.com> : https://github.com/milan-j\nvar Oh={words:{m:[\"jedan minut\",\"jedne minute\"],mm:[\"minut\",\"minute\",\"minuta\"],h:[\"jedan sat\",\"jednog sata\"],hh:[\"sat\",\"sata\",\"sati\"],dd:[\"dan\",\"dana\",\"dana\"],MM:[\"mesec\",\"meseca\",\"meseci\"],yy:[\"godina\",\"godine\",\"godina\"]},correctGrammaticalCase:function(a,b){return 1===a?b[0]:a>=2&&a<=4?b[1]:b[2]},translate:function(a,b,c){var d=Oh.words[c];return 1===c.length?b?d[0]:d[1]:a+\" \"+Oh.correctGrammaticalCase(a,d)}};a.defineLocale(\"sr\",{months:\"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar\".split(\"_\"),monthsShort:\"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.\".split(\"_\"),monthsParseExact:!0,weekdays:\"nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota\".split(\"_\"),weekdaysShort:\"ned._pon._uto._sre._čet._pet._sub.\".split(\"_\"),weekdaysMin:\"ne_po_ut_sr_če_pe_su\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM YYYY\",LLL:\"D. MMMM YYYY H:mm\",LLLL:\"dddd, D. MMMM YYYY H:mm\"},calendar:{sameDay:\"[danas u] LT\",nextDay:\"[sutra u] LT\",nextWeek:function(){switch(this.day()){case 0:return\"[u] [nedelju] [u] LT\";case 3:return\"[u] [sredu] [u] LT\";case 6:return\"[u] [subotu] [u] LT\";case 1:case 2:case 4:case 5:return\"[u] dddd [u] LT\"}},lastDay:\"[juče u] LT\",lastWeek:function(){var a=[\"[prošle] [nedelje] [u] LT\",\"[prošlog] [ponedeljka] [u] LT\",\"[prošlog] [utorka] [u] LT\",\"[prošle] [srede] [u] LT\",\"[prošlog] [četvrtka] [u] LT\",\"[prošlog] [petka] [u] LT\",\"[prošle] [subote] [u] LT\"];return a[this.day()]},sameElse:\"L\"},relativeTime:{future:\"za %s\",past:\"pre %s\",s:\"nekoliko sekundi\",m:Oh.translate,mm:Oh.translate,h:Oh.translate,hh:Oh.translate,d:\"dan\",dd:Oh.translate,M:\"mesec\",MM:Oh.translate,y:\"godinu\",yy:Oh.translate},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : siSwati [ss]\n//! author : Nicolai Davies<mail@nicolai.io> : https://github.com/nicolaidavies\na.defineLocale(\"ss\",{months:\"Bhimbidvwane_Indlovana_Indlov'lenkhulu_Mabasa_Inkhwekhweti_Inhlaba_Kholwane_Ingci_Inyoni_Imphala_Lweti_Ingongoni\".split(\"_\"),monthsShort:\"Bhi_Ina_Inu_Mab_Ink_Inh_Kho_Igc_Iny_Imp_Lwe_Igo\".split(\"_\"),weekdays:\"Lisontfo_Umsombuluko_Lesibili_Lesitsatfu_Lesine_Lesihlanu_Umgcibelo\".split(\"_\"),weekdaysShort:\"Lis_Umb_Lsb_Les_Lsi_Lsh_Umg\".split(\"_\"),weekdaysMin:\"Li_Us_Lb_Lt_Ls_Lh_Ug\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendar:{sameDay:\"[Namuhla nga] LT\",nextDay:\"[Kusasa nga] LT\",nextWeek:\"dddd [nga] LT\",lastDay:\"[Itolo nga] LT\",lastWeek:\"dddd [leliphelile] [nga] LT\",sameElse:\"L\"},relativeTime:{future:\"nga %s\",past:\"wenteka nga %s\",s:\"emizuzwana lomcane\",m:\"umzuzu\",mm:\"%d emizuzu\",h:\"lihora\",hh:\"%d emahora\",d:\"lilanga\",dd:\"%d emalanga\",M:\"inyanga\",MM:\"%d tinyanga\",y:\"umnyaka\",yy:\"%d iminyaka\"},meridiemParse:/ekuseni|emini|entsambama|ebusuku/,meridiem:function(a,b,c){return a<11?\"ekuseni\":a<15?\"emini\":a<19?\"entsambama\":\"ebusuku\"},meridiemHour:function(a,b){return 12===a&&(a=0),\"ekuseni\"===b?a:\"emini\"===b?a>=11?a:a+12:\"entsambama\"===b||\"ebusuku\"===b?0===a?0:a+12:void 0},dayOfMonthOrdinalParse:/\\d{1,2}/,ordinal:\"%d\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Swedish [sv]\n//! author : Jens Alm : https://github.com/ulmus\na.defineLocale(\"sv\",{months:\"januari_februari_mars_april_maj_juni_juli_augusti_september_oktober_november_december\".split(\"_\"),monthsShort:\"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec\".split(\"_\"),weekdays:\"söndag_måndag_tisdag_onsdag_torsdag_fredag_lördag\".split(\"_\"),weekdaysShort:\"sön_mån_tis_ons_tor_fre_lör\".split(\"_\"),weekdaysMin:\"sö_må_ti_on_to_fr_lö\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY-MM-DD\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY [kl.] HH:mm\",LLLL:\"dddd D MMMM YYYY [kl.] HH:mm\",lll:\"D MMM YYYY HH:mm\",llll:\"ddd D MMM YYYY HH:mm\"},calendar:{sameDay:\"[Idag] LT\",nextDay:\"[Imorgon] LT\",lastDay:\"[Igår] LT\",nextWeek:\"[På] dddd LT\",lastWeek:\"[I] dddd[s] LT\",sameElse:\"L\"},relativeTime:{future:\"om %s\",past:\"för %s sedan\",s:\"några sekunder\",m:\"en minut\",mm:\"%d minuter\",h:\"en timme\",hh:\"%d timmar\",d:\"en dag\",dd:\"%d dagar\",M:\"en månad\",MM:\"%d månader\",y:\"ett år\",yy:\"%d år\"},dayOfMonthOrdinalParse:/\\d{1,2}(e|a)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"e\":1===b?\"a\":2===b?\"a\":\"e\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Swahili [sw]\n//! author : Fahad Kassim : https://github.com/fadsel\na.defineLocale(\"sw\",{months:\"Januari_Februari_Machi_Aprili_Mei_Juni_Julai_Agosti_Septemba_Oktoba_Novemba_Desemba\".split(\"_\"),monthsShort:\"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ago_Sep_Okt_Nov_Des\".split(\"_\"),weekdays:\"Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi\".split(\"_\"),weekdaysShort:\"Jpl_Jtat_Jnne_Jtan_Alh_Ijm_Jmos\".split(\"_\"),weekdaysMin:\"J2_J3_J4_J5_Al_Ij_J1\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[leo saa] LT\",nextDay:\"[kesho saa] LT\",nextWeek:\"[wiki ijayo] dddd [saat] LT\",lastDay:\"[jana] LT\",lastWeek:\"[wiki iliyopita] dddd [saat] LT\",sameElse:\"L\"},relativeTime:{future:\"%s baadaye\",past:\"tokea %s\",s:\"hivi punde\",m:\"dakika moja\",mm:\"dakika %d\",h:\"saa limoja\",hh:\"masaa %d\",d:\"siku moja\",dd:\"masiku %d\",M:\"mwezi mmoja\",MM:\"miezi %d\",y:\"mwaka mmoja\",yy:\"miaka %d\"},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Tamil [ta]\n//! author : Arjunkumar Krishnamoorthy : https://github.com/tk120404\nvar Ph={1:\"௧\",2:\"௨\",3:\"௩\",4:\"௪\",5:\"௫\",6:\"௬\",7:\"௭\",8:\"௮\",9:\"௯\",0:\"௦\"},Qh={\"௧\":\"1\",\"௨\":\"2\",\"௩\":\"3\",\"௪\":\"4\",\"௫\":\"5\",\"௬\":\"6\",\"௭\":\"7\",\"௮\":\"8\",\"௯\":\"9\",\"௦\":\"0\"};a.defineLocale(\"ta\",{months:\"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்\".split(\"_\"),monthsShort:\"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்\".split(\"_\"),weekdays:\"ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை\".split(\"_\"),weekdaysShort:\"ஞாயிறு_திங்கள்_செவ்வாய்_புதன்_வியாழன்_வெள்ளி_சனி\".split(\"_\"),weekdaysMin:\"ஞா_தி_செ_பு_வி_வெ_ச\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, HH:mm\",LLLL:\"dddd, D MMMM YYYY, HH:mm\"},calendar:{sameDay:\"[இன்று] LT\",nextDay:\"[நாளை] LT\",nextWeek:\"dddd, LT\",lastDay:\"[நேற்று] LT\",lastWeek:\"[கடந்த வாரம்] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s இல்\",past:\"%s முன்\",s:\"ஒரு சில விநாடிகள்\",m:\"ஒரு நிமிடம்\",mm:\"%d நிமிடங்கள்\",h:\"ஒரு மணி நேரம்\",hh:\"%d மணி நேரம்\",d:\"ஒரு நாள்\",dd:\"%d நாட்கள்\",M:\"ஒரு மாதம்\",MM:\"%d மாதங்கள்\",y:\"ஒரு வருடம்\",yy:\"%d ஆண்டுகள்\"},dayOfMonthOrdinalParse:/\\d{1,2}வது/,ordinal:function(a){return a+\"வது\"},preparse:function(a){return a.replace(/[௧௨௩௪௫௬௭௮௯௦]/g,function(a){return Qh[a]})},postformat:function(a){return a.replace(/\\d/g,function(a){return Ph[a]})},meridiemParse:/யாமம்|வைகறை|காலை|நண்பகல்|எற்பாடு|மாலை/,meridiem:function(a,b,c){return a<2?\" யாமம்\":a<6?\" வைகறை\":a<10?\" காலை\":a<14?\" நண்பகல்\":a<18?\" எற்பாடு\":a<22?\" மாலை\":\" யாமம்\"},meridiemHour:function(a,b){return 12===a&&(a=0),\"யாமம்\"===b?a<2?a:a+12:\"வைகறை\"===b||\"காலை\"===b?a:\"நண்பகல்\"===b&&a>=10?a:a+12},week:{dow:0,doy:6}}),\n//! moment.js locale configuration\n//! locale : Telugu [te]\n//! author : Krishna Chaitanya Thota : https://github.com/kcthota\na.defineLocale(\"te\",{months:\"జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జూలై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్\".split(\"_\"),monthsShort:\"జన._ఫిబ్ర._మార్చి_ఏప్రి._మే_జూన్_జూలై_ఆగ._సెప్._అక్టో._నవ._డిసె.\".split(\"_\"),monthsParseExact:!0,weekdays:\"ఆదివారం_సోమవారం_మంగళవారం_బుధవారం_గురువారం_శుక్రవారం_శనివారం\".split(\"_\"),weekdaysShort:\"ఆది_సోమ_మంగళ_బుధ_గురు_శుక్ర_శని\".split(\"_\"),weekdaysMin:\"ఆ_సో_మం_బు_గు_శు_శ\".split(\"_\"),longDateFormat:{LT:\"A h:mm\",LTS:\"A h:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY, A h:mm\",LLLL:\"dddd, D MMMM YYYY, A h:mm\"},calendar:{sameDay:\"[నేడు] LT\",nextDay:\"[రేపు] LT\",nextWeek:\"dddd, LT\",lastDay:\"[నిన్న] LT\",lastWeek:\"[గత] dddd, LT\",sameElse:\"L\"},relativeTime:{future:\"%s లో\",past:\"%s క్రితం\",s:\"కొన్ని క్షణాలు\",m:\"ఒక నిమిషం\",mm:\"%d నిమిషాలు\",h:\"ఒక గంట\",hh:\"%d గంటలు\",d:\"ఒక రోజు\",dd:\"%d రోజులు\",M:\"ఒక నెల\",MM:\"%d నెలలు\",y:\"ఒక సంవత్సరం\",yy:\"%d సంవత్సరాలు\"},dayOfMonthOrdinalParse:/\\d{1,2}వ/,ordinal:\"%dవ\",meridiemParse:/రాత్రి|ఉదయం|మధ్యాహ్నం|సాయంత్రం/,meridiemHour:function(a,b){return 12===a&&(a=0),\"రాత్రి\"===b?a<4?a:a+12:\"ఉదయం\"===b?a:\"మధ్యాహ్నం\"===b?a>=10?a:a+12:\"సాయంత్రం\"===b?a+12:void 0},meridiem:function(a,b,c){return a<4?\"రాత్రి\":a<10?\"ఉదయం\":a<17?\"మధ్యాహ్నం\":a<20?\"సాయంత్రం\":\"రాత్రి\"},week:{dow:0,doy:6}}),\n//! moment.js locale configuration\n//! locale : Tetun Dili (East Timor) [tet]\n//! author : Joshua Brooks : https://github.com/joshbrooks\n//! author : Onorio De J. Afonso : https://github.com/marobo\na.defineLocale(\"tet\",{months:\"Janeiru_Fevereiru_Marsu_Abril_Maiu_Juniu_Juliu_Augustu_Setembru_Outubru_Novembru_Dezembru\".split(\"_\"),monthsShort:\"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Aug_Set_Out_Nov_Dez\".split(\"_\"),weekdays:\"Domingu_Segunda_Tersa_Kuarta_Kinta_Sexta_Sabadu\".split(\"_\"),weekdaysShort:\"Dom_Seg_Ters_Kua_Kint_Sext_Sab\".split(\"_\"),weekdaysMin:\"Do_Seg_Te_Ku_Ki_Sex_Sa\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[Ohin iha] LT\",nextDay:\"[Aban iha] LT\",nextWeek:\"dddd [iha] LT\",lastDay:\"[Horiseik iha] LT\",lastWeek:\"dddd [semana kotuk] [iha] LT\",sameElse:\"L\"},relativeTime:{future:\"iha %s\",past:\"%s liuba\",s:\"minutu balun\",m:\"minutu ida\",mm:\"minutus %d\",h:\"horas ida\",hh:\"horas %d\",d:\"loron ida\",dd:\"loron %d\",M:\"fulan ida\",MM:\"fulan %d\",y:\"tinan ida\",yy:\"tinan %d\"},dayOfMonthOrdinalParse:/\\d{1,2}(st|nd|rd|th)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Thai [th]\n//! author : Kridsada Thanabulpong : https://github.com/sirn\na.defineLocale(\"th\",{months:\"มกราคม_กุมภาพันธ์_มีนาคม_เมษายน_พฤษภาคม_มิถุนายน_กรกฎาคม_สิงหาคม_กันยายน_ตุลาคม_พฤศจิกายน_ธันวาคม\".split(\"_\"),monthsShort:\"ม.ค._ก.พ._มี.ค._เม.ย._พ.ค._มิ.ย._ก.ค._ส.ค._ก.ย._ต.ค._พ.ย._ธ.ค.\".split(\"_\"),monthsParseExact:!0,weekdays:\"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัสบดี_ศุกร์_เสาร์\".split(\"_\"),weekdaysShort:\"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์\".split(\"_\"),weekdaysMin:\"อา._จ._อ._พ._พฤ._ศ._ส.\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"H:mm\",LTS:\"H:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY เวลา H:mm\",LLLL:\"วันddddที่ D MMMM YYYY เวลา H:mm\"},meridiemParse:/ก่อนเที่ยง|หลังเที่ยง/,isPM:function(a){return\"หลังเที่ยง\"===a},meridiem:function(a,b,c){return a<12?\"ก่อนเที่ยง\":\"หลังเที่ยง\"},calendar:{sameDay:\"[วันนี้ เวลา] LT\",nextDay:\"[พรุ่งนี้ เวลา] LT\",nextWeek:\"dddd[หน้า เวลา] LT\",lastDay:\"[เมื่อวานนี้ เวลา] LT\",lastWeek:\"[วัน]dddd[ที่แล้ว เวลา] LT\",sameElse:\"L\"},relativeTime:{future:\"อีก %s\",past:\"%sที่แล้ว\",s:\"ไม่กี่วินาที\",m:\"1 นาที\",mm:\"%d นาที\",h:\"1 ชั่วโมง\",hh:\"%d ชั่วโมง\",d:\"1 วัน\",dd:\"%d วัน\",M:\"1 เดือน\",MM:\"%d เดือน\",y:\"1 ปี\",yy:\"%d ปี\"}}),\n//! moment.js locale configuration\n//! locale : Tagalog (Philippines) [tl-ph]\n//! author : Dan Hagman : https://github.com/hagmandan\na.defineLocale(\"tl-ph\",{months:\"Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre\".split(\"_\"),monthsShort:\"Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis\".split(\"_\"),weekdays:\"Linggo_Lunes_Martes_Miyerkules_Huwebes_Biyernes_Sabado\".split(\"_\"),weekdaysShort:\"Lin_Lun_Mar_Miy_Huw_Biy_Sab\".split(\"_\"),weekdaysMin:\"Li_Lu_Ma_Mi_Hu_Bi_Sab\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"MM/D/YYYY\",LL:\"MMMM D, YYYY\",LLL:\"MMMM D, YYYY HH:mm\",LLLL:\"dddd, MMMM DD, YYYY HH:mm\"},calendar:{sameDay:\"LT [ngayong araw]\",nextDay:\"[Bukas ng] LT\",nextWeek:\"LT [sa susunod na] dddd\",lastDay:\"LT [kahapon]\",lastWeek:\"LT [noong nakaraang] dddd\",sameElse:\"L\"},relativeTime:{future:\"sa loob ng %s\",past:\"%s ang nakalipas\",s:\"ilang segundo\",m:\"isang minuto\",mm:\"%d minuto\",h:\"isang oras\",hh:\"%d oras\",d:\"isang araw\",dd:\"%d araw\",M:\"isang buwan\",MM:\"%d buwan\",y:\"isang taon\",yy:\"%d taon\"},dayOfMonthOrdinalParse:/\\d{1,2}/,ordinal:function(a){return a},week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Klingon [tlh]\n//! author : Dominika Kruk : https://github.com/amaranthrose\nvar Rh=\"pagh_wa’_cha’_wej_loS_vagh_jav_Soch_chorgh_Hut\".split(\"_\");a.defineLocale(\"tlh\",{months:\"tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’\".split(\"_\"),monthsShort:\"jar wa’_jar cha’_jar wej_jar loS_jar vagh_jar jav_jar Soch_jar chorgh_jar Hut_jar wa’maH_jar wa’maH wa’_jar wa’maH cha’\".split(\"_\"),monthsParseExact:!0,weekdays:\"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj\".split(\"_\"),weekdaysShort:\"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj\".split(\"_\"),weekdaysMin:\"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[DaHjaj] LT\",nextDay:\"[wa’leS] LT\",nextWeek:\"LLL\",lastDay:\"[wa’Hu’] LT\",lastWeek:\"LLL\",sameElse:\"L\"},relativeTime:{future:ie,past:je,s:\"puS lup\",m:\"wa’ tup\",mm:ke,h:\"wa’ rep\",hh:ke,d:\"wa’ jaj\",dd:ke,M:\"wa’ jar\",MM:ke,y:\"wa’ DIS\",yy:ke},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}});\n//! moment.js locale configuration\n//! locale : Turkish [tr]\n//! authors : Erhan Gundogan : https://github.com/erhangundogan,\n//! Burak Yiğit Kaya: https://github.com/BYK\nvar Sh={1:\"'inci\",5:\"'inci\",8:\"'inci\",70:\"'inci\",80:\"'inci\",2:\"'nci\",7:\"'nci\",20:\"'nci\",50:\"'nci\",3:\"'üncü\",4:\"'üncü\",100:\"'üncü\",6:\"'ncı\",9:\"'uncu\",10:\"'uncu\",30:\"'uncu\",60:\"'ıncı\",90:\"'ıncı\"};a.defineLocale(\"tr\",{months:\"Ocak_Şubat_Mart_Nisan_Mayıs_Haziran_Temmuz_Ağustos_Eylül_Ekim_Kasım_Aralık\".split(\"_\"),monthsShort:\"Oca_Şub_Mar_Nis_May_Haz_Tem_Ağu_Eyl_Eki_Kas_Ara\".split(\"_\"),weekdays:\"Pazar_Pazartesi_Salı_Çarşamba_Perşembe_Cuma_Cumartesi\".split(\"_\"),weekdaysShort:\"Paz_Pts_Sal_Çar_Per_Cum_Cts\".split(\"_\"),weekdaysMin:\"Pz_Pt_Sa_Ça_Pe_Cu_Ct\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[bugün saat] LT\",nextDay:\"[yarın saat] LT\",nextWeek:\"[haftaya] dddd [saat] LT\",lastDay:\"[dün] LT\",lastWeek:\"[geçen hafta] dddd [saat] LT\",sameElse:\"L\"},relativeTime:{future:\"%s sonra\",past:\"%s önce\",s:\"birkaç saniye\",m:\"bir dakika\",mm:\"%d dakika\",h:\"bir saat\",hh:\"%d saat\",d:\"bir gün\",dd:\"%d gün\",M:\"bir ay\",MM:\"%d ay\",y:\"bir yıl\",yy:\"%d yıl\"},dayOfMonthOrdinalParse:/\\d{1,2}'(inci|nci|üncü|ncı|uncu|ıncı)/,ordinal:function(a){if(0===a)return a+\"'ıncı\";var b=a%10,c=a%100-b,d=a>=100?100:null;return a+(Sh[b]||Sh[c]||Sh[d])},week:{dow:1,doy:7}}),\n//! moment.js locale configuration\n//! locale : Talossan [tzl]\n//! author : Robin van der Vliet : https://github.com/robin0van0der0v\n//! author : Iustì Canun\na.defineLocale(\"tzl\",{months:\"Januar_Fevraglh_Març_Avrïu_Mai_Gün_Julia_Guscht_Setemvar_Listopäts_Noemvar_Zecemvar\".split(\"_\"),monthsShort:\"Jan_Fev_Mar_Avr_Mai_Gün_Jul_Gus_Set_Lis_Noe_Zec\".split(\"_\"),weekdays:\"Súladi_Lúneçi_Maitzi_Márcuri_Xhúadi_Viénerçi_Sáturi\".split(\"_\"),weekdaysShort:\"Súl_Lún_Mai_Már_Xhú_Vié_Sát\".split(\"_\"),weekdaysMin:\"Sú_Lú_Ma_Má_Xh_Vi_Sá\".split(\"_\"),longDateFormat:{LT:\"HH.mm\",LTS:\"HH.mm.ss\",L:\"DD.MM.YYYY\",LL:\"D. MMMM [dallas] YYYY\",LLL:\"D. MMMM [dallas] YYYY HH.mm\",LLLL:\"dddd, [li] D. MMMM [dallas] YYYY HH.mm\"},meridiemParse:/d\\'o|d\\'a/i,isPM:function(a){return\"d'o\"===a.toLowerCase()},meridiem:function(a,b,c){return a>11?c?\"d'o\":\"D'O\":c?\"d'a\":\"D'A\"},calendar:{sameDay:\"[oxhi à] LT\",nextDay:\"[demà à] LT\",nextWeek:\"dddd [à] LT\",lastDay:\"[ieiri à] LT\",lastWeek:\"[sür el] dddd [lasteu à] LT\",sameElse:\"L\"},relativeTime:{future:\"osprei %s\",past:\"ja%s\",s:me,m:me,mm:me,h:me,hh:me,d:me,dd:me,M:me,MM:me,y:me,yy:me},dayOfMonthOrdinalParse:/\\d{1,2}\\./,ordinal:\"%d.\",week:{dow:1,doy:4}}),\n//! moment.js locale configuration\n//! locale : Central Atlas Tamazight Latin [tzm-latn]\n//! author : Abdel Said : https://github.com/abdelsaid\na.defineLocale(\"tzm-latn\",{months:\"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir\".split(\"_\"),monthsShort:\"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir\".split(\"_\"),weekdays:\"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas\".split(\"_\"),weekdaysShort:\"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas\".split(\"_\"),weekdaysMin:\"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[asdkh g] LT\",nextDay:\"[aska g] LT\",nextWeek:\"dddd [g] LT\",lastDay:\"[assant g] LT\",lastWeek:\"dddd [g] LT\",sameElse:\"L\"},relativeTime:{future:\"dadkh s yan %s\",past:\"yan %s\",s:\"imik\",m:\"minuḍ\",mm:\"%d minuḍ\",h:\"saɛa\",hh:\"%d tassaɛin\",d:\"ass\",dd:\"%d ossan\",M:\"ayowr\",MM:\"%d iyyirn\",y:\"asgas\",yy:\"%d isgasn\"},week:{dow:6,doy:12}}),\n//! moment.js locale configuration\n//! locale : Central Atlas Tamazight [tzm]\n//! author : Abdel Said : https://github.com/abdelsaid\na.defineLocale(\"tzm\",{months:\"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ\".split(\"_\"),monthsShort:\"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ\".split(\"_\"),weekdays:\"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ\".split(\"_\"),weekdaysShort:\"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ\".split(\"_\"),weekdaysMin:\"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[ⴰⵙⴷⵅ ⴴ] LT\",nextDay:\"[ⴰⵙⴽⴰ ⴴ] LT\",nextWeek:\"dddd [ⴴ] LT\",lastDay:\"[ⴰⵚⴰⵏⵜ ⴴ] LT\",lastWeek:\"dddd [ⴴ] LT\",sameElse:\"L\"},relativeTime:{future:\"ⴷⴰⴷⵅ ⵙ ⵢⴰⵏ %s\",past:\"ⵢⴰⵏ %s\",s:\"ⵉⵎⵉⴽ\",m:\"ⵎⵉⵏⵓⴺ\",mm:\"%d ⵎⵉⵏⵓⴺ\",h:\"ⵙⴰⵄⴰ\",hh:\"%d ⵜⴰⵙⵙⴰⵄⵉⵏ\",d:\"ⴰⵙⵙ\",dd:\"%d oⵙⵙⴰⵏ\",M:\"ⴰⵢoⵓⵔ\",MM:\"%d ⵉⵢⵢⵉⵔⵏ\",y:\"ⴰⵙⴳⴰⵙ\",yy:\"%d ⵉⵙⴳⴰⵙⵏ\"},week:{dow:6,doy:12}}),a.defineLocale(\"uk\",{months:{format:\"січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня\".split(\"_\"),standalone:\"січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень\".split(\"_\")},monthsShort:\"січ_лют_бер_квіт_трав_черв_лип_серп_вер_жовт_лист_груд\".split(\"_\"),weekdays:pe,weekdaysShort:\"нд_пн_вт_ср_чт_пт_сб\".split(\"_\"),weekdaysMin:\"нд_пн_вт_ср_чт_пт_сб\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD.MM.YYYY\",LL:\"D MMMM YYYY р.\",LLL:\"D MMMM YYYY р., HH:mm\",LLLL:\"dddd, D MMMM YYYY р., HH:mm\"},calendar:{sameDay:qe(\"[Сьогодні \"),nextDay:qe(\"[Завтра \"),lastDay:qe(\"[Вчора \"),nextWeek:qe(\"[У] dddd [\"),lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return qe(\"[Минулої] dddd [\").call(this);case 1:case 2:case 4:return qe(\"[Минулого] dddd [\").call(this)}},sameElse:\"L\"},relativeTime:{future:\"за %s\",past:\"%s тому\",s:\"декілька секунд\",m:oe,mm:oe,h:\"годину\",hh:oe,d:\"день\",dd:oe,M:\"місяць\",MM:oe,y:\"рік\",yy:oe},meridiemParse:/ночі|ранку|дня|вечора/,isPM:function(a){return/^(дня|вечора)$/.test(a)},meridiem:function(a,b,c){return a<4?\"ночі\":a<12?\"ранку\":a<17?\"дня\":\"вечора\"},dayOfMonthOrdinalParse:/\\d{1,2}-(й|го)/,ordinal:function(a,b){switch(b){case\"M\":case\"d\":case\"DDD\":case\"w\":case\"W\":return a+\"-й\";case\"D\":return a+\"-го\";default:return a}},week:{dow:1,doy:7}});\n//! moment.js locale configuration\n//! locale : Urdu [ur]\n//! author : Sawood Alam : https://github.com/ibnesayeed\n//! author : Zack : https://github.com/ZackVision\nvar Th=[\"جنوری\",\"فروری\",\"مارچ\",\"اپریل\",\"مئی\",\"جون\",\"جولائی\",\"اگست\",\"ستمبر\",\"اکتوبر\",\"نومبر\",\"دسمبر\"],Uh=[\"اتوار\",\"پیر\",\"منگل\",\"بدھ\",\"جمعرات\",\"جمعہ\",\"ہفتہ\"];\n//! moment.js locale configuration\n//! locale : Uzbek Latin [uz-latn]\n//! author : Rasulbek Mirzayev : github.com/Rasulbeeek\n//! moment.js locale configuration\n//! locale : Uzbek [uz]\n//! author : Sardor Muminov : https://github.com/muminoff\n//! moment.js locale configuration\n//! locale : Vietnamese [vi]\n//! author : Bang Nguyen : https://github.com/bangnk\n//! moment.js locale configuration\n//! locale : Pseudo [x-pseudo]\n//! author : Andrew Hood : https://github.com/andrewhood125\n//! moment.js locale configuration\n//! locale : Yoruba Nigeria [yo]\n//! author : Atolagbe Abisoye : https://github.com/andela-batolagbe\n//! moment.js locale configuration\n//! locale : Chinese (China) [zh-cn]\n//! author : suupic : https://github.com/suupic\n//! author : Zeno Zeng : https://github.com/zenozeng\n//! moment.js locale configuration\n//! locale : Chinese (Hong Kong) [zh-hk]\n//! author : Ben : https://github.com/ben-lin\n//! author : Chris Lam : https://github.com/hehachris\n//! author : Konstantin : https://github.com/skfd\n//! moment.js locale configuration\n//! locale : Chinese (Taiwan) [zh-tw]\n//! author : Ben : https://github.com/ben-lin\n//! author : Chris Lam : https://github.com/hehachris\nreturn a.defineLocale(\"ur\",{months:Th,monthsShort:Th,weekdays:Uh,weekdaysShort:Uh,weekdaysMin:Uh,longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd، D MMMM YYYY HH:mm\"},meridiemParse:/صبح|شام/,isPM:function(a){return\"شام\"===a},meridiem:function(a,b,c){return a<12?\"صبح\":\"شام\"},calendar:{sameDay:\"[آج بوقت] LT\",nextDay:\"[کل بوقت] LT\",nextWeek:\"dddd [بوقت] LT\",lastDay:\"[گذشتہ روز بوقت] LT\",lastWeek:\"[گذشتہ] dddd [بوقت] LT\",sameElse:\"L\"},relativeTime:{future:\"%s بعد\",past:\"%s قبل\",s:\"چند سیکنڈ\",m:\"ایک منٹ\",mm:\"%d منٹ\",h:\"ایک گھنٹہ\",hh:\"%d گھنٹے\",d:\"ایک دن\",dd:\"%d دن\",M:\"ایک ماہ\",MM:\"%d ماہ\",y:\"ایک سال\",yy:\"%d سال\"},preparse:function(a){return a.replace(/،/g,\",\")},postformat:function(a){return a.replace(/,/g,\"،\")},week:{dow:1,doy:4}}),a.defineLocale(\"uz-latn\",{months:\"Yanvar_Fevral_Mart_Aprel_May_Iyun_Iyul_Avgust_Sentabr_Oktabr_Noyabr_Dekabr\".split(\"_\"),monthsShort:\"Yan_Fev_Mar_Apr_May_Iyun_Iyul_Avg_Sen_Okt_Noy_Dek\".split(\"_\"),weekdays:\"Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba\".split(\"_\"),weekdaysShort:\"Yak_Dush_Sesh_Chor_Pay_Jum_Shan\".split(\"_\"),weekdaysMin:\"Ya_Du_Se_Cho_Pa_Ju_Sha\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"D MMMM YYYY, dddd HH:mm\"},calendar:{sameDay:\"[Bugun soat] LT [da]\",nextDay:\"[Ertaga] LT [da]\",nextWeek:\"dddd [kuni soat] LT [da]\",lastDay:\"[Kecha soat] LT [da]\",lastWeek:\"[O'tgan] dddd [kuni soat] LT [da]\",sameElse:\"L\"},relativeTime:{future:\"Yaqin %s ichida\",past:\"Bir necha %s oldin\",s:\"soniya\",m:\"bir daqiqa\",mm:\"%d daqiqa\",h:\"bir soat\",hh:\"%d soat\",d:\"bir kun\",dd:\"%d kun\",M:\"bir oy\",MM:\"%d oy\",y:\"bir yil\",yy:\"%d yil\"},week:{dow:1,doy:7}}),a.defineLocale(\"uz\",{months:\"январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр\".split(\"_\"),monthsShort:\"янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек\".split(\"_\"),weekdays:\"Якшанба_Душанба_Сешанба_Чоршанба_Пайшанба_Жума_Шанба\".split(\"_\"),weekdaysShort:\"Якш_Душ_Сеш_Чор_Пай_Жум_Шан\".split(\"_\"),weekdaysMin:\"Як_Ду_Се_Чо_Па_Жу_Ша\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"D MMMM YYYY, dddd HH:mm\"},calendar:{sameDay:\"[Бугун соат] LT [да]\",nextDay:\"[Эртага] LT [да]\",nextWeek:\"dddd [куни соат] LT [да]\",lastDay:\"[Кеча соат] LT [да]\",lastWeek:\"[Утган] dddd [куни соат] LT [да]\",sameElse:\"L\"},relativeTime:{future:\"Якин %s ичида\",past:\"Бир неча %s олдин\",s:\"фурсат\",m:\"бир дакика\",mm:\"%d дакика\",h:\"бир соат\",hh:\"%d соат\",d:\"бир кун\",dd:\"%d кун\",M:\"бир ой\",MM:\"%d ой\",y:\"бир йил\",yy:\"%d йил\"},week:{dow:1,doy:7}}),a.defineLocale(\"vi\",{months:\"tháng 1_tháng 2_tháng 3_tháng 4_tháng 5_tháng 6_tháng 7_tháng 8_tháng 9_tháng 10_tháng 11_tháng 12\".split(\"_\"),monthsShort:\"Th01_Th02_Th03_Th04_Th05_Th06_Th07_Th08_Th09_Th10_Th11_Th12\".split(\"_\"),monthsParseExact:!0,weekdays:\"chủ nhật_thứ hai_thứ ba_thứ tư_thứ năm_thứ sáu_thứ bảy\".split(\"_\"),weekdaysShort:\"CN_T2_T3_T4_T5_T6_T7\".split(\"_\"),weekdaysMin:\"CN_T2_T3_T4_T5_T6_T7\".split(\"_\"),weekdaysParseExact:!0,meridiemParse:/sa|ch/i,isPM:function(a){return/^ch$/i.test(a)},meridiem:function(a,b,c){return a<12?c?\"sa\":\"SA\":c?\"ch\":\"CH\"},longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"DD/MM/YYYY\",LL:\"D MMMM [năm] YYYY\",LLL:\"D MMMM [năm] YYYY HH:mm\",LLLL:\"dddd, D MMMM [năm] YYYY HH:mm\",l:\"DD/M/YYYY\",ll:\"D MMM YYYY\",lll:\"D MMM YYYY HH:mm\",llll:\"ddd, D MMM YYYY HH:mm\"},calendar:{sameDay:\"[Hôm nay lúc] LT\",nextDay:\"[Ngày mai lúc] LT\",nextWeek:\"dddd [tuần tới lúc] LT\",lastDay:\"[Hôm qua lúc] LT\",lastWeek:\"dddd [tuần rồi lúc] LT\",sameElse:\"L\"},relativeTime:{future:\"%s tới\",past:\"%s trước\",s:\"vài giây\",m:\"một phút\",mm:\"%d phút\",h:\"một giờ\",hh:\"%d giờ\",d:\"một ngày\",dd:\"%d ngày\",M:\"một tháng\",MM:\"%d tháng\",y:\"một năm\",yy:\"%d năm\"},dayOfMonthOrdinalParse:/\\d{1,2}/,ordinal:function(a){return a},week:{dow:1,doy:4}}),a.defineLocale(\"x-pseudo\",{months:\"J~áñúá~rý_F~ébrú~árý_~Márc~h_Áp~ríl_~Máý_~Júñé~_Júl~ý_Áú~gúst~_Sép~témb~ér_Ó~ctób~ér_Ñ~óvém~bér_~Décé~mbér\".split(\"_\"),monthsShort:\"J~áñ_~Féb_~Már_~Ápr_~Máý_~Júñ_~Júl_~Áúg_~Sép_~Óct_~Ñóv_~Déc\".split(\"_\"),monthsParseExact:!0,weekdays:\"S~úñdá~ý_Mó~ñdáý~_Túé~sdáý~_Wéd~ñésd~áý_T~húrs~dáý_~Fríd~áý_S~átúr~dáý\".split(\"_\"),weekdaysShort:\"S~úñ_~Móñ_~Túé_~Wéd_~Thú_~Frí_~Sát\".split(\"_\"),weekdaysMin:\"S~ú_Mó~_Tú_~Wé_T~h_Fr~_Sá\".split(\"_\"),weekdaysParseExact:!0,longDateFormat:{LT:\"HH:mm\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY HH:mm\",LLLL:\"dddd, D MMMM YYYY HH:mm\"},calendar:{sameDay:\"[T~ódá~ý át] LT\",nextDay:\"[T~ómó~rró~w át] LT\",nextWeek:\"dddd [át] LT\",lastDay:\"[Ý~ést~érdá~ý át] LT\",lastWeek:\"[L~ást] dddd [át] LT\",sameElse:\"L\"},relativeTime:{future:\"í~ñ %s\",past:\"%s á~gó\",s:\"á ~féw ~sécó~ñds\",m:\"á ~míñ~úté\",mm:\"%d m~íñú~tés\",h:\"á~ñ hó~úr\",hh:\"%d h~óúrs\",d:\"á ~dáý\",dd:\"%d d~áýs\",M:\"á ~móñ~th\",MM:\"%d m~óñt~hs\",y:\"á ~ýéár\",yy:\"%d ý~éárs\"},dayOfMonthOrdinalParse:/\\d{1,2}(th|st|nd|rd)/,ordinal:function(a){var b=a%10,c=1===~~(a%100/10)?\"th\":1===b?\"st\":2===b?\"nd\":3===b?\"rd\":\"th\";return a+c},week:{dow:1,doy:4}}),a.defineLocale(\"yo\",{months:\"Sẹ́rẹ́_Èrèlè_Ẹrẹ̀nà_Ìgbé_Èbibi_Òkùdu_Agẹmo_Ògún_Owewe_Ọ̀wàrà_Bélú_Ọ̀pẹ̀̀\".split(\"_\"),monthsShort:\"Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀\".split(\"_\"),weekdays:\"Àìkú_Ajé_Ìsẹ́gun_Ọjọ́rú_Ọjọ́bọ_Ẹtì_Àbámẹ́ta\".split(\"_\"),weekdaysShort:\"Àìk_Ajé_Ìsẹ́_Ọjr_Ọjb_Ẹtì_Àbá\".split(\"_\"),weekdaysMin:\"Àì_Aj_Ìs_Ọr_Ọb_Ẹt_Àb\".split(\"_\"),longDateFormat:{LT:\"h:mm A\",LTS:\"h:mm:ss A\",L:\"DD/MM/YYYY\",LL:\"D MMMM YYYY\",LLL:\"D MMMM YYYY h:mm A\",LLLL:\"dddd, D MMMM YYYY h:mm A\"},calendar:{sameDay:\"[Ònì ni] LT\",nextDay:\"[Ọ̀la ni] LT\",nextWeek:\"dddd [Ọsẹ̀ tón'bọ] [ni] LT\",lastDay:\"[Àna ni] LT\",lastWeek:\"dddd [Ọsẹ̀ tólọ́] [ni] LT\",sameElse:\"L\"},relativeTime:{future:\"ní %s\",past:\"%s kọjá\",s:\"ìsẹjú aayá die\",m:\"ìsẹjú kan\",mm:\"ìsẹjú %d\",h:\"wákati kan\",hh:\"wákati %d\",d:\"ọjọ́ kan\",dd:\"ọjọ́ %d\",M:\"osù kan\",MM:\"osù %d\",y:\"ọdún kan\",yy:\"ọdún %d\"},dayOfMonthOrdinalParse:/ọjọ́\\s\\d{1,2}/,ordinal:\"ọjọ́ %d\",week:{dow:1,doy:4}}),a.defineLocale(\"zh-cn\",{months:\"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月\".split(\"_\"),monthsShort:\"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月\".split(\"_\"),weekdays:\"星期日_星期一_星期二_星期三_星期四_星期五_星期六\".split(\"_\"),weekdaysShort:\"周日_周一_周二_周三_周四_周五_周六\".split(\"_\"),weekdaysMin:\"日_一_二_三_四_五_六\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY年MMMD日\",LL:\"YYYY年MMMD日\",LLL:\"YYYY年MMMD日Ah点mm分\",LLLL:\"YYYY年MMMD日ddddAh点mm分\",l:\"YYYY年MMMD日\",ll:\"YYYY年MMMD日\",lll:\"YYYY年MMMD日 HH:mm\",llll:\"YYYY年MMMD日dddd HH:mm\"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(a,b){return 12===a&&(a=0),\"凌晨\"===b||\"早上\"===b||\"上午\"===b?a:\"下午\"===b||\"晚上\"===b?a+12:a>=11?a:a+12},meridiem:function(a,b,c){var d=100*a+b;return d<600?\"凌晨\":d<900?\"早上\":d<1130?\"上午\":d<1230?\"中午\":d<1800?\"下午\":\"晚上\"},calendar:{sameDay:\"[今天]LT\",nextDay:\"[明天]LT\",nextWeek:\"[下]ddddLT\",lastDay:\"[昨天]LT\",lastWeek:\"[上]ddddLT\",sameElse:\"L\"},dayOfMonthOrdinalParse:/\\d{1,2}(日|月|周)/,ordinal:function(a,b){switch(b){case\"d\":case\"D\":case\"DDD\":return a+\"日\";case\"M\":return a+\"月\";case\"w\":case\"W\":return a+\"周\";default:return a}},relativeTime:{future:\"%s内\",past:\"%s前\",s:\"几秒\",m:\"1 分钟\",mm:\"%d 分钟\",h:\"1 小时\",hh:\"%d 小时\",d:\"1 天\",dd:\"%d 天\",M:\"1 个月\",MM:\"%d 个月\",y:\"1 年\",yy:\"%d 年\"},week:{dow:1,doy:4}}),a.defineLocale(\"zh-hk\",{months:\"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月\".split(\"_\"),monthsShort:\"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月\".split(\"_\"),weekdays:\"星期日_星期一_星期二_星期三_星期四_星期五_星期六\".split(\"_\"),weekdaysShort:\"週日_週一_週二_週三_週四_週五_週六\".split(\"_\"),weekdaysMin:\"日_一_二_三_四_五_六\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY年MMMD日\",LL:\"YYYY年MMMD日\",LLL:\"YYYY年MMMD日 HH:mm\",LLLL:\"YYYY年MMMD日dddd HH:mm\",l:\"YYYY年MMMD日\",ll:\"YYYY年MMMD日\",lll:\"YYYY年MMMD日 HH:mm\",llll:\"YYYY年MMMD日dddd HH:mm\"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(a,b){return 12===a&&(a=0),\"凌晨\"===b||\"早上\"===b||\"上午\"===b?a:\"中午\"===b?a>=11?a:a+12:\"下午\"===b||\"晚上\"===b?a+12:void 0},meridiem:function(a,b,c){var d=100*a+b;return d<600?\"凌晨\":d<900?\"早上\":d<1130?\"上午\":d<1230?\"中午\":d<1800?\"下午\":\"晚上\"},calendar:{sameDay:\"[今天]LT\",nextDay:\"[明天]LT\",nextWeek:\"[下]ddddLT\",lastDay:\"[昨天]LT\",lastWeek:\"[上]ddddLT\",sameElse:\"L\"},dayOfMonthOrdinalParse:/\\d{1,2}(日|月|週)/,ordinal:function(a,b){switch(b){case\"d\":case\"D\":case\"DDD\":return a+\"日\";case\"M\":return a+\"月\";case\"w\":case\"W\":return a+\"週\";default:return a}},relativeTime:{future:\"%s內\",past:\"%s前\",s:\"幾秒\",m:\"1 分鐘\",mm:\"%d 分鐘\",h:\"1 小時\",hh:\"%d 小時\",d:\"1 天\",dd:\"%d 天\",M:\"1 個月\",MM:\"%d 個月\",y:\"1 年\",yy:\"%d 年\"}}),a.defineLocale(\"zh-tw\",{months:\"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月\".split(\"_\"),monthsShort:\"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月\".split(\"_\"),weekdays:\"星期日_星期一_星期二_星期三_星期四_星期五_星期六\".split(\"_\"),weekdaysShort:\"週日_週一_週二_週三_週四_週五_週六\".split(\"_\"),weekdaysMin:\"日_一_二_三_四_五_六\".split(\"_\"),longDateFormat:{LT:\"HH:mm\",LTS:\"HH:mm:ss\",L:\"YYYY年MMMD日\",LL:\"YYYY年MMMD日\",LLL:\"YYYY年MMMD日 HH:mm\",LLLL:\"YYYY年MMMD日dddd HH:mm\",l:\"YYYY年MMMD日\",ll:\"YYYY年MMMD日\",lll:\"YYYY年MMMD日 HH:mm\",llll:\"YYYY年MMMD日dddd HH:mm\"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(a,b){return 12===a&&(a=0),\"凌晨\"===b||\"早上\"===b||\"上午\"===b?a:\"中午\"===b?a>=11?a:a+12:\"下午\"===b||\"晚上\"===b?a+12:void 0},meridiem:function(a,b,c){var d=100*a+b;return d<600?\"凌晨\":d<900?\"早上\":d<1130?\"上午\":d<1230?\"中午\":d<1800?\"下午\":\"晚上\"},calendar:{sameDay:\"[今天]LT\",nextDay:\"[明天]LT\",nextWeek:\"[下]ddddLT\",lastDay:\"[昨天]LT\",lastWeek:\"[上]ddddLT\",sameElse:\"L\"},dayOfMonthOrdinalParse:/\\d{1,2}(日|月|週)/,ordinal:function(a,b){switch(b){case\"d\":case\"D\":case\"DDD\":return a+\"日\";case\"M\":return a+\"月\";case\"w\":case\"W\":return a+\"週\";default:return a}},relativeTime:{future:\"%s內\",past:\"%s前\",s:\"幾秒\",m:\"1 分鐘\",mm:\"%d 分鐘\",h:\"1 小時\",hh:\"%d 小時\",d:\"1 天\",dd:\"%d 天\",M:\"1 個月\",MM:\"%d 個月\",y:\"1 年\",yy:\"%d 年\"}}),a.locale(\"en\"),a});",
"type": "application/javascript",
"title": "$:/plugins/kixam/moment/moment.js",
"module-type": "library"
},
"$:/plugins/kixam/moment/icon": {
"text": "<svg height=\"22pt\" width=\"22pt\" viewBox=\"0 0 128 128\">\n<path d=\"m 64.116816,0 -54.4965957,31.959746 0.00139,63.919491 54.4965967,31.959743 54.496603,-31.959743 0,-63.919491 L 64.118211,0 Z M 63.842544,28.318892 A 35.326327,35.326327 0 0 1 99.17259,63.645219 35.326327,35.326327 0 0 1 63.842544,98.975265 35.326327,35.326327 0 0 1 28.516216,63.645219 35.326327,35.326327 0 0 1 63.842544,28.318892 Z m 0,4.775599 c -16.870097,0 -30.550728,13.680631 -30.550728,30.550728 0,16.874746 13.680631,30.555841 30.550728,30.555841 16.874745,0 30.555841,-13.681095 30.555841,-30.555841 0,-16.870097 -13.681096,-30.550728 -30.555841,-30.550728 z m 0,1.906893 c 1.06455,0 1.910611,0.860008 1.910611,1.915725 l 0,28.638722 -17.190856,0 c -1.027361,0 -1.905499,-0.85536 -1.905499,-1.910612 0,-1.059902 0.854895,-1.905963 1.905499,-1.905963 l 13.374282,0 0,-24.81285 c 0,-1.06362 0.855359,-1.925022 1.905963,-1.925022 z\"/>\n</svg>\n",
"title": "$:/plugins/kixam/moment/icon",
"tags": "$:/tags/Image",
"type": "text/vnd.tiddlywiki"
},
"$:/plugins/kixam/moment/readme": {
"text": "! moment.js\n\nA plugin for [[TiddlyWiki|http://tiddlywiki.com]] 5, that provides the [[moment.js library|http://momentjs.com]].\n",
"title": "$:/plugins/kixam/moment/readme"
},
"$:/plugins/kixam/moment/license": {
"text": "!! moment.js licence: [[MIT|http://mit-license.org/]]\n```\nCopyright (c) 2011-2015 Tim Wood, Iskren Chernev, Moment.js contributors\n\nPermission is hereby granted, free of charge, to any person\nobtaining a copy of this software and associated documentation\nfiles (the \"Software\"), to deal in the Software without\nrestriction, including without limitation the rights to use,\ncopy, modify, merge, publish, distribute, sublicense, and/or sell\ncopies of the Software, and to permit persons to whom the\nSoftware is furnished to do so, subject to the following\nconditions:\n\nThe above copyright notice and this permission notice shall be\nincluded in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND,\nEXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES\nOF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND\nNONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT\nHOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,\nWHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING\nFROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR\nOTHER DEALINGS IN THE SOFTWARE.\n```\n\n!! Plugin license: [[BSD 2-clause simplified license|http://www.freebsd.org/copyright/freebsd-license.html]]\n```\nCopyright (c) 2015, kixam\nAll rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are met:\n\n1. Redistributions of source code must retain the above copyright notice, this\n list of conditions and the following disclaimer.\n\n2. Redistributions in binary form must reproduce the above copyright notice,\n this list of conditions and the following disclaimer in the documentation\n and/or other materials provided with the distribution.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\"\nAND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\nIMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE\nDISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE\nFOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL\nDAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR\nSERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER\nCAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,\nOR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE\nOF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\n```\n",
"title": "$:/plugins/kixam/moment/license"
}
}
}
{
"tiddlers": {
"$:/plugins/kixam/timeline/widget.utils.js": {
"text": "/*\\\ntitle: $:/plugins/kixam/timeline/widget.utils.js\ntype: application/javascript\nmodule-type: library\n\n A library of reusable functions, used in the TW5-visjsTimeline plugin\n\n\\*/\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\n\n(function() {\n 'use strict';\n\n // parseWidgetAttributes\n //\n // Utility to handle configuration attributes for a widget.\n // It handles validation, coercion and assignment of attribute values to the current widgets fields.\n // Parent and nextSibling are required so that any errors can be reported\n //\n // The attributeDefns are a object representing with a field for each attribute expected by the widget\n //\n // Each definition field is an object with two fields\n // type - This is used to coerce values before assignment (only string and integer are currently supported)\n // defaultValue - When an attribute is not provided in the plugin call, then this value should be used instead\n //\n // If an attribute is passed to the plugin that is not expected (i.e. in the attributeDefns object), then this function returns false\n // and an error message is output on the parent. This should be shown instead of the widget's usual view.\n //\n function parseWidgetAttributes(self, attributeDefns) {\n var errors = [];\n for (var attr in self.attributes) {\n if (attributeDefns[attr] === undefined) {\n errors.push(attr);\n } else {\n if (attributeDefns[attr].type == \"string\") {\n self[attr] = self.attributes[attr];\n } else if (attributeDefns[attr].type == \"integer\") {\n self[attr] = parseInt(self.attributes[attr] );\n if (isNaN(self[attr])) {\n delete self[attr];\n }\n }\n }\n }\n if (errors.length !== 0) {\n return errors;\n }\n for (var attrDefn in attributeDefns) {\n if (self[attrDefn] === undefined) {\n self[attrDefn] = attributeDefns[attrDefn].defaultValue;\n }\n }\n return undefined;\n }\n\n function displayTiddler(self,toTiddlerTitle){\n var domTiddler = self.parentDomNode.parentNode;\n var bounds = domTiddler.getBoundingClientRect();\n var e = {\n type: \"tm-navigate\",\n navigateTo: toTiddlerTitle,\n navigateFromTitle: self.getVariable(\"currentTiddler\"),\n navigateFromNode: domTiddler,\n navigateFromClientRect: { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n }\n };\n self.dispatchEvent(e);\n }\n\n function enhancedColorStyle(csscolor) {\n var color = $tw.utils.parseCSSColor(csscolor);\n var style = null;\n if(color !== null) {\n for(var i=0;i<3;i++) color[i] = Math.floor(240 + color[i] / 17);\n\n style = \"border-color: \" + csscolor + \";\"\n + \"background-color: rgb(\" + (color[0]).toString()+\",\"\n + (color[1]).toString()+\",\"\n + (color[2]).toString()+\");\";\n }\n return style;\n }\n\n // adapted from $tw.utils.error of $:/boot/boot.js\n function dispError(message, title, subtitle) {\n console.error($tw.node ? \"\\x1b[1;31m\" + message + \"\\x1b[0m\" : message);\n if($tw.browser && !$tw.node) {\n // Display an error message to the user\n var dm = $tw.utils.domMaker,\n heading = dm(\"h1\",{text: (title || \"Error with vis.js Timeline\")}),\n prompt = dm(\"div\",{text: (subtitle || \"Please check the following:\"), \"class\": \"tc-error-prompt\"}),\n message = dm(\"div\",{innerHTML: message, attributes: {style: \"text-align: left;\"}}),\n button = dm(\"button\",{text: \"close\"}),\n form = dm(\"form\",{children: [heading,prompt,message,button], \"class\": \"tc-error-form\", attributes: {style: \"background-color: rgb(75, 75, 255); border: 8px solid rgb(0, 0, 255);\"}});\n document.body.insertBefore(form,document.body.firstChild);\n form.addEventListener(\"submit\",function(event) {\n document.body.removeChild(form);\n event.preventDefault();\n return false;\n },true);\n return null;\n } else if(!$tw.browser) {\n // Exit if we're under node.js\n process.exit(1);\n }\n }\n\n function setTiddlerField(tiddlerTitle, field, value) {\n if(tiddlerTitle && field) {\n var fields = {\n title: tiddlerTitle\n };\n fields[field] = value;\n var tiddler = $tw.wiki.getTiddler(tiddlerTitle, true);\n $tw.wiki.addTiddler(new $tw.Tiddler(tiddler, fields));\n }\n }\n\n exports.parseWidgetAttributes = parseWidgetAttributes;\n exports.displayTiddler = displayTiddler;\n exports.enhancedColorStyle = enhancedColorStyle;\n exports.dispError = dispError;\n exports.setTiddlerField = setTiddlerField;\n}\n());\n",
"title": "$:/plugins/kixam/timeline/widget.utils.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/kixam/timeline/icon": {
"title": "$:/plugins/kixam/timeline/icon",
"tags": "$:/tags/Image",
"text": "<svg height=\"22pt\" width=\"22pt\" viewBox=\"0 0 128 128\">\n<path fill-rule=\"evenodd\" d=\"m64 0 54.56 32v64l-54.56 32-54.56-32v-64zm-48.429 75.635c8.5524 1.5516 29.568 7.708 27.545 6.755-10.977-5.172-27.059-20.046-27.059-20.046l26.814-23.294s12.652-15.314 29.23-16.023c3.6806-.15747 13.92-7.5832 19.605-5.2303 4.0461 1.6746 7.6113 13.276 9.3244 19.035 4.6497 15.63 3.1019 46.52 3.1019 46.52s6.4391-.30692 7.0193 6.8629c.18694 2.3102-.0602 10.297-9.1531 10.615-28.973 1.01-61.939-6.115-86.429-25.195zm57.684-31.244a17.066 17.066 0 0 0 -17.073 17.073 17.066 17.066 0 0 0 17.073 17.063 17.066 17.066 0 0 0 17.063 -17.063 17.066 17.066 0 0 0 -17.063 -17.073zm4.6 17.455a5.3692 5.3692 0 0 1 5.3727 5.3636 5.3692 5.3692 0 0 1 -5.3727 5.3727 5.3692 5.3692 0 0 1 -5.3727 -5.3727 5.3692 5.3692 0 0 1 5.3727 -5.3636z\"/>\n</svg>\n"
},
"$:/plugins/kixam/timeline/styles.css": {
"title": "$:/plugins/kixam/timeline/styles.css",
"tags": "[[$:/tags/Stylesheet]]",
"type": "text/css",
"text": ".vis-item .vis-dot {\n border-color: inherit;\n}\n\n.vis-item .vis-range {\n background-color: #f0f0ff;\n}\n\n.vis-labelset .vis-label {\n background-color: #fffff0;\n}\n\n.vis-labelset .vis-label .vis-inner {\n font-weight: bold;\n width: 100%;\n text-align: center;\n}\n\n.visjstimeline-navpad {\n position: relative;\n}\n\n.visjstimeline-navpad div, .visjstimeline-warning {\n z-index: 9999;\n -moz-user-select: none;\n}\n\n.visjstimeline-warning {\n fill: #FFFFFF;\n background-color: #EE0000;\n box-shadow: 0 0 5px rgba(0, 0, 0, 0.75);\n top: 15px;\n right: 15px;\n width: 34px;\n height: 34px;\n position: absolute;\n display: inline-block;\n cursor: pointer;\n}\n\n.visjstimeline-warning:hover {\n background-color: #FF0000;\n}\n\n.visjstimeline-warning svg {\n width: 32px;\n height: 32px;\n margin: 1px;\n}\n\n.item-icon svg, .item-icon img {\n max-height: 14px;\n max-width: 14px;\n}\n\n.group-icon svg, .group-icon img {\n max-height: 16px;\n max-width: 16px;\n}\n"
},
"$:/plugins/kixam/timeline/license": {
"title": "$:/plugins/kixam/timeline/license",
"text": "! [[BSD 2-clause simplified license|http://www.freebsd.org/copyright/freebsd-license.html]]\n```\nCopyright (c) 2015, kixam\nAll rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are met:\n\n# Redistributions of source code must retain the above copyright notice, this\n list of conditions and the following disclaimer.\n\n# Redistributions in binary form must reproduce the above copyright notice,\n this list of conditions and the following disclaimer in the documentation\n and/or other materials provided with the distribution.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\"\nAND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\nIMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE\nDISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE\nFOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL\nDAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR\nSERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER\nCAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,\nOR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE\nOF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\n```\n"
},
"$:/plugins/kixam/timeline/readme": {
"title": "$:/plugins/kixam/timeline/readme",
"text": "! vis.js Timeline\n\nA timeline plugin for [[TiddlyWiki|http://tiddlywiki.com]] 5, using [[vis.js|http://visjs.org]].\n\n---\n\nContinued from [[emkay's plugin|https://github.com/emkayonline/tw5visjs]].\n\nDepends on [[felixhayashi's vis.js plugin|https://github.com/felixhayashi/TW5-Vis.js]] and [[moment.js plugin|https://github.com/kixam/TW5-moment.js]], which are available, along with installation instructions, on the [[demo site|http://kixam.github.io/TW5-visjsTimeline]].\n"
},
"$:/plugins/kixam/timeline/usage": {
"title": "$:/plugins/kixam/timeline/usage",
"text": "\\define createSaveCloseTiddler(title,text)\n<$action-sendmessage $message=\"tm-new-tiddler\" title=\"$title$\" text=\"$text$\" />\n<$action-sendmessage $message=\"tm-save-tiddler\" $param=\"Draft of '$title$'\" />\n<$action-sendmessage $message=\"tm-close-tiddler\" $param=\"$title$\" />\n\\end\n\n\\define demoTiddlerContents(option)\nValue for option ''$option$'' : <$edit-text tag=input tiddler='$(optionValueTiddler)$'/>\n\n!!Result\n<$visjstimeline $option$={{$(optionValueTiddler)$}} />\n\\end\n\n\\define tryit(option,value:\"\")\n<$set name=optionValueTiddler value=\"$:/temp/visjstimeline-demo-option-$option$\">\n<$set name=optionDemoTiddler value=\"Testing option '$option$'\">\n<$button>\n<$macrocall $name=createSaveCloseTiddler title=<<optionValueTiddler>> text=\"$value$\" />\n<$macrocall $name=createSaveCloseTiddler title=<<optionDemoTiddler>> text=<<demoTiddlerContents \"$option$\">> />\n<$action-navigate $to=<<optionDemoTiddler>> />\nTry it!\n</$button>\n</$set>\n</$set>\n\\end\n\n!Introduction\n\nThis project is a direct continuation of [[emkay's plugin|https://github.com/emkayonline/tw5visjs]].\n\nThe `<$visjstimeline>` widget shows a set of tiddlers on a graphical timeline. The timeline is interactive and dynamic, and can be zoomed and moved around by dragging.\n\n!Content and Attributes\n\nA list of tiddlers is specified using a filter.\n\nEach matching tiddler is shown on the timeline using its specified start date and, if provided, end date fields. The start date defaults to the 'created' field.\n\n!! Valid date format on tiddlers\n* By default dates are parsed using the [[TiddlyWiki|http://tiddlywiki.com/#TiddlyWiki]] date parser (which will handle the `created` and `modified` fields)\n* An override date format can be specified.\n* This format will apply to both the start and end dates, `customTime`, as well as `timeline.start` and `timeline.end` fields if set manually.\n* Additionally the start date or end date field on a tiddler, or the `customTime` attribute, can be set to the word `now`: this will set the date in the timeline to the date at the time the timeline is displayed.\n* Finally, any date field can use `moment`'s [[relative date calculation functions|http://momentjs.com/docs/#/manipulating/add/]] `add` or `subtract`, overloading the provided format. For instance, if `persistent` option is used, one can manually set `timeline.start` field to `moment().subtract(2,days)`, which will result in the timeline start date to be 2 days before 'now', that is 2 days before the date when the timeline is rendered. The syntax `moment(2015-10-14).add(1,days)` would compute into one day after Wednesday, 14th October, 2015, that is, Thursday, 15th. In this particular case, it is advised to set `vis.js` option `moveable` to `false`, see this plugin's `config` option.\n\n!! Relevant tiddler fields\n|!Field|!Interpretation|\n| `caption` |Used to represent the tiddler instead of the `title`.|\n| `description` |Used instead of `caption` or `title` to render description text when mouse hovers over the item.|\n| `icon` |Link to a image tiddler that will be used as the item's icon.|\n| `color` |Used to render the corresponding item or group on the timeline.|\n| `timeline.start` and `timeline.end` |If the `persistent` attribute is present, used to initialize the start and end of the contained timeline x-axis, respectively. When in use, `persistent` option will use a temporary tiddler at `$:/temp/kixam/visjstimeline/persistent/<titleOfTiddlerContainingTimeline>`. Note that, if `vis.js` option `moveable` is set to `true`, which is the default, these fields at the temporary tiddler will be silently overwritten by this plugin whenever the user zooms or moves the timeline. You can also change them manually.|\n\n!! Attributes\n\nAll attributes are optional.\n\n|!Attribute|!Description|!Default value|! |\n| `filter` |The [[TiddlerFilter|http://tiddlywiki.com/#Filters]] used to generate the list of tiddlers to display.|`!is[system]`|<<tryit filter \"[all[tiddlers]tag[Group A]]\">>|\n| `startDateField` |A field on each tiddler that defines the start date of a tiddler in the timeline.|`created`|<<tryit startDateField modified>>|\n| `endDateField` |A field on each tiddler that defines the end date of a tiddler in the timeline.|//undefined//|<<tryit endDateField created>>|\n| `format` |The format for parsing the dates, using the moment.js [[Parse string+format|http://momentjs.com/docs/#/parsing/string-format/]]. If unset, uses [[TW5 date format|http://tiddlywiki.com/#DateFormat]].|//undefined//||\n| `groupField` |A field whose value will be used to group tiddlers on the timeline.|//undefined//|<<tryit groupField color>>|\n| `customTime` |A date at which a vertical bar will be shown on the timeline. Affected by `format`. It can be moved by the user, but the new position will not be saved.|//undefined//|<<tryit customTime \"20150619\">>|\n| `groupTags` |If `groupField` field is not used and if a filtered tiddler has a tag among the given [[Title List|http://tiddlywiki.com/#Title%20List]], this tag will be used as the item's group.|//undefined//|<<tryit groupTags \"[[Group A]]\">>|\n| `boxing` |If set to `static`, the containing box will be static. If set to `auto`, the timeline will dynamically adjust its height to the items to show.|`static`|<<tryit boxing auto>>|\n| `navpad` |If set, a navpad will be shown.|//undefined//|<<tryit navpad>>|\n| `config` |Title of a tiddler which contains configuration options for the timeline in [[JSON format|http://tiddlywiki.com/#JSONTiddlers]], as per [[vis.js documentation|http://visjs.org/docs/timeline/#Configuration_Options]].|//undefined//||\n| `persistent` |If set, the x-axis range will become persistent. Uses (creates, if needed) 2 fields of the tiddler containing the timeline, namely `timeline.start` and `timeline.end`.|//undefined//|<<tryit persistent>>|\n\n!!!Notes about the `config` attribute\n* for the sake of integration, not all the options are available, please see [[the whitelist|$:/plugins/kixam/timeline/validOptions]];\n* the `navpad` is not affected by options passed through this mechanism, except for these listed below the `whitelist` under the name `navbarReactOptions`;\n* if you create or change options in your config tiddler after the timeline was drawn, you may need to have it redrawn, e.g. by editing/canceling the tiddler containing the timeline, or by changing sidebar panel back and forth.\n\n!!!Notes about the `groupField` and `groupTags` attributes\n* if the `filter` finds tiddlers that cannot be grouped according to the criteria given by either of these attributes, these tiddlers will be shown in a dedicated generic group called `Global`\n* if a tiddler representing a tag is listed by the `groupTags` attribute and has any of the relevant tiddler fields listed in the previous section, they will be used to render the group in the group margin\n\nThe content of the `<$visjstimeline>` widget is ignored.\n\n! Example Usage\n\nShow all non-system tiddlers, using their created date as the start date:\n\n`<$visjstimeline/>`\n\nShow all Tiddlers with a name starting 'Tiddler', using the born and died fields with a custom format:\n\n`<$visjstimeline filter=\"[prefix[Tiddler]]\" startDateField=\"born\" endDateField=\"died\" format=\"YYYY MMM\"/>`\n"
},
"$:/plugins/kixam/timeline/validOptions": {
"title": "$:/plugins/kixam/timeline/validOptions",
"type": "application/json",
"text": "{\n\t\"whitelist\": [\"align\",\"clickToUse\",\"end\",\"format\",\"hiddenDates\",\"margin\",\"max\",\"min\",\"moveable\",\"orientation\",\"showCurrentTime\",\"showMajorLabels\",\"showMinorLabels\",\"stack\",\"start\",\"timeAxis\",\"zoomable\",\"zoomMin\",\"zoomMax\"],\n\t\"navbarReactOptions\": [\"clickToUse\",\"max\",\"min\",\"zoomMin\",\"zoomMax\"]\n}\n"
},
"$:/plugins/kixam/timeline/widget.timeline.js": {
"text": "/*\\\ntitle: $:/plugins/kixam/timeline/widget.timeline.js\ntype: application/javascript\nmodule-type: widget\n\n A widget for displaying timelines using Vis.js. http://visjs.org\n Continued from emkay's plugin at https://github.com/emkayonline/tw5visjs\n\n For full help see $:/plugins/kixam/timeline/help\n\n\\*/\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\n(function() {\n 'use strict';\n\n var Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n var moment = require(\"$:/plugins/kixam/moment/moment.js\");\n if(typeof window !== 'undefined' && typeof window.moment !== 'function') {\n window.moment = moment;\n }\n var utils = require(\"$:/plugins/kixam/timeline/widget.utils.js\");\n var vis = require(\"$:/plugins/felixhayashi/vis/vis.js\");\n\n var TimelineWidget = function(parseTreeNode,options) {\n Widget.call(this);\n this.initialise(parseTreeNode,options);\n };\n\n TimelineWidget.prototype = new Widget();\n\n TimelineWidget.prototype.render = function(parent,nextSibling) {\n this.parentDomNode = parent;\n this.computeAttributes();\n this.options = {orientation: \"bottom\"};\n this.tiddler = this.parentWidget;\n while(this.tiddler.parentWidget !== undefined && this.tiddler.tiddlerTitle === undefined && this.tiddler.transcludeTitle === undefined) {\n this.tiddler = this.tiddler.parentWidget;\n }\n this.tiddler = $tw.wiki.getTiddler(this.tiddler.tiddlerTitle || this.tiddler.transcludeTitle);\n this.warningTiddlerTitle = \"$:/temp/visjstimeline/warning/\" + this.tiddler.fields.title;\n this.persistentTiddlerTitle = \"$:/temp/visjstimeline/persistent/\" + this.tiddler.fields.title;\n this.hasCustomTime = false;\n\n var attrParseWorked = this.execute();\n if (attrParseWorked === undefined) {\n this.timelineHolder = $tw.utils.domMaker(\"div\",{attributes:{style: \"position: relative;\"}});\n parent.insertBefore(this.timelineHolder,nextSibling);\n this.domNodes.push(this.timelineHolder);\n\n if(this.attributes[\"boxing\"] !== \"auto\") {\n this.timelineHolder.style[\"height\"]=\"100%\";\n // -- adapted from felixhayashi's tiddlymap in widget.map.js\n this.sidebar = document.getElementsByClassName(\"tc-sidebar-scrollable\")[0];\n this.isContainedInSidebar = (this.sidebar && this.sidebar.contains(this.parentDomNode));\n if(this.isContainedInSidebar) {\n this.parentDomNode.style[\"margin-top\"]=\"-14px\";\n this.parentDomNode.style[\"padding-right\"]=\"2px\";\n } else {\n this.parentDomNode.style[\"height\"] = \"auto\";\n }\n parent.style[\"width\"] = this.getAttribute(\"width\", \"100%\");\n this.handleResizeEvent = this.handleResizeEvent.bind(this);\n window.addEventListener(\"resize\", this.handleResizeEvent, false);\n this.handleResizeEvent();\n // --\n this.options[\"height\"] = \"100%\";\n }\n\n this.createWarningButton();\n\n this.createTimeline();\n\n if(this.attributes[\"navpad\"] !== undefined) {\n this.options[\"orientation\"] = \"top\";\n }\n // default options must be set at this point, as we might add/change options from user through 'config'\n this.updateTimeline();\n\n if(this.attributes[\"navpad\"] !== undefined) {\n this.createNavpad(); // must be created only after all options were processed\n // e.g. for clickToUse, we observe vis-overlay, which will not exist if option is not processed\n }\n\n\n } else {\n utils.dispError(this.parseTreeNode.type+\": Unexpected attribute(s) \"+attrParseWorked.join(\", \"));\n this.refresh = function() {}; // disable refresh of this as it won't work with incorrrect attributes\n }\n };\n\n\n TimelineWidget.prototype.execute = function() {\n var attrParseWorked = utils.parseWidgetAttributes(this,{\n filter: { type: \"string\", defaultValue: \"[!is[system]]\"},\n groupField: { type: \"string\", defaultValue: undefined},\n startDateField: { type: \"string\", defaultValue: \"created\"},\n endDateField: { type: \"string\", defaultValue: undefined},\n format: { type: \"string\", defaultValue: undefined},\n customTime: { type: \"string\", defaultValue: undefined},\n groupTags: {type: \"string\", defaultValue: undefined},\n boxing: {type: \"string\", defaultValue: \"static\"},\n navpad: {type: \"string\", defaultValue: undefined},\n config: {type: \"string\", defaultValue: undefined},\n persistent: {type: \"string\", defaultValue: undefined},\n });\n\n if ((attrParseWorked === undefined) && (this.filter)) {\n this.compiledFilter = this.wiki.compileFilter(this.filter);\n }\n\n return attrParseWorked;\n };\n\n TimelineWidget.prototype.getTimepointList = function(changedTiddlers) {\n var tiddlerList = [];\n // process the filter into an array of tiddler titles\n tiddlerList = this.compiledFilter.call(null, changedTiddlers, null);\n // If filter is a list of tiddlers it will return tiddlers even if they are not in changed Tiddlers\n if (changedTiddlers !== undefined) {\n tiddlerList = tiddlerList.filter(function (e) { return changedTiddlers[e];});\n }\n var self = this;\n var withoutDraftsList = tiddlerList.filter(function(optionTitle) {\n var optionTiddler = self.wiki.getTiddler(optionTitle);\n if (optionTiddler === undefined) {\n // tiddler may not exist if list attribute provided to widget, so exclude\n return true;\n } else {\n var isDraft = optionTiddler && optionTiddler.hasField(\"draft.of\");\n return !isDraft;\n }\n });\n return withoutDraftsList;\n };\n /*\n Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n */\n TimelineWidget.prototype.refresh = function(changedTiddlers) {\n var changedAttributes = this.computeAttributes();\n if(changedAttributes.filter\n || changedAttributes.startDateField\n || changedAttributes.endDateField\n || changedAttributes.groupField\n || changedAttributes.customTime\n || changedAttributes.groupTags\n || changedAttributes.boxing\n || changedAttributes.navpad\n || changedAttributes.config) {\n this.refreshSelf();\n this.updateTimeline();\n return true;\n }\n if (this.displayedTiddlers.some(function (e) { return changedTiddlers[e.id]; })) {\n this.updateTimeline();\n return true;\n }\n var anyRelevantChanges = this.getTimepointList(changedTiddlers);\n if (anyRelevantChanges.length !== 0) {\n this.updateTimeline();\n return true;\n }\n this.handleResizeEvent();\n };\n\n TimelineWidget.prototype.createTimeline = function() {\n var data = [];\n this.timeline = new vis.Timeline(this.timelineHolder, data, this.options);\n\n var self = this;\n this.timeline.on('click', function(properties) {\n // Check if background or a tiddler is selected\n if (properties.item !== null) {\n var toTiddlerTitle = properties.item;\n utils.displayTiddler(self, toTiddlerTitle);\n }\n else if(properties.group !== null && properties.what === \"group-label\") {\n var toTiddlerTitle = properties.group;\n if($tw.wiki.getTiddler(toTiddlerTitle)) {\n utils.displayTiddler(self, toTiddlerTitle);\n }\n }\n });\n\n if(this.attributes[\"persistent\"] !== undefined) {\n // duplicate initial settings to working tiddler if it does not exist\n var tiddler = $tw.wiki.getTiddler(this.persistentTiddlerTitle);\n if(tiddler === undefined) {\n var rawstart = this.tiddler.fields[\"timeline.start\"],\n tstart = moment(dateFieldToDate(rawstart, this.format)),\n rawend = this.tiddler.fields[\"timeline.end\"],\n tend = moment(dateFieldToDate(rawend, this.format)),\n fields = {title: this.persistentTiddlerTitle,\n text: \"Timeline in [[\" + this.tiddler.fields.title + \"]] starts from {{!!timeline.start}} and ends at {{!!timeline.end}}\"};\n if(tstart.isValid() && tend.isValid() && tstart.isBefore(tend)) {\n fields[\"timeline.start\"] = rawstart;\n fields[\"timeline.end\"] = rawend;\n }\n $tw.wiki.addTiddler(new $tw.Tiddler(fields));\n }\n\n // apply saved x-axis range from the working tiddler\n tiddler = $tw.wiki.getTiddler(this.persistentTiddlerTitle);\n var start = moment(dateFieldToDate(tiddler.fields[\"timeline.start\"], this.format)),\n end = moment(dateFieldToDate(tiddler.fields[\"timeline.end\"], this.format));\n if(start.isValid() && end.isValid() && start.isBefore(end)) {\n this.options.start = start.toDate();\n this.options.end = end.toDate();\n }\n\n // monitor and save changes in x-axis range\n this.writeRange = false;\n this.handleRangeChanged = this.handleRangeChanged.bind(this);\n this.timeline.on('rangechanged', this.handleRangeChanged);\n }\n };\n\n TimelineWidget.prototype.handleRangeChanged = function(properties) {\n if(properties.byUser || this.writeRange) {\n var start = moment(properties.start);\n var end = moment(properties.end);\n if(start.isValid() && end.isValid()) {\n utils.setTiddlerField(this.persistentTiddlerTitle, \"timeline.start\", start.format());\n utils.setTiddlerField(this.persistentTiddlerTitle, \"timeline.end\", end.format());\n }\n }\n this.writeRange = false;\n }\n\n // -- adapted from felixhayashi's tiddlymap in widget.map.js\n TimelineWidget.prototype.handleResizeEvent = function(event) {\n if(this.isContainedInSidebar) {\n var windowHeight = window.innerHeight;\n var canvasOffset = this.parentDomNode.getBoundingClientRect().top;\n var distanceBottom = this.getAttribute(\"bottom-spacing\", \"0px\");\n var calculatedHeight = (windowHeight - canvasOffset - (this.isContainedInSidebar?3:0)) + \"px\";\n this.parentDomNode.style[\"height\"] = \"calc(\" + calculatedHeight + \" - \" + distanceBottom + \")\";\n } else if(this.attributes[\"boxing\"] === \"auto\") {\n this.parentDomNode.style[\"height\"] = \"auto\";\n } else {\n var height = this.getAttribute(\"height\");\n this.parentDomNode.style[\"height\"] = (height ? height : \"300px\");\n }\n if(this.timeline) {\n this.timeline.redraw(); // redraw timeline\n }\n };\n // --\n\n TimelineWidget.prototype.createWarningButton = function() {\n var button = $tw.utils.domMaker(\"div\", {innerHTML: $tw.wiki.getTiddlerText(\"$:/core/images/warning\",\"Warning\"), class: \"visjstimeline-warning\", attributes: {title: \"Not all tiddlers could be rendered\", style: \"visibility: hidden\"}});\n\n this.timelineHolder.appendChild(button);\n this.domNodes.push(button);\n\n this.handleWarningClick = this.handleWarningClick.bind(this);\n button.addEventListener(\"click\", this.handleWarningClick, false);\n }\n\n TimelineWidget.prototype.handleWarningClick = function(event) {\n utils.displayTiddler(this, this.warningTiddlerTitle);\n }\n\n TimelineWidget.prototype.appendWarning = function(message) {\n if($tw.wiki.getTiddler(this.warningTiddlerTitle) === undefined) {\n var format = \"Using \";\n if(this.format === undefined) {\n format += \"[[TW5 date format|http://tiddlywiki.com/#DateFormat]]\";\n } else {\n format += \"[[moment.js format|http://momentjs.com/docs/#/parsing/string-format/]]: `\" + this.format + \"`\";\n }\n var fields = {title: this.warningTiddlerTitle, text: \"!!!Problems found while rendering `<$visjstimeline/>` in [[\"+this.tiddler.fields.title+\"]]\\n\\n\" + format + \"\\n\\n|!Tiddler|!Problem|!Result|\\n\"};\n $tw.wiki.addTiddler(new $tw.Tiddler(fields));\n }\n utils.setTiddlerField(this.warningTiddlerTitle,\"text\", $tw.wiki.getTiddlerText(this.warningTiddlerTitle) + message + \"\\n\");\n var button = this.timelineHolder.getElementsByClassName(\"visjstimeline-warning\")[0];\n button.style[\"visibility\"] = \"visible\";\n }\n\n TimelineWidget.prototype.resetWarning = function() {\n $tw.wiki.deleteTiddler(this.warningTiddlerTitle);\n var button = this.timelineHolder.getElementsByClassName(\"visjstimeline-warning\")[0];\n button.style[\"visibility\"] = \"hidden\";\n }\n\n TimelineWidget.prototype.createNavpad = function() {\n var navpad = $tw.utils.domMaker(\"div\",{class: \"vis-navigation visjstimeline-navpad\"});\n\n this.timelineHolder.className = \"vis-network\";\n this.timelineHolder.appendChild(navpad);\n this.domNodes.push(navpad);\n\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-up\", id: \"up\", style: \"visibility: hidden\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-down\", id: \"down\", style: \"visibility: hidden\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-left\", id: \"left\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-right\", id: \"right\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-zoomIn\", id: \"zoomIn\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-zoomOut\", id: \"zoomOut\"}}));\n navpad.appendChild($tw.utils.domMaker(\"div\",{attributes:{class: \"vis-button vis-zoomExtends\", id: \"zoomExtends\"}}));\n\n this.handleNavpadClick = this.handleNavpadClick.bind(this);\n for(var i=0; i<navpad.childNodes.length; i++) {\n this.domNodes.push(navpad.childNodes[i]);\n navpad.childNodes[i].addEventListener(\"click\", this.handleNavpadClick, false);\n }\n\n var panel = this.timelineHolder.getElementsByClassName(\"vis-panel vis-center\")[0];\n var top = panel.getElementsByClassName(\"vis-shadow vis-top\")[0];\n var bottom = panel.getElementsByClassName(\"vis-shadow vis-bottom\")[0];\n var overlay = this.timelineHolder.getElementsByClassName(\"vis-overlay\")[0];\n\n this.handleItemsVisibilityChanged = this.handleItemsVisibilityChanged.bind(this);\n var self = this;\n var observer = new MutationObserver(function(mutations) {\n for(var i=0; i<mutations.length; i++) {\n self.handleItemsVisibilityChanged(mutations[i]);\n }});\n observer.observe(top, {attributes: true, subtree: false});\n observer.observe(bottom, {attributes: true, subtree: false});\n if(overlay !== undefined) { // clickToUse === true\n observer.observe(overlay, {attributes: true, subtree: false});\n navpad.style[\"visibility\"] = \"hidden\";\n }\n }\n\n TimelineWidget.prototype.handleItemsVisibilityChanged = function(mutation) {\n if(mutation.attributeName === \"style\") {\n if((' ' + mutation.target.className + ' ').indexOf(' vis-overlay ') > -1) {\n // whole navpad visibility\n var timeline = this.timelineHolder.getElementsByClassName(\"vis-timeline\")[0];\n var navpad = this.timelineHolder.getElementsByClassName(\"navpad\")[0];\n if(navpad !== undefined) {\n navpad.style[\"visibility\"] = (mutation.target.style[\"display\"] === \"none\" ? \"visible\":\"hidden\");\n }\n } else {\n // up and down buttons visibility\n var cls = \"vis-button \" + ( (' ' + mutation.target.className + ' ').indexOf(' vis-top ') > -1 ? \"vis-up\":\"vis-down\" );\n var button = this.timelineHolder.getElementsByClassName(cls)[0];\n if(button !== undefined) {\n button.style[\"visibility\"] = mutation.target.style[\"visibility\"];\n }\n }\n }\n }\n\n TimelineWidget.prototype.handleNavpadClick = function(event) {\n var range = this.timeline.getWindow();\n var interval = range.end - range.start;\n var ratio = 0.2; // horizontal movement\n var step = 10; // vertical movement\n\n var centerdiv = this.timelineHolder.getElementsByClassName(\"vis-panel vis-center\")[0];\n var contentdiv = centerdiv.getElementsByClassName(\"vis-content\")[0];\n this.writeRange = true; // handle persistence\n switch (event.target.id) {\n case \"up\":\n centerdiv.getElementsByClassName(\"vis-shadow vis-bottom\")[0].style[\"visibility\"] = \"visible\";\n contentdiv.style[\"top\"] = parseInt(contentdiv.style[\"top\"]) + step + \"px\";\n if(parseInt(contentdiv.style[\"top\"]) >= 0) {\n contentdiv.style[\"top\"] = \"0px\";\n centerdiv.getElementsByClassName(\"vis-shadow vis-top\")[0].style[\"visibility\"] = \"hidden\";\n }\n break;\n case \"down\":\n centerdiv.getElementsByClassName(\"vis-shadow vis-top\")[0].style[\"visibility\"] = \"visible\";\n contentdiv.style[\"top\"] = parseInt(contentdiv.style[\"top\"]) - step + \"px\";\n if( Math.abs(parseInt(contentdiv.style[\"top\"])) > contentdiv.getBoundingClientRect().height - centerdiv.getBoundingClientRect().height ) {\n contentdiv.style[\"top\"] = contentdiv.getBoundingClientRect().height - centerdiv.getBoundingClientRect().height;\n centerdiv.getElementsByClassName(\"vis-shadow vis-bottom\")[0].style[\"visibility\"] = \"hidden\";\n }\n break;\n case \"left\":\n this.timeline.setWindow({\n start: range.start.valueOf() - interval * ratio,\n end : range.end.valueOf() - interval * ratio,\n });\n break;\n case \"right\":\n this.timeline.setWindow({\n start: range.start.valueOf() + interval * ratio,\n end : range.end.valueOf() + interval * ratio,\n });\n break;\n case \"zoomIn\":\n this.timeline.setWindow({\n start: range.start.valueOf() + interval * ratio,\n end : range.end.valueOf() - interval * ratio,\n });\n break;\n case \"zoomOut\":\n this.timeline.setWindow({\n start: range.start.valueOf() - interval * ratio,\n end : range.end.valueOf() + interval * ratio,\n });\n break;\n case \"zoomExtends\":\n this.timeline.fit();\n break;\n default:\n this.dispError(\"No such navtab action: \" + action);\n }\n }\n\n function dateFieldToDate(dateField, dateFormat) {\n if(dateField === undefined) return;\n dateField = dateField.trim();\n var re = /moment\\([\"' ]*([^)\"']*)[\"' ]*\\)\\.(add|subtract)\\( *([^,]+) *,[\"' ]*([^)\"']+)[\"' ]*\\)/i;\n if (re.test(dateField)) {\n var res = re.exec(dateField),\n def = res[1],\n operation = res[2],\n qty = parseInt(res[3]),\n unit = res[4],\n m = (def.trim() === \"\" ? moment() : moment(def));\n if (operation === \"add\") {\n m.add(qty, unit);\n } else if(operation === \"subtract\"){\n m.subtract(qty, unit);\n }\n else m = moment.invalid();\n if (m.isValid()) {\n return m.toDate();\n }\n }\n else if (dateField === \"now\") {\n return new Date();\n }\n else if (dateField !== \"\") {\n if (dateFormat === undefined) {\n return $tw.utils.parseDate(dateField);\n } else {\n var m = moment(dateField, dateFormat, true);\n if (m.isValid()) {\n return m.toDate();\n }\n }\n }\n }\n\n function iconPrefix(icon, color, spanclass)\n {\n var text = \"\",\n iconTiddler = $tw.wiki.getTiddler(icon);\n if(iconTiddler !== undefined) {\n text = \"</span> \";\n var type = iconTiddler.fields.type || \"image/svg+xml\";\n if(type === \"image/svg+xml\") {\n text = iconTiddler.fields.text + text;\n } else {\n $tw.Wiki.parsers[type](type, iconTiddler.fields.text, iconTiddler.fields);\n var obj = $tw.Wiki.parsers.tree[0];\n text = \"></\" + obj.tag + \">\" + text;\n for(var k in obj.attributes) {\n text = \" \" + k + \" = '\" + obj.attributes[k].value + \"'\" + text;\n }\n text = \"<\" + obj.tag + text;\n }\n text = \"<span class='\" + spanclass + \"'\" + (color?\" style='fill:\"+color+\"';\":\"\") + \">\" + text;\n }\n return text;\n }\n\n function addTimeData(self) {\n return function(current, tiddlerName) {\n var currentData = current.data;\n var currentGroups = current.groups;\n var currentErrors = current.errors;\n var theTiddler = self.wiki.getTiddler(tiddlerName);\n // tiddler may not exist if list attribute provided to widget\n if (theTiddler !== undefined) {\n var tiddlerStartDate = theTiddler.getFieldString(self.startDateField);\n var startDate = dateFieldToDate(tiddlerStartDate, self.format);\n if (!isNaN(startDate)) {\n var caption = theTiddler.fields.caption || tiddlerName,\n description = theTiddler.fields.description || caption,\n color = theTiddler.fields.color || false,\n style = \"border-color: \" + color + \";\" || \"\",\n icon = theTiddler.fields.icon;\n caption = iconPrefix(icon, color, \"item-icon\") + caption;\n description += \"\\n\" + self.startDateField + \": \" + tiddlerStartDate;\n var newTimepoint = {id: tiddlerName, content: caption, title: description, style: style, start: startDate, type: 'point'};\n var tiddlerGroup = \"\";\n if (self.groupField !== undefined) {\n tiddlerGroup = theTiddler.getFieldString(self.groupField);\n } else if(self.groupTags !== undefined) {\n $tw.utils.each($tw.wiki.filterTiddlers(self.groupTags),\n function(tag) {if(theTiddler.hasTag(tag)) tiddlerGroup = tag;});\n }\n if(self.groupTags !== undefined || self.groupField !== undefined) {\n if (tiddlerGroup !== \"\") {\n newTimepoint.group = tiddlerGroup;\n currentGroups[tiddlerGroup] = true;\n } else {\n newTimepoint.group = \"Global\";\n currentGroups.Global = true;\n }\n }\n if (self.endDateField !== undefined ) {\n var tiddlerEndDate = theTiddler.getFieldString(self.endDateField);\n var endDate = dateFieldToDate(tiddlerEndDate, self.format);\n if(!isNaN(endDate) && endDate < startDate) {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |End date \\\"\" + tiddlerEndDate + \"\\\" (field `\" + self.endDateField + \"`) is before start date \\\"\" + tiddlerStartDate + \"\\\" (field `\" + self.startDateField + \"`)|Used start date as end date|\");\n endDate = startDate;\n }\n else if(isNaN(endDate)) {\n if(tiddlerEndDate === \"\") {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |End date field `\" + self.endDateField + \"` is empty or does not exist|Used start date as end date|\");\n } else {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |Could not parse end date \\\"\" + tiddlerEndDate + \"\\\" from field `\" + self.endDateField + \"`|Used start date as end date|\");\n }\n endDate = startDate;\n }\n else {\n newTimepoint.title += \"\\n\" + self.endDateField + \": \" + tiddlerEndDate;\n }\n\n newTimepoint.end = endDate;\n if (newTimepoint.end.getTime() != newTimepoint.start.getTime()) {\n newTimepoint.type = 'range';\n if(theTiddler.getFieldString(\"color\") !== \"\") {\n newTimepoint.style += \"border-width: 3px;\" + utils.enhancedColorStyle(theTiddler.getFieldString(\"color\"));\n }\n }\n }\n currentData.push(newTimepoint);\n } else {\n if(tiddlerStartDate === \"\") {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |Start date field `\" + self.startDateField + \"` is empty or does not exist|Not rendered|\");\n } else {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |Could not parse start date \\\"\" + tiddlerStartDate + \"\\\" from field `\" + self.startDateField + \"`|Not rendered|\");\n }\n }\n } else {\n currentErrors.push(\"| [[\" + tiddlerName + \"]] |Tiddler was not found|Not rendered|\");\n }\n return {data: currentData, groups: currentGroups, errors: currentErrors};\n };\n }\n\n TimelineWidget.prototype.updateTimeline = function() {\n this.resetWarning();\n\n var langprefix = \"$:/languages/\".length,\n lang = $tw.wiki.getTiddlerText(\"$:/language\").substring(langprefix, langprefix + 2);\n if(lang === \"zh\") {\n // TW5 does not use standard codes for Chinese\n var suffix = $tw.wiki.getTiddlerText(\"$:/language\");\n suffix = suffix.substring(suffix.length-1);\n if(suffix === \"s\") {\n lang = \"zh-cn\"; //simplified\n } else {\n lang = \"zh-tw\"; //traditional\n }\n }\n this.options[\"locale\"] = moment.locale([lang, \"en\"]);\n\n var timepointList = this.getTimepointList();\n var groups = {};\n if(this.groupTags !== undefined) {\n $tw.utils.each($tw.wiki.filterTiddlers(this.groupTags),\n function(tag) {groups[tag] = false;});\n }\n var result = timepointList.reduce(addTimeData(this), {data: [], groups: groups, errors: []});\n this.displayedTiddlers = result.data;\n this.timeline.setItems(result.data);\n if (this.customTime !== undefined) {\n if(this.hasCustomTime) {\n this.timeline.removeCustomTime();\n this.hasCustomTime = false;\n }\n var d = dateFieldToDate(this.customTime, this.format);\n if (d !== undefined) {\n this.timeline.addCustomTime(d);\n this.hasCustomTime = true;\n }\n }\n // override default options with these provided by the user, if any\n var config = $tw.wiki.getTiddlerData(this.attributes[\"config\"], {});\n var whitelist = $tw.wiki.getTiddlerData(\"$:/plugins/kixam/timeline/validOptions\", {\"whitelist\":[]}).whitelist;\n if(this.attributes[\"persistent\"] !== undefined) {\n whitelist.start = undefined;\n whitelist.end = undefined;\n }\n for(var opt in config) {\n if(whitelist.indexOf(opt) > -1) this.options[opt] = config[opt];\n }\n this.timeline.setOptions(this.options);\n if (Object.keys(result.groups).length !== 0) {\n var theGroups = [];\n for (var group in result.groups) {\n if(result.groups[group]) {\n if(group === \"Global\") {\n theGroups.splice(0,0,{id: group,\n content: \"— Global —\",\n title: \"(Global)\",\n style: \"background-color:rgba(0,0,0,0); font-style:italic;\"});\n } else {\n theGroups.push({id: group, content: group, title: group});\n var tiddler = $tw.wiki.getTiddler(group);\n if(tiddler !== undefined) {\n var icon = tiddler.fields.icon,\n color = tiddler.fields.color || false,\n caption = iconPrefix(icon, color, \"group-icon\") + \"<p>\" + (tiddler.fields.caption || group) + \"</p>\",\n description = tiddler.fields.description || tiddler.fields.caption || group;\n if(color) {\n theGroups[theGroups.length-1].style = \"border-width:3px; border-style:solid;\"\n + \"border-bottom-width:3px; border-bottom-style:solid;\"\n + utils.enhancedColorStyle(color);\n }\n theGroups[theGroups.length-1].content = caption;\n theGroups[theGroups.length-1].title = description;\n }\n }\n }\n }\n this.timeline.setGroups(theGroups);\n }\n for(var i=0; i<result.errors.length; i++) {\n this.appendWarning(result.errors[i]);\n }\n if(this.attributes[\"persistent\"] === undefined) {\n this.timeline.fit();\n }\n };\n\n exports.visjstimeline = TimelineWidget;\n\n }\n ());\n",
"title": "$:/plugins/kixam/timeline/widget.timeline.js",
"type": "application/javascript",
"module-type": "widget"
}
}
}
{
"tiddlers": {
"$:/plugins/mklauber/math.js/calc.js": {
"text": "/*\\\ntitle: $:/plugins/mklauber/math.js/calc.js\ntype: application/javascript\nmodule-type: widget\n\nText node widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar math = require(\"$:/plugins/mklauber/math.js/math.js\");\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CalcWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCalcWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCalcWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\n\tthis.expression = this.document.createElement(\"div\");\n\tthis.renderChildren(this.expression);\n\t\n\tvar text = \"\";\n\ttry {\n\t\ttext = math.eval(this.expression.textContent.trim());\n\t} catch(err) {\n\t\tif(!this.silence){\n\t\t\ttext = \"Unable to parse '\" + this.expression.textContent + \"'\";\n\t\t}\n\t}\n\tvar textNode = this.document.createTextNode(text);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nCalcWidget.prototype.execute = function() {\n\tthis.silence = this.getAttribute(\"silence\",false);\n\tvar calc = {\n\t\ttype: \"element\",\n\t\ttag: \"div\",\n\t\tchildren: this.parseTreeNode.children\n\t};\n\tthis.makeChildWidgets([calc]);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCalcWidget.prototype.refresh = function(changedTiddlers) {\n\tif(this.refreshChildren(changedTiddlers)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn false;\n};\n\nexports.calc = CalcWidget;\n\n})();\n",
"title": "$:/plugins/mklauber/math.js/calc.js",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/mklauber/math.js/math.js": {
"text": "/*\\\ntitle: $:/plugins/mklauber/math.js/math.js\ntype: application/javascript\nmodule-type: library\n\\*/\n/**\n * math.js\n * https://github.com/josdejong/mathjs\n *\n * Math.js is an extensive math library for JavaScript and Node.js,\n * It features real and complex numbers, units, matrices, a large set of\n * mathematical functions, and a flexible expression parser.\n *\n * @version 2.4.1\n * @date 2015-10-29\n *\n * @license\n * Copyright (C) 2013-2015 Jos de Jong <wjosdejong@gmail.com>\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\"); you may not\n * use this file except in compliance with the License. You may obtain a copy\n * of the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT\n * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the\n * License for the specific language governing permissions and limitations under\n * the License.\n */\n!function(e,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define([],t):\"object\"==typeof exports?exports.math=t():e.math=t()}(this,function(){return function(e){function t(n){if(r[n])return r[n].exports;var i=r[n]={exports:{},id:n,loaded:!1};return e[n].call(i.exports,i,i.exports,t),i.loaded=!0,i.exports}var r={};return t.m=e,t.c=r,t.p=\"\",t(0)}([function(e,t,r){function n(e){var t=i.create(e);return t.create=n,t[\"import\"](r(13)),t}var i=r(1);e.exports=n()},function(e,t,r){e.exports=r(2)},function(e,t,r){var n=r(3).isFactory,i=r(3).deepExtend,a=r(4),o=r(8),s=r(10),u=r(12);t.create=function(e){function t(e){if(!n(e))throw new Error(\"Factory object with properties `type`, `name`, and `factory` expected\");var i,a=r.indexOf(e);return-1===a?(i=e.math===!0?e.factory(f.type,l,t,f.typed,f):e.factory(f.type,l,t,f.typed),r.push(e),c.push(i)):i=c[a],i}if(\"function\"!=typeof Object.create)throw new Error(\"ES5 not supported by this JavaScript engine. Please load the es5-shim and es5-sham library for compatibility.\");var r=[],c=[],f=o.mixin({});f.type={},f.expression={transform:Object.create(f)},f.typed=a.create(f.type);var l={epsilon:1e-14,matrix:\"matrix\",number:\"number\",precision:64,predictable:!1};return e&&i(l,e),f[\"import\"]=t(s),f.config=t(u),f}},function(e,t){\"use strict\";t.clone=function r(e){var t=typeof e;if(\"number\"===t||\"string\"===t||\"boolean\"===t||null===e||void 0===e)return e;if(\"function\"==typeof e.clone)return e.clone();if(Array.isArray(e))return e.map(function(e){return r(e)});if(e instanceof Number)return new Number(e.valueOf());if(e instanceof String)return new String(e.valueOf());if(e instanceof Boolean)return new Boolean(e.valueOf());if(e instanceof Date)return new Date(e.valueOf());if(e&&e.isBigNumber===!0)return e;if(e instanceof RegExp)throw new TypeError(\"Cannot clone \"+e);var n={};for(var i in e)e.hasOwnProperty(i)&&(n[i]=r(e[i]));return n},t.extend=function(e,t){for(var r in t)t.hasOwnProperty(r)&&(e[r]=t[r]);return e},t.deepExtend=function n(e,t){if(Array.isArray(t))throw new TypeError(\"Arrays are not supported by deepExtend\");for(var r in t)if(t.hasOwnProperty(r))if(t[r]&&t[r].constructor===Object)void 0===e[r]&&(e[r]={}),e[r].constructor===Object?n(e[r],t[r]):e[r]=t[r];else{if(Array.isArray(t[r]))throw new TypeError(\"Arrays are not supported by deepExtend\");e[r]=t[r]}return e},t.deepEqual=function(e,r){var n,i,a;if(Array.isArray(e)){if(!Array.isArray(r))return!1;if(e.length!=r.length)return!1;for(i=0,a=e.length;a>i;i++)if(!t.deepEqual(e[i],r[i]))return!1;return!0}if(e instanceof Object){if(Array.isArray(r)||!(r instanceof Object))return!1;for(n in e)if(!t.deepEqual(e[n],r[n]))return!1;for(n in r)if(!t.deepEqual(e[n],r[n]))return!1;return!0}return typeof e==typeof r&&e==r},t.canDefineProperty=function(){try{if(Object.defineProperty)return Object.defineProperty({},\"x\",{get:function(){}}),!0}catch(e){}return!1},t.lazy=function(e,r,n){if(t.canDefineProperty()){var i,a=!0;Object.defineProperty(e,r,{get:function(){return a&&(i=n(),a=!1),i},set:function(e){i=e,a=!1},configurable:!0,enumerable:!0})}else e[r]=n()},t.traverse=function(e,t){var r=e;if(t)for(var n=t.split(\".\"),i=0;i<n.length;i++){var a=n[i];a in r||(r[a]={}),r=r[a]}return r},t.isFactory=function(e){return e&&\"function\"==typeof e.factory}},function(e,t,r){var n=r(5),i=r(6).digits,a=function(){return a=n.create,n};t.create=function(e){var t=a();return t.types=[{name:\"number\",test:function(e){return\"number\"==typeof e}},{name:\"Complex\",test:function(e){return e&&e.isComplex}},{name:\"BigNumber\",test:function(e){return e&&e.isBigNumber}},{name:\"Fraction\",test:function(e){return e&&e.isFraction}},{name:\"Unit\",test:function(e){return e&&e.isUnit}},{name:\"string\",test:function(e){return\"string\"==typeof e}},{name:\"Array\",test:Array.isArray},{name:\"Matrix\",test:function(e){return e&&e.isMatrix}},{name:\"DenseMatrix\",test:function(e){return e&&e.isDenseMatrix}},{name:\"SparseMatrix\",test:function(e){return e&&e.isSparseMatrix}},{name:\"ImmutableDenseMatrix\",test:function(e){return e&&e.isImmutableDenseMatrix}},{name:\"Range\",test:function(e){return e&&e.isRange}},{name:\"Index\",test:function(e){return e&&e.isIndex}},{name:\"boolean\",test:function(e){return\"boolean\"==typeof e}},{name:\"ResultSet\",test:function(e){return e&&e.isResultSet}},{name:\"Help\",test:function(e){return e&&e.isHelp}},{name:\"function\",test:function(e){return\"function\"==typeof e}},{name:\"Date\",test:function(e){return e instanceof Date}},{name:\"RegExp\",test:function(e){return e instanceof RegExp}},{name:\"Object\",test:function(e){return\"object\"==typeof e}},{name:\"null\",test:function(e){return null===e}},{name:\"undefined\",test:function(e){return void 0===e}}],t.conversions=[{from:\"number\",to:\"BigNumber\",convert:function(t){if(i(t)>15)throw new TypeError(\"Cannot implicitly convert a number with >15 significant digits to BigNumber (value: \"+t+\"). Use function bignumber(x) to convert to BigNumber.\");return new e.BigNumber(t)}},{from:\"number\",to:\"Complex\",convert:function(t){return new e.Complex(t,0)}},{from:\"number\",to:\"string\",convert:function(e){return e+\"\"}},{from:\"BigNumber\",to:\"Complex\",convert:function(t){return new e.Complex(t.toNumber(),0)}},{from:\"number\",to:\"Fraction\",convert:function(t){if(i(t)>15)throw new TypeError(\"Cannot implicitly convert a number with >15 significant digits to Fraction (value: \"+t+\"). Use function fraction(x) to convert to Fraction.\");return new e.Fraction(t)}},{from:\"string\",to:\"number\",convert:function(e){var t=Number(e);if(isNaN(t))throw new Error('Cannot convert \"'+e+'\" to a number');return t}},{from:\"boolean\",to:\"number\",convert:function(e){return+e}},{from:\"boolean\",to:\"BigNumber\",convert:function(t){return new e.BigNumber(+t)}},{from:\"boolean\",to:\"string\",convert:function(e){return+e}},{from:\"null\",to:\"number\",convert:function(){return 0}},{from:\"null\",to:\"string\",convert:function(){return\"null\"}},{from:\"null\",to:\"BigNumber\",convert:function(){return new e.BigNumber(0)}},{from:\"Array\",to:\"Matrix\",convert:function(t){return new e.DenseMatrix(t)}},{from:\"Matrix\",to:\"Array\",convert:function(e){return e.valueOf()}}],t}},function(e,t,r){var n,i,a;!function(r){i=[],n=r,a=\"function\"==typeof n?n.apply(t,i):n,!(void 0!==a&&(e.exports=a))}(function(){function e(){function t(e){for(var t,r=0;r<N.types.length;r++){var n=N.types[r];if(n.name===e){t=n.test;break}}if(!t){var i;for(r=0;r<N.types.length;r++)if(n=N.types[r],n.name.toLowerCase()==e.toLowerCase()){i=n.name;break}throw new Error('Unknown type \"'+e+'\"'+(i?'. Did you mean \"'+i+'\"?':\"\"))}return t}function r(e){for(var t=\"\",r=0;r<e.length;r++){var n=e[r];if(\"\"!=n.name)if(\"\"==t)t=n.name;else if(t!=n.name){var i=new Error(\"Function names do not match (expected: \"+t+\", actual: \"+n.name+\")\");throw i.data={actual:n.name,expected:t},i}}return t}function n(e,t,r,n,i){var a,o=h(n),s=i?i.split(\",\"):null,u=e||\"unnamed\",c=s&&g(s,\"any\"),f={fn:e,index:r,actual:n,expected:s};a=s?t>r&&!c?\"Unexpected type of argument in function \"+u+\" (expected: \"+s.join(\" or \")+\", actual: \"+o+\", index: \"+r+\")\":\"Too few arguments in function \"+u+\" (expected: \"+s.join(\" or \")+\", index: \"+r+\")\":\"Too many arguments in function \"+u+\" (expected: \"+r+\", actual: \"+t+\")\";var l=new TypeError(a);return l.data=f,l}function i(e){this.name=e||\"refs\",this.categories={}}function a(e,t){if(\"string\"==typeof e){var r=e.trim(),n=\"...\"===r.substr(0,3);if(n&&(r=r.substr(3)),\"\"===r)this.types=[\"any\"];else{this.types=r.split(\"|\");for(var i=0;i<this.types.length;i++)this.types[i]=this.types[i].trim()}}else{if(!Array.isArray(e)){if(e instanceof a)return e.clone();throw new Error(\"String or Array expected\")}this.types=e}this.conversions=[],this.varArgs=n||t||!1,this.anyType=-1!==this.types.indexOf(\"any\")}function o(e,t){var r;if(\"string\"==typeof e)r=\"\"!==e?e.split(\",\"):[];else{if(!Array.isArray(e))throw new Error(\"string or Array expected\");r=e}this.params=new Array(r.length);for(var n=0;n<r.length;n++){var i=new a(r[n]);if(this.params[n]=i,n===r.length-1)this.varArgs=i.varArgs;else if(i.varArgs)throw new SyntaxError('Unexpected variable arguments operator \"...\"')}this.fn=t}function s(e,t,r){this.path=e||[],this.param=e[e.length-1]||null,this.signature=t||null,this.childs=r||[]}function u(e){var t,r,n={},i=[];for(var a in e)if(e.hasOwnProperty(a)){var s=e[a];if(t=new o(a,s),t.ignore())continue;var u=t.expand();for(r=0;r<u.length;r++){var c=u[r],f=c.toString(),l=n[f];if(l){var p=o.compare(c,l);if(0>p)n[f]=c;else if(0===p)throw new Error('Signature \"'+f+'\" is defined twice')}else n[f]=c}}for(f in n)n.hasOwnProperty(f)&&i.push(n[f]);for(i.sort(function(e,t){return o.compare(e,t)}),r=0;r<i.length;r++)if(t=i[r],t.varArgs)for(var m=t.params.length-1,h=t.params[m],v=0;v<h.types.length;){if(h.conversions[v])for(var d=h.types[v],y=0;y<i.length;y++){var x=i[y],w=x.params[m];if(x!==t&&w&&g(w.types,d)&&!w.conversions[m]){h.types.splice(v,1),h.conversions.splice(v,1),v--;break}}v++}return i}function c(e){for(var t={},r=0;r<e.length;r++){var n=e[r];if(n.fn&&!n.hasConversions()){var i=n.params.join(\",\");t[i]=n.fn}}return t}function f(e,t){var r,n,i,o=t.length,u=[];for(r=0;r<e.length;r++)n=e[r],n.params.length!==o||i||(i=n),void 0!=n.params[o]&&u.push(n);u.sort(function(e,t){return a.compare(e.params[o],t.params[o])});var c=[];for(r=0;r<u.length;r++){n=u[r];var l=n.params[o],p=c.filter(function(e){return e.param.overlapping(l)})[0];if(p){if(p.param.varArgs)throw new Error('Conflicting types \"'+p.param+'\" and \"'+l+'\"');p.signatures.push(n)}else c.push({param:l,signatures:[n]})}var m=new Array(c.length);for(r=0;r<c.length;r++){var h=c[r];m[r]=f(h.signatures,t.concat(h.param))}return new s(t,i,m)}function l(e){for(var t=[],r=0;e>r;r++)t[r]=\"arg\"+r;return t}function p(e,t){var r=new i,a=u(t);if(0==a.length)throw new Error(\"No signatures provided\");var o=f(a,[]),s=[],p=e||\"\",h=l(m(a));s.push(\"function \"+p+\"(\"+h.join(\", \")+\") {\"),s.push(' \"use strict\";'),s.push(\" var name = '\"+p+\"';\"),s.push(o.toCode(r,\" \")),s.push(\"}\");var g=[r.toCode(),\"return \"+s.join(\"\\n\")].join(\"\\n\"),v=new Function(r.name,\"createError\",g),d=v(r,n);return d.signatures=c(a),d}function m(e){for(var t=0,r=0;r<e.length;r++){var n=e[r].params.length;n>t&&(t=n)}return t}function h(e){for(var t,r=0;r<N.types.length;r++){var n=N.types[r];if(\"Object\"===n.name)t=n;else if(n.test(e))return n.name}return t&&t.test(e)?t.name:\"unknown\"}function g(e,t){return-1!==e.indexOf(t)}function v(e,t){if(!e.signatures)throw new TypeError(\"Function is no typed-function\");var r;if(\"string\"==typeof t){r=t.split(\",\");for(var n=0;n<r.length;n++)r[n]=r[n].trim()}else{if(!Array.isArray(t))throw new TypeError(\"String array or a comma separated string expected\");r=t}var i=r.join(\",\"),a=e.signatures[i];if(a)return a;throw new TypeError(\"Signature not found (signature: \"+(e.name||\"unnamed\")+\"(\"+r.join(\", \")+\"))\")}function d(e,t){var r=h(e);if(t===r)return e;for(var n=0;n<N.conversions.length;n++){var i=N.conversions[n];if(i.from===r&&i.to===t)return i.convert(e)}throw new Error(\"Cannot convert from \"+r+\" to \"+t)}i.prototype.add=function(e,t){var r=t||\"fn\";this.categories[r]||(this.categories[r]=[]);var n=this.categories[r].indexOf(e);return-1==n&&(n=this.categories[r].length,this.categories[r].push(e)),r+n},i.prototype.toCode=function(){var e=[],t=this.name+\".categories\",r=this.categories;for(var n in r)if(r.hasOwnProperty(n))for(var i=r[n],a=0;a<i.length;a++)e.push(\"var \"+n+a+\" = \"+t+\"['\"+n+\"'][\"+a+\"];\");return e.join(\"\\n\")},a.compare=function(e,t){if(e.anyType)return 1;if(t.anyType)return-1;if(g(e.types,\"Object\"))return 1;if(g(t.types,\"Object\"))return-1;if(e.hasConversions()){if(t.hasConversions()){var r,n,i;for(r=0;r<e.conversions.length;r++)if(void 0!==e.conversions[r]){n=e.conversions[r];break}for(r=0;r<t.conversions.length;r++)if(void 0!==t.conversions[r]){i=t.conversions[r];break}return N.conversions.indexOf(n)-N.conversions.indexOf(i)}return 1}if(t.hasConversions())return-1;var a,o;for(r=0;r<N.types.length;r++)if(N.types[r].name===e.types[0]){a=r;break}for(r=0;r<N.types.length;r++)if(N.types[r].name===t.types[0]){o=r;break}return a-o},a.prototype.overlapping=function(e){for(var t=0;t<this.types.length;t++)if(g(e.types,this.types[t]))return!0;return!1},a.prototype.clone=function(){var e=new a(this.types.slice(),this.varArgs);return e.conversions=this.conversions.slice(),e},a.prototype.hasConversions=function(){return this.conversions.length>0},a.prototype.contains=function(e){for(var t=0;t<this.types.length;t++)if(e[this.types[t]])return!0;return!1},a.prototype.toString=function(e){for(var t=[],r={},n=0;n<this.types.length;n++){var i=this.conversions[n],a=e&&i?i.to:this.types[n];a in r||(r[a]=!0,t.push(a))}return(this.varArgs?\"...\":\"\")+t.join(\"|\")},o.prototype.clone=function(){return new o(this.params.slice(),this.fn)},o.prototype.expand=function(){function e(r,n){if(n.length<r.params.length){var i,s,u,c=r.params[n.length];if(c.varArgs){for(s=c.clone(),i=0;i<N.conversions.length;i++)if(u=N.conversions[i],!g(c.types,u.from)&&g(c.types,u.to)){var f=s.types.length;s.types[f]=u.from,s.conversions[f]=u}e(r,n.concat(s))}else{for(i=0;i<c.types.length;i++)e(r,n.concat(new a(c.types[i])));for(i=0;i<N.conversions.length;i++)u=N.conversions[i],!g(c.types,u.from)&&g(c.types,u.to)&&(s=new a(u.from),s.conversions[0]=u,e(r,n.concat(s)))}}else t.push(new o(n,r.fn))}var t=[];return e(this,[]),t},o.compare=function(e,t){if(e.params.length>t.params.length)return 1;if(e.params.length<t.params.length)return-1;var r,n=e.params.length,i=0,o=0;for(r=0;n>r;r++)e.params[r].hasConversions()&&i++,t.params[r].hasConversions()&&o++;if(i>o)return 1;if(o>i)return-1;for(r=0;r<e.params.length;r++){var s=a.compare(e.params[r],t.params[r]);if(0!==s)return s}return 0},o.prototype.hasConversions=function(){for(var e=0;e<this.params.length;e++)if(this.params[e].hasConversions())return!0;return!1},o.prototype.ignore=function(){for(var e={},t=0;t<N.ignore.length;t++)e[N.ignore[t]]=!0;for(t=0;t<this.params.length;t++)if(this.params[t].contains(e))return!0;return!1},o.prototype.toCode=function(e,t){for(var r=[],n=new Array(this.params.length),i=0;i<this.params.length;i++){var a=this.params[i],o=a.conversions[0];a.varArgs?n[i]=\"varArgs\":o?n[i]=e.add(o.convert,\"convert\")+\"(arg\"+i+\")\":n[i]=\"arg\"+i}var s=this.fn?e.add(this.fn,\"signature\"):void 0;return s?t+\"return \"+s+\"(\"+n.join(\", \")+\"); // signature: \"+this.params.join(\", \"):r.join(\"\\n\")},o.prototype.toString=function(){return this.params.join(\", \")},s.prototype.toCode=function(e,r,n){var i=[];if(this.param){var a=this.path.length-1,o=this.param.conversions[0],s=\"// type: \"+(o?o.from+\" (convert to \"+o.to+\")\":this.param);if(this.param.varArgs)if(this.param.anyType)i.push(r+\"if (arguments.length > \"+a+\") {\"),i.push(r+\" var varArgs = [];\"),i.push(r+\" for (var i = \"+a+\"; i < arguments.length; i++) {\"),i.push(r+\" varArgs.push(arguments[i]);\"),i.push(r+\" }\"),i.push(this.signature.toCode(e,r+\" \")),i.push(r+\"}\");else{for(var u=function(r,n){for(var i=[],a=0;a<r.length;a++)i[a]=e.add(t(r[a]),\"test\")+\"(\"+n+\")\";return i.join(\" || \")}.bind(this),c=this.param.types,f=[],l=0;l<c.length;l++)void 0===this.param.conversions[l]&&f.push(c[l]);i.push(r+\"if (\"+u(c,\"arg\"+a)+\") { \"+s),i.push(r+\" var varArgs = [arg\"+a+\"];\"),i.push(r+\" for (var i = \"+(a+1)+\"; i < arguments.length; i++) {\"),i.push(r+\" if (\"+u(f,\"arguments[i]\")+\") {\"),i.push(r+\" varArgs.push(arguments[i]);\");for(var l=0;l<c.length;l++){var p=this.param.conversions[l];if(p){var m=e.add(t(c[l]),\"test\"),h=e.add(p.convert,\"convert\");i.push(r+\" }\"),i.push(r+\" else if (\"+m+\"(arguments[i])) {\"),i.push(r+\" varArgs.push(\"+h+\"(arguments[i]));\")}}i.push(r+\" } else {\"),i.push(r+\" throw createError(name, arguments.length, i, arguments[i], '\"+f.join(\",\")+\"');\"),i.push(r+\" }\"),i.push(r+\" }\"),i.push(this.signature.toCode(e,r+\" \")),i.push(r+\"}\")}else if(this.param.anyType)i.push(r+\"// type: any\"),i.push(this._innerCode(e,r,n));else{var g=this.param.types[0],m=\"any\"!==g?e.add(t(g),\"test\"):null;i.push(r+\"if (\"+m+\"(arg\"+a+\")) { \"+s),i.push(this._innerCode(e,r+\" \",n)),i.push(r+\"}\")}}else i.push(this._innerCode(e,r,n));return i.join(\"\\n\")},s.prototype._innerCode=function(e,t,r){var n,i=[];this.signature&&(i.push(t+\"if (arguments.length === \"+this.path.length+\") {\"),i.push(this.signature.toCode(e,t+\" \")),i.push(t+\"}\"));var a;for(n=0;n<this.childs.length;n++)if(this.childs[n].param.anyType){a=this.childs[n];break}for(n=0;n<this.childs.length;n++)i.push(this.childs[n].toCode(e,t,a));r&&!this.param.anyType&&i.push(r.toCode(e,t,a));var o=this._exceptions(e,t);return o&&i.push(o),i.join(\"\\n\")},s.prototype._exceptions=function(e,t){var r=this.path.length;if(0===this.childs.length)return[t+\"if (arguments.length > \"+r+\") {\",t+\" throw createError(name, arguments.length, \"+r+\", arguments[\"+r+\"]);\",t+\"}\"].join(\"\\n\");for(var n={},i=[],a=0;a<this.childs.length;a++){var o=this.childs[a];if(o.param)for(var s=0;s<o.param.types.length;s++){var u=o.param.types[s];u in n||o.param.conversions[s]||(n[u]=!0,i.push(u))}}return t+\"throw createError(name, arguments.length, \"+r+\", arguments[\"+r+\"], '\"+i.join(\",\")+\"');\"};var y=[{name:\"number\",test:function(e){return\"number\"==typeof e}},{name:\"string\",test:function(e){return\"string\"==typeof e}},{name:\"boolean\",test:function(e){return\"boolean\"==typeof e}},{name:\"Function\",test:function(e){return\"function\"==typeof e}},{name:\"Array\",test:Array.isArray},{name:\"Date\",test:function(e){return e instanceof Date}},{name:\"RegExp\",test:function(e){return e instanceof RegExp}},{name:\"Object\",test:function(e){return\"object\"==typeof e}},{name:\"null\",test:function(e){return null===e}},{name:\"undefined\",test:function(e){return void 0===e}}],x={},w=[],b=[],N={config:x,types:y,conversions:w,ignore:b};return N=p(\"typed\",{Object:function(e){var t=[];for(var n in e)e.hasOwnProperty(n)&&t.push(e[n]);var i=r(t);return p(i,e)},\"string, Object\":p,\"...Function\":function(e){for(var t,n=r(e),i={},a=0;a<e.length;a++){var o=e[a];if(\"object\"!=typeof o.signatures)throw t=new TypeError(\"Function is no typed-function (index: \"+a+\")\"),t.data={index:a},t;for(var s in o.signatures)if(o.signatures.hasOwnProperty(s))if(i.hasOwnProperty(s)){if(o.signatures[s]!==i[s])throw t=new Error('Signature \"'+s+'\" is defined twice'),t.data={signature:s},t}else i[s]=o.signatures[s]}return p(n,i)}}),N.config=x,N.types=y,N.conversions=w,N.ignore=b,N.create=e,N.find=v,N.convert=d,N.addType=function(e){if(!e||\"string\"!=typeof e.name||\"function\"!=typeof e.test)throw new TypeError(\"Object with properties {name: string, test: function} expected\");N.types.push(e)},N.addConversion=function(e){if(!e||\"string\"!=typeof e.from||\"string\"!=typeof e.to||\"function\"!=typeof e.convert)throw new TypeError(\"Object with properties {from: string, to: string, convert: function} expected\");N.conversions.push(e)},N}return e()})},function(e,t,r){\"use strict\";var n=r(7);t.isNumber=function(e){return\"number\"==typeof e},t.isInteger=function(e){return isFinite(e)?e==Math.round(e):!1},t.sign=function(e){return e>0?1:0>e?-1:0},t.format=function(e,r){if(\"function\"==typeof r)return r(e);if(e===1/0)return\"Infinity\";if(e===-(1/0))return\"-Infinity\";if(isNaN(e))return\"NaN\";var n=\"auto\",i=void 0;switch(r&&(r.notation&&(n=r.notation),t.isNumber(r)?i=r:r.precision&&(i=r.precision)),n){case\"fixed\":return t.toFixed(e,i);case\"exponential\":return t.toExponential(e,i);case\"auto\":return t.toPrecision(e,i,r&&r.exponential).replace(/((\\.\\d*?)(0+))($|e)/,function(){var e=arguments[2],t=arguments[4];return\".\"!==e?e+t:t});default:throw new Error('Unknown notation \"'+n+'\". Choose \"auto\", \"exponential\", or \"fixed\".')}},t.toExponential=function(e,t){return new n(e).toExponential(t)},t.toFixed=function(e,t){return new n(e).toFixed(t)},t.toPrecision=function(e,t,r){return new n(e).toPrecision(t,r)},t.digits=function(e){return e.toExponential().replace(/e.*$/,\"\").replace(/^0\\.?0*|\\./,\"\").length},t.DBL_EPSILON=Number.EPSILON||2.220446049250313e-16,t.nearlyEqual=function(e,r,n){if(null==n)return e==r;if(e==r)return!0;if(isNaN(e)||isNaN(r))return!1;if(isFinite(e)&&isFinite(r)){var i=Math.abs(e-r);return i<t.DBL_EPSILON?!0:i<=Math.max(Math.abs(e),Math.abs(r))*n}return!1}},function(e,t){\"use strict\";function r(e){var t=String(e).toLowerCase().match(/^0*?(-?)(\\d+\\.?\\d*)(e([+-]?\\d+))?$/);if(!t)throw new SyntaxError(\"Invalid number\");var r=t[1],n=t[2],i=parseFloat(t[4]||\"0\"),a=n.indexOf(\".\");i+=-1!==a?a-1:n.length-1,this.sign=r,this.coefficients=n.replace(\".\",\"\").replace(/^0*/,function(e){return i-=e.length,\"\"}).replace(/0*$/,\"\").split(\"\").map(function(e){return parseInt(e)}),0===this.coefficients.length&&(this.coefficients.push(0),i++),this.exponent=i}function n(e){for(var t=[],r=0;e>r;r++)t.push(0);return t}r.prototype.toFixed=function(e){var t=this.roundDigits(this.exponent+1+(e||0)),r=t.coefficients,i=t.exponent+1,a=i+(e||0);return r.length<a&&(r=r.concat(n(a-r.length))),0>i&&(r=n(-i+1).concat(r),i=1),e&&r.splice(i,0,0===i?\"0.\":\".\"),this.sign+r.join(\"\")},r.prototype.toExponential=function(e){var t=e?this.roundDigits(e):this.clone(),r=t.coefficients,i=t.exponent;r.length<e&&(r=r.concat(n(e-r.length)));var a=r.shift();return this.sign+a+(r.length>0?\".\"+r.join(\"\"):\"\")+\"e\"+(i>=0?\"+\":\"\")+i},r.prototype.toPrecision=function(e,t){var r=t&&void 0!==t.lower?t.lower:.001,i=t&&void 0!==t.upper?t.upper:1e5,a=Math.abs(Math.pow(10,this.exponent));if(r>a||a>=i)return this.toExponential(e);var o=e?this.roundDigits(e):this.clone(),s=o.coefficients,u=o.exponent;s.length<e&&(s=s.concat(n(e-s.length))),s=s.concat(n(u-s.length+1+(s.length<e?e-s.length:0))),s=n(-u).concat(s);var c=u>0?u:0;return c<s.length-1&&s.splice(c+1,0,\".\"),this.sign+s.join(\"\")},r.prototype.clone=function(){var e=new r(\"0\");return e.sign=this.sign,e.coefficients=this.coefficients.slice(0),e.exponent=this.exponent,e},r.prototype.roundDigits=function(e){for(var t=this.clone(),r=t.coefficients;0>=e;)r.unshift(0),t.exponent++,e++;if(r.length>e){var n=r.splice(e);if(n[0]>=5){var i=e-1;for(r[i]++;10===r[i];)r.pop(),0===i&&(r.unshift(0),t.exponent++,i++),i--,r[i]++}}return t},e.exports=r},function(e,t,r){var n=r(9);t.mixin=function(e){var t=new n;return e.on=t.on.bind(t),e.off=t.off.bind(t),e.once=t.once.bind(t),e.emit=t.emit.bind(t),e}},function(e,t){function r(){}r.prototype={on:function(e,t,r){var n=this.e||(this.e={});return(n[e]||(n[e]=[])).push({fn:t,ctx:r}),this},once:function(e,t,r){var n=this,i=function(){n.off(e,i),t.apply(r,arguments)};return this.on(e,i,r)},emit:function(e){var t=[].slice.call(arguments,1),r=((this.e||(this.e={}))[e]||[]).slice(),n=0,i=r.length;for(n;i>n;n++)r[n].fn.apply(r[n].ctx,t);return this},off:function(e,t){var r=this.e||(this.e={}),n=r[e],i=[];if(n&&t)for(var a=0,o=n.length;o>a;a++)n[a].fn!==t&&i.push(n[a]);return i.length?r[e]=i:delete r[e],this}},e.exports=r},function(e,t,r){\"use strict\";function n(e,t,r,n,u){function c(e,t){var r=arguments.length;if(1!=r&&2!=r)throw new s(\"import\",r,1,2);if(t||(t={}),a(e))m(e,t);else if(Array.isArray(e))e.forEach(function(e){c(e,t)});else if(\"object\"==typeof e){for(var n in e)if(e.hasOwnProperty(n)){var i=e[n];h(i)?f(n,i,t):a(e)?m(e,t):c(i,t)}}else if(!t.silent)throw new TypeError(\"Factory, Object, or Array expected\")}function f(e,t,r){if(r.wrap&&\"function\"==typeof t&&(t=p(t)),g(u[e])&&g(t))return t=r.override?n(e,t.signatures):n(u[e],t),u[e]=t,l(e,t),void u.emit(\"import\",e,function(){return t});if(void 0===u[e]||r.override)return u[e]=t,l(e,t),void u.emit(\"import\",e,function(){return t});if(!r.silent)throw new Error('Cannot import \"'+e+'\": already exists')}function l(e,t){t&&\"function\"==typeof t.transform&&(u.expression.transform[e]=t.transform)}function p(e){var t=function(){for(var t=[],r=0,n=arguments.length;n>r;r++){var i=arguments[r];t[r]=i&&i.valueOf()}return e.apply(u,t)};return e.transform&&(t.transform=e.transform),t}function m(e,t){if(\"string\"==typeof e.name){var a=e.name,s=e.path?o(u,e.path):u,c=s.hasOwnProperty(a)?s[a]:void 0,f=function(){var i=r(e);if(g(c)&&g(i))return t.override||(i=n(c,i)),i;if(void 0===c||t.override)return i;if(!t.silent)throw new Error('Cannot import \"'+a+'\": already exists')};e.lazy!==!1?i(s,a,f):s[a]=f(),u.emit(\"import\",a,f,e.path)}else r(e)}function h(e){return\"function\"==typeof e||\"number\"==typeof e||\"string\"==typeof e||\"boolean\"==typeof e||null===e||e&&e.isUnit===!0||e&&e.isComplex===!0}function g(e){return\"function\"==typeof e&&\"object\"==typeof e.signatures}return c}var i=r(3).lazy,a=r(3).isFactory,o=r(3).traverse,s=(r(3).extend,r(11));t.math=!0,t.name=\"import\",t.factory=n,t.lazy=!0},function(e,t){\"use strict\";function r(e,t,n,i){if(!(this instanceof r))throw new SyntaxError(\"Constructor must be called with the new operator\");this.fn=e,this.count=t,this.min=n,this.max=i,this.message=\"Wrong number of arguments in function \"+e+\" (\"+t+\" provided, \"+n+(void 0!=i?\"-\"+i:\"\")+\" expected)\",this.stack=(new Error).stack}r.prototype=new Error,r.prototype.constructor=Error,r.prototype.name=\"ArgumentsError\",r.prototype.isArgumentsError=!0,e.exports=r},function(e,t,r){\"use strict\";function n(e,t,r,n,a){return function(e){if(e){var r=i.clone(t);i.deepExtend(t,e);var n=i.clone(t);return a.emit(\"config\",n,r),n}return i.clone(t)}}var i=r(3);t.name=\"config\",t.math=!0,t.factory=n},function(e,t,r){e.exports=[r(14),r(76),r(80),r(312),r(495),r(497)]},function(e,t,r){e.exports=[r(15),r(20),r(21),r(26),r(31),r(37),r(69),r(70),r(72),r(73)]},function(e,t,r){e.exports=[r(16),r(18)]},function(e,t,r){function n(e,t,r,n,a){var o=i.constructor(t);return o.prototype.type=\"BigNumber\",o.prototype.isBigNumber=!0,o.prototype.toJSON=function(){return{mathjs:\"BigNumber\",value:this.toString()}},o.fromJSON=function(e){return new o(e.value)},a.on(\"config\",function(e,t){e.precision!==t.precision&&o.config({precision:e.precision})}),o}var i=r(17);r(6).digits;t.name=\"BigNumber\",t.path=\"type\",t.factory=n,t.math=!0},function(e,t,r){var n;!function(i){\"use strict\";function a(e){for(var t,r,n=1,i=e.length,a=e[0]+\"\";i>n;n++){for(t=e[n]+\"\",r=_-t.length;r--;)t=\"0\"+t;a+=t}for(i=a.length;48===a.charCodeAt(--i););return a.slice(0,i+1||1)}function o(e,t,r,n){var i,a,o,s,u;for(a=1,o=e[0];o>=10;o/=10,a++);return o=t-a,0>o?(o+=_,i=0):(i=Math.ceil((o+1)/_),o%=_),a=E(10,_-o),u=e[i]%a|0,null==n?3>o?(0==o?u=u/100|0:1==o&&(u=u/10|0),s=4>r&&99999==u||r>3&&49999==u||5e4==u||0==u):s=(4>r&&u+1==a||r>3&&u+1==a/2)&&(e[i+1]/a/100|0)==E(10,o-2)-1||(u==a/2||0==u)&&0==(e[i+1]/a/100|0):4>o?(0==o?u=u/1e3|0:1==o?u=u/100|0:2==o&&(u=u/10|0),s=(n||4>r)&&9999==u||!n&&r>3&&4999==u):s=((n||4>r)&&u+1==a||!n&&r>3&&u+1==a/2)&&(e[i+1]/a/1e3|0)==E(10,o-3)-1,s}function s(e,t,r){var n=e.constructor;return null==t||((y=0>t||t>8)||0!==t&&(n.errors?parseInt:parseFloat)(t)!=t)&&!p(n,\"rounding mode\",t,r,0)?n.rounding:0|t}function u(e,t,r,n){var i=e.constructor;return!(y=(n||0)>t||t>=S+1)&&(0===t||(i.errors?parseInt:parseFloat)(t)==t)||p(i,\"argument\",t,r,0)}function c(e,t){var r,n,i,s,u,c,f,l=0,p=0,m=0,h=e.constructor,v=h.ONE,d=h.rounding,y=h.precision;if(!e.c||!e.c[0]||e.e>17)return new h(e.c?e.c[0]?e.s<0?0:1/0:v:e.s?e.s<0?0:e:NaN);for(null==t?(w=!1,u=y):u=t,f=new h(.03125);e.e>-2;)e=e.times(f),m+=5;for(n=Math.log(E(2,m))/Math.LN10*2+5|0,u+=n,r=s=c=new h(v),h.precision=u;;){if(s=g(s.times(e),u,1),r=r.times(++p),f=c.plus(k(s,r,u,1)),a(f.c).slice(0,u)===a(c.c).slice(0,u)){for(i=m;i--;)c=g(c.times(c),u,1);if(null!=t)return h.precision=y,c;if(!(3>l&&o(c.c,u-n,d,l)))return g(c,h.precision=y,d,w=!0);h.precision=u+=10,r=s=f=new h(v),p=0,l++}c=f}}function f(e,t,r,n){var i,o,s=e.constructor,u=(e=new s(e)).e;if(null==t?r=0:(g(e,++t,r),r=n?t:t+e.e-u),u=e.e,i=a(e.c),1==n||2==n&&(u>=t||u<=s.toExpNeg)){for(;i.length<r;i+=\"0\");i.length>1&&(i=i.charAt(0)+\".\"+i.slice(1)),i+=(0>u?\"e\":\"e+\")+u}else{if(n=i.length,0>u){for(o=r-n;++u;i=\"0\"+i);i=\"0.\"+i}else if(++u>n){for(o=r-u,u-=n;u--;i+=\"0\");o>0&&(i+=\".\")}else o=r-n,n>u?i=i.slice(0,u)+\".\"+i.slice(u):o>0&&(i+=\".\");if(o>0)for(;o--;i+=\"0\");}return e.s<0&&e.c[0]?\"-\"+i:i}function l(e){var t=e.length-1,r=t*_+1;if(t=e[t]){for(;t%10==0;t/=10,r--);for(t=e[0];t>=10;t/=10,r++);}return r}function p(e,t,r,n,i){if(e.errors){var a=new Error((n||[\"new Decimal\",\"cmp\",\"div\",\"eq\",\"gt\",\"gte\",\"lt\",\"lte\",\"minus\",\"mod\",\"plus\",\"times\",\"toFraction\",\"pow\",\"random\",\"log\",\"sqrt\",\"toNearest\",\"divToInt\"][b?0>b?-b:b:0>1/b?1:0])+\"() \"+([\"number type has more than 15 significant digits\",\"LN10 out of digits\"][t]||t+([y?\" out of range\":\" not an integer\",\" not a boolean or binary digit\"][i]||\"\"))+\": \"+r);throw a.name=\"Decimal Error\",y=b=0,a}}function m(e,t,r){var n=new e(e.ONE);for(w=!1;1&r&&(n=n.times(t)),r>>=1,r;)t=t.times(t);return w=!0,n}function h(e,t){var r,n,i,s,u,c,f,l,m,v,d,y=1,x=10,b=e,N=b.c,E=b.constructor,M=E.ONE,A=E.rounding,_=E.precision;if(b.s<0||!N||!N[0]||!b.e&&1==N[0]&&1==N.length)return new E(N&&!N[0]?-1/0:1!=b.s?NaN:N?0:b);if(null==t?(w=!1,f=_):f=t,E.precision=f+=x,r=a(N),n=r.charAt(0),!(Math.abs(s=b.e)<15e14))return b=new E(n+\".\"+r.slice(1)),f+2>B.length&&p(E,1,f+2,\"ln\"),b=h(b,f-x).plus(new E(B.slice(0,f+2)).times(s+\"\")),E.precision=_,null==t?g(b,_,A,w=!0):b;for(;7>n&&1!=n||1==n&&r.charAt(1)>3;)b=b.times(e),r=a(b.c),n=r.charAt(0),y++;for(s=b.e,n>1?(b=new E(\"0.\"+r),s++):b=new E(n+\".\"+r.slice(1)),v=b,l=u=b=k(b.minus(M),b.plus(M),f,1),d=g(b.times(b),f,1),i=3;;){if(u=g(u.times(d),f,1),m=l.plus(k(u,new E(i),f,1)),a(m.c).slice(0,f)===a(l.c).slice(0,f)){if(l=l.times(2),0!==s&&(f+2>B.length&&p(E,1,f+2,\"ln\"),l=l.plus(new E(B.slice(0,f+2)).times(s+\"\"))),l=k(l,new E(y),f,1),null!=t)return E.precision=_,l;if(!o(l.c,f-x,A,c))return g(l,E.precision=_,A,w=!0);E.precision=f+=x,m=u=b=k(v.minus(M),v.plus(M),f,1),d=g(b.times(b),f,1),i=c=1}l=m,i+=2}}function g(e,t,r,n){var i,a,o,s,u,c,f,l,p=e.constructor;e:if(null!=t){if(!(f=e.c))return e;for(i=1,s=f[0];s>=10;s/=10,i++);if(a=t-i,0>a)a+=_,o=t,u=f[l=0],c=u/E(10,i-o-1)%10|0;else if(l=Math.ceil((a+1)/_),l>=f.length){if(!n)break e;for(;f.length<=l;f.push(0));u=c=0,i=1,a%=_,o=a-_+1}else{for(u=s=f[l],i=1;s>=10;s/=10,i++);a%=_,o=a-_+i,c=0>o?0:N(u/E(10,i-o-1)%10)}if(n=n||0>t||null!=f[l+1]||(0>o?u:u%E(10,i-o-1)),n=4>r?(c||n)&&(0==r||r==(e.s<0?3:2)):c>5||5==c&&(4==r||n||6==r&&(a>0?o>0?u/E(10,i-o):0:f[l-1])%10&1||r==(e.s<0?8:7)),1>t||!f[0])return f.length=0,n?(t-=e.e+1,f[0]=E(10,(_-t%_)%_),e.e=-t||0):f[0]=e.e=0,e;if(0==a?(f.length=l,s=1,l--):(f.length=l+1,s=E(10,_-a),f[l]=o>0?(u/E(10,i-o)%E(10,o)|0)*s:0),n)for(;;){if(0==l){for(a=1,o=f[0];o>=10;o/=10,a++);for(o=f[0]+=s,s=1;o>=10;o/=10,s++);a!=s&&(e.e++,f[0]==A&&(f[0]=1));break}if(f[l]+=s,f[l]!=A)break;f[l--]=0,s=1}for(a=f.length;0===f[--a];f.pop());}return w&&(e.e>p.maxE?e.c=e.e=null:e.e<p.minE&&(e.c=[e.e=0])),e}var v,d,y,x=i.crypto,w=!0,b=0,N=Math.floor,E=Math.pow,M=Object.prototype.toString,A=1e7,_=7,O=\"0123456789abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ$_\",T={},C=9e15,S=1e9,z=3e3,B=\"2.3025850929940456840179914546843642076011014886287729760333279009675726096773524802359972050895982983419677840422862486334095254650828067566662873690987816894829072083255546808437998948262331985283935053089653777326288461633662222876982198867465436674744042432743651550489343149393914796194044002221051017141748003688084012647080685567743216228355220114804663715659121373450747856947683463616792101806445070648000277502684916746550586856935673420670581136429224554405758925724208241314695689016758940256776311356919292033376587141660230105703089634572075440370847469940168269282808481184289314848524948644871927809676271275775397027668605952496716674183485704422507197965004714951050492214776567636938662976979522110718264549734772662425709429322582798502585509785265383207606726317164309505995087807523710333101197857547331541421808427543863591778117054309827482385045648019095610299291824318237525357709750539565187697510374970888692180205189339507238539205144634197265287286965110862571492198849978748873771345686209167058\";T.absoluteValue=T.abs=function(){var e=new this.constructor(this);return e.s<0&&(e.s=1),g(e)},T.ceil=function(){return g(new this.constructor(this),this.e+1,2)},T.comparedTo=T.cmp=function(e,t){var r,n=this,i=n.c,a=(b=-b,e=new n.constructor(e,t),\ne.c),o=n.s,s=e.s,u=n.e,c=e.e;if(!o||!s)return null;if(r=i&&!i[0],t=a&&!a[0],r||t)return r?t?0:-s:o;if(o!=s)return o;if(r=0>o,!i||!a)return u==c?0:!i^r?1:-1;if(u!=c)return u>c^r?1:-1;for(o=-1,s=(u=i.length)<(c=a.length)?u:c;++o<s;)if(i[o]!=a[o])return i[o]>a[o]^r?1:-1;return u==c?0:u>c^r?1:-1},T.decimalPlaces=T.dp=function(){var e,t,r=null;if(e=this.c){if(r=((t=e.length-1)-N(this.e/_))*_,t=e[t])for(;t%10==0;t/=10,r--);0>r&&(r=0)}return r},T.dividedBy=T.div=function(e,t){return b=2,k(this,new this.constructor(e,t))},T.dividedToIntegerBy=T.divToInt=function(e,t){var r=this,n=r.constructor;return b=18,g(k(r,new n(e,t),0,1,1),n.precision,n.rounding)},T.equals=T.eq=function(e,t){return b=3,0===this.cmp(e,t)},T.exponential=T.exp=function(){return c(this)},T.floor=function(){return g(new this.constructor(this),this.e+1,3)},T.greaterThan=T.gt=function(e,t){return b=4,this.cmp(e,t)>0},T.greaterThanOrEqualTo=T.gte=function(e,t){return b=5,t=this.cmp(e,t),1==t||0===t},T.isFinite=function(){return!!this.c},T.isInteger=T.isInt=function(){return!!this.c&&N(this.e/_)>this.c.length-2},T.isNaN=function(){return!this.s},T.isNegative=T.isNeg=function(){return this.s<0},T.isZero=function(){return!!this.c&&0==this.c[0]},T.lessThan=T.lt=function(e,t){return b=6,this.cmp(e,t)<0},T.lessThanOrEqualTo=T.lte=function(e,t){return b=7,t=this.cmp(e,t),-1==t||0===t},T.logarithm=T.log=function(e,t){var r,n,i,s,u,c,f,l,m,v=this,d=v.constructor,y=d.precision,x=d.rounding,N=5;if(null==e)e=new d(10),r=!0;else{if(b=15,e=new d(e,t),n=e.c,e.s<0||!n||!n[0]||!e.e&&1==n[0]&&1==n.length)return new d(NaN);r=e.eq(10)}if(n=v.c,v.s<0||!n||!n[0]||!v.e&&1==n[0]&&1==n.length)return new d(n&&!n[0]?-1/0:1!=v.s?NaN:n?0:1/0);if(u=r&&(s=n[0],n.length>1||1!=s&&10!=s&&100!=s&&1e3!=s&&1e4!=s&&1e5!=s&&1e6!=s),w=!1,f=y+N,l=f+10,c=h(v,f),r?(l>B.length&&p(d,1,l,\"log\"),i=new d(B.slice(0,l))):i=h(e,f),m=k(c,i,f,1),o(m.c,s=y,x))do if(f+=10,c=h(v,f),r?(l=f+10,l>B.length&&p(d,1,l,\"log\"),i=new d(B.slice(0,l))):i=h(e,f),m=k(c,i,f,1),!u){+a(m.c).slice(s+1,s+15)+1==1e14&&(m=g(m,y+1,0));break}while(o(m.c,s+=10,x));return w=!0,g(m,y,x)},T.minus=function(e,t){var r,n,i,a,o=this,s=o.constructor,u=o.s;if(b=8,e=new s(e,t),t=e.s,!u||!t)return new s(NaN);if(u!=t)return e.s=-t,o.plus(e);var c=o.c,f=e.c,l=N(e.e/_),p=N(o.e/_),m=s.precision,h=s.rounding;if(!p||!l){if(!c||!f)return c?(e.s=-t,e):new s(f?o:NaN);if(!c[0]||!f[0])return o=f[0]?(e.s=-t,e):new s(c[0]?o:3==h?-0:0),w?g(o,m,h):o}if(c=c.slice(),n=c.length,u=p-l){for((a=0>u)?(u=-u,r=c,n=f.length):(l=p,r=f),(p=Math.ceil(m/_))>n&&(n=p),u>(n+=2)&&(u=n,r.length=1),r.reverse(),t=u;t--;r.push(0));r.reverse()}else for((a=n<(i=f.length))&&(i=n),u=t=0;i>t;t++)if(c[t]!=f[t]){a=c[t]<f[t];break}if(a&&(r=c,c=f,f=r,e.s=-e.s),(t=-((i=c.length)-f.length))>0)for(;t--;c[i++]=0);for(p=A-1,t=f.length;t>u;){if(c[--t]<f[t]){for(n=t;n&&!c[--n];c[n]=p);--c[n],c[t]+=A}c[t]-=f[t]}for(;0==c[--i];c.pop());for(;0==c[0];c.shift(),--l);for(c[0]||(c=[l=0],e.s=3==h?-1:1),e.c=c,u=1,t=c[0];t>=10;t/=10,u++);return e.e=u+l*_-1,w?g(e,m,h):e},T.modulo=T.mod=function(e,t){var r,n,i=this,a=i.constructor,o=a.modulo;return b=9,e=new a(e,t),t=e.s,r=!i.c||!t||e.c&&!e.c[0],r||!e.c||i.c&&!i.c[0]?r?new a(NaN):g(new a(i),a.precision,a.rounding):(w=!1,9==o?(e.s=1,n=k(i,e,0,3,1),e.s=t,n.s*=t):n=k(i,e,0,o,1),n=n.times(e),w=!0,i.minus(n))},T.naturalLogarithm=T.ln=function(){return h(this)},T.negated=T.neg=function(){var e=new this.constructor(this);return e.s=-e.s||null,g(e)},T.plus=function(e,t){var r,n=this,i=n.constructor,a=n.s;if(b=10,e=new i(e,t),t=e.s,!a||!t)return new i(NaN);if(a!=t)return e.s=-t,n.minus(e);var o=n.c,s=e.c,u=N(e.e/_),c=N(n.e/_),f=i.precision,l=i.rounding;if(!c||!u){if(!o||!s)return new i(a/0);if(!o[0]||!s[0])return n=s[0]?e:new i(o[0]?n:0*a),w?g(n,f,l):n}if(o=o.slice(),a=c-u){for(0>a?(a=-a,r=o,t=s.length):(u=c,r=s,t=o.length),(c=Math.ceil(f/_))>t&&(t=c),a>++t&&(a=t,r.length=1),r.reverse();a--;r.push(0));r.reverse()}for(o.length-s.length<0&&(r=s,s=o,o=r),a=s.length,t=0,c=A;a;o[a]%=c)t=(o[--a]=o[a]+s[a]+t)/c|0;for(t&&(o.unshift(t),++u),a=o.length;0==o[--a];o.pop());for(e.c=o,a=1,t=o[0];t>=10;t/=10,a++);return e.e=a+u*_-1,w?g(e,f,l):e},T.precision=T.sd=function(e){var t=null,r=this;return e!=t&&e!==!!e&&1!==e&&0!==e&&p(r.constructor,\"argument\",e,\"precision\",1),r.c&&(t=l(r.c),e&&r.e+1>t&&(t=r.e+1)),t},T.round=function(){var e=this,t=e.constructor;return g(new t(e),e.e+1,t.rounding)},T.squareRoot=T.sqrt=function(){var e,t,r,n,i,o,s=this,u=s.c,c=s.s,f=s.e,l=s.constructor,p=new l(.5);if(1!==c||!u||!u[0])return new l(!c||0>c&&(!u||u[0])?NaN:u?s:1/0);for(w=!1,c=Math.sqrt(+s),0==c||c==1/0?(t=a(u),(t.length+f)%2==0&&(t+=\"0\"),c=Math.sqrt(t),f=N((f+1)/2)-(0>f||f%2),c==1/0?t=\"1e\"+f:(t=c.toExponential(),t=t.slice(0,t.indexOf(\"e\")+1)+f),n=new l(t)):n=new l(c.toString()),r=(f=l.precision)+3;;)if(o=n,n=p.times(o.plus(k(s,o,r+2,1))),a(o.c).slice(0,r)===(t=a(n.c)).slice(0,r)){if(t=t.slice(r-3,r+1),\"9999\"!=t&&(i||\"4999\"!=t)){(!+t||!+t.slice(1)&&\"5\"==t.charAt(0))&&(g(n,f+1,1),e=!n.times(n).eq(s));break}if(!i&&(g(o,f+1,0),o.times(o).eq(s))){n=o;break}r+=4,i=1}return w=!0,g(n,f,l.rounding,e)},T.times=function(e,t){var r,n,i=this,a=i.constructor,o=i.c,s=(b=11,e=new a(e,t),e.c),u=N(i.e/_),c=N(e.e/_),f=i.s;if(t=e.s,e.s=f==t?1:-1,!((u||o&&o[0])&&(c||s&&s[0])))return new a(!f||!t||o&&!o[0]&&!s||s&&!s[0]&&!o?NaN:o&&s?0*e.s:e.s/0);for(n=u+c,f=o.length,t=s.length,t>f&&(r=o,o=s,s=r,c=f,f=t,t=c),c=f+t,r=[];c--;r.push(0));for(u=t-1;u>-1;u--){for(t=0,c=f+u;c>u;)t=r[c]+s[u]*o[c-u-1]+t,r[c--]=t%A|0,t=t/A|0;r[c]=(r[c]+t)%A|0}for(t?++n:r[0]||r.shift(),c=r.length;!r[--c];r.pop());for(e.c=r,f=1,t=r[0];t>=10;t/=10,f++);return e.e=f+n*_-1,w?g(e,a.precision,a.rounding):e},T.toDecimalPlaces=T.toDP=function(e,t){var r=this;return r=new r.constructor(r),null!=e&&u(r,e,\"toDP\")?g(r,(0|e)+r.e+1,s(r,t,\"toDP\")):r},T.toExponential=function(e,t){var r=this;return r.c?f(r,null!=e&&u(r,e,\"toExponential\")?0|e:null,null!=e&&s(r,t,\"toExponential\"),1):r.toString()},T.toFixed=function(e,t){var r,n=this,i=n.constructor,a=i.toExpNeg,o=i.toExpPos;return null!=e&&(e=u(n,e,r=\"toFixed\")?n.e+(0|e):null,t=s(n,t,r)),i.toExpNeg=-(i.toExpPos=1/0),null!=e&&n.c?(r=f(n,e,t),n.s<0&&n.c&&(n.c[0]?r.indexOf(\"-\")<0&&(r=\"-\"+r):r=r.replace(\"-\",\"\"))):r=n.toString(),i.toExpNeg=a,i.toExpPos=o,r},T.toFormat=function(e,t){var r=this;if(!r.c)return r.toString();var n,i=r.s<0,a=r.constructor.format,o=a.groupSeparator,s=+a.groupSize,u=+a.secondaryGroupSize,c=r.toFixed(e,t).split(\".\"),f=c[0],l=c[1],p=i?f.slice(1):f,m=p.length;if(u&&(n=s,s=u,m-=u=n),s>0&&m>0){for(n=m%s||s,f=p.substr(0,n);m>n;n+=s)f+=o+p.substr(n,s);u>0&&(f+=o+p.slice(n)),i&&(f=\"-\"+f)}return l?f+a.decimalSeparator+((u=+a.fractionGroupSize)?l.replace(new RegExp(\"\\\\d{\"+u+\"}\\\\B\",\"g\"),\"$&\"+a.fractionGroupSeparator):l):f},T.toFraction=function(e){var t,r,n,i,o,s,u,c,f=this,m=f.constructor,h=t=new m(m.ONE),g=s=new m(0),v=f.c,d=new m(g);if(!v)return f.toString();for(n=d.e=l(v)-f.e-1,d.c[0]=E(10,(u=n%_)<0?_+u:u),(null==e||(!(b=12,o=new m(e)).s||(y=o.cmp(h)<0||!o.c)||m.errors&&N(o.e/_)<o.c.length-1)&&!p(m,\"max denominator\",e,\"toFraction\",0)||(e=o).cmp(d)>0)&&(e=n>0?d:h),w=!1,o=new m(a(v)),u=m.precision,m.precision=n=v.length*_*2;c=k(o,d,0,1,1),r=t.plus(c.times(g)),1!=r.cmp(e);)t=g,g=r,h=s.plus(c.times(r=h)),s=r,d=o.minus(c.times(r=d)),o=r;return r=k(e.minus(t),g,0,1,1),s=s.plus(r.times(h)),t=t.plus(r.times(g)),s.s=h.s=f.s,i=k(h,g,n,1).minus(f).abs().cmp(k(s,t,n,1).minus(f).abs())<1?[h+\"\",g+\"\"]:[s+\"\",t+\"\"],w=!0,m.precision=u,i},T.toNearest=function(e,t){var r=this,n=r.constructor;return r=new n(r),null==e?(e=new n(n.ONE),t=n.rounding):(b=17,e=new n(e),t=s(r,t,\"toNearest\")),e.c?r.c&&(e.c[0]?(w=!1,r=k(r,e,0,4>t?[4,5,7,8][t]:t,1).times(e),w=!0,g(r)):r.c=[r.e=0]):r.s&&(e.s&&(e.s=r.s),r=e),r},T.toNumber=function(){var e=this;return+e||(e.s?0*e.s:NaN)},T.toPower=T.pow=function(e,t){var r,n,i,s,u=this,f=u.constructor,l=u.s,p=(b=13,+(e=new f(e,t))),v=0>p?-p:p,d=f.precision,y=f.rounding;if(!u.c||!e.c||(i=!u.c[0])||!e.c[0])return new f(E(i?0*l:+u,p));if(u=new f(u),r=u.c.length,!u.e&&u.c[0]==u.s&&1==r)return u;if(t=e.c.length-1,e.e||e.c[0]!=e.s||t)if(n=N(e.e/_),i=n>=t,!i&&0>l)s=new f(NaN);else{if(i&&z>r*_*v){if(s=m(f,u,v),e.s<0)return f.ONE.div(s)}else{if(l=0>l&&1&e.c[Math.max(n,t)]?-1:1,t=E(+u,p),n=0!=t&&isFinite(t)?new f(t+\"\").e:N(p*(Math.log(\"0.\"+a(u.c))/Math.LN10+u.e+1)),n>f.maxE+1||n<f.minE-1)return new f(n>0?l/0:0);w=!1,f.rounding=u.s=1,v=Math.min(12,(n+\"\").length),s=c(e.times(h(u,d+v)),d),s=g(s,d+5,1),o(s.c,d,y)&&(n=d+10,s=g(c(e.times(h(u,n+v)),n),n+5,1),+a(s.c).slice(d+1,d+15)+1==1e14&&(s=g(s,d+1,0))),s.s=l,w=!0,f.rounding=y}s=g(s,d,y)}else s=g(u,d,y);return s},T.toPrecision=function(e,t){var r=this;return null!=e&&u(r,e,\"toPrecision\",1)&&r.c?f(r,0|--e,s(r,t,\"toPrecision\"),2):r.toString()},T.toSignificantDigits=T.toSD=function(e,t){var r=this,n=r.constructor;return r=new n(r),null!=e&&u(r,e,\"toSD\",1)?g(r,0|e,s(r,t,\"toSD\")):g(r,n.precision,n.rounding)},T.toString=function(e){var t,r,n,i=this,o=i.constructor,s=i.e;if(null===s)r=i.s?\"Infinity\":\"NaN\";else{if(e===t&&(s<=o.toExpNeg||s>=o.toExpPos))return f(i,null,o.rounding,1);if(r=a(i.c),0>s){for(;++s;r=\"0\"+r);r=\"0.\"+r}else if(n=r.length,s>0)if(++s>n)for(s-=n;s--;r+=\"0\");else n>s&&(r=r.slice(0,s)+\".\"+r.slice(s));else if(t=r.charAt(0),n>1)r=t+\".\"+r.slice(1);else if(\"0\"==t)return t;if(null!=e)if((y=!(e>=2&&65>e))||e!=(0|e)&&o.errors)p(o,\"base\",e,\"toString\",0);else if(r=v(o,r,0|e,10,i.s),\"0\"==r)return r}return i.s<0?\"-\"+r:r},T.truncated=T.trunc=function(){return g(new this.constructor(this),this.e+1,1)},T.valueOf=T.toJSON=function(){return this.toString()},v=function(){function e(e,t,r){for(var n,i,a=[0],o=0,s=e.length;s>o;){for(i=a.length;i--;a[i]*=t);for(a[n=0]+=O.indexOf(e.charAt(o++));n<a.length;n++)a[n]>r-1&&(null==a[n+1]&&(a[n+1]=0),a[n+1]+=a[n]/r|0,a[n]%=r)}return a.reverse()}return function(t,r,n,i,a){var o,s,u,c,f,l,p=r.indexOf(\".\"),h=t.precision,g=t.rounding;for(37>i&&(r=r.toLowerCase()),p>=0&&(r=r.replace(\".\",\"\"),l=new t(i),c=m(t,l,r.length-p),l.c=e(c.toFixed(),10,n),l.e=l.c.length),f=e(r,i,n),o=s=f.length;0==f[--s];f.pop());if(!f[0])return\"0\";if(0>p?o--:(c.c=f,c.e=o,c.s=a,c=k(c,l,h,g,0,n),f=c.c,u=c.r,o=c.e),p=f[h],s=n/2,u=u||null!=f[h+1],4>g?(null!=p||u)&&(0==g||g==(0>a?3:2)):p>s||p==s&&(4==g||u||6==g&&1&f[h-1]||g==(0>a?8:7)))for(f.length=h,--n;++f[--h]>n;)f[h]=0,h||(++o,f.unshift(1));else f.length=h;for(s=f.length;!f[--s];);for(p=0,r=\"\";s>=p;r+=O.charAt(f[p++]));if(0>o){for(;++o;r=\"0\"+r);r=\"0.\"+r}else if(p=r.length,++o>p)for(o-=p;o--;r+=\"0\");else p>o&&(r=r.slice(0,o)+\".\"+r.slice(o));return r}}();var k=function(){function e(e,t,r){var n,i=0,a=e.length;for(e=e.slice();a--;)n=e[a]*t+i,e[a]=n%r|0,i=n/r|0;return i&&e.unshift(i),e}function t(e,t,r,n){var i,a;if(r!=n)a=r>n?1:-1;else for(i=a=0;r>i;i++)if(e[i]!=t[i]){a=e[i]>t[i]?1:-1;break}return a}function r(e,t,r,n){for(var i=0;r--;)e[r]-=i,i=e[r]<t[r]?1:0,e[r]=i*n+e[r]-t[r];for(;!e[0]&&e.length>1;e.shift());}return function(n,i,a,o,s,u){var c,f,l,p,m,h,v,d,y,x,w,b,E,M,O,T,C,S,z,B=n.constructor,k=n.s==i.s?1:-1,I=n.c,R=i.c;if(!(I&&I[0]&&R&&R[0]))return new B(n.s&&i.s&&(I?!R||I[0]!=R[0]:R)?I&&0==I[0]||!R?0*k:k/0:NaN);for(u?(p=1,f=n.e-i.e):(u=A,p=_,f=N(n.e/p)-N(i.e/p)),S=R.length,T=I.length,y=new B(k),x=y.c=[],l=0;R[l]==(I[l]||0);l++);if(R[l]>(I[l]||0)&&f--,null==a?(k=a=B.precision,o=B.rounding):k=s?a+(n.e-i.e)+1:a,0>k)x.push(1),m=!0;else{if(k=k/p+2|0,l=0,1==S){for(h=0,R=R[0],k++;(T>l||h)&&k--;l++)M=h*u+(I[l]||0),x[l]=M/R|0,h=M%R|0;m=h||T>l}else{for(h=u/(R[0]+1)|0,h>1&&(R=e(R,h,u),I=e(I,h,u),S=R.length,T=I.length),O=S,w=I.slice(0,S),b=w.length;S>b;w[b++]=0);z=R.slice(),z.unshift(0),C=R[0],R[1]>=u/2&&C++;do h=0,c=t(R,w,S,b),0>c?(E=w[0],S!=b&&(E=E*u+(w[1]||0)),h=E/C|0,h>1?(h>=u&&(h=u-1),v=e(R,h,u),d=v.length,b=w.length,c=t(v,w,d,b),1==c&&(h--,r(v,d>S?z:R,d,u))):(0==h&&(c=h=1),v=R.slice()),d=v.length,b>d&&v.unshift(0),r(w,v,b,u),-1==c&&(b=w.length,c=t(R,w,S,b),1>c&&(h++,r(w,b>S?z:R,b,u))),b=w.length):0===c&&(h++,w=[0]),x[l++]=h,c&&w[0]?w[b++]=I[O]||0:(w=[I[O]],b=1);while((O++<T||null!=w[0])&&k--);m=null!=w[0]}x[0]||x.shift()}if(1==p)y.e=f,y.r=+m;else{for(l=1,k=x[0];k>=10;k/=10,l++);y.e=l+f*p-1,g(y,s?a+y.e+1:a,o,m)}return y}}();d=function(){function e(e){var t,r,n,i=this,a=\"config\",o=i.errors?parseInt:parseFloat;return e==r||\"object\"!=typeof e&&!p(i,\"object expected\",e,a)?i:((n=e[t=\"precision\"])!=r&&((y=1>n||n>S)||o(n)!=n?p(i,t,n,a,0):i[t]=0|n),(n=e[t=\"rounding\"])!=r&&((y=0>n||n>8)||o(n)!=n?p(i,t,n,a,0):i[t]=0|n),(n=e[t=\"toExpNeg\"])!=r&&((y=-C>n||n>0)||o(n)!=n?p(i,t,n,a,0):i[t]=N(n)),(n=e[t=\"toExpPos\"])!=r&&((y=0>n||n>C)||o(n)!=n?p(i,t,n,a,0):i[t]=N(n)),(n=e[t=\"minE\"])!=r&&((y=-C>n||n>0)||o(n)!=n?p(i,t,n,a,0):i[t]=N(n)),(n=e[t=\"maxE\"])!=r&&((y=0>n||n>C)||o(n)!=n?p(i,t,n,a,0):i[t]=N(n)),(n=e[t=\"errors\"])!=r&&(n===!!n||1===n||0===n?(y=b=0,i[t]=!!n):p(i,t,n,a,1)),(n=e[t=\"crypto\"])!=r&&(n===!!n||1===n||0===n?i[t]=!(!n||!x||\"object\"!=typeof x):p(i,t,n,a,1)),(n=e[t=\"modulo\"])!=r&&((y=0>n||n>9)||o(n)!=n?p(i,t,n,a,0):i[t]=0|n),(e=e[t=\"format\"])!=r&&(\"object\"==typeof e?i[t]=e:p(i,\"format object expected\",e,a)),i)}function t(e){return new this(e).exp()}function r(e){return new this(e).ln()}function n(e,t){return new this(e).log(t)}function i(e,t,r){var n,i,a=0;for(\"[object Array]\"==M.call(t[0])&&(t=t[0]),n=new e(t[0]);++a<t.length;){if(i=new e(t[a]),!i.s){n=i;break}n[r](i)&&(n=i)}return n}function a(){return i(this,arguments,\"lt\")}function o(){return i(this,arguments,\"gt\")}function s(e,t){return new this(e).pow(t)}function c(e){var t,r,n,i=0,a=[],o=this,s=new o(o.ONE);if(null!=e&&u(s,e,\"random\")?e|=0:e=o.precision,r=Math.ceil(e/_),o.crypto)if(x&&x.getRandomValues)for(t=x.getRandomValues(new Uint32Array(r));r>i;)n=t[i],n>=429e7?t[i]=x.getRandomValues(new Uint32Array(1))[0]:a[i++]=n%1e7;else if(x&&x.randomBytes){for(t=x.randomBytes(r*=4);r>i;)n=t[i]+(t[i+1]<<8)+(t[i+2]<<16)+((127&t[i+3])<<24),n>=214e7?x.randomBytes(4).copy(t,i):(a.push(n%1e7),i+=4);i=r/4}else p(o,\"crypto unavailable\",x,\"random\");if(!i)for(;r>i;)a[i++]=1e7*Math.random()|0;for(r=a[--i],e%=_,r&&e&&(n=E(10,_-e),a[i]=(r/n|0)*n);0===a[i];i--)a.pop();if(0>i)a=[r=0];else{for(r=-1;0===a[0];)a.shift(),r-=_;for(i=1,n=a[0];n>=10;)n/=10,i++;_>i&&(r-=_-i)}return s.e=r,s.c=a,s}function f(e){return new this(e).sqrt()}function l(i){function u(e,t){var r=this;if(!(r instanceof u))return p(u,\"Decimal called without new\",e),new u(e,t);if(r.constructor=u,e instanceof u){if(null==t)return b=0,r.s=e.s,r.e=e.e,r.c=(e=e.c)?e.slice():e,r;if(10==t)return g(new u(e),u.precision,u.rounding);e+=\"\"}return m(u,r,e,t)}return u.precision=20,u.rounding=4,u.modulo=1,u.toExpNeg=-7,u.toExpPos=21,u.minE=-C,u.maxE=C,u.errors=!0,u.crypto=!1,u.format={decimalSeparator:\".\",groupSeparator:\",\",groupSize:3,secondaryGroupSize:0,fractionGroupSeparator:\" \",fractionGroupSize:0},u.prototype=T,u.ONE=new u(1),u.ROUND_UP=0,u.ROUND_DOWN=1,u.ROUND_CEIL=2,u.ROUND_FLOOR=3,u.ROUND_HALF_UP=4,u.ROUND_HALF_DOWN=5,u.ROUND_HALF_EVEN=6,u.ROUND_HALF_CEIL=7,u.ROUND_HALF_FLOOR=8,u.EUCLID=9,u.config=e,u.constructor=l,u.exp=t,u.ln=r,u.log=n,u.max=a,u.min=o,u.pow=s,u.sqrt=f,u.random=c,null!=i&&u.config(i),u}var m=function(){var e=/^-?(\\d+(\\.\\d*)?|\\.\\d+)(e[+-]?\\d+)?$/i,t=String.prototype.trim||function(){return this.replace(/^\\s+|\\s+$/g,\"\")};return function(r,n,i,a){var o,s,u,c,f,l;if(\"string\"!=typeof i&&(i=(c=\"number\"==typeof i||\"[object Number]\"==M.call(i))&&0===i&&0>1/i?\"-0\":i+\"\"),f=i,null==a&&e.test(i))n.s=45===i.charCodeAt(0)?(i=i.slice(1),-1):1;else{if(10==a)return g(new r(i),r.precision,r.rounding);if(i=t.call(i).replace(/^\\+(?!-)/,\"\"),n.s=45===i.charCodeAt(0)?(i=i.replace(/^-(?!-)/,\"\"),-1):1,null!=a?a!=(0|a)&&r.errors||(y=!(a>=2&&65>a))?(p(r,\"base\",a,0,0),l=e.test(i)):(o=\"[\"+O.slice(0,a=0|a)+\"]+\",i=i.replace(/\\.$/,\"\").replace(/^\\./,\"0.\"),(l=new RegExp(\"^\"+o+\"(?:\\\\.\"+o+\")?$\",37>a?\"i\":\"\").test(i))?(c&&(i.replace(/^0\\.0*|\\./,\"\").length>15&&p(r,0,f),c=!c),i=v(r,i,10,a,n.s)):\"Infinity\"!=i&&\"NaN\"!=i&&(p(r,\"not a base \"+a+\" number\",f),i=\"NaN\")):l=e.test(i),!l)return n.c=n.e=null,\"Infinity\"!=i&&(\"NaN\"!=i&&p(r,\"not a number\",f),n.s=null),b=0,n}for((s=i.indexOf(\".\"))>-1&&(i=i.replace(\".\",\"\")),(u=i.search(/e/i))>0?(0>s&&(s=u),s+=+i.slice(u+1),i=i.substring(0,u)):0>s&&(s=i.length),u=0;48===i.charCodeAt(u);u++);for(a=i.length;48===i.charCodeAt(--a););if(i=i.slice(u,a+1)){if(a=i.length,c&&a>15&&p(r,0,f),n.e=s=s-u-1,n.c=[],u=(s+1)%_,0>s&&(u+=_),a>u){for(u&&n.c.push(+i.slice(0,u)),a-=_;a>u;)n.c.push(+i.slice(u,u+=_));i=i.slice(u),u=_-i.length}else u-=a;for(;u--;i+=\"0\");n.c.push(+i),w&&(n.e>r.maxE?n.c=n.e=null:n.e<r.minE&&(n.c=[n.e=0]))}else n.c=[n.e=0];return b=0,n}}();return l()}(),n=function(){return d}.call(t,r,t,e),!(void 0!==n&&(e.exports=n))}(this)},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"bignumber\",{\"\":function(){return new e.BigNumber(0)},number:function(t){return new e.BigNumber(t+\"\")},string:function(t){return new e.BigNumber(t)},BigNumber:function(e){return e},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex={0:\"0\",1:\"\\\\left(${args[0]}\\\\right)\"},a}var i=r(19);t.name=\"bignumber\",t.factory=n},function(e,t){\"use strict\";e.exports=function r(e,t,n){return e&&\"function\"==typeof e.map?e.map(function(e){return r(e,t,n)}):t(e)}},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"bool\",{\"\":function(){return!1},\"boolean\":function(e){return e},number:function(e){return!!e},BigNumber:function(e){return!e.isZero()},string:function(e){var t=e.toLowerCase();if(\"true\"===t)return!0;if(\"false\"===t)return!1;var r=Number(e);if(\"\"!=e&&!isNaN(r))return!!r;throw new Error('Cannot convert \"'+e+'\" to a boolean')},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);t.name=\"boolean\",t.factory=n},function(e,t,r){e.exports=[r(22),r(25)]},function(e,t,r){\"use strict\";function n(e,t,r,n,o){function s(e){if(!(this instanceof s))throw new SyntaxError(\"Constructor must be called with the new operator\");e&&e.isChain?this.value=e.value:this.value=e}function u(e,t){\"function\"==typeof t&&(s.prototype[e]=f(t))}function c(e,t){a(s.prototype,e,function(){var e=t();return\"function\"==typeof e?f(e):void 0})}function f(e){return function(){for(var t=[this.value],r=0;r<arguments.length;r++)t[r+1]=arguments[r];return new s(e.apply(e,t))}}return s.prototype.type=\"Chain\",s.prototype.isChain=!0,s.prototype.done=function(){return this.value},s.prototype.valueOf=function(){return this.value},s.prototype.toString=function(){return i(this.value)},s.createProxy=function(e,t){if(\"string\"==typeof e)u(e,t);else for(var r in e)e.hasOwnProperty(r)&&u(r,e[r])},s.createProxy(o),o.on(\"import\",function(e,t,r){void 0===r&&c(e,t)}),s}var i=r(23).format,a=r(3).lazy;t.name=\"Chain\",t.path=\"type\",t.factory=n,t.math=!0,t.lazy=!1},function(e,t,r){\"use strict\";function n(e,r){if(Array.isArray(e)){for(var i=\"[\",a=e.length,o=0;a>o;o++)0!=o&&(i+=\", \"),i+=n(e[o],r);return i+=\"]\"}return t.format(e,r)}var i=r(6).format,a=r(24).format;t.isString=function(e){return\"string\"==typeof e},t.endsWith=function(e,t){var r=e.length-t.length,n=e.length;return e.substring(r,n)===t},t.format=function(e,r){return\"number\"==typeof e?i(e,r):e&&e.isBigNumber===!0?a(e,r):e&&e.isFraction===!0?r&&\"decimal\"===r.fraction?e.toString():e.s*e.n+\"/\"+e.d:Array.isArray(e)?n(e,r):t.isString(e)?'\"'+e+'\"':\"function\"==typeof e?e.syntax?e.syntax+\"\":\"function\":\"object\"==typeof e?\"function\"==typeof e.format?e.format(r):e.toString():String(e)}},function(e,t){t.format=function(e,r){if(\"function\"==typeof r)return r(e);if(!e.isFinite())return e.isNaN()?\"NaN\":e.gt(0)?\"Infinity\":\"-Infinity\";var n=\"auto\",i=void 0;switch(void 0!==r&&(r.notation&&(n=r.notation),\"number\"==typeof r?i=r:r.precision&&(i=r.precision)),n){case\"fixed\":return t.toFixed(e,i);case\"exponential\":return t.toExponential(e,i);case\"auto\":var a=.001,o=1e5;r&&r.exponential&&(void 0!==r.exponential.lower&&(a=r.exponential.lower),void 0!==r.exponential.upper&&(o=r.exponential.upper));({toExpNeg:e.constructor.toExpNeg,toExpPos:e.constructor.toExpPos});if(e.constructor.config({toExpNeg:Math.round(Math.log(a)/Math.LN10),toExpPos:Math.round(Math.log(o)/Math.LN10)}),e.isZero())return\"0\";var s,u=e.abs();return s=u.gte(a)&&u.lt(o)?e.toSignificantDigits(i).toFixed():t.toExponential(e,i),s.replace(/((\\.\\d*?)(0+))($|e)/,function(){var e=arguments[2],t=arguments[4];return\".\"!==e?e+t:t});default:throw new Error('Unknown notation \"'+n+'\". Choose \"auto\", \"exponential\", or \"fixed\".')}},t.toExponential=function(e,t){return void 0!==t?e.toExponential(t-1):e.toExponential()},t.toFixed=function(e,t){return e.toFixed(t||0)}},function(e,t){\"use strict\";function r(e,t,r,n){return n(\"chain\",{\"\":function(){return new e.Chain},any:function(t){return new e.Chain(t)}})}t.name=\"chain\",t.factory=r},function(e,t,r){e.exports=[r(27),r(29)]},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e,t){if(!(this instanceof s))throw new SyntaxError(\"Constructor must be called with the new operator\");switch(arguments.length){case 0:this.re=0,this.im=0;break;case 1:var r=arguments[0];if(\"object\"==typeof r){if(\"re\"in r&&\"im\"in r){var n=new s(r.re,r.im);this.re=n.re,this.im=n.im;break}if(\"r\"in r&&\"phi\"in r){var n=s.fromPolar(r.r,r.phi);this.re=n.re,this.im=n.im;break}}throw new SyntaxError(\"Object with the re and im or r and phi properties expected.\");case 2:if(!i(e)||!i(t))throw new TypeError(\"Two numbers expected in Complex constructor\");this.re=e,this.im=t;break;default:throw new SyntaxError(\"One, two or three arguments expected in Complex constructor\")}}function u(){for(;\" \"==x||\"\t\"==x;)l()}function c(e){return e>=\"0\"&&\"9\">=e||\".\"==e}function f(e){return e>=\"0\"&&\"9\">=e}function l(){y++,x=d.charAt(y)}function p(e){y=e,x=d.charAt(y)}function m(){var e,t=\"\";if(e=y,\"+\"==x?l():\"-\"==x&&(t+=x,l()),!c(x))return p(e),null;if(\".\"==x){if(t+=x,l(),!f(x))return p(e),null}else{for(;f(x);)t+=x,l();\".\"==x&&(t+=x,l())}for(;f(x);)t+=x,l();if(\"E\"==x||\"e\"==x){if(t+=x,l(),(\"+\"==x||\"-\"==x)&&(t+=x,l()),!f(x))return p(e),null;for(;f(x);)t+=x,l()}return t}function h(){var e=d.charAt(y+1);if(\"I\"==x||\"i\"==x)return l(),\"1\";if(!(\"+\"!=x&&\"-\"!=x||\"I\"!=e&&\"i\"!=e)){var t=\"+\"==x?\"1\":\"-1\";return l(),l(),t}return null}function g(){return new SyntaxError('End of string expected, got \"'+d.substr(y)+'\"')}var v=n(r(28));s.prototype.isComplex=!0,s.prototype.type=\"Complex\";var d,y,x;return s.parse=function(e){if(d=e,y=-1,x=\"\",\"string\"!=typeof d)throw new TypeError(\"Invalid argument in Complex.parse, string expected\");l(),u();var t=m();if(t){if(\"I\"==x||\"i\"==x){if(l(),u(),x)throw g();return new s(0,Number(t))}u();var r=x;if(\"+\"!=r&&\"-\"!=r){if(u(),x)throw g();return new s(Number(t),0)}l(),u();var n=m();if(n){if(\"I\"!=x&&\"i\"!=x)throw new SyntaxError('Character \"i\" expected, got \"'+x+'\"');l()}else if(n=h(),!n)throw new SyntaxError(\"Imaginary part expected\");if(\"-\"==r&&(n=\"-\"==n[0]?\"+\"+n.substring(1):\"-\"+n),l(),u(),x)throw g();return new s(Number(t),Number(n))}if(t=h()){if(u(),x)throw g();return new s(0,Number(t))}throw new SyntaxError('Could not parse: \"'+e+'\" as complex number')},s.fromPolar=function(e){switch(arguments.length){case 1:var t=arguments[0];if(\"object\"==typeof t)return s.fromPolar(t.r,t.phi);throw new TypeError(\"Input has to be an object with r and phi keys.\");case 2:var r=arguments[0],n=arguments[1];if(i(r)){if(n&&n.isUnit&&n.hasBase(v.BASE_UNITS.ANGLE)&&(n=n.toNumber(\"rad\")),i(n))return new s(r*Math.cos(n),r*Math.sin(n));throw new TypeError(\"Phi is not a number nor an angle unit.\")}throw new TypeError(\"Radius r is not a number.\");default:throw new SyntaxError(\"Wrong number of arguments in function fromPolar\")}},s.prototype.toPolar=function(){return{r:Math.sqrt(this.re*this.re+this.im*this.im),phi:Math.atan2(this.im,this.re)}},s.prototype.clone=function(){return new s(this.re,this.im)},s.prototype.equals=function(e){return this.re===e.re&&this.im===e.im},s.prototype.format=function(e){var t=\"\",r=this.im,n=this.re,o=a(this.re,e),s=a(this.im,e),u=i(e)?e:e?e.precision:null;if(null!==u){var c=Math.pow(10,-u);Math.abs(n/r)<c&&(n=0),Math.abs(r/n)<c&&(r=0)}return t=0==r?o:0==n?1==r?\"i\":-1==r?\"-i\":s+\"i\":r>0?1==r?o+\" + i\":o+\" + \"+s+\"i\":-1==r?o+\" - i\":o+\" - \"+s.substring(1)+\"i\"},s.prototype.toString=function(){return this.format()},s.prototype.toJSON=function(){return{mathjs:\"Complex\",re:this.re,im:this.im}},s.fromJSON=function(e){return new s(e)},s.prototype.valueOf=s.prototype.toString,s}var i=r(6).isNumber,a=r(6).format;t.name=\"Complex\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){function o(e,t){if(!(this instanceof o))throw new Error(\"Constructor must be called with the new operator\");if(void 0!=e&&\"number\"!=typeof e)throw new TypeError(\"First parameter in Unit constructor must be a number\");if(void 0!=t&&(\"string\"!=typeof t||\"\"==t))throw new TypeError(\"Second parameter in Unit constructor must be a string\");if(void 0!=t){var r=o.parse(t);this.units=r.units,this.dimensions=r.dimensions}else this.units=[{unit:A,prefix:b,power:0}],this.dimensions=[0,0,0,0,0,0,0,0,0];this.value=void 0!=e?this._normalize(e):null,this.fixPrefix=!1,this.isUnitListSimplified=!0}function s(){for(;\" \"==y||\"\t\"==y;)f()}function u(e){return e>=\"0\"&&\"9\">=e||\".\"==e}function c(e){return e>=\"0\"&&\"9\">=e}function f(){d++,y=v.charAt(d)}function l(e){d=e,y=v.charAt(d)}function p(){var e,t=\"\";if(e=d,\"+\"==y?f():\"-\"==y&&(t+=y,f()),!u(y))return l(e),null;if(\".\"==y){if(t+=y,f(),!c(y))return l(e),null}else{for(;c(y);)t+=y,f();\".\"==y&&(t+=y,f())}for(;c(y);)t+=y,f();if(\"E\"==y||\"e\"==y){var r=\"\",n=d;if(r+=y,f(),(\"+\"==y||\"-\"==y)&&(r+=y,f()),!c(y))return l(n),t;for(t+=r;c(y);)t+=y,f()}return t}function m(){for(var e=\"\",t=v.charCodeAt(d);t>=48&&57>=t||t>=65&&90>=t||t>=97&&122>=t;)e+=y,f(),t=v.charCodeAt(d);return t=e.charCodeAt(0),t>=65&&90>=t||t>=97&&122>=t?e||null:null}function h(e){return y===e?(f(),e):null}function g(e){for(var t in _)if(_.hasOwnProperty(t)&&a(e,t)){var r=_[t],n=e.length-t.length,i=e.substring(0,n),o=r.prefixes[i];if(void 0!==o)return{unit:r,prefix:o}}return null}o.prototype.type=\"Unit\",o.prototype.isUnit=!0;var v,d,y;o.parse=function(e){if(v=e,d=-1,y=\"\",\"string\"!=typeof v)throw new TypeError(\"Invalid argument in Unit.parse, string expected\");var t=new o;t.units=[],f(),s();var r=p(),n=null;r&&(n=parseFloat(r)),s();for(var i=1,a=!1,u=[],c=1;;){for(s();\"(\"===y;)u.push(i),c*=i,i=1,f(),s();if(!y)break;var l=y,x=m();if(null==x)throw new SyntaxError('Unexpected \"'+l+'\" in \"'+v+'\" at index '+d.toString());var w=g(x);if(null==w)throw new SyntaxError('Unit \"'+x+'\" not found.');var b=i*c;if(s(),h(\"^\")){s();var E=p();if(null==E)throw new SyntaxError('In \"'+e+'\", \"^\" must be followed by a floating-point number');b*=E}t.units.push({unit:w.unit,prefix:w.prefix,power:b});for(var M=0;M<N.length;M++)t.dimensions[M]+=w.unit.dimensions[M]*b;for(s();\")\"===y;){if(0===u.length)throw new SyntaxError('Unmatched \")\" in \"'+v+'\" at index '+d.toString());c/=u.pop(),f(),s()}a=!1,h(\"*\")?(i=1,a=!0):h(\"/\")?(i=-1,a=!0):i=1;var A=w.unit.base.key;T.auto[A]={unit:w.unit,prefix:w.prefix}}if(s(),y)throw new SyntaxError('Could not parse: \"'+e+'\"');if(a)throw new SyntaxError('Trailing characters: \"'+e+'\"');if(0!==u.length)throw new SyntaxError('Unmatched \"(\" in \"'+v+'\"');if(0==t.units.length)throw new SyntaxError('\"'+e+'\" contains no units');return t.value=void 0!=n?t._normalize(n):null,t},o.prototype.clone=function(){var e=new o;for(var t in this)this.hasOwnProperty(t)&&(e[t]=this[t]);e.dimensions=this.dimensions.slice(0),e.units=[];for(var r=0;r<this.units.length;r++){e.units[r]={};for(var t in this.units[r])this.units[r].hasOwnProperty(t)&&(e.units[r][t]=this.units[r][t])}return e},o.prototype._isDerived=function(){return 0===this.units.length?!1:this.units.length>1||Math.abs(this.units[0].power-1)>1e-15},o.prototype._normalize=function(e){if(0===this.units.length)return e;if(this._isDerived()){for(var t=e,r=0;r<this.units.length;r++)t*=Math.pow(this.units[r].unit.value*this.units[r].prefix.value,this.units[r].power);return t}return(e+this.units[0].unit.offset)*this.units[0].unit.value*this.units[0].prefix.value},o.prototype._denormalize=function(e,t){if(0===this.units.length)return e;if(this._isDerived()){for(var r=e,n=0;n<this.units.length;n++)r/=Math.pow(this.units[n].unit.value*this.units[n].prefix.value,this.units[n].power);return r}return void 0==t?e/this.units[0].unit.value/this.units[0].prefix.value-this.units[0].unit.offset:e/this.units[0].unit.value/t-this.units[0].unit.offset},o.isValuelessUnit=function(e){return null!=g(e)},o.prototype.hasBase=function(e){for(var t=0;t<N.length;t++)if(Math.abs(this.dimensions[t]-e.dimensions[t])>1e-12)return!1;return!0},o.prototype.equalBase=function(e){for(var t=0;t<N.length;t++)if(Math.abs(this.dimensions[t]-e.dimensions[t])>1e-12)return!1;return!0},o.prototype.equals=function(e){return this.equalBase(e)&&this.value==e.value},o.prototype.multiply=function(e){for(var t=this.clone(),r=0;r<N.length;r++)t.dimensions[r]=this.dimensions[r]+e.dimensions[r];for(var r=0;r<e.units.length;r++){var n=JSON.parse(JSON.stringify(e.units[r]));t.units.push(n)}if(null!=this.value||null!=e.value){var i=null==this.value?this._normalize(1):this.value,a=null==e.value?e._normalize(1):e.value;t.value=i*a}else t.value=null;return t.isUnitListSimplified=!1,t},o.prototype.divide=function(e){for(var t=this.clone(),r=0;r<N.length;r++)t.dimensions[r]=this.dimensions[r]-e.dimensions[r];for(var r=0;r<e.units.length;r++){var n=JSON.parse(JSON.stringify(e.units[r]));n.power=-n.power,t.units.push(n)}if(null!=this.value||null!=e.value){var i=null==this.value?this._normalize(1):this.value,a=null==e.value?e._normalize(1):e.value;t.value=i/a}else t.value=null;return t.isUnitListSimplified=!1,t},o.prototype.pow=function(e){for(var t=this.clone(),r=0;r<N.length;r++)t.dimensions[r]=this.dimensions[r]*e;for(var r=0;r<t.units.length;r++)t.units[r].power*=e;return null!=t.value?t.value=Math.pow(t.value,e):t.value=null,t.isUnitListSimplified=!1,t},o.prototype.to=function(e){var t,r=null==this.value?this._normalize(1):this.value;if(\"string\"==typeof e){if(t=o.parse(e),!this.equalBase(t))throw new Error(\"Units do not match\");if(null!==t.value)throw new Error(\"Cannot convert to a unit with a value\");return t.value=r,t.fixPrefix=!0,t.isUnitListSimplified=!0,t}if(e&&e.isUnit){if(!this.equalBase(e))throw new Error(\"Units do not match\");if(null!==e.value)throw new Error(\"Cannot convert to a unit with a value\");return t=e.clone(),t.value=r,t.fixPrefix=!0,t.isUnitListSimplified=!0,t}throw new Error(\"String or Unit expected as parameter\")},o.prototype.toNumber=function(e){var t=this.to(e);return t._isDerived()?t._denormalize(t.value):t._denormalize(t.value,t.units[0].prefix.value)},o.prototype.toString=function(){return this.format()},o.prototype.toJSON=function(){return{mathjs:\"Unit\",value:this._denormalize(this.value),unit:this.formatUnits(),fixPrefix:this.fixPrefix}},o.fromJSON=function(e){var t=new o(e.value,e.unit);return t.fixPrefix=e.fixPrefix||!1,t},o.prototype.valueOf=o.prototype.toString,o.prototype.simplifyUnitListLazy=function(){if(!this.isUnitListSimplified&&null!=this.value){var e,t=[];for(var r in C)if(this.hasBase(E[r])){e=r;break}if(\"NONE\"===e)this.units=[];else{var n;e&&C.hasOwnProperty(e)&&(n=C[e]);if(n)this.units=[{unit:n.unit,prefix:n.prefix,power:1}];else{for(var i=0;i<N.length;i++){var a=N[i];Math.abs(this.dimensions[i])>1e-12&&t.push({unit:C[a].unit,prefix:C[a].prefix,power:this.dimensions[i]})}t.length<this.units.length&&(this.units=t)}}this.isUnitListSimplified=!0}},o.prototype.formatUnits=function(){this.simplifyUnitListLazy();for(var e=\"\",t=\"\",r=0,n=0,i=0;i<this.units.length;i++)this.units[i].power>0?(r++,e+=\" \"+this.units[i].prefix.name+this.units[i].unit.name,Math.abs(this.units[i].power-1)>1e-15&&(e+=\"^\"+this.units[i].power)):this.units[i].power<0&&n++;if(n>0)for(var i=0;i<this.units.length;i++)this.units[i].power<0&&(r>0?(t+=\" \"+this.units[i].prefix.name+this.units[i].unit.name,Math.abs(this.units[i].power+1)>1e-15&&(t+=\"^\"+-this.units[i].power)):(t+=\" \"+this.units[i].prefix.name+this.units[i].unit.name,t+=\"^\"+this.units[i].power));e=e.substr(1),t=t.substr(1),r>1&&n>0&&(e=\"(\"+e+\")\"),\nn>1&&r>0&&(t=\"(\"+t+\")\");var a=e;return r>0&&n>0&&(a+=\" / \"),a+=t},o.prototype.format=function(e){if(this.simplifyUnitListLazy(),1===this.units.length&&!this.fixPrefix&&Math.abs(this.units[0].power-Math.round(this.units[0].power))<1e-14){var t=this._bestPrefix();this.units[0].prefix=t}var r=this._denormalize(this.value),n=null!==this.value?i(r,e):\"\",a=this.formatUnits();return a.length>0&&n.length>0&&(n+=\" \"),n+=a},o.prototype._bestPrefix=function(){if(1!==this.units.length)throw new Error(\"Can only compute the best prefix for single units with integer powers, like kg, s^2, N^-1, and so forth!\");if(Math.abs(this.units[0].power-Math.round(this.units[0].power))>=1e-14)throw new Error(\"Can only compute the best prefix for single units with integer powers, like kg, s^2, N^-1, and so forth!\");var e=Math.abs(this.value),t=this.units[0].prefix;if(0===e)return t;var r=this.units[0].power,n=Math.abs(Math.log(e/Math.pow(t.value*this.units[0].unit.value,r))/Math.LN10-1.2),i=this.units[0].unit.prefixes;for(var a in i)if(i.hasOwnProperty(a)){var o=i[a];if(o.scientific){var s=Math.abs(Math.log(e/Math.pow(o.value*this.units[0].unit.value,r))/Math.LN10-1.2);(n>s||s===n&&o.name.length<t.name.length)&&(t=o,n=s)}}return t};var x={NONE:{\"\":{name:\"\",value:1,scientific:!0}},SHORT:{\"\":{name:\"\",value:1,scientific:!0},da:{name:\"da\",value:10,scientific:!1},h:{name:\"h\",value:100,scientific:!1},k:{name:\"k\",value:1e3,scientific:!0},M:{name:\"M\",value:1e6,scientific:!0},G:{name:\"G\",value:1e9,scientific:!0},T:{name:\"T\",value:1e12,scientific:!0},P:{name:\"P\",value:1e15,scientific:!0},E:{name:\"E\",value:1e18,scientific:!0},Z:{name:\"Z\",value:1e21,scientific:!0},Y:{name:\"Y\",value:1e24,scientific:!0},d:{name:\"d\",value:.1,scientific:!1},c:{name:\"c\",value:.01,scientific:!1},m:{name:\"m\",value:.001,scientific:!0},u:{name:\"u\",value:1e-6,scientific:!0},n:{name:\"n\",value:1e-9,scientific:!0},p:{name:\"p\",value:1e-12,scientific:!0},f:{name:\"f\",value:1e-15,scientific:!0},a:{name:\"a\",value:1e-18,scientific:!0},z:{name:\"z\",value:1e-21,scientific:!0},y:{name:\"y\",value:1e-24,scientific:!0}},LONG:{\"\":{name:\"\",value:1,scientific:!0},deca:{name:\"deca\",value:10,scientific:!1},hecto:{name:\"hecto\",value:100,scientific:!1},kilo:{name:\"kilo\",value:1e3,scientific:!0},mega:{name:\"mega\",value:1e6,scientific:!0},giga:{name:\"giga\",value:1e9,scientific:!0},tera:{name:\"tera\",value:1e12,scientific:!0},peta:{name:\"peta\",value:1e15,scientific:!0},exa:{name:\"exa\",value:1e18,scientific:!0},zetta:{name:\"zetta\",value:1e21,scientific:!0},yotta:{name:\"yotta\",value:1e24,scientific:!0},deci:{name:\"deci\",value:.1,scientific:!1},centi:{name:\"centi\",value:.01,scientific:!1},milli:{name:\"milli\",value:.001,scientific:!0},micro:{name:\"micro\",value:1e-6,scientific:!0},nano:{name:\"nano\",value:1e-9,scientific:!0},pico:{name:\"pico\",value:1e-12,scientific:!0},femto:{name:\"femto\",value:1e-15,scientific:!0},atto:{name:\"atto\",value:1e-18,scientific:!0},zepto:{name:\"zepto\",value:1e-21,scientific:!0},yocto:{name:\"yocto\",value:1e-24,scientific:!0}},SQUARED:{\"\":{name:\"\",value:1,scientific:!0},da:{name:\"da\",value:100,scientific:!1},h:{name:\"h\",value:1e4,scientific:!1},k:{name:\"k\",value:1e6,scientific:!0},M:{name:\"M\",value:1e12,scientific:!0},G:{name:\"G\",value:1e18,scientific:!0},T:{name:\"T\",value:1e24,scientific:!0},P:{name:\"P\",value:1e30,scientific:!0},E:{name:\"E\",value:1e36,scientific:!0},Z:{name:\"Z\",value:1e42,scientific:!0},Y:{name:\"Y\",value:1e48,scientific:!0},d:{name:\"d\",value:.01,scientific:!1},c:{name:\"c\",value:1e-4,scientific:!1},m:{name:\"m\",value:1e-6,scientific:!0},u:{name:\"u\",value:1e-12,scientific:!0},n:{name:\"n\",value:1e-18,scientific:!0},p:{name:\"p\",value:1e-24,scientific:!0},f:{name:\"f\",value:1e-30,scientific:!0},a:{name:\"a\",value:1e-36,scientific:!0},z:{name:\"z\",value:1e-42,scientific:!0},y:{name:\"y\",value:1e-48,scientific:!0}},CUBIC:{\"\":{name:\"\",value:1,scientific:!0},da:{name:\"da\",value:1e3,scientific:!1},h:{name:\"h\",value:1e6,scientific:!1},k:{name:\"k\",value:1e9,scientific:!0},M:{name:\"M\",value:1e18,scientific:!0},G:{name:\"G\",value:1e27,scientific:!0},T:{name:\"T\",value:1e36,scientific:!0},P:{name:\"P\",value:1e45,scientific:!0},E:{name:\"E\",value:1e54,scientific:!0},Z:{name:\"Z\",value:1e63,scientific:!0},Y:{name:\"Y\",value:1e72,scientific:!0},d:{name:\"d\",value:.001,scientific:!1},c:{name:\"c\",value:1e-6,scientific:!1},m:{name:\"m\",value:1e-9,scientific:!0},u:{name:\"u\",value:1e-18,scientific:!0},n:{name:\"n\",value:1e-27,scientific:!0},p:{name:\"p\",value:1e-36,scientific:!0},f:{name:\"f\",value:1e-45,scientific:!0},a:{name:\"a\",value:1e-54,scientific:!0},z:{name:\"z\",value:1e-63,scientific:!0},y:{name:\"y\",value:1e-72,scientific:!0}},BINARY_SHORT:{\"\":{name:\"\",value:1,scientific:!0},k:{name:\"k\",value:1e3,scientific:!0},M:{name:\"M\",value:1e6,scientific:!0},G:{name:\"G\",value:1e9,scientific:!0},T:{name:\"T\",value:1e12,scientific:!0},P:{name:\"P\",value:1e15,scientific:!0},E:{name:\"E\",value:1e18,scientific:!0},Z:{name:\"Z\",value:1e21,scientific:!0},Y:{name:\"Y\",value:1e24,scientific:!0},Ki:{name:\"Ki\",value:1024,scientific:!0},Mi:{name:\"Mi\",value:Math.pow(1024,2),scientific:!0},Gi:{name:\"Gi\",value:Math.pow(1024,3),scientific:!0},Ti:{name:\"Ti\",value:Math.pow(1024,4),scientific:!0},Pi:{name:\"Pi\",value:Math.pow(1024,5),scientific:!0},Ei:{name:\"Ei\",value:Math.pow(1024,6),scientific:!0},Zi:{name:\"Zi\",value:Math.pow(1024,7),scientific:!0},Yi:{name:\"Yi\",value:Math.pow(1024,8),scientific:!0}},BINARY_LONG:{\"\":{name:\"\",value:1,scientific:!0},kilo:{name:\"kilo\",value:1e3,scientific:!0},mega:{name:\"mega\",value:1e6,scientific:!0},giga:{name:\"giga\",value:1e9,scientific:!0},tera:{name:\"tera\",value:1e12,scientific:!0},peta:{name:\"peta\",value:1e15,scientific:!0},exa:{name:\"exa\",value:1e18,scientific:!0},zetta:{name:\"zetta\",value:1e21,scientific:!0},yotta:{name:\"yotta\",value:1e24,scientific:!0},kibi:{name:\"kibi\",value:1024,scientific:!0},mebi:{name:\"mebi\",value:Math.pow(1024,2),scientific:!0},gibi:{name:\"gibi\",value:Math.pow(1024,3),scientific:!0},tebi:{name:\"tebi\",value:Math.pow(1024,4),scientific:!0},pebi:{name:\"pebi\",value:Math.pow(1024,5),scientific:!0},exi:{name:\"exi\",value:Math.pow(1024,6),scientific:!0},zebi:{name:\"zebi\",value:Math.pow(1024,7),scientific:!0},yobi:{name:\"yobi\",value:Math.pow(1024,8),scientific:!0}},BTU:{\"\":{name:\"\",value:1,scientific:!0},MM:{name:\"MM\",value:1e6,scientific:!0}}};x.SHORTLONG={};for(var w in x.SHORT)x.SHORT.hasOwnProperty(w)&&(x.SHORTLONG[w]=x.SHORT[w]);for(var w in x.LONG)x.LONG.hasOwnProperty(w)&&(x.SHORTLONG[w]=x.LONG[w]);var b={name:\"\",value:1,scientific:!0},N=[\"MASS\",\"LENGTH\",\"TIME\",\"CURRENT\",\"TEMPERATURE\",\"LUMINOUS_INTENSITY\",\"AMOUNT_OF_SUBSTANCE\",\"ANGLE\",\"BIT\"],E={NONE:{dimensions:[0,0,0,0,0,0,0,0,0]},MASS:{dimensions:[1,0,0,0,0,0,0,0,0]},LENGTH:{dimensions:[0,1,0,0,0,0,0,0,0]},TIME:{dimensions:[0,0,1,0,0,0,0,0,0]},CURRENT:{dimensions:[0,0,0,1,0,0,0,0,0]},TEMPERATURE:{dimensions:[0,0,0,0,1,0,0,0,0]},LUMINOUS_INTENSITY:{dimensions:[0,0,0,0,0,1,0,0,0]},AMOUNT_OF_SUBSTANCE:{dimensions:[0,0,0,0,0,0,1,0,0]},FORCE:{dimensions:[1,1,-2,0,0,0,0,0,0]},SURFACE:{dimensions:[0,2,0,0,0,0,0,0,0]},VOLUME:{dimensions:[0,3,0,0,0,0,0,0,0]},ENERGY:{dimensions:[1,2,-2,0,0,0,0,0,0]},POWER:{dimensions:[1,2,-3,0,0,0,0,0,0]},PRESSURE:{dimensions:[1,-1,-2,0,0,0,0,0,0]},ELECTRIC_CHARGE:{dimensions:[0,0,1,1,0,0,0,0,0]},ELECTRIC_CAPACITANCE:{dimensions:[-1,-2,4,2,0,0,0,0,0]},ELECTRIC_POTENTIAL:{dimensions:[1,2,-3,-1,0,0,0,0,0]},ELECTRIC_RESISTANCE:{dimensions:[1,2,-3,-2,0,0,0,0,0]},ELECTRIC_INDUCTANCE:{dimensions:[1,2,-2,-2,0,0,0,0,0]},ELECTRIC_CONDUCTANCE:{dimensions:[-1,-2,3,2,0,0,0,0,0]},MAGNETIC_FLUX:{dimensions:[1,2,-2,-1,0,0,0,0,0]},MAGNETIC_FLUX_DENSITY:{dimensions:[1,0,-2,-1,0,0,0,0,0]},ANGLE:{dimensions:[0,0,0,0,0,0,0,1,0]},BIT:{dimensions:[0,0,0,0,0,0,0,0,1]}};for(var w in E)E[w].key=w;var M={},A={name:\"\",base:M,value:1,offset:0,dimensions:[0,0,0,0,0,0,0,0,0]},_={meter:{name:\"meter\",base:E.LENGTH,prefixes:x.LONG,value:1,offset:0},inch:{name:\"inch\",base:E.LENGTH,prefixes:x.NONE,value:.0254,offset:0},foot:{name:\"foot\",base:E.LENGTH,prefixes:x.NONE,value:.3048,offset:0},yard:{name:\"yard\",base:E.LENGTH,prefixes:x.NONE,value:.9144,offset:0},mile:{name:\"mile\",base:E.LENGTH,prefixes:x.NONE,value:1609.344,offset:0},link:{name:\"link\",base:E.LENGTH,prefixes:x.NONE,value:.201168,offset:0},rod:{name:\"rod\",base:E.LENGTH,prefixes:x.NONE,value:5.02921,offset:0},chain:{name:\"chain\",base:E.LENGTH,prefixes:x.NONE,value:20.1168,offset:0},angstrom:{name:\"angstrom\",base:E.LENGTH,prefixes:x.NONE,value:1e-10,offset:0},m:{name:\"m\",base:E.LENGTH,prefixes:x.SHORT,value:1,offset:0},\"in\":{name:\"in\",base:E.LENGTH,prefixes:x.NONE,value:.0254,offset:0},ft:{name:\"ft\",base:E.LENGTH,prefixes:x.NONE,value:.3048,offset:0},yd:{name:\"yd\",base:E.LENGTH,prefixes:x.NONE,value:.9144,offset:0},mi:{name:\"mi\",base:E.LENGTH,prefixes:x.NONE,value:1609.344,offset:0},li:{name:\"li\",base:E.LENGTH,prefixes:x.NONE,value:.201168,offset:0},rd:{name:\"rd\",base:E.LENGTH,prefixes:x.NONE,value:5.02921,offset:0},ch:{name:\"ch\",base:E.LENGTH,prefixes:x.NONE,value:20.1168,offset:0},mil:{name:\"mil\",base:E.LENGTH,prefixes:x.NONE,value:254e-7,offset:0},m2:{name:\"m2\",base:E.SURFACE,prefixes:x.SQUARED,value:1,offset:0},sqin:{name:\"sqin\",base:E.SURFACE,prefixes:x.NONE,value:64516e-8,offset:0},sqft:{name:\"sqft\",base:E.SURFACE,prefixes:x.NONE,value:.09290304,offset:0},sqyd:{name:\"sqyd\",base:E.SURFACE,prefixes:x.NONE,value:.83612736,offset:0},sqmi:{name:\"sqmi\",base:E.SURFACE,prefixes:x.NONE,value:2589988.110336,offset:0},sqrd:{name:\"sqrd\",base:E.SURFACE,prefixes:x.NONE,value:25.29295,offset:0},sqch:{name:\"sqch\",base:E.SURFACE,prefixes:x.NONE,value:404.6873,offset:0},sqmil:{name:\"sqmil\",base:E.SURFACE,prefixes:x.NONE,value:6.4516e-10,offset:0},m3:{name:\"m3\",base:E.VOLUME,prefixes:x.CUBIC,value:1,offset:0},L:{name:\"L\",base:E.VOLUME,prefixes:x.SHORT,value:.001,offset:0},l:{name:\"l\",base:E.VOLUME,prefixes:x.SHORT,value:.001,offset:0},litre:{name:\"litre\",base:E.VOLUME,prefixes:x.LONG,value:.001,offset:0},cuin:{name:\"cuin\",base:E.VOLUME,prefixes:x.NONE,value:16387064e-12,offset:0},cuft:{name:\"cuft\",base:E.VOLUME,prefixes:x.NONE,value:.028316846592,offset:0},cuyd:{name:\"cuyd\",base:E.VOLUME,prefixes:x.NONE,value:.764554857984,offset:0},teaspoon:{name:\"teaspoon\",base:E.VOLUME,prefixes:x.NONE,value:5e-6,offset:0},tablespoon:{name:\"tablespoon\",base:E.VOLUME,prefixes:x.NONE,value:15e-6,offset:0},drop:{name:\"drop\",base:E.VOLUME,prefixes:x.NONE,value:5e-8,offset:0},gtt:{name:\"gtt\",base:E.VOLUME,prefixes:x.NONE,value:5e-8,offset:0},minim:{name:\"minim\",base:E.VOLUME,prefixes:x.NONE,value:6.161152e-8,offset:0},fluiddram:{name:\"fluiddram\",base:E.VOLUME,prefixes:x.NONE,value:36966911e-13,offset:0},fluidounce:{name:\"fluidounce\",base:E.VOLUME,prefixes:x.NONE,value:2957353e-11,offset:0},gill:{name:\"gill\",base:E.VOLUME,prefixes:x.NONE,value:.0001182941,offset:0},cc:{name:\"cc\",base:E.VOLUME,prefixes:x.NONE,value:1e-6,offset:0},cup:{name:\"cup\",base:E.VOLUME,prefixes:x.NONE,value:.0002365882,offset:0},pint:{name:\"pint\",base:E.VOLUME,prefixes:x.NONE,value:.0004731765,offset:0},quart:{name:\"quart\",base:E.VOLUME,prefixes:x.NONE,value:.0009463529,offset:0},gallon:{name:\"gallon\",base:E.VOLUME,prefixes:x.NONE,value:.003785412,offset:0},beerbarrel:{name:\"beerbarrel\",base:E.VOLUME,prefixes:x.NONE,value:.1173478,offset:0},oilbarrel:{name:\"oilbarrel\",base:E.VOLUME,prefixes:x.NONE,value:.1589873,offset:0},hogshead:{name:\"hogshead\",base:E.VOLUME,prefixes:x.NONE,value:.238481,offset:0},fldr:{name:\"fldr\",base:E.VOLUME,prefixes:x.NONE,value:36966911e-13,offset:0},floz:{name:\"floz\",base:E.VOLUME,prefixes:x.NONE,value:2957353e-11,offset:0},gi:{name:\"gi\",base:E.VOLUME,prefixes:x.NONE,value:.0001182941,offset:0},cp:{name:\"cp\",base:E.VOLUME,prefixes:x.NONE,value:.0002365882,offset:0},pt:{name:\"pt\",base:E.VOLUME,prefixes:x.NONE,value:.0004731765,offset:0},qt:{name:\"qt\",base:E.VOLUME,prefixes:x.NONE,value:.0009463529,offset:0},gal:{name:\"gal\",base:E.VOLUME,prefixes:x.NONE,value:.003785412,offset:0},bbl:{name:\"bbl\",base:E.VOLUME,prefixes:x.NONE,value:.1173478,offset:0},obl:{name:\"obl\",base:E.VOLUME,prefixes:x.NONE,value:.1589873,offset:0},g:{name:\"g\",base:E.MASS,prefixes:x.SHORT,value:.001,offset:0},gram:{name:\"gram\",base:E.MASS,prefixes:x.LONG,value:.001,offset:0},ton:{name:\"ton\",base:E.MASS,prefixes:x.SHORT,value:907.18474,offset:0},tonne:{name:\"tonne\",base:E.MASS,prefixes:x.SHORT,value:1e3,offset:0},grain:{name:\"grain\",base:E.MASS,prefixes:x.NONE,value:6479891e-11,offset:0},dram:{name:\"dram\",base:E.MASS,prefixes:x.NONE,value:.0017718451953125,offset:0},ounce:{name:\"ounce\",base:E.MASS,prefixes:x.NONE,value:.028349523125,offset:0},poundmass:{name:\"poundmass\",base:E.MASS,prefixes:x.NONE,value:.45359237,offset:0},hundredweight:{name:\"hundredweight\",base:E.MASS,prefixes:x.NONE,value:45.359237,offset:0},stick:{name:\"stick\",base:E.MASS,prefixes:x.NONE,value:.115,offset:0},stone:{name:\"stone\",base:E.MASS,prefixes:x.NONE,value:6.35029318,offset:0},gr:{name:\"gr\",base:E.MASS,prefixes:x.NONE,value:6479891e-11,offset:0},dr:{name:\"dr\",base:E.MASS,prefixes:x.NONE,value:.0017718451953125,offset:0},oz:{name:\"oz\",base:E.MASS,prefixes:x.NONE,value:.028349523125,offset:0},lbm:{name:\"lbm\",base:E.MASS,prefixes:x.NONE,value:.45359237,offset:0},cwt:{name:\"cwt\",base:E.MASS,prefixes:x.NONE,value:45.359237,offset:0},s:{name:\"s\",base:E.TIME,prefixes:x.SHORT,value:1,offset:0},min:{name:\"min\",base:E.TIME,prefixes:x.NONE,value:60,offset:0},h:{name:\"h\",base:E.TIME,prefixes:x.NONE,value:3600,offset:0},second:{name:\"second\",base:E.TIME,prefixes:x.LONG,value:1,offset:0},sec:{name:\"sec\",base:E.TIME,prefixes:x.LONG,value:1,offset:0},minute:{name:\"minute\",base:E.TIME,prefixes:x.NONE,value:60,offset:0},hour:{name:\"hour\",base:E.TIME,prefixes:x.NONE,value:3600,offset:0},day:{name:\"day\",base:E.TIME,prefixes:x.NONE,value:86400,offset:0},rad:{name:\"rad\",base:E.ANGLE,prefixes:x.NONE,value:1,offset:0},deg:{name:\"deg\",base:E.ANGLE,prefixes:x.NONE,value:.017453292519943295,offset:0},grad:{name:\"grad\",base:E.ANGLE,prefixes:x.NONE,value:.015707963267948967,offset:0},cycle:{name:\"cycle\",base:E.ANGLE,prefixes:x.NONE,value:6.283185307179586,offset:0},A:{name:\"A\",base:E.CURRENT,prefixes:x.SHORT,value:1,offset:0},ampere:{name:\"ampere\",base:E.CURRENT,prefixes:x.LONG,value:1,offset:0},K:{name:\"K\",base:E.TEMPERATURE,prefixes:x.NONE,value:1,offset:0},degC:{name:\"degC\",base:E.TEMPERATURE,prefixes:x.NONE,value:1,offset:273.15},degF:{name:\"degF\",base:E.TEMPERATURE,prefixes:x.NONE,value:1/1.8,offset:459.67},degR:{name:\"degR\",base:E.TEMPERATURE,prefixes:x.NONE,value:1/1.8,offset:0},kelvin:{name:\"kelvin\",base:E.TEMPERATURE,prefixes:x.NONE,value:1,offset:0},celsius:{name:\"celsius\",base:E.TEMPERATURE,prefixes:x.NONE,value:1,offset:273.15},fahrenheit:{name:\"fahrenheit\",base:E.TEMPERATURE,prefixes:x.NONE,value:1/1.8,offset:459.67},rankine:{name:\"rankine\",base:E.TEMPERATURE,prefixes:x.NONE,value:1/1.8,offset:0},mol:{name:\"mol\",base:E.AMOUNT_OF_SUBSTANCE,prefixes:x.NONE,value:1,offset:0},mole:{name:\"mole\",base:E.AMOUNT_OF_SUBSTANCE,prefixes:x.NONE,value:1,offset:0},cd:{name:\"cd\",base:E.LUMINOUS_INTENSITY,prefixes:x.NONE,value:1,offset:0},candela:{name:\"candela\",base:E.LUMINOUS_INTENSITY,prefixes:x.NONE,value:1,offset:0},N:{name:\"N\",base:E.FORCE,prefixes:x.SHORT,value:1,offset:0},newton:{name:\"newton\",base:E.FORCE,prefixes:x.LONG,value:1,offset:0},dyn:{name:\"dyn\",base:E.FORCE,prefixes:x.SHORT,value:1e-5,offset:0},dyne:{name:\"dyne\",base:E.FORCE,prefixes:x.LONG,value:1e-5,offset:0},lbf:{name:\"lbf\",base:E.FORCE,prefixes:x.NONE,value:4.4482216152605,offset:0},poundforce:{name:\"poundforce\",base:E.FORCE,prefixes:x.NONE,value:4.4482216152605,offset:0},J:{name:\"J\",base:E.ENERGY,prefixes:x.SHORT,value:1,offset:0},joule:{name:\"joule\",base:E.ENERGY,prefixes:x.SHORT,value:1,offset:0},erg:{name:\"erg\",base:E.ENERGY,prefixes:x.NONE,value:1e-5,offset:0},Wh:{name:\"Wh\",base:E.ENERGY,prefixes:x.SHORT,value:3600,offset:0},BTU:{name:\"BTU\",base:E.ENERGY,prefixes:x.BTU,value:1055.05585262,offset:0},eV:{name:\"eV\",base:E.ENERGY,prefixes:x.SHORT,value:1.602176565e-19,offset:0},electronvolt:{name:\"electronvolt\",base:E.ENERGY,prefixes:x.LONG,value:1.602176565e-19,offset:0},W:{name:\"W\",base:E.POWER,prefixes:x.SHORT,value:1,offset:0},watt:{name:\"W\",base:E.POWER,prefixes:x.LONG,value:1,offset:0},hp:{name:\"hp\",base:E.POWER,prefixes:x.NONE,value:745.6998715386,offset:0},Pa:{name:\"Pa\",base:E.PRESSURE,prefixes:x.SHORT,value:1,offset:0},psi:{name:\"psi\",base:E.PRESSURE,prefixes:x.NONE,value:6894.75729276459,offset:0},atm:{name:\"atm\",base:E.PRESSURE,prefixes:x.NONE,value:101325,offset:0},coulomb:{name:\"coulomb\",base:E.ELECTRIC_CHARGE,prefixes:x.LONG,value:1,offset:0},C:{name:\"C\",base:E.ELECTRIC_CHARGE,prefixes:x.SHORT,value:1,offset:0},farad:{name:\"farad\",base:E.ELECTRIC_CAPACITANCE,prefixes:x.LONG,value:1,offset:0},F:{name:\"F\",base:E.ELECTRIC_CAPACITANCE,prefixes:x.SHORT,value:1,offset:0},volt:{name:\"volt\",base:E.ELECTRIC_POTENTIAL,prefixes:x.LONG,value:1,offset:0},V:{name:\"V\",base:E.ELECTRIC_POTENTIAL,prefixes:x.SHORT,value:1,offset:0},ohm:{name:\"ohm\",base:E.ELECTRIC_RESISTANCE,prefixes:x.SHORTLONG,value:1,offset:0},henry:{name:\"henry\",base:E.ELECTRIC_INDUCTANCE,prefixes:x.LONG,value:1,offset:0},H:{name:\"H\",base:E.ELECTRIC_INDUCTANCE,prefixes:x.SHORT,value:1,offset:0},siemens:{name:\"siemens\",base:E.ELECTRIC_CONDUCTANCE,prefixes:x.LONG,value:1,offset:0},S:{name:\"S\",base:E.ELECTRIC_CONDUCTANCE,prefixes:x.SHORT,value:1,offset:0},weber:{name:\"weber\",base:E.MAGNETIC_FLUX,prefixes:x.LONG,value:1,offset:0},Wb:{name:\"Wb\",base:E.MAGNETIC_FLUX,prefixes:x.SHORT,value:1,offset:0},tesla:{name:\"tesla\",base:E.MAGNETIC_FLUX_DENSITY,prefixes:x.LONG,value:1,offset:0},T:{name:\"T\",base:E.MAGNETIC_FLUX_DENSITY,prefixes:x.SHORT,value:1,offset:0},b:{name:\"b\",base:E.BIT,prefixes:x.BINARY_SHORT,value:1,offset:0},bits:{name:\"bits\",base:E.BIT,prefixes:x.BINARY_LONG,value:1,offset:0},B:{name:\"B\",base:E.BIT,prefixes:x.BINARY_SHORT,value:8,offset:0},bytes:{name:\"bytes\",base:E.BIT,prefixes:x.BINARY_LONG,value:8,offset:0}},O={meters:\"meter\",inches:\"inch\",feet:\"foot\",yards:\"yard\",miles:\"mile\",links:\"link\",rods:\"rod\",chains:\"chain\",angstroms:\"angstrom\",litres:\"litre\",teaspoons:\"teaspoon\",tablespoons:\"tablespoon\",minims:\"minim\",fluiddrams:\"fluiddram\",fluidounces:\"fluidounce\",gills:\"gill\",cups:\"cup\",pints:\"pint\",quarts:\"quart\",gallons:\"gallon\",beerbarrels:\"beerbarrel\",oilbarrels:\"oilbarrel\",hogsheads:\"hogshead\",gtts:\"gtt\",grams:\"gram\",tons:\"ton\",tonnes:\"tonne\",grains:\"grain\",drams:\"dram\",ounces:\"ounce\",poundmasses:\"poundmass\",hundredweights:\"hundredweight\",sticks:\"stick\",seconds:\"second\",minutes:\"minute\",hours:\"hour\",days:\"day\",radians:\"rad\",degrees:\"deg\",gradients:\"grad\",cycles:\"cycle\",BTUs:\"BTU\",watts:\"watt\",joules:\"joule\",amperes:\"ampere\",coulombs:\"coulomb\",volts:\"volt\",ohms:\"ohm\",farads:\"farad\",webers:\"weber\",teslas:\"tesla\",electronvolts:\"electronvolt\",moles:\"mole\"},T={si:{NONE:{unit:A,prefix:x.NONE[\"\"]},LENGTH:{unit:_.m,prefix:x.SHORT[\"\"]},MASS:{unit:_.g,prefix:x.SHORT.k},TIME:{unit:_.s,prefix:x.SHORT[\"\"]},CURRENT:{unit:_.A,prefix:x.SHORT[\"\"]},TEMPERATURE:{unit:_.K,prefix:x.SHORT[\"\"]},LUMINOUS_INTENSITY:{unit:_.cd,prefix:x.SHORT[\"\"]},AMOUNT_OF_SUBSTANCE:{unit:_.mol,prefix:x.SHORT[\"\"]},ANGLE:{unit:_.rad,prefix:x.SHORT[\"\"]},BIT:{unit:_.bit,prefix:x.SHORT[\"\"]},FORCE:{unit:_.N,prefix:x.SHORT[\"\"]},ENERGY:{unit:_.J,prefix:x.SHORT[\"\"]},POWER:{unit:_.W,prefix:x.SHORT[\"\"]},PRESSURE:{unit:_.Pa,prefix:x.SHORT[\"\"]},ELECTRIC_CHARGE:{unit:_.C,prefix:x.SHORT[\"\"]},ELECTRIC_CAPACITANCE:{unit:_.F,prefix:x.SHORT[\"\"]},ELECTRIC_POTENTIAL:{unit:_.V,prefix:x.SHORT[\"\"]},ELECTRIC_RESISTANCE:{unit:_.ohm,prefix:x.SHORT[\"\"]},ELECTRIC_INDUCTANCE:{unit:_.H,prefix:x.SHORT[\"\"]},ELECTRIC_CONDUCTANCE:{unit:_.S,prefix:x.SHORT[\"\"]},MAGNETIC_FLUX:{unit:_.Wb,prefix:x.SHORT[\"\"]},MAGNETIC_FLUX_DENSITY:{unit:_.T,prefix:x.SHORT[\"\"]}}};T.cgs=JSON.parse(JSON.stringify(T.si)),T.cgs.LENGTH={unit:_.m,prefix:x.SHORT.c},T.cgs.MASS={unit:_.g,prefix:x.SHORT[\"\"]},T.cgs.FORCE={unit:_.dyn,prefix:x.SHORT[\"\"]},T.cgs.ENERGY={unit:_.erg,prefix:x.NONE[\"\"]},T.us=JSON.parse(JSON.stringify(T.si)),T.us.LENGTH={unit:_.ft,prefix:x.NONE[\"\"]},T.us.MASS={unit:_.lbm,prefix:x.NONE[\"\"]},T.us.TEMPERATURE={unit:_.degF,prefix:x.NONE[\"\"]},T.us.FORCE={unit:_.lbf,prefix:x.NONE[\"\"]},T.us.ENERGY={unit:_.BTU,prefix:x.BTU[\"\"]},T.us.POWER={unit:_.hp,prefix:x.NONE[\"\"]},T.us.PRESSURE={unit:_.psi,prefix:x.NONE[\"\"]},T.auto=JSON.parse(JSON.stringify(T.si));var C=T.auto;o.setUnitSystem=function(e){if(T.hasOwnProperty(e))C=T[e];else{\"Unit system \"+e+\" does not exist. Choices are: \"+listAvailableUnitSystems()}},o.listAvailableUnitSystems=function(){var e=\"\";for(var t in T)e+=\" \"+t;return e.substr(1)},o.getUnitSystem=function(){for(var e in T)if(T[e]===C)return e};for(var w in _){var S=_[w];S.dimensions=S.base.dimensions}for(var z in O)if(O.hasOwnProperty(z)){var S=_[O[z]],B=Object.create(S);B.name=z,_[z]=B}return _.lt=_.l,_.liter=_.litre,_.liters=_.litres,_.lb=_.lbm,_.lbs=_.lbm,o.PREFIXES=x,o.BASE_UNITS=E,o.UNITS=_,o.UNIT_SYSTEMS=T,o}var i=r(6).format,a=r(23).endsWith;t.name=\"Unit\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=a(\"complex\",{\"\":function(){return new e.Complex(0,0)},number:function(t){return new e.Complex(t,0)},\"number, number\":function(t,r){return new e.Complex(t,r)},\"BigNumber, BigNumber\":function(t,r){return new e.Complex(t.toNumber(),r.toNumber())},Complex:function(e){return e.clone()},string:function(t){return e.Complex.parse(t)},Object:function(t){if(\"re\"in t&&\"im\"in t)return new e.Complex(t.re,t.im);if(\"r\"in t&&\"phi\"in t)return e.Complex.fromPolar(t.r,t.phi);throw new Error(\"Expected object with either properties re and im, or properties r and phi.\")},\"Array | Matrix\":function(e){return i(e,s)}});return s.toTex={0:\"0\",1:\"\\\\left(${args[0]}\\\\right)\",2:\"\\\\left(\\\\left(${args[0]}\\\\right)+\"+o.symbols.i+\"\\\\cdot\\\\left(${args[1]}\\\\right)\\\\right)\"},s}var i=r(19);t.name=\"complex\",t.factory=n},function(e,t){\"use strict\";t.symbols={Alpha:\"A\",alpha:\"\\\\alpha\",Beta:\"B\",beta:\"\\\\beta\",Gamma:\"\\\\Gamma\",gamma:\"\\\\gamma\",Delta:\"\\\\Delta\",delta:\"\\\\delta\",Epsilon:\"E\",epsilon:\"\\\\epsilon\",varepsilon:\"\\\\varepsilon\",Zeta:\"Z\",zeta:\"\\\\zeta\",Eta:\"H\",eta:\"\\\\eta\",Theta:\"\\\\Theta\",theta:\"\\\\theta\",vartheta:\"\\\\vartheta\",Iota:\"I\",iota:\"\\\\iota\",Kappa:\"K\",kappa:\"\\\\kappa\",varkappa:\"\\\\varkappa\",Lambda:\"\\\\Lambda\",lambda:\"\\\\lambda\",Mu:\"M\",mu:\"\\\\mu\",Nu:\"N\",nu:\"\\\\nu\",Xi:\"\\\\Xi\",xi:\"\\\\xi\",Omicron:\"O\",omicron:\"o\",Pi:\"\\\\Pi\",pi:\"\\\\pi\",varpi:\"\\\\varpi\",Rho:\"P\",rho:\"\\\\rho\",varrho:\"\\\\varrho\",Sigma:\"\\\\Sigma\",sigma:\"\\\\sigma\",varsigma:\"\\\\varsigma\",Tau:\"T\",tau:\"\\\\tau\",Upsilon:\"\\\\Upsilon\",upsilon:\"\\\\upsilon\",Phi:\"\\\\Phi\",phi:\"\\\\phi\",varphi:\"\\\\varphi\",Chi:\"X\",chi:\"\\\\chi\",Psi:\"\\\\Psi\",psi:\"\\\\psi\",Omega:\"\\\\Omega\",omega:\"\\\\omega\",\"true\":\"\\\\mathrm{True}\",\"false\":\"\\\\mathrm{False}\",i:\"i\",inf:\"\\\\infty\",Inf:\"\\\\infty\",infinity:\"\\\\infty\",Infinity:\"\\\\infty\",oo:\"\\\\infty\",lim:\"\\\\lim\",undefined:\"\\\\mathbf{?}\"},t.operators={transpose:\"^\\\\top\",factorial:\"!\",pow:\"^\",dotPow:\".^\\\\wedge\",unaryPlus:\"+\",unaryMinus:\"-\",bitNot:\"~\",not:\"\\\\neg\",multiply:\"\\\\cdot\",divide:\"\\\\frac\",dotMultiply:\".\\\\cdot\",dotDivide:\".:\",mod:\"\\\\mod\",add:\"+\",subtract:\"-\",to:\"\\\\rightarrow\",leftShift:\"<<\",rightArithShift:\">>\",rightLogShift:\">>>\",equal:\"=\",unequal:\"\\\\neq\",smaller:\"<\",larger:\">\",smallerEq:\"\\\\leq\",largerEq:\"\\\\geq\",bitAnd:\"\\\\&\",bitXor:\"\\\\underline{|}\",bitOr:\"|\",and:\"\\\\wedge\",xor:\"\\\\veebar\",or:\"\\\\vee\"},t.defaultTemplate=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\";var r={deg:\"^\\\\circ\"};t.toSymbol=function(e,n){if(n=\"undefined\"==typeof n?!1:n)return r.hasOwnProperty(e)?r[e]:\"\\\\mathrm{\"+e+\"}\";if(t.symbols.hasOwnProperty(e))return t.symbols[e];if(-1!==e.indexOf(\"_\")){var i=e.indexOf(\"_\");return t.toSymbol(e.substring(0,i))+\"_{\"+t.toSymbol(e.substring(i+1))+\"}\"}return e}},function(e,t,r){e.exports=[r(32),r(36)]},function(e,t,r){function n(e,t,r,n){return i}var i=r(33);i.prototype.type=\"Fraction\",i.prototype.isFraction=!0,i.prototype.toJSON=function(){return{mathjs:\"Fraction\",n:this.s*this.n,d:this.d}},i.fromJSON=function(e){return new i(e)},t.name=\"Fraction\",t.path=\"type\",t.factory=n},function(e,t,r){var n,i;(function(e){/**\n\t * @license Fraction.js v3.0.0 09/09/2015\n\t * http://www.xarg.org/2014/03/precise-calculations-in-javascript/\n\t *\n\t * Copyright (c) 2015, Robert Eisele (robert@xarg.org)\n\t * Dual licensed under the MIT or GPL Version 2 licenses.\n\t **/\n!function(a){\"use strict\";function o(e,t){return isNaN(e=parseInt(e,10))&&s(),e*t}function s(){throw\"Invalid Param\"}function u(e,t){return this instanceof u?(l(e,t),e=u.REDUCE?g(f.d,f.n):1,this.s=f.s,this.n=f.n/e,void(this.d=f.d/e)):new u(e,t)}var c=2e3,f={s:1,n:0,d:1},l=function(e,t){var r,n=0,i=1,a=1,u=0,c=0,l=0,p=1,m=1,h=0,g=1,v=1,d=1,y=1e7;if(void 0===e||null===e);else if(void 0!==t)n=e,i=t,a=n*i;else switch(typeof e){case\"object\":\"d\"in e&&\"n\"in e?(n=e.n,i=e.d,\"s\"in e&&(n*=e.s)):0 in e?(n=e[0],1 in e&&(i=e[1])):s(),a=n*i;break;case\"number\":if(0>e&&(a=e,e=-e),e%1===0)n=e;else if(e>0){for(e>=1&&(m=Math.pow(10,Math.floor(1+Math.log(e)/Math.LN10)),e/=m);y>=g&&y>=d;){if(r=(h+v)/(g+d),e===r){y>=g+d?(n=h+v,i=g+d):d>g?(n=v,i=d):(n=h,i=g);break}e>r?(h+=v,g+=d):(v+=h,d+=g),g>y?(n=v,i=d):(n=h,i=g)}n*=m}break;case\"string\":if(g=e.match(/\\d+|./g),\"-\"===g[h]?(a=-1,h++):\"+\"===g[h]&&h++,g.length===h+1?c=o(g[h++],a):\".\"===g[h+1]||\".\"===g[h]?(\".\"!==g[h]&&(u=o(g[h++],a)),h++,(h+1===g.length||\"(\"===g[h+1]&&\")\"===g[h+3]||\"'\"===g[h+1]&&\"'\"===g[h+3])&&(c=o(g[h],a),p=Math.pow(10,g[h].length),h++),(\"(\"===g[h]&&\")\"===g[h+2]||\"'\"===g[h]&&\"'\"===g[h+2])&&(l=o(g[h+1],a),m=Math.pow(10,g[h+1].length)-1,h+=3)):\"/\"===g[h+1]||\":\"===g[h+1]?(c=o(g[h],a),p=o(g[h+2],1),h+=3):\"/\"===g[h+3]&&\" \"===g[h+1]&&(u=o(g[h],a),c=o(g[h+2],a),p=o(g[h+4],1),h+=5),g.length<=h){a=n=l+m*(u*p+c),i=p*m;break}default:s()}if(!i)throw\"DIV/0\";f.s=0>a?-1:1,f.n=Math.abs(n),f.d=Math.abs(i)},p=function(e,t,r){for(var n=1;t>0;e=e*e%r,t>>=1)1&t&&(n=n*e%r);return n},m=function(e,t){for(;t%2===0;t/=2);for(;t%5===0;t/=5);if(1===t)return 0;for(var r=10%t,n=1;1!==r;n++)if(r=10*r%t,n>c)return 0;return n},h=function(e,t,r){for(var n=1,i=p(10,r,t),a=0;300>a;a++){if(n===i)return a;n=10*n%t,i=10*i%t}return 0},g=function(e,t){if(!e)return t;if(!t)return e;for(;;){if(e%=t,!e)return t;if(t%=e,!t)return e}};u.REDUCE=1,u.prototype={s:1,n:0,d:1,abs:function(){return new u(this.n,this.d)},neg:function(){return new u(-this.s*this.n,this.d)},add:function(e,t){return l(e,t),new u(this.s*this.n*f.d+f.s*this.d*f.n,this.d*f.d)},sub:function(e,t){return l(e,t),new u(this.s*this.n*f.d-f.s*this.d*f.n,this.d*f.d)},mul:function(e,t){return l(e,t),new u(this.s*f.s*this.n*f.n,this.d*f.d)},div:function(e,t){return l(e,t),new u(this.s*f.s*this.n*f.d,this.d*f.n)},clone:function(){return new u(this)},mod:function(e,t){return void 0===e?new u(this.s*this.n%this.d,1):(l(e,t),0===f.n*this.d&&u(0,0),new u(this.s*f.d*this.n%(f.n*this.d),f.d*this.d))},gcd:function(e,t){return l(e,t),new u(g(f.n,this.n),f.d*this.d/g(f.d,this.d))},lcm:function(e,t){return l(e,t),new u(f.n*this.n/g(f.n,this.n),g(f.d,this.d))},ceil:function(){return new u(Math.ceil(this.s*this.n/this.d),1)},floor:function(){return new u(Math.floor(this.s*this.n/this.d),1)},round:function(){return new u(Math.round(this.s*this.n/this.d),1)},inverse:function(){return new u(this.s*this.d,this.n)},pow:function(e){var t=this.d,r=this.n;return 0>e?(this.d=Math.pow(r,-e),this.n=Math.pow(t,-e)):(this.d=Math.pow(t,e),this.n=Math.pow(r,e)),0===e%2&&(this.s=1),this},equals:function(e,t){return l(e,t),this.s*this.n*f.d===f.s*f.n*this.d},compare:function(e,t){l(e,t);var r=this.s*this.n*f.d-f.s*f.n*this.d;return(r>0)-(0>r)},divisible:function(e,t){return l(e,t),!(!(f.n*this.d)||this.n*f.d%(f.n*this.d))},valueOf:function(){return this.s*this.n/this.d},toFraction:function(e){var t,r=\"\",n=this.n,i=this.d;return this.s<0&&(r+=\"-\"),1===i?r+=n:(e&&(t=Math.floor(n/i))>0&&(r+=t,r+=\" \",n%=i),r+=n,r+=\"/\",r+=i),r},toLatex:function(e){var t,r=\"\",n=this.n,i=this.d;return this.s<0&&(r+=\"-\"),1===i?r+=n:(e&&(t=Math.floor(n/i))>0&&(r+=t,n%=i),r+=\"\\\\frac{\",r+=n,r+=\"}{\",r+=i,r+=\"}\"),r},toString:function(){var e,t=this.n,r=this.d;u.REDUCE||(e=g(t,r),t/=e,r/=e);for(var n=String(t).split(\"\"),i=0,a=[~this.s?\"\":\"-\",\"\",\"\"],o=\"\",s=m(t,r),c=h(t,r,s),f=-1,l=1,p=10+s+c+n.length,v=0;p>v;v++,i*=10){if(v<n.length?i+=Number(n[v]):(l=2,f++),s>0)if(f===c)a[l]+=o+\"(\",o=\"\";else if(f===s+c){a[l]+=o+\")\";break}i>=r?(a[l]+=o+(i/r|0),o=\"\",i%=r):l>1?o+=\"0\":a[l]&&(a[l]+=\"0\")}return a[0]+=a[1]||\"0\",a[2]?a[0]+\".\"+a[2]:a[0]}},r(35).amd?(n=[],i=function(){return u}.apply(t,n),!(void 0!==i&&(e.exports=i))):e.exports=u}(this)}).call(t,r(34)(e))},function(e,t){e.exports=function(e){return e.webpackPolyfill||(e.deprecate=function(){},e.paths=[],e.children=[],e.webpackPolyfill=1),e}},function(e,t){e.exports=function(){throw new Error(\"define cannot be used indirect\")}},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"fraction\",{number:function(t){if(!isFinite(t)||isNaN(t))throw new Error(t+\" cannot be represented as a fraction\");return new e.Fraction(t)},string:function(t){return new e.Fraction(t)},\"number, number\":function(t,r){return new e.Fraction(t,r)},Fraction:function(e){return e},Object:function(t){return new e.Fraction(t)},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);t.name=\"fraction\",t.factory=n},function(e,t,r){e.exports=[r(38),r(46),r(47),r(49),r(58),r(64),r(65),r(66),r(67),r(51),r(68)]},function(e,t,r){\"use strict\";function n(e,t,r,n){function i(){if(!(this instanceof i))throw new SyntaxError(\"Constructor must be called with the new operator\")}return i.prototype.type=\"Matrix\",i.prototype.isMatrix=!0,i.storage=function(e){if(!o(e))throw new TypeError(\"format must be a string value\");var t=i._storage[e];if(!t)throw new SyntaxError(\"Unsupported matrix storage format: \"+e);return t},i._storage={},i.prototype.storage=function(){throw new Error(\"Cannot invoke storage on a Matrix interface\")},i.prototype.datatype=function(){throw new Error(\"Cannot invoke datatype on a Matrix interface\")},i.prototype.create=function(e,t){throw new Error(\"Cannot invoke create on a Matrix interface\")},i.prototype.subset=function(e,t,r){throw new Error(\"Cannot invoke subset on a Matrix interface\")},i.prototype.get=function(e){throw new Error(\"Cannot invoke get on a Matrix interface\")},i.prototype.set=function(e,t,r){throw new Error(\"Cannot invoke set on a Matrix interface\")},i.prototype.resize=function(e,t){throw new Error(\"Cannot invoke resize on a Matrix interface\")},i.prototype.clone=function(){throw new Error(\"Cannot invoke clone on a Matrix interface\")},i.prototype.size=function(){throw new Error(\"Cannot invoke size on a Matrix interface\")},i.prototype.map=function(e,t){throw new Error(\"Cannot invoke map on a Matrix interface\")},i.prototype.forEach=function(e){throw new Error(\"Cannot invoke forEach on a Matrix interface\")},i.prototype.toArray=function(){throw new Error(\"Cannot invoke toArray on a Matrix interface\")},i.prototype.valueOf=function(){throw new Error(\"Cannot invoke valueOf on a Matrix interface\")},i.prototype.format=function(e){throw new Error(\"Cannot invoke format on a Matrix interface\")},i.prototype.toString=function(){throw new Error(\"Cannot invoke toString on a Matrix interface\")},i}var i=r(39),a=i.string,o=a.isString;t.name=\"Matrix\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";t.array=r(40),t[\"boolean\"]=r(44),t[\"function\"]=r(45),t.number=r(6),t.object=r(3),t.string=r(23),t.types=r(41),t.emitter=r(8)},function(e,t,r){\"use strict\";function n(e,t,r){var i,a=e.length;if(a!=t[r])throw new f(a,t[r]);if(r<t.length-1){var o=r+1;for(i=0;a>i;i++){var s=e[i];if(!Array.isArray(s))throw new f(t.length-1,t.length,\"<\");n(e[i],t,o)}}else for(i=0;a>i;i++)if(Array.isArray(e[i]))throw new f(t.length+1,t.length,\">\")}function i(e,r,n,a){var o,s,u=e.length,f=r[n],l=Math.min(u,f);if(e.length=f,n<r.length-1){var p=n+1;for(o=0;l>o;o++)s=e[o],Array.isArray(s)||(s=[s],e[o]=s),i(s,r,p,a);for(o=l;f>o;o++)s=[],e[o]=s,i(s,r,p,a)}else{for(o=0;l>o;o++)for(;Array.isArray(e[o]);)e[o]=e[o][0];if(a!==t.UNINITIALIZED)for(o=l;f>o;o++)e[o]=c.clone(a)}}function a(e,t,r){var n,i;if(t>r){var o=r+1;for(n=0,i=e.length;i>n;n++)e[n]=a(e[n],t,o)}else for(;Array.isArray(e);)e=e[0];return e}function o(e,t,r){var n,i;if(Array.isArray(e)){var a=r+1;for(n=0,i=e.length;i>n;n++)e[n]=o(e[n],t,a)}else for(var s=r;t>s;s++)e=[e];return e}var s=r(6),u=r(23),c=r(3),f=(r(41),r(42)),l=r(43);t.size=function(e){for(var t=[];Array.isArray(e);)t.push(e.length),e=e[0];return t},t.validate=function(e,t){var r=0==t.length;if(r){if(Array.isArray(e))throw new f(e.length,0)}else n(e,t,0)},t.validateIndex=function(e,t){if(!s.isNumber(e)||!s.isInteger(e))throw new TypeError(\"Index must be an integer (value: \"+e+\")\");if(0>e)throw new l(e);if(void 0!==t&&e>=t)throw new l(e,t)},t.UNINITIALIZED={},t.resize=function(e,t,r){if(!Array.isArray(e)||!Array.isArray(t))throw new TypeError(\"Array expected\");if(0===t.length)throw new Error(\"Resizing to scalar is not supported\");t.forEach(function(e){if(!s.isNumber(e)||!s.isInteger(e)||0>e)throw new TypeError(\"Invalid size, must contain positive integers (size: \"+u.format(t)+\")\")});var n=void 0!==r?r:0;return i(e,t,0,n),e},t.squeeze=function(e,r){for(var n=r||t.size(e);Array.isArray(e)&&1===e.length;)e=e[0],n.shift();for(var i=n.length;1===n[i-1];)i--;return i<n.length&&(e=a(e,i,0),n.length=i),e},t.unsqueeze=function(e,r,n,i){var a=i||t.size(e);if(n)for(var s=0;n>s;s++)e=[e],a.unshift(1);for(e=o(e,r,0);a.length<r;)a.push(1);return e},t.flatten=function(e){if(!Array.isArray(e))return e;var t=[];return e.forEach(function r(e){Array.isArray(e)?e.forEach(r):t.push(e)}),t},t.isArray=Array.isArray},function(e,t){\"use strict\";t.type=function(e){var t=typeof e;return\"object\"===t?null===e?\"null\":e instanceof Boolean?\"boolean\":e instanceof Number?\"number\":e instanceof String?\"string\":Array.isArray(e)?\"Array\":e instanceof Date?\"Date\":e instanceof RegExp?\"RegExp\":\"Object\":\"function\"===t?\"Function\":t}},function(e,t){\"use strict\";function r(e,t,n){if(!(this instanceof r))throw new SyntaxError(\"Constructor must be called with the new operator\");this.actual=e,this.expected=t,this.relation=n,this.message=\"Dimension mismatch (\"+(Array.isArray(e)?\"[\"+e.join(\", \")+\"]\":e)+\" \"+(this.relation||\"!=\")+\" \"+(Array.isArray(t)?\"[\"+t.join(\", \")+\"]\":t)+\")\",this.stack=(new Error).stack}r.prototype=new RangeError,r.prototype.constructor=RangeError,r.prototype.name=\"DimensionError\",r.prototype.isDimensionError=!0,e.exports=r},function(e,t){\"use strict\";function r(e,t,n){if(!(this instanceof r))throw new SyntaxError(\"Constructor must be called with the new operator\");this.index=e,arguments.length<3?(this.min=0,this.max=t):(this.min=t,this.max=n),void 0!==this.min&&this.index<this.min?this.message=\"Index out of range (\"+this.index+\" < \"+this.min+\")\":void 0!==this.max&&this.index>=this.max?this.message=\"Index out of range (\"+this.index+\" > \"+(this.max-1)+\")\":this.message=\"Index out of range (\"+this.index+\")\",this.stack=(new Error).stack}r.prototype=new RangeError,r.prototype.constructor=RangeError,r.prototype.name=\"IndexError\",r.prototype.isIndexError=!0,e.exports=r},function(e,t){\"use strict\";t.isBoolean=function(e){return\"boolean\"==typeof e}},function(e,t){t.memoize=function(e,t){return function r(){\"object\"!=typeof r.cache&&(r.cache={});for(var n=[],i=0;i<arguments.length;i++)n[i]=arguments[i];var a=t?t(n):JSON.stringify(n);return a in r.cache?r.cache[a]:r.cache[a]=e.apply(e,n)}}},function(e,t,r){\"use strict\";function n(e,t,n,c){function g(e,t){if(!(this instanceof g))throw new SyntaxError(\"Constructor must be called with the new operator\");if(t&&!m(t))throw new Error(\"Invalid datatype: \"+t);if(e&&e.isMatrix===!0)\"DenseMatrix\"===e.type?(this._data=u.clone(e._data),this._size=u.clone(e._size),this._datatype=t||e._datatype):(this._data=e.toArray(),this._size=e.size(),this._datatype=t||e._datatype);else if(e&&f(e.data)&&f(e.size))this._data=e.data,this._size=e.size,this._datatype=t||e.datatype;else if(f(e))this._data=b(e),this._size=s.size(this._data),s.validate(this._data,this._size),this._datatype=t;else{if(e)throw new TypeError(\"Unsupported type of data (\"+i.types.type(e)+\")\");this._data=[],this._size=[0],this._datatype=t}}function v(e,t){if(!t||t.isIndex!==!0)throw new TypeError(\"Invalid index\");var r=t.isScalar();if(r)return e.get(t.min());var n=t.size();if(n.length!=e._size.length)throw new a(n.length,e._size.length);for(var i=t.min(),o=t.max(),s=0,u=e._size.length;u>s;s++)h(i[s],e._size[s]),h(o[s],e._size[s]);return new g(d(e._data,t,n.length,0),e._datatype)}function d(e,t,r,n){var i=n==r-1,a=t.dimension(n);return i?a.map(function(t){return e[t]}).valueOf():a.map(function(i){var a=e[i];return d(a,t,r,n+1)}).valueOf()}function y(e,t,r,n){if(!t||t.isIndex!==!0)throw new TypeError(\"Invalid index\");var i,o=t.size(),c=t.isScalar();if(r&&r.isMatrix===!0?(i=r.size(),r=r.valueOf()):i=s.size(r),c){if(0!==i.length)throw new TypeError(\"Scalar expected\");e.set(t.min(),r,n)}else{if(o.length<e._size.length)throw new a(o.length,e._size.length,\"<\");if(i.length<o.length){for(var f=0,l=0;1===o[f]&&1===i[f];)f++;for(;1===o[f];)l++,f++;r=s.unsqueeze(r,o.length,l,i)}if(!u.deepEqual(o,i))throw new a(o,i,\">\");var p=t.max().map(function(e){return e+1});w(e,p,n);var m=o.length,h=0;x(e._data,t,r,m,h)}return e}function x(e,t,r,n,i){var a=i==n-1,o=t.dimension(i);a?o.forEach(function(t,n){h(t),e[t]=r[n[0]]}):o.forEach(function(a,o){h(a),x(e[a],t,r[o[0]],n,i+1)})}function w(e,t,r){for(var n=u.clone(e._size),i=!1;n.length<t.length;)n.push(0),i=!0;for(var a=0,o=t.length;o>a;a++)t[a]>n[a]&&(n[a]=t[a],i=!0);i&&E(e,n,r)}function b(e){for(var t=0,r=e.length;r>t;t++){var n=e[t];f(n)?e[t]=b(n):n&&n.isMatrix===!0&&(e[t]=b(n.valueOf()))}return e}var N=n(r(38));g.prototype=new N,g.prototype.type=\"DenseMatrix\",g.prototype.isDenseMatrix=!0,g.prototype.storage=function(){return\"dense\"},g.prototype.datatype=function(){return this._datatype},g.prototype.create=function(e,t){return new g(e,t)},g.prototype.subset=function(e,t,r){switch(arguments.length){case 1:return v(this,e);case 2:case 3:return y(this,e,t,r);default:throw new SyntaxError(\"Wrong number of arguments\")}},g.prototype.get=function(e){if(!f(e))throw new TypeError(\"Array expected\");if(e.length!=this._size.length)throw new a(e.length,this._size.length);for(var t=0;t<e.length;t++)h(e[t],this._size[t]);for(var r=this._data,n=0,i=e.length;i>n;n++){var o=e[n];h(o,r.length),r=r[o]}return u.clone(r)},g.prototype.set=function(e,t,r){if(!f(e))throw new TypeError(\"Array expected\");if(e.length<this._size.length)throw new a(e.length,this._size.length,\"<\");var n,i,o,s=e.map(function(e){return e+1});w(this,s,r);var u=this._data;for(n=0,i=e.length-1;i>n;n++)o=e[n],h(o,u.length),u=u[o];return o=e[e.length-1],h(o,u.length),u[o]=t,this},g.prototype.resize=function(e,t,r){if(!f(e))throw new TypeError(\"Array expected\");var n=r?this.clone():this;return E(n,e,t)};var E=function(e,t,r){if(0===t.length){for(var n=e._data;f(n);)n=n[0];return u.clone(n)}return e._size=u.clone(t),e._data=s.resize(e._data,e._size,r),e};return g.prototype.clone=function(){var e=new g({data:u.clone(this._data),size:u.clone(this._size),datatype:this._datatype});return e},g.prototype.size=function(){return this._size},g.prototype.map=function(e){var t=this,r=function(n,i){return f(n)?n.map(function(e,t){return r(e,i.concat(t))}):e(n,i,t)};return new g({data:r(this._data,[]),size:u.clone(this._size),datatype:this._datatype})},g.prototype.forEach=function(e){var t=this,r=function(n,i){f(n)?n.forEach(function(e,t){r(e,i.concat(t))}):e(n,i,t)};r(this._data,[])},g.prototype.toArray=function(){return u.clone(this._data)},g.prototype.valueOf=function(){return this._data},g.prototype.format=function(e){return o.format(this._data,e)},g.prototype.toString=function(){return o.format(this._data)},g.prototype.toJSON=function(){return{mathjs:\"DenseMatrix\",data:this._data,size:this._size,datatype:this._datatype}},g.prototype.diagonal=function(e){if(e){if(e.isBigNumber===!0&&(e=e.toNumber()),!l(e)||!p(e))throw new TypeError(\"The parameter k must be an integer number\")}else e=0;for(var t=e>0?e:0,r=0>e?-e:0,n=this._size[0],i=this._size[1],a=Math.min(n-r,i-t),o=[],s=0;a>s;s++)o[s]=u.clone(this._data[s+r][s+t]);return new g({data:o,size:[a],datatype:this._datatype})},g.diagonal=function(t,r,n,i,a){if(!f(t))throw new TypeError(\"Array expected, size parameter\");if(2!==t.length)throw new Error(\"Only two dimensions matrix are supported\");if(t=t.map(function(e){if(e&&e.isBigNumber===!0&&(e=e.toNumber()),!l(e)||!p(e)||1>e)throw new Error(\"Size values must be positive integers\");return e}),n){if(n&&n.isBigNumber===!0&&(n=n.toNumber()),!l(n)||!p(n))throw new TypeError(\"The parameter k must be an integer number\")}else n=0;i&&m(a)&&(i=c.convert(i,a));var o,u=n>0?n:0,h=0>n?-n:0,v=t[0],d=t[1],y=Math.min(v-h,d-u);if(f(r)){if(r.length!==y)throw new Error(\"Invalid value array length\");o=function(e){return r[e]}}else if(r&&r.isMatrix===!0){var x=r.size();if(1!==x.length||x[0]!==y)throw new Error(\"Invalid matrix length\");o=function(e){return r.get([e])}}else o=function(){return r};i||(i=o(0)&&o(0).isBigNumber===!0?new e.BigNumber(0):0);var w=[];if(t.length>0){w=s.resize(w,t,i);for(var b=0;y>b;b++)w[b+h][b+u]=o(b)}return new g({data:w,size:[v,d]})},g.fromJSON=function(e){return new g(e)},g.prototype.swapRows=function(e,t){if(!(l(e)&&p(e)&&l(t)&&p(t)))throw new Error(\"Row index must be positive integers\");if(2!==this._size.length)throw new Error(\"Only two dimensional matrix is supported\");return h(e,this._size[0]),h(t,this._size[0]),g._swapRows(e,t,this._data),this},g._swapRows=function(e,t,r){var n=r[e];r[e]=r[t],r[t]=n},e.Matrix._storage.dense=g,e.Matrix._storage[\"default\"]=g,g}var i=r(39),a=r(42),o=i.string,s=i.array,u=i.object,c=i.number,f=Array.isArray,l=c.isNumber,p=c.isInteger,m=o.isString,h=s.validateIndex;t.name=\"DenseMatrix\",t.path=\"type\",t.factory=n,t.lazy=!1},function(e,t,r){\"use strict\";function n(e,t,n,g){function v(e,t){if(!(this instanceof v))throw new SyntaxError(\"Constructor must be called with the new operator\");if(t&&!m(t))throw new Error(\"Invalid datatype: \"+t);if(e&&e.isMatrix===!0)x(this,e,t);else if(e&&f(e.index)&&f(e.ptr)&&f(e.size))this._values=e.values,this._index=e.index,this._ptr=e.ptr,this._size=e.size,this._datatype=t||e.datatype;else if(f(e))w(this,e,t);else{if(e)throw new TypeError(\"Unsupported type of data (\"+i.types.type(e)+\")\");this._values=[],this._index=[],this._ptr=[0],this._size=[0,0],this._datatype=t}}var d=n(r(38)),y=n(r(48)),x=function(e,t,r){\"SparseMatrix\"===t.type?(e._values=t._values?s.clone(t._values):void 0,e._index=s.clone(t._index),e._ptr=s.clone(t._ptr),e._size=s.clone(t._size),e._datatype=r||t._datatype):w(e,t.valueOf(),r||t._datatype)},w=function(e,t,r){e._values=[],e._index=[],e._ptr=[],e._datatype=r;var n=t.length,i=0,a=y,o=0;if(m(r)&&(a=g.find(y,[r,r])||y,o=g.convert(0,r)),n>0){var s=0;do{e._ptr.push(e._index.length);for(var u=0;n>u;u++){var c=t[u];if(f(c)){if(0===s&&i<c.length&&(i=c.length),s<c.length){var l=c[s];a(l,o)||(e._values.push(l),e._index.push(u))}}else 0===s&&1>i&&(i=1),a(c,o)||(e._values.push(c),e._index.push(u))}s++}while(i>s)}e._ptr.push(e._index.length),e._size=[n,i]};v.prototype=new d,v.prototype.type=\"SparseMatrix\",v.prototype.isSparseMatrix=!0,v.prototype.storage=function(){return\"sparse\"},v.prototype.datatype=function(){return this._datatype},v.prototype.create=function(e,t){return new v(e,t)},v.prototype.density=function(){var e=this._size[0],t=this._size[1];return 0!==e&&0!==t?this._index.length/(e*t):0},v.prototype.subset=function(e,t,r){if(!this._values)throw new Error(\"Cannot invoke subset on a Pattern only matrix\");switch(arguments.length){case 1:return b(this,e);case 2:case 3:return N(this,e,t,r);default:throw new SyntaxError(\"Wrong number of arguments\")}};var b=function(e,t){if(!t||t.isIndex!==!0)throw new TypeError(\"Invalid index\");var r=t.isScalar();if(r)return e.get(t.min());var n=t.size();if(n.length!=e._size.length)throw new a(n.length,e._size.length);var i,o,s,u,c=t.min(),f=t.max();for(i=0,o=e._size.length;o>i;i++)h(c[i],e._size[i]),h(f[i],e._size[i]);var l=e._values,p=e._index,m=e._ptr,g=t.dimension(0),d=t.dimension(1),y=[],x=[];g.forEach(function(e,t){x[e]=t[0],y[e]=!0});var w=l?[]:void 0,b=[],N=[];return d.forEach(function(e){for(N.push(b.length),s=m[e],u=m[e+1];u>s;s++)i=p[s],y[i]===!0&&(b.push(x[i]),w&&w.push(l[s]))}),N.push(b.length),new v({values:w,index:b,ptr:N,size:n,datatype:e._datatype})},N=function(e,t,r,n){if(!t||t.isIndex!==!0)throw new TypeError(\"Invalid index\");var i,u=t.size(),c=t.isScalar();if(r&&r.isMatrix===!0?(i=r.size(),r=r.toArray()):i=o.size(r),c){if(0!==i.length)throw new TypeError(\"Scalar expected\");e.set(t.min(),r,n)}else{if(1!==u.length&&2!==u.length)throw new a(u.length,e._size.length,\"<\");if(i.length<u.length){for(var f=0,l=0;1===u[f]&&1===i[f];)f++;for(;1===u[f];)l++,f++;r=o.unsqueeze(r,u.length,l,i)}if(!s.deepEqual(u,i))throw new a(u,i,\">\");for(var p=t.min()[0],m=t.min()[1],h=i[0],g=i[1],v=0;h>v;v++)for(var d=0;g>d;d++){var y=r[v][d];e.set([v+p,d+m],y,n)}}return e};v.prototype.get=function(e){if(!f(e))throw new TypeError(\"Array expected\");if(e.length!=this._size.length)throw new a(e.length,this._size.length);if(!this._values)throw new Error(\"Cannot invoke get on a Pattern only matrix\");var t=e[0],r=e[1];h(t,this._size[0]),h(r,this._size[1]);var n=E(t,this._ptr[r],this._ptr[r+1],this._index);return n<this._ptr[r+1]&&this._index[n]===t?s.clone(this._values[n]):0},v.prototype.set=function(e,t,r){if(!f(e))throw new TypeError(\"Array expected\");if(e.length!=this._size.length)throw new a(e.length,this._size.length);if(!this._values)throw new Error(\"Cannot invoke set on a Pattern only matrix\");var n=e[0],i=e[1],o=this._size[0],s=this._size[1],u=y,c=0;m(this._datatype)&&(u=g.find(y,[this._datatype,this._datatype])||y,c=g.convert(0,this._datatype)),(n>o-1||i>s-1)&&(_(this,Math.max(n+1,o),Math.max(i+1,s),r),o=this._size[0],s=this._size[1]),h(n,o),h(i,s);var l=E(n,this._ptr[i],this._ptr[i+1],this._index);return l<this._ptr[i+1]&&this._index[l]===n?u(t,c)?M(l,i,this._values,this._index,this._ptr):this._values[l]=t:A(l,n,i,t,this._values,this._index,this._ptr),this};var E=function(e,t,r,n){if(r-t===0)return r;for(var i=t;r>i;i++)if(n[i]===e)return i;return t},M=function(e,t,r,n,i){r.splice(e,1),n.splice(e,1);for(var a=t+1;a<i.length;a++)i[a]--},A=function(e,t,r,n,i,a,o){i.splice(e,0,n),a.splice(e,0,t);for(var s=r+1;s<o.length;s++)o[s]++};v.prototype.resize=function(e,t,r){if(!f(e))throw new TypeError(\"Array expected\");if(2!==e.length)throw new Error(\"Only two dimensions matrix are supported\");e.forEach(function(t){if(!c.isNumber(t)||!c.isInteger(t)||0>t)throw new TypeError(\"Invalid size, must contain positive integers (size: \"+u.format(e)+\")\")});var n=r?this.clone():this;return _(n,e[0],e[1],t)};var _=function(e,t,r,n){var i=n||0,a=y,o=0;m(e._datatype)&&(a=g.find(y,[e._datatype,e._datatype])||y,o=g.convert(0,e._datatype),i=g.convert(i,e._datatype));var s,u,c,f=!a(i,o),l=e._size[0],p=e._size[1];if(r>p){for(u=p;r>u;u++)if(e._ptr[u]=e._values.length,f)for(s=0;l>s;s++)e._values.push(i),e._index.push(s);e._ptr[r]=e._values.length}else p>r&&(e._ptr.splice(r+1,p-r),e._values.splice(e._ptr[r],e._values.length),e._index.splice(e._ptr[r],e._index.length));if(p=r,t>l){if(f){var h=0;for(u=0;p>u;u++){e._ptr[u]=e._ptr[u]+h,c=e._ptr[u+1]+h;var v=0;for(s=l;t>s;s++,v++)e._values.splice(c+v,0,i),e._index.splice(c+v,0,s),h++}e._ptr[p]=e._values.length}}else if(l>t){var d=0;for(u=0;p>u;u++){e._ptr[u]=e._ptr[u]-d;var x=e._ptr[u],w=e._ptr[u+1]-d;for(c=x;w>c;c++)s=e._index[c],s>t-1&&(e._values.splice(c,1),e._index.splice(c,1),d++)}e._ptr[u]=e._values.length}return e._size[0]=t,e._size[1]=r,e};v.prototype.clone=function(){var e=new v({values:this._values?s.clone(this._values):void 0,index:s.clone(this._index),ptr:s.clone(this._ptr),size:s.clone(this._size),datatype:this._datatype});return e},v.prototype.size=function(){return s.clone(this._size)},v.prototype.map=function(e,t){if(!this._values)throw new Error(\"Cannot invoke map on a Pattern only matrix\");var r=this,n=this._size[0],i=this._size[1],a=function(t,n,i){return e(t,[n,i],r)};return O(this,0,n-1,0,i-1,a,t)};var O=function(e,t,r,n,i,a,o){var s=[],u=[],c=[],f=y,l=0;m(e._datatype)&&(f=g.find(y,[e._datatype,e._datatype])||y,l=g.convert(0,e._datatype));for(var p=function(e,t,r){e=a(e,t,r),f(e,l)||(s.push(e),u.push(t))},h=n;i>=h;h++){c.push(s.length);for(var d=e._ptr[h],x=e._ptr[h+1],w=t,b=d;x>b;b++){var N=e._index[b];if(N>=t&&r>=N){if(!o)for(var E=w;N>E;E++)p(0,E-t,h-n);p(e._values[b],N-t,h-n)}w=N+1}if(!o)for(var M=w;r>=M;M++)p(0,M-t,h-n)}return c.push(s.length),new v({values:s,index:u,ptr:c,size:[r-t+1,i-n+1]})};v.prototype.forEach=function(e,t){if(!this._values)throw new Error(\"Cannot invoke forEach on a Pattern only matrix\");for(var r=this,n=this._size[0],i=this._size[1],a=0;i>a;a++){for(var o=this._ptr[a],s=this._ptr[a+1],u=0,c=o;s>c;c++){var f=this._index[c];if(!t)for(var l=u;f>l;l++)e(0,[l,a],r);e(this._values[c],[f,a],r),u=f+1}if(!t)for(var p=u;n>p;p++)e(0,[p,a],r)}},v.prototype.toArray=function(){return T(this._values,this._index,this._ptr,this._size,!0)},v.prototype.valueOf=function(){return T(this._values,this._index,this._ptr,this._size,!1)};var T=function(e,t,r,n,i){var a,o,u=n[0],c=n[1],f=[];for(a=0;u>a;a++)for(f[a]=[],o=0;c>o;o++)f[a][o]=0;for(o=0;c>o;o++)for(var l=r[o],p=r[o+1],m=l;p>m;m++)a=t[m],f[a][o]=e?i?s.clone(e[m]):e[m]:1;return f};return v.prototype.format=function(e){for(var t=this._size[0],r=this._size[1],n=this.density(),i=\"Sparse Matrix [\"+u.format(t,e)+\" x \"+u.format(r,e)+\"] density: \"+u.format(n,e)+\"\\n\",a=0;r>a;a++)for(var o=this._ptr[a],s=this._ptr[a+1],c=o;s>c;c++){var f=this._index[c];i+=\"\\n (\"+u.format(f,e)+\", \"+u.format(a,e)+\") ==> \"+(this._values?u.format(this._values[c],e):\"X\")}return i},v.prototype.toString=function(){return u.format(this.toArray())},v.prototype.toJSON=function(){return{mathjs:\"SparseMatrix\",values:this._values,index:this._index,ptr:this._ptr,size:this._size,datatype:this._datatype}},v.prototype.diagonal=function(e){if(e){if(e.isBigNumber===!0&&(e=e.toNumber()),!l(e)||!p(e))throw new TypeError(\"The parameter k must be an integer number\")}else e=0;var t=e>0?e:0,r=0>e?-e:0,n=this._size[0],i=this._size[1],a=Math.min(n-r,i-t),o=[],u=[],c=[];c[0]=0;for(var f=t;i>f&&o.length<a;f++)for(var m=this._ptr[f],h=this._ptr[f+1],g=m;h>g;g++){var d=this._index[g];if(d===f-t+r){o.push(s.clone(this._values[g])),u[o.length-1]=d-r;break}}return c.push(o.length),new v({values:o,index:u,ptr:c,size:[a,1]})},v.fromJSON=function(e){return new v(e)},v.diagonal=function(e,t,r,n,i){if(!f(e))throw new TypeError(\"Array expected, size parameter\");if(2!==e.length)throw new Error(\"Only two dimensions matrix are supported\");if(e=e.map(function(e){if(e&&e.isBigNumber===!0&&(e=e.toNumber()),!l(e)||!p(e)||1>e)throw new Error(\"Size values must be positive integers\");return e}),r){if(r.isBigNumber===!0&&(r=r.toNumber()),!l(r)||!p(r))throw new TypeError(\"The parameter k must be an integer number\")}else r=0;var a=y,o=0;m(i)&&(a=g.find(y,[i,i])||y,o=g.convert(0,i));var s,u=r>0?r:0,c=0>r?-r:0,h=e[0],d=e[1],x=Math.min(h-c,d-u);if(f(t)){if(t.length!==x)throw new Error(\"Invalid value array length\");s=function(e){return t[e]}}else if(t&&t.isMatrix===!0){var w=t.size();if(1!==w.length||w[0]!==x)throw new Error(\"Invalid matrix length\");s=function(e){return t.get([e])}}else s=function(){return t};for(var b=[],N=[],E=[],M=0;d>M;M++){E.push(b.length);var A=M-u;if(A>=0&&x>A){var _=s(A);a(_,o)||(N.push(A+c),b.push(_))}}return E.push(b.length),new v({values:b,index:N,ptr:E,size:[h,d]})},v.prototype.swapRows=function(e,t){if(!(l(e)&&p(e)&&l(t)&&p(t)))throw new Error(\"Row index must be positive integers\");if(2!==this._size.length)throw new Error(\"Only two dimensional matrix is supported\");return h(e,this._size[0]),h(t,this._size[0]),v._swapRows(e,t,this._size[1],this._values,this._index,this._ptr),this},v._forEachRow=function(e,t,r,n,i){for(var a=n[e],o=n[e+1],s=a;o>s;s++)i(r[s],t[s])},v._swapRows=function(e,t,r,n,i,a){for(var o=0;r>o;o++){var s=a[o],u=a[o+1],c=E(e,s,u,i),f=E(t,s,u,i);if(u>c&&u>f&&i[c]===e&&i[f]===t){if(n){var l=n[c];n[c]=n[f],n[f]=l}}else if(u>c&&i[c]===e&&(f>=u||i[f]!==t)){var p=n?n[c]:void 0;i.splice(f,0,t),n&&n.splice(f,0,p),i.splice(c>=f?c+1:c,1),n&&n.splice(c>=f?c+1:c,1)}else if(u>f&&i[f]===t&&(c>=u||i[c]!==e)){var m=n?n[f]:void 0;i.splice(c,0,e),n&&n.splice(c,0,m),i.splice(f>=c?f+1:f,1),n&&n.splice(f>=c?f+1:f,1)}}},e.Matrix._storage.sparse=v,v}var i=r(39),a=r(42),o=i.array,s=i.object,u=i.string,c=i.number,f=Array.isArray,l=c.isNumber,p=c.isInteger,m=u.isString,h=o.validateIndex;t.name=\"SparseMatrix\",t.path=\"type\",t.factory=n,t.lazy=!1},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"equalScalar\",{\"boolean, boolean\":function(e,t){return e===t},\"number, number\":function(e,r){return e===r||i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return e.eq(t)},\"Fraction, Fraction\":function(e,t){return e.equals(t)},\"Complex, Complex\":function(e,r){return(e.re===r.re||i(e.re,r.re,t.epsilon))&&(e.im===r.im||i(e.im,r.im,t.epsilon))},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value===r.value||i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return e===t}});return a}var i=r(6).nearlyEqual;t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){function i(){if(!(this instanceof i))throw new SyntaxError(\"Constructor must be called with the new operator\");this._values=[],this._heap=new e.FibonacciHeap}var a=n(r(50)),o=n(r(48));return i.prototype.type=\"Spa\",i.prototype.isSpa=!0,i.prototype.set=function(e,t){if(this._values[e])this._values[e].value=t;else{var r=this._heap.insert(e,t);this._values[e]=r}},i.prototype.get=function(e){var t=this._values[e];return t?t.value:0},i.prototype.accumulate=function(e,t){var r=this._values[e];r?r.value=a(r.value,t):(r=this._heap.insert(e,t),this._values[e]=r)},i.prototype.forEach=function(e,t,r){var n=this._heap,i=this._values,a=[],s=n.extractMinimum();for(s&&a.push(s);s&&s.key<=t;)s.key>=e&&(o(s.value,0)||r(s.key,s.value,this)),s=n.extractMinimum(),s&&a.push(s);for(var u=0;u<a.length;u++){var c=a[u];s=n.insert(c.key,c.value),i[s.key]=s}},i.prototype.swap=function(e,t){var r=this._values[e],n=this._values[t];if(!r&&n)r=this._heap.insert(e,n.value),this._heap.remove(n),this._values[e]=r,this._values[t]=void 0;else if(r&&!n)n=this._heap.insert(t,r.value),this._heap.remove(r),this._values[t]=n,this._values[e]=void 0;else if(r&&n){var i=r.value;r.value=n.value,n.value=i}},i}t.name=\"Spa\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(52)),u=r(30),c=n(r(53)),f=n(r(54)),l=n(r(55)),p=n(r(56)),m=n(r(57)),h=a(\"add\",i({\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,s);break;default:r=c(t,e,s,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,s,!1);break;default:r=p(e,t,s)}}return r},\"Array, Array\":function(e,t){return h(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(o(e),t)},\"Matrix, Array\":function(e,t){return h(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,s,!1);break;default:r=m(e,t,s,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,s,!0);break;default:r=m(t,e,s,!0)}return r},\"Array, any\":function(e,t){return m(o(e),t,s,!1).valueOf()},\"any, Array\":function(e,t){return m(o(t),e,s,!0).valueOf()}},s.signatures));return h.toTex=\"\\\\left(${args[0]}\"+u.operators.add+\"${args[1]}\\\\right)\",h}var i=r(3).extend;t.name=\"add\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){function i(t,r,n){var i=e.Matrix.storage(r||\"default\");return new i(t,n)}var a=n(\"matrix\",{\"\":function(){return i([])},string:function(e){return i([],e)},\"string, string\":function(e,t){return i([],e,t)},Array:function(e){return i(e)},Matrix:function(e){return i(e,e.storage())},\"Array | Matrix, string\":i,\"Array | Matrix, string, string\":i});return a.toTex={0:\"\\\\begin{bmatrix}\\\\end{bmatrix}\",1:\"\\\\left(${args[0]}\\\\right)\",2:\"\\\\left(${args[0]}\\\\right)\"},a}t.name=\"matrix\",t.factory=r},function(e,t){\"use strict\";function r(e,t,r,n){return n(\"add\",{\"number, number\":function(e,t){return e+t},\"Complex, Complex\":function(t,r){return new e.Complex(t.re+r.re,t.im+r.im);\n},\"BigNumber, BigNumber\":function(e,t){return e.plus(t)},\"Fraction, Fraction\":function(e,t){return e.add(t)},\"Unit, Unit\":function(e,t){if(null==e.value)throw new Error(\"Parameter x contains a unit with undefined value\");if(null==t.value)throw new Error(\"Parameter y contains a unit with undefined value\");if(!e.equalBase(t))throw new Error(\"Units do not match\");var r=e.clone();return r.value+=t.value,r.fixPrefix=!1,r}})}t.factory=r},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=e.DenseMatrix,o=function(e,t,r,o){var s=e._data,u=e._size,c=e._datatype,f=t._values,l=t._index,p=t._ptr,m=t._size,h=t._datatype;if(u.length!==m.length)throw new i(u.length,m.length);if(u[0]!==m[0]||u[1]!==m[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+u+\") must match Matrix B (\"+m+\")\");if(!f)throw new Error(\"Cannot perform operation on Dense Matrix and Pattern Sparse Matrix\");var g,v,d=u[0],y=u[1],x=\"string\"==typeof c&&c===h?c:void 0,w=x?n.find(r,[x,x]):r,b=[];for(g=0;d>g;g++)b[g]=[];var N=[],E=[];for(v=0;y>v;v++){for(var M=v+1,A=p[v],_=p[v+1],O=A;_>O;O++)g=l[O],N[g]=o?w(f[O],s[g][v]):w(s[g][v],f[O]),E[g]=M;for(g=0;d>g;g++)E[g]===M?b[g][v]=N[g]:b[g][v]=s[g][v]}return new a({data:b,size:[d,y],datatype:x})};return o}var i=r(42);t.name=\"algorithm01\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(48)),s=e.SparseMatrix,u=function(e,t,r){var n=e._values,u=e._index,c=e._ptr,f=e._size,l=e._datatype,p=t._values,m=t._index,h=t._ptr,g=t._size,v=t._datatype;if(f.length!==g.length)throw new i(f.length,g.length);if(f[0]!==g[0]||f[1]!==g[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+f+\") must match Matrix B (\"+g+\")\");var d,y=f[0],x=f[1],w=o,b=0,N=r;\"string\"==typeof l&&l===v&&(d=l,w=a.find(o,[d,d]),b=a.convert(0,d),N=a.find(r,[d,d]));var E,M,A,_,O,T=n&&p?[]:void 0,C=[],S=[],z=new s({values:T,index:C,ptr:S,size:[y,x],datatype:d}),B=n&&p?[]:void 0,k=n&&p?[]:void 0,I=[],R=[];for(M=0;x>M;M++){S[M]=C.length;var P=M+1;for(_=c[M],O=c[M+1],A=_;O>A;A++)E=u[A],C.push(E),I[E]=P,B&&(B[E]=n[A]);for(_=h[M],O=h[M+1],A=_;O>A;A++)if(E=m[A],I[E]===P){if(B){var U=N(B[E],p[A]);w(U,b)?I[E]=null:B[E]=U}}else C.push(E),R[E]=P,k&&(k[E]=p[A]);if(B&&k)for(A=S[M];A<C.length;)E=C[A],I[E]===P?(T[A]=B[E],A++):R[E]===P?(T[A]=k[E],A++):C.splice(A,1)}return S[x]=C.length,z};return u}var i=r(42);t.name=\"algorithm04\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){var i=e.DenseMatrix,a=function(e,t,r,a){var o=e._values,s=e._index,u=e._ptr,c=e._size,f=e._datatype;if(!o)throw new Error(\"Cannot perform operation on Pattern Sparse Matrix and Scalar value\");var l,p=c[0],m=c[1],h=r;\"string\"==typeof f&&(l=f,t=n.convert(t,l),h=n.find(r,[l,l]));for(var g=[],v=new i({data:g,size:[p,m],datatype:l}),d=[],y=[],x=0;m>x;x++){for(var w=x+1,b=u[x],N=u[x+1],E=b;N>E;E++){var M=s[E];d[M]=o[E],y[M]=w}for(var A=0;p>A;A++)0===x&&(g[A]=[]),y[A]===w?g[A][x]=a?h(t,d[A]):h(d[A],t):g[A][x]=t}return v};return a}t.name=\"algorithm10\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,r,n){var i=e.DenseMatrix,o=function(e,t,r){var o=e._data,u=e._size,c=e._datatype,f=t._data,l=t._size,p=t._datatype,m=[];if(u.length!==l.length)throw new a(u.length,l.length);for(var h=0;h<u.length;h++){if(u[h]!==l[h])throw new RangeError(\"Dimension mismatch. Matrix A (\"+u+\") must match Matrix B (\"+l+\")\");m[h]=u[h]}var g,v=r;\"string\"==typeof c&&c===p&&(g=c,t=n.convert(t,g),v=n.find(r,[g,g]));var d=m.length>0?s(v,0,m,m[0],o,f):[];return new i({data:d,size:m,datatype:g})},s=function(e,t,r,n,i,a){var o=[];if(t===r.length-1)for(var u=0;n>u;u++)o[u]=e(i[u],a[u]);else for(var c=0;n>c;c++)o[c]=s(e,t+1,r,r[t+1],i[c],a[c]);return o};return o}var i=r(39),a=r(42),o=i.string;o.isString;t.name=\"algorithm13\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=e.DenseMatrix,o=function(e,t,r,o){var u,c=e._data,f=e._size,l=e._datatype,p=r;\"string\"==typeof l&&(u=l,t=n.convert(t,u),p=n.find(r,[u,u]));var m=f.length>0?s(p,0,f,f[0],c,t,o):[];return new a({data:m,size:i(f),datatype:u})},s=function(e,t,r,n,i,a,o){var u=[];if(t===r.length-1)for(var c=0;n>c;c++)u[c]=o?e(a,i[c]):e(i[c],a);else for(var f=0;n>f;f++)u[f]=s(e,t+1,r,r[t+1],i[f],a,o);return u};return o}var i=r(3).clone;t.name=\"algorithm14\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");this._minimum=null,this._size=0}var o=n(r(59)),s=n(r(63)),u=1/Math.log((1+Math.sqrt(5))/2);a.prototype.type=\"FibonacciHeap\",a.prototype.isFibonacciHeap=!0,a.prototype.insert=function(e,t){var r={key:e,value:t,degree:0};if(this._minimum){var n=this._minimum;r.left=n,r.right=n.right,n.right=r,r.right.left=r,o(e,n.key)&&(this._minimum=r)}else r.left=r,r.right=r,this._minimum=r;return this._size++,r},a.prototype.size=function(){return this._size},a.prototype.clear=function(){this._minimum=null,this._size=0},a.prototype.isEmpty=function(){return!!this._minimum},a.prototype.extractMinimum=function(){var e=this._minimum;if(null===e)return e;for(var t=this._minimum,r=e.degree,n=e.child;r>0;){var i=n.right;n.left.right=n.right,n.right.left=n.left,n.left=t,n.right=t.right,t.right=n,n.right.left=n,n.parent=null,n=i,r--}return e.left.right=e.right,e.right.left=e.left,e==e.right?t=null:(t=e.right,t=m(t,this._size)),this._size--,this._minimum=t,e},a.prototype.remove=function(e){this._minimum=c(this._minimum,e,-1),this.extractMinimum()};var c=function(e,t,r){t.key=r;var n=t.parent;return n&&o(t.key,n.key)&&(f(e,t,n),l(e,n)),o(t.key,e.key)&&(e=t),e},f=function(e,t,r){t.left.right=t.right,t.right.left=t.left,r.degree--,r.child==t&&(r.child=t.right),0===r.degree&&(r.child=null),t.left=e,t.right=e.right,e.right=t,t.right.left=t,t.parent=null,t.mark=!1},l=function(e,t){var r=t.parent;r&&(t.mark?(f(e,t,r),l(r)):t.mark=!0)},p=function(e,t){e.left.right=e.right,e.right.left=e.left,e.parent=t,t.child?(e.left=t.child,e.right=t.child.right,t.child.right=e,e.right.left=e):(t.child=e,e.right=e,e.left=e),t.degree++,e.mark=!1},m=function(e,t){var r=Math.floor(Math.log(t)*u)+1,n=new Array(r),i=0,a=e;if(a)for(i++,a=a.right;a!==e;)i++,a=a.right;for(var c;i>0;){for(var f=a.degree,l=a.right;;){if(c=n[f],!c)break;if(s(a.key,c.key)){var m=c;c=a,a=m}p(c,a),n[f]=null,f++}n[f]=a,a=l,i--}e=null;for(var h=0;r>h;h++)c=n[h],c&&(e?(c.left.right=c.right,c.right.left=c.left,c.left=e,c.right=e.right,e.right=c,c.right.left=c,o(c.key,e.key)&&(e=c)):e=c);return e};return a}t.name=\"FibonacciHeap\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=a(\"smaller\",{\"boolean, boolean\":function(e,t){return t>e},\"number, number\":function(e,r){return r>e&&!i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return e.lt(t)},\"Fraction, Fraction\":function(e,t){return-1===e.compare(t)},\"Complex, Complex\":function(e,t){throw new TypeError(\"No ordering relation is defined for complex numbers\")},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value<r.value&&!i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return t>e},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,m);break;default:r=s(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,m,!1);break;default:r=f(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(o(e),t)},\"Matrix, Array\":function(e,t){return m(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,m,!1);break;default:r=l(e,t,m,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,m,!0);break;default:r=l(t,e,m,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,m,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,m,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.smaller+\"${args[1]}\\\\right)\",m}var i=r(6).nearlyEqual;t.name=\"smaller\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=e.DenseMatrix,o=function(e,t,r,o){var s=e._data,u=e._size,c=e._datatype,f=t._values,l=t._index,p=t._ptr,m=t._size,h=t._datatype;if(u.length!==m.length)throw new i(u.length,m.length);if(u[0]!==m[0]||u[1]!==m[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+u+\") must match Matrix B (\"+m+\")\");if(!f)throw new Error(\"Cannot perform operation on Dense Matrix and Pattern Sparse Matrix\");var g,v=u[0],d=u[1],y=0,x=r;\"string\"==typeof c&&c===h&&(g=c,y=n.convert(0,g),x=n.find(r,[g,g]));for(var w=[],b=0;v>b;b++)w[b]=[];for(var N=[],E=[],M=0;d>M;M++){for(var A=M+1,_=p[M],O=p[M+1],T=_;O>T;T++){var C=l[T];N[C]=o?x(f[T],s[C][M]):x(s[C][M],f[T]),E[C]=A}for(var S=0;v>S;S++)E[S]===A?w[S][M]=N[S]:w[S][M]=o?x(y,s[S][M]):x(s[S][M],y)}return new a({data:w,size:[v,d],datatype:g})};return o}var i=r(42);t.name=\"algorithm03\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=e.DenseMatrix,o=function(e,t,r){var o=e._size,u=e._datatype,c=t._size,f=t._datatype;if(o.length!==c.length)throw new i(o.length,c.length);if(o[0]!==c[0]||o[1]!==c[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+o+\") must match Matrix B (\"+c+\")\");var l,p=o[0],m=o[1],h=0,g=r;\"string\"==typeof u&&u===f&&(l=u,h=n.convert(0,l),g=n.find(r,[l,l]));var v,d,y=[];for(v=0;p>v;v++)y[v]=[];var x=new a({data:y,size:[p,m],datatype:l}),w=[],b=[],N=[],E=[];for(d=0;m>d;d++){var M=d+1;for(s(e,d,N,w,M),s(t,d,E,b,M),v=0;p>v;v++){var A=N[v]===M?w[v]:h,_=E[v]===M?b[v]:h;y[v][d]=g(A,_)}}return x},s=function(e,t,r,n,i){for(var a=e._values,o=e._index,s=e._ptr,u=s[t],c=s[t+1];c>u;u++){var f=o[u];r[f]=i,n[f]=a[u]}};return o}var i=r(42);t.name=\"algorithm07\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){var i=e.DenseMatrix,a=function(e,t,r,a){var o=e._values,s=e._index,u=e._ptr,c=e._size,f=e._datatype;if(!o)throw new Error(\"Cannot perform operation on Pattern Sparse Matrix and Scalar value\");var l,p=c[0],m=c[1],h=r;\"string\"==typeof f&&(l=f,t=n.convert(t,l),h=n.find(r,[l,l]));for(var g=[],v=new i({data:g,size:[p,m],datatype:l}),d=[],y=[],x=0;m>x;x++){for(var w=x+1,b=u[x],N=u[x+1],E=b;N>E;E++){var M=s[E];d[M]=o[E],y[M]=w}for(var A=0;p>A;A++)0===x&&(g[A]=[]),y[A]===w?g[A][x]=a?h(t,d[A]):h(d[A],t):g[A][x]=a?h(t,0):h(0,t)}return v};return a}t.name=\"algorithm12\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=a(\"larger\",{\"boolean, boolean\":function(e,t){return e>t},\"number, number\":function(e,r){return e>r&&!i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return e.gt(t)},\"Fraction, Fraction\":function(e,t){return 1===e.compare(t)},\"Complex, Complex\":function(){throw new TypeError(\"No ordering relation is defined for complex numbers\")},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value>r.value&&!i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return e>t},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,m);break;default:r=s(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,m,!1);break;default:r=f(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(o(e),t)},\"Matrix, Array\":function(e,t){return m(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,m,!1);break;default:r=l(e,t,m,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,m,!0);break;default:r=l(t,e,m,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,m,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,m,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.larger+\"${args[1]}\\\\right)\",m}var i=r(6).nearlyEqual;t.name=\"larger\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){function a(e,t){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(t&&!u(t))throw new Error(\"Invalid datatype: \"+t);if(e&&e.isMatrix===!0||s(e)){var r=new c(e,t);this._data=r._data,this._size=r._size,this._datatype=r._datatype,this._min=null,this._max=null}else if(e&&s(e.data)&&s(e.size))this._data=e.data,this._size=e.size,this._datatype=e.datatype,this._min=\"undefined\"!=typeof e.min?e.min:null,this._max=\"undefined\"!=typeof e.max?e.max:null;else{if(e)throw new TypeError(\"Unsupported type of data (\"+i.types.type(e)+\")\");this._data=[],this._size=[0],this._datatype=t,this._min=null,this._max=null}}var c=n(r(46)),f=n(r(59));return a.prototype=new c,a.prototype.type=\"ImmutableDenseMatrix\",a.prototype.isImmutableDenseMatrix=!0,a.prototype.subset=function(e){switch(arguments.length){case 1:var t=c.prototype.subset.call(this,e);return t.isMatrix?new a({data:t._data,size:t._size,datatype:t._datatype}):t;case 2:case 3:throw new Error(\"Cannot invoke set subset on an Immutable Matrix instance\");default:throw new SyntaxError(\"Wrong number of arguments\")}},a.prototype.set=function(){throw new Error(\"Cannot invoke set on an Immutable Matrix instance\")},a.prototype.resize=function(){throw new Error(\"Cannot invoke resize on an Immutable Matrix instance\")},a.prototype.clone=function(){var e=new a({data:o.clone(this._data),size:o.clone(this._size),datatype:this._datatype});return e},a.prototype.toJSON=function(){return{mathjs:\"ImmutableDenseMatrix\",data:this._data,size:this._size,datatype:this._datatype}},a.fromJSON=function(e){return new a(e)},a.prototype.swapRows=function(){throw new Error(\"Cannot invoke swapRows on an Immutable Matrix instance\")},a.prototype.min=function(){if(null===this._min){var e=null;this.forEach(function(t){(null===e||f(t,e))&&(e=t)}),this._min=null!==e?e:void 0}return this._min},a.prototype.max=function(){if(null===this._max){var e=null;this.forEach(function(t){(null===e||f(e,t))&&(e=t)}),this._max=null!==e?e:void 0}return this._max},a}var i=r(39),a=i.string,o=i.object,s=Array.isArray,u=a.isString;t.name=\"ImmutableDenseMatrix\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e){function t(e){if(!(this instanceof t))throw new SyntaxError(\"Constructor must be called with the new operator\");this._dimensions=[],this._isScalar=!0;for(var n=0,i=arguments.length;i>n;n++){var a=arguments[n];if(a&&a.isRange===!0)this._dimensions.push(a),this._isScalar=!1;else if(a&&(Array.isArray(a)||a.isMatrix===!0)){var o=r(a.valueOf());this._dimensions.push(o);var s=o.size();this._isScalar=1===s.length&&1===s[0]}else{if(\"number\"!=typeof a)throw new TypeError(\"Dimension must be an Array, Matrix, Number or Range\");this._dimensions.push(r([a]))}}}function r(t){for(var r=0,n=t.length;n>r;r++)if(\"number\"!=typeof t[r]||!a(t[r]))throw new TypeError(\"Index parameters must be positive integer numbers\");return new e.ImmutableDenseMatrix(t)}return t.prototype.type=\"Index\",t.prototype.isIndex=!0,t.prototype.clone=function(){var e=new t;return e._dimensions=i(this._dimensions),e._isScalar=this._isScalar,e},t.create=function(e){var r=new t;return t.apply(r,e),r},t.prototype.size=function(){for(var e=[],t=0,r=this._dimensions.length;r>t;t++){var n=this._dimensions[t];e[t]=n.size()[0]}return e},t.prototype.max=function(){for(var e=[],t=0,r=this._dimensions.length;r>t;t++){var n=this._dimensions[t];e[t]=n.max()}return e},t.prototype.min=function(){for(var e=[],t=0,r=this._dimensions.length;r>t;t++){var n=this._dimensions[t];e[t]=n.min()}return e},t.prototype.forEach=function(e){for(var t=0,r=this._dimensions.length;r>t;t++)e(this._dimensions[t],t,this)},t.prototype.dimension=function(e){return this._dimensions[e]||null},t.prototype.isScalar=function(){return this._isScalar},t.prototype.toArray=function(){for(var e=[],t=0,r=this._dimensions.length;r>t;t++)e.push(this._dimensions[t].toArray());return e},t.prototype.valueOf=t.prototype.toArray,t.prototype.toString=function(){for(var e=[],t=0,r=this._dimensions.length;r>t;t++)e.push(this._dimensions[t].toString());return\"[\"+e.join(\", \")+\"]\"},t.prototype.toJSON=function(){return{mathjs:\"Index\",dimensions:this._dimensions}},t.fromJSON=function(e){return t.create(e.dimensions)},t}var i=r(3).clone,a=r(6).isInteger;t.name=\"Index\",t.path=\"type\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){function a(e,t,r){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(null!=e)if(e.isBigNumber===!0)e=e.toNumber();else if(\"number\"!=typeof e)throw new TypeError(\"Parameter start must be a number\");if(null!=t)if(t.isBigNumber===!0)t=t.toNumber();else if(\"number\"!=typeof t)throw new TypeError(\"Parameter end must be a number\");if(null!=r)if(r.isBigNumber===!0)r=r.toNumber();else if(\"number\"!=typeof r)throw new TypeError(\"Parameter step must be a number\");this.start=null!=e?parseFloat(e):0,this.end=null!=t?parseFloat(t):0,this.step=null!=r?parseFloat(r):1}return a.prototype.type=\"Range\",a.prototype.isRange=!0,a.parse=function(e){if(\"string\"!=typeof e)return null;var t=e.split(\":\"),r=t.map(function(e){return parseFloat(e)}),n=r.some(function(e){return isNaN(e)});if(n)return null;switch(r.length){case 2:return new a(r[0],r[1]);case 3:return new a(r[0],r[2],r[1]);default:return null}},a.prototype.clone=function(){return new a(this.start,this.end,this.step)},a.prototype.size=function(){var e=0,t=this.start,r=this.step,n=this.end,a=n-t;return i.sign(r)==i.sign(a)?e=Math.ceil(a/r):0==a&&(e=0),isNaN(e)&&(e=0),[e]},a.prototype.min=function(){var e=this.size()[0];return e>0?this.step>0?this.start:this.start+(e-1)*this.step:void 0},a.prototype.max=function(){var e=this.size()[0];return e>0?this.step>0?this.start+(e-1)*this.step:this.start:void 0},a.prototype.forEach=function(e){var t=this.start,r=this.step,n=this.end,i=0;if(r>0)for(;n>t;)e(t,[i],this),t+=r,i++;else if(0>r)for(;t>n;)e(t,[i],this),t+=r,i++},a.prototype.map=function(e){var t=[];return this.forEach(function(r,n,i){t[n[0]]=e(r,n,i)}),t},a.prototype.toArray=function(){var e=[];return this.forEach(function(t,r){e[r[0]]=t}),e},a.prototype.valueOf=function(){return this.toArray()},a.prototype.format=function(e){var t=i.format(this.start,e);return 1!=this.step&&(t+=\":\"+i.format(this.step,e)),t+=\":\"+i.format(this.end,e)},a.prototype.toString=function(){return this.format()},a.prototype.toJSON=function(){return{mathjs:\"Range\",start:this.start,end:this.end,step:this.step}},a.fromJSON=function(e){return new a(e.start,e.end,e.step)},a}var i=r(6);t.name=\"Range\",t.path=\"type\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){return n(\"index\",{\"...number | BigNumber | Range | Array | Matrix\":function(t){var r=t.map(function(e){return e&&e.isBigNumber===!0?e.toNumber():e&&(Array.isArray(e)||e.isMatrix===!0)?e.map(function(e){return e&&e.isBigNumber===!0?e.toNumber():e}):e}),n=new e.Index;return e.Index.apply(n,r),n}})}t.name=\"index\",t.factory=r},function(e,t){\"use strict\";function r(e,t,r,n){var i=e.SparseMatrix,a=n(\"sparse\",{\"\":function(){return new i([])},string:function(e){return new i([],e)},\"Array | Matrix\":function(e){return new i(e)},\"Array | Matrix, string\":function(e,t){return new i(e,t)}});return a.toTex={0:\"\\\\begin{bsparse}\\\\end{bsparse}\",1:\"\\\\left(${args[0]}\\\\right)\"},a}t.name=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"number\",{\"\":function(){return 0},number:function(e){return e},string:function(e){var t=Number(e);if(isNaN(t))throw new SyntaxError('String \"'+e+'\" is no valid number');return t},BigNumber:function(e){return e.toNumber()},Unit:function(e){throw new Error(\"Second argument with valueless unit expected\")},\"Unit, string | Unit\":function(e,t){return e.toNumber(t)},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex={0:\"0\",1:\"\\\\left(${args[0]}\\\\right)\",2:\"\\\\left(\\\\left(${args[0]}\\\\right)${args[1]}\\\\right)\"},a}var i=r(19);t.name=\"number\",t.factory=n},function(e,t,r){e.exports=[r(71)]},function(e,t){\"use strict\";function r(e,t,r,n){function i(e){if(!(this instanceof i))throw new SyntaxError(\"Constructor must be called with the new operator\");this.entries=e||[]}return i.prototype.type=\"ResultSet\",i.prototype.isResultSet=!0,i.prototype.valueOf=function(){return this.entries},i.prototype.toString=function(){return\"[\"+this.entries.join(\", \")+\"]\"},i.prototype.toJSON=function(){return{mathjs:\"ResultSet\",entries:this.entries}},i.fromJSON=function(e){return new i(e.entries)},i}t.name=\"ResultSet\",t.path=\"type\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"string\",{\"\":function(){return\"\"},number:a.format,\"null\":function(e){return\"null\"},\"boolean\":function(e){return e+\"\"},string:function(e){return e},\"Array | Matrix\":function(e){return i(e,o)},any:function(e){return String(e)}});return o.toTex={0:'\\\\mathtt{\"\"}',1:\"\\\\mathrm{string}\\\\left(${args[0]}\\\\right)\"},o}var i=r(19),a=r(6);t.name=\"string\",t.factory=n},function(e,t,r){e.exports=[r(28),r(74),r(75)]},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"unit\",{Unit:function(e){return e.clone()},string:function(t){return e.Unit.isValuelessUnit(t)?new e.Unit(null,t):e.Unit.parse(t)},\"number, string\":function(t,r){return new e.Unit(t,r)},\"BigNumber, string\":function(t,r){return new e.Unit(t.toNumber(),r)},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex={1:\"\\\\left(${args[0]}\\\\right)\",2:\"\\\\left(\\\\left(${args[0]}\\\\right)${args[1]}\\\\right)\"},a}var i=r(19);t.name=\"unit\",t.factory=n},function(e,t,r){function n(e,t,r,n,a){function o(t){var r=e.Unit.parse(t);return r.fixPrefix=!0,r}i(a,\"speedOfLight\",function(){return o(\"299792458 m s^-1\")}),i(a,\"gravitationConstant\",function(){return o(\"6.6738480e-11 m^3 kg^-1 s^-2\")}),i(a,\"planckConstant\",function(){return o(\"6.626069311e-34 J s\")}),i(a,\"reducedPlanckConstant\",function(){return o(\"1.05457172647e-34 J s\")}),i(a,\"magneticConstant\",function(){return o(\"1.2566370614e-6 N A^-2\")}),i(a,\"electricConstant\",function(){return o(\"8.854187817e-12 F m^-1\")}),i(a,\"vacuumImpedance\",function(){return o(\"376.730313461 ohm\")}),i(a,\"coulomb\",function(){return o(\"8.9875517873681764e9 N m^2 C^-2\")}),i(a,\"elementaryCharge\",function(){return o(\"1.60217656535e-19 C\")}),i(a,\"bohrMagneton\",function(){return o(\"9.2740096820e-24 J T^-1\")}),i(a,\"conductanceQuantum\",function(){return o(\"7.748091734625e-5 S\")}),i(a,\"inverseConductanceQuantum\",function(){return o(\"12906.403721742 ohm\")}),i(a,\"magneticFluxQuantum\",function(){return o(\"2.06783375846e-15 Wb\")}),i(a,\"nuclearMagneton\",function(){return o(\"5.0507835311e-27 J T^-1\")}),i(a,\"klitzing\",function(){return o(\"25812.807443484 ohm\")}),i(a,\"bohrRadius\",function(){return o(\"5.291772109217e-11 m\")}),i(a,\"classicalElectronRadius\",function(){return o(\"2.817940326727e-15 m\")}),i(a,\"electronMass\",function(){return o(\"9.1093829140e-31 kg\")}),i(a,\"fermiCoupling\",function(){return o(\"1.1663645e-5 GeV^-2\")}),i(a,\"fineStructure\",function(){return.007297352569824}),i(a,\"hartreeEnergy\",function(){return o(\"4.3597443419e-18 J\")}),i(a,\"protonMass\",function(){return o(\"1.67262177774e-27 kg\")}),i(a,\"deuteronMass\",function(){return o(\"3.3435830926e-27 kg\")}),i(a,\"neutronMass\",function(){return o(\"1.6749271613e-27 kg\")}),i(a,\"quantumOfCirculation\",function(){return o(\"3.636947552024e-4 m^2 s^-1\")}),i(a,\"rydberg\",function(){return o(\"10973731.56853955 m^-1\")}),i(a,\"thomsonCrossSection\",function(){return o(\"6.65245873413e-29 m^2\")}),i(a,\"weakMixingAngle\",function(){return.222321}),i(a,\"efimovFactor\",function(){return 22.7}),i(a,\"atomicMass\",function(){return o(\"1.66053892173e-27 kg\")}),i(a,\"avogadro\",function(){return o(\"6.0221412927e23 mol^-1\")}),i(a,\"boltzmann\",function(){return o(\"1.380648813e-23 J K^-1\")}),i(a,\"faraday\",function(){return o(\"96485.336521 C mol^-1\")}),i(a,\"firstRadiation\",function(){return o(\"3.7417715317e-16 W m^2\")}),i(a,\"loschmidt\",function(){return o(\"2.686780524e25 m^-3\")}),i(a,\"gasConstant\",function(){return o(\"8.314462175 J K^-1 mol^-1\")}),i(a,\"molarPlanckConstant\",function(){return o(\"3.990312717628e-10 J s mol^-1\")}),i(a,\"molarVolume\",function(){return o(\"2.241396820e-10 m^3 mol^-1\")}),i(a,\"sackurTetrode\",function(){return-1.164870823}),i(a,\"secondRadiation\",function(){return o(\"1.438777013e-2 m K\")}),i(a,\"stefanBoltzmann\",function(){return o(\"5.67037321e-8 W m^-2 K^-4\")}),i(a,\"wienDisplacement\",function(){return o(\"2.897772126e-3 m K\")}),i(a,\"molarMass\",function(){return o(\"1e-3 kg mol^-1\")}),i(a,\"molarMassC12\",function(){return o(\"1.2e-2 kg mol^-1\")}),i(a,\"gravity\",function(){return o(\"9.80665 m s^-2\")}),i(a,\"planckLength\",function(){return o(\"1.61619997e-35 m\")}),i(a,\"planckMass\",function(){return o(\"2.1765113e-8 kg\")}),i(a,\"planckTime\",function(){return o(\"5.3910632e-44 s\")}),i(a,\"planckCharge\",function(){return o(\"1.87554595641e-18 C\")}),i(a,\"planckTemperature\",function(){return o(\"1.41683385e+32 K\")})}var i=r(3).lazy;t.factory=n,t.lazy=!1,t.math=!0},function(e,t,r){\"use strict\";function n(e,t,o,s,u){u.on(\"config\",function(r,i){r.number!==i.number&&n(e,t,o,s,u)}),u[\"true\"]=!0,u[\"false\"]=!1,u[\"null\"]=null,u.uninitialized=r(40).UNINITIALIZED,\"bignumber\"===t.number?(u.Infinity=new e.BigNumber(1/0),u.NaN=new e.BigNumber(NaN),i.lazy(u,\"pi\",function(){return a.pi(e.BigNumber)}),i.lazy(u,\"tau\",function(){return a.tau(e.BigNumber)}),i.lazy(u,\"e\",function(){return a.e(e.BigNumber)}),i.lazy(u,\"phi\",function(){return a.phi(e.BigNumber)}),i.lazy(u,\"E\",function(){return u.e}),i.lazy(u,\"LN2\",function(){return new e.BigNumber(2).ln()}),i.lazy(u,\"LN10\",function(){return new e.BigNumber(10).ln()}),i.lazy(u,\"LOG2E\",function(){return new e.BigNumber(1).div(new e.BigNumber(2).ln())}),i.lazy(u,\"LOG10E\",function(){return new e.BigNumber(1).div(new e.BigNumber(10).ln())}),i.lazy(u,\"PI\",function(){return u.pi}),i.lazy(u,\"SQRT1_2\",function(){return new e.BigNumber(\"0.5\").sqrt()}),i.lazy(u,\"SQRT2\",function(){return new e.BigNumber(2).sqrt()})):(u.Infinity=1/0,u.NaN=NaN,u.pi=Math.PI,u.tau=2*Math.PI,u.e=Math.E,u.phi=1.618033988749895,u.E=u.e,u.LN2=Math.LN2,u.LN10=Math.LN10,u.LOG2E=Math.LOG2E,u.LOG10E=Math.LOG10E,u.PI=u.pi,u.SQRT1_2=Math.SQRT1_2,u.SQRT2=Math.SQRT2),u.i=new e.Complex(0,1),u.version=r(79)}var i=r(3),a=r(77);t.factory=n,t.lazy=!1,t.math=!0},function(e,t,r){function n(e){return e[0].precision}var i=r(45).memoize,a=r(78);t.e=i(function(e){return new e(1).exp()},n),t.phi=i(function(e){return new e(1).plus(new e(5).sqrt()).div(2)},n),t.pi=i(function(e){var t=e.constructor({precision:e.precision+4}),r=new t(4).times(a(new t(1).div(5))).minus(a(new t(1).div(239)));return new e(4).times(r)},n),t.tau=i(function(e){var r=t.pi(e.constructor({precision:e.precision+2}));return new e(2).times(r)},n)},function(e,t){e.exports=function(e){for(var t=e,r=NaN,n=e.times(e),i=e,a=!0,o=3;!t.equals(r);o+=2)i=i.times(n),r=t,a=!a,t=a?t.plus(i.div(o)):t.minus(i.div(o));return t}},function(e,t){e.exports=\"2.4.1\"},function(e,t,r){e.exports=[r(81),r(251),r(275),r(276),r(311),r(253),r(274)]},function(e,t,r){function n(e,t,n,i){var a={};return a.bignumber=r(82),a[\"boolean\"]=r(83),a.complex=r(84),a.fraction=r(85),a.index=r(86),a.matrix=r(87),a.number=r(88),a.sparse=r(89),a.string=r(90),a.unit=r(91),a.e=r(92),a.E=r(92),a[\"false\"]=r(93),a.i=r(94),a.Infinity=r(95),a.LN2=r(96),a.LN10=r(97),a.LOG2E=r(98),a.LOG10E=r(99),a.NaN=r(100),a[\"null\"]=r(101),a.pi=r(102),a.PI=r(102),a.phi=r(103),a.SQRT1_2=r(104),a.SQRT2=r(105),a.tau=r(106),a[\"true\"]=r(107),a.version=r(108),a.speedOfLight={description:\"Speed of light in vacuum\",examples:[\"speedOfLight\"]},a.gravitationConstant={description:\"Newtonian constant of gravitation\",examples:[\"gravitationConstant\"]},a.planckConstant={description:\"Planck constant\",examples:[\"planckConstant\"]},a.reducedPlanckConstant={description:\"Reduced Planck constant\",examples:[\"reducedPlanckConstant\"]},a.magneticConstant={description:\"Magnetic constant (vacuum permeability)\",examples:[\"magneticConstant\"]},a.electricConstant={description:\"Electric constant (vacuum permeability)\",examples:[\"electricConstant\"]},a.vacuumImpedance={description:\"Characteristic impedance of vacuum\",examples:[\"vacuumImpedance\"]},a.coulomb={description:\"Coulomb's constant\",examples:[\"coulomb\"]},a.elementaryCharge={description:\"Elementary charge\",examples:[\"elementaryCharge\"]},a.bohrMagneton={description:\"Borh magneton\",examples:[\"bohrMagneton\"]},a.conductanceQuantum={description:\"Conductance quantum\",examples:[\"conductanceQuantum\"]},a.inverseConductanceQuantum={description:\"Inverse conductance quantum\",examples:[\"inverseConductanceQuantum\"]},a.magneticFluxQuantum={description:\"Magnetic flux quantum\",examples:[\"magneticFluxQuantum\"]},a.nuclearMagneton={description:\"Nuclear magneton\",examples:[\"nuclearMagneton\"]},a.klitzing={description:\"Von Klitzing constant\",examples:[\"klitzing\"]},a.bohrRadius={description:\"Borh radius\",examples:[\"bohrRadius\"]},a.classicalElectronRadius={description:\"Classical electron radius\",examples:[\"classicalElectronRadius\"]},a.electronMass={description:\"Electron mass\",examples:[\"electronMass\"]},a.fermiCoupling={description:\"Fermi coupling constant\",examples:[\"fermiCoupling\"]},a.fineStructure={description:\"Fine-structure constant\",examples:[\"fineStructure\"]},a.hartreeEnergy={description:\"Hartree energy\",examples:[\"hartreeEnergy\"]},a.protonMass={description:\"Proton mass\",examples:[\"protonMass\"]},a.deuteronMass={description:\"Deuteron Mass\",examples:[\"deuteronMass\"]},a.neutronMass={description:\"Neutron mass\",examples:[\"neutronMass\"]},a.quantumOfCirculation={description:\"Quantum of circulation\",examples:[\"quantumOfCirculation\"]},a.rydberg={description:\"Rydberg constant\",examples:[\"rydberg\"]},a.thomsonCrossSection={description:\"Thomson cross section\",examples:[\"thomsonCrossSection\"]},a.weakMixingAngle={description:\"Weak mixing angle\",examples:[\"weakMixingAngle\"]},a.efimovFactor={description:\"Efimov factor\",examples:[\"efimovFactor\"]},a.atomicMass={description:\"Atomic mass constant\",examples:[\"atomicMass\"]},a.avogadro={description:\"Avogadro's number\",examples:[\"avogadro\"]},a.boltzmann={description:\"Boltzmann constant\",examples:[\"boltzmann\"]},a.faraday={description:\"Faraday constant\",examples:[\"faraday\"]},a.firstRadiation={description:\"First radiation constant\",examples:[\"firstRadiation\"]},a.loschmidt={description:\"Loschmidt constant at T=273.15 K and p=101.325 kPa\",examples:[\"loschmidt\"]},a.gasConstant={description:\"Gas constant\",examples:[\"gasConstant\"]},a.molarPlanckConstant={description:\"Molar Planck constant\",examples:[\"molarPlanckConstant\"]},a.molarVolume={description:\"Molar volume of an ideal gas at T=273.15 K and p=101.325 kPa\",examples:[\"molarVolume\"]},a.sackurTetrode={description:\"Sackur-Tetrode constant at T=1 K and p=101.325 kPa\",examples:[\"sackurTetrode\"]},a.secondRadiation={description:\"Second radiation constant\",examples:[\"secondRadiation\"]},a.stefanBoltzmann={description:\"Stefan-Boltzmann constant\",examples:[\"stefanBoltzmann\"]},a.wienDisplacement={description:\"Wien displacement law constant\",examples:[\"wienDisplacement\"]},a.molarMass={description:\"Molar mass constant\",examples:[\"molarMass\"]},a.molarMassC12={description:\"Molar mass constant of carbon-12\",examples:[\"molarMassC12\"]},a.gravity={description:\"Standard acceleration of gravity (standard acceleration of free-fall on Earth)\",examples:[\"gravity\"]},a.planckLength={description:\"Planck length\",examples:[\"planckLength\"]},a.planckMass={description:\"Planck mass\",examples:[\"planckMass\"]},a.planckTime={description:\"Planck time\",examples:[\"planckTime\"]},a.planckCharge={description:\"Planck charge\",examples:[\"planckCharge\"]},a.planckTemperature={description:\"Planck temperature\",examples:[\"planckTemperature\"]},a.lsolve=r(109),a.lup=r(110),a.lusolve=r(111),a.slu=r(112),a.usolve=r(113),a.abs=r(114),a.add=r(115),a.cbrt=r(116),a.ceil=r(117),a.cube=r(118),a.divide=r(119),a.dotDivide=r(120),a.dotMultiply=r(121),a.dotPow=r(122),a.exp=r(123),a.fix=r(124),a.floor=r(125),a.gcd=r(126),a.hypot=r(127),a.lcm=r(128),a.log=r(129),a.log10=r(130),a.mod=r(131),a.multiply=r(132),a.norm=r(133),a.nthRoot=r(134),a.pow=r(135),a.round=r(136),a.sign=r(137),a.sqrt=r(138),\na.square=r(139),a.subtract=r(140),a.unaryMinus=r(141),a.unaryPlus=r(142),a.xgcd=r(143),a.bitAnd=r(144),a.bitNot=r(145),a.bitOr=r(146),a.bitXor=r(147),a.leftShift=r(148),a.rightArithShift=r(149),a.rightLogShift=r(150),a.bellNumbers=r(151),a.catalan=r(152),a.composition=r(153),a.stirlingS2=r(154),a.arg=r(155),a.conj=r(156),a.re=r(157),a.im=r(158),a.eval=r(159),a.help=r(160),a.distance=r(161),a.intersect=r(162),a.and=r(163),a.not=r(164),a.or=r(165),a.xor=r(166),a.concat=r(167),a.cross=r(168),a.det=r(169),a.diag=r(170),a.dot=r(171),a.eye=r(172),a.flatten=r(173),a.inv=r(174),a.ones=r(175),a.range=r(176),a.resize=r(177),a.size=r(178),a.squeeze=r(179),a.subset=r(180),a.trace=r(181),a.transpose=r(182),a.zeros=r(183),a.combinations=r(184),a.factorial=r(185),a.gamma=r(186),a.kldivergence=r(187),a.multinomial=r(188),a.permutations=r(189),a.pickRandom=r(190),a.random=r(191),a.randomInt=r(192),a.compare=r(193),a.deepEqual=r(194),a.equal=r(195),a.larger=r(196),a.largerEq=r(197),a.smaller=r(198),a.smallerEq=r(199),a.unequal=r(200),a.max=r(201),a.mean=r(202),a.median=r(203),a.min=r(204),a.mode=r(205),a.prod=r(206),a.quantileSeq=r(207),a.std=r(208),a.sum=r(209),a[\"var\"]=r(210),a.acos=r(211),a.acosh=r(212),a.acot=r(213),a.acoth=r(214),a.acsc=r(215),a.acsch=r(216),a.asec=r(217),a.asech=r(218),a.asin=r(219),a.asinh=r(220),a.atan=r(221),a.atanh=r(222),a.atan2=r(223),a.cos=r(224),a.cosh=r(225),a.cot=r(226),a.coth=r(227),a.csc=r(228),a.csch=r(229),a.sec=r(230),a.sech=r(231),a.sin=r(232),a.sinh=r(233),a.tan=r(234),a.tanh=r(235),a.to=r(236),a.clone=r(237),a.map=r(238),a.partitionSelect=r(239),a.filter=r(240),a.forEach=r(241),a.format=r(242),a.isInteger=r(243),a.isNegative=r(244),a.isNumeric=r(245),a.isPositive=r(246),a.isZero=r(247),a[\"import\"]=r(248),a.sort=r(249),a[\"typeof\"]=r(250),a}t.name=\"docs\",t.path=\"expression\",t.factory=n},function(e,t){e.exports={name:\"bignumber\",category:\"Type\",syntax:[\"bignumber(x)\"],description:\"Create a big number from a number or string.\",examples:[\"0.1 + 0.2\",\"bignumber(0.1) + bignumber(0.2)\",'bignumber(\"7.2\")','bignumber(\"7.2e500\")',\"bignumber([0.1, 0.2, 0.3])\"],seealso:[\"boolean\",\"complex\",\"fraction\",\"index\",\"matrix\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"boolean\",category:\"Type\",syntax:[\"x\",\"boolean(x)\"],description:\"Convert a string or number into a boolean.\",examples:[\"boolean(0)\",\"boolean(1)\",\"boolean(3)\",'boolean(\"true\")','boolean(\"false\")',\"boolean([1, 0, 1, 1])\"],seealso:[\"bignumber\",\"complex\",\"index\",\"matrix\",\"number\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"complex\",category:\"Type\",syntax:[\"complex()\",\"complex(re, im)\",\"complex(string)\"],description:\"Create a complex number.\",examples:[\"complex()\",\"complex(2, 3)\",'complex(\"7 - 2i\")'],seealso:[\"bignumber\",\"boolean\",\"index\",\"matrix\",\"number\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"fraction\",category:\"Type\",syntax:[\"fraction(num)\",\"fraction(num,den)\"],description:\"Create a fraction from a number or from a numerator and denominator.\",examples:[\"fraction(0.125)\",\"fraction(1, 3) + fraction(2, 5)\"],seealso:[\"bignumber\",\"boolean\",\"complex\",\"index\",\"matrix\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"index\",category:\"Type\",syntax:[\"[start]\",\"[start:end]\",\"[start:step:end]\",\"[start1, start 2, ...]\",\"[start1:end1, start2:end2, ...]\",\"[start1:step1:end1, start2:step2:end2, ...]\"],description:\"Create an index to get or replace a subset of a matrix\",examples:[\"[]\",\"[1, 2, 3]\",\"A = [1, 2, 3; 4, 5, 6]\",\"A[1, :]\",\"A[1, 2] = 50\",\"A[0:2, 0:2] = ones(2, 2)\"],seealso:[\"bignumber\",\"boolean\",\"complex\",\"matrix,\",\"number\",\"range\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"matrix\",category:\"Type\",syntax:[\"[]\",\"[a1, b1, ...; a2, b2, ...]\",\"matrix()\",'matrix(\"dense\")',\"matrix([...])\"],description:\"Create a matrix.\",examples:[\"[]\",\"[1, 2, 3]\",\"[1, 2, 3; 4, 5, 6]\",\"matrix()\",\"matrix([3, 4])\",'matrix([3, 4; 5, 6], \"sparse\")','matrix([3, 4; 5, 6], \"sparse\", \"number\")'],seealso:[\"bignumber\",\"boolean\",\"complex\",\"index\",\"number\",\"string\",\"unit\",\"sparse\"]}},function(e,t){e.exports={name:\"number\",category:\"Type\",syntax:[\"x\",\"number(x)\"],description:\"Create a number or convert a string or boolean into a number.\",examples:[\"2\",\"2e3\",\"4.05\",\"number(2)\",'number(\"7.2\")',\"number(true)\",\"number([true, false, true, true])\",'number(\"52cm\", \"m\")'],seealso:[\"bignumber\",\"boolean\",\"complex\",\"fraction\",\"index\",\"matrix\",\"string\",\"unit\"]}},function(e,t){e.exports={name:\"sparse\",category:\"Type\",syntax:[\"sparse()\",\"sparse([a1, b1, ...; a1, b2, ...])\",'sparse([a1, b1, ...; a1, b2, ...], \"number\")'],description:\"Create a sparse matrix.\",examples:[\"sparse()\",\"sparse([3, 4; 5, 6])\",'sparse([3, 0; 5, 0], \"number\")'],seealso:[\"bignumber\",\"boolean\",\"complex\",\"index\",\"number\",\"string\",\"unit\",\"matrix\"]}},function(e,t){e.exports={name:\"string\",category:\"Type\",syntax:['\"text\"',\"string(x)\"],description:\"Create a string or convert a value to a string\",examples:['\"Hello World!\"',\"string(4.2)\",\"string(3 + 2i)\"],seealso:[\"bignumber\",\"boolean\",\"complex\",\"index\",\"matrix\",\"number\",\"unit\"]}},function(e,t){e.exports={name:\"unit\",category:\"Type\",syntax:[\"value unit\",\"unit(value, unit)\",\"unit(string)\"],description:\"Create a unit.\",examples:[\"5.5 mm\",\"3 inch\",'unit(7.1, \"kilogram\")','unit(\"23 deg\")'],seealso:[\"bignumber\",\"boolean\",\"complex\",\"index\",\"matrix\",\"number\",\"string\"]}},function(e,t){e.exports={name:\"e\",category:\"Constants\",syntax:[\"e\"],description:\"Euler's number, the base of the natural logarithm. Approximately equal to 2.71828\",examples:[\"e\",\"e ^ 2\",\"exp(2)\",\"log(e)\"],seealso:[\"exp\"]}},function(e,t){e.exports={name:\"false\",category:\"Constants\",syntax:[\"false\"],description:\"Boolean value false\",examples:[\"false\"],seealso:[\"true\"]}},function(e,t){e.exports={name:\"i\",category:\"Constants\",syntax:[\"i\"],description:\"Imaginary unit, defined as i*i=-1. A complex number is described as a + b*i, where a is the real part, and b is the imaginary part.\",examples:[\"i\",\"i * i\",\"sqrt(-1)\"],seealso:[]}},function(e,t){e.exports={name:\"Infinity\",category:\"Constants\",syntax:[\"Infinity\"],description:\"Infinity, a number which is larger than the maximum number that can be handled by a floating point number.\",examples:[\"Infinity\",\"1 / 0\"],seealso:[]}},function(e,t){e.exports={name:\"LN2\",category:\"Constants\",syntax:[\"LN2\"],description:\"Returns the natural logarithm of 2, approximately equal to 0.693\",examples:[\"LN2\",\"log(2)\"],seealso:[]}},function(e,t){e.exports={name:\"LN10\",category:\"Constants\",syntax:[\"LN10\"],description:\"Returns the natural logarithm of 10, approximately equal to 2.302\",examples:[\"LN10\",\"log(10)\"],seealso:[]}},function(e,t){e.exports={name:\"LOG2E\",category:\"Constants\",syntax:[\"LOG2E\"],description:\"Returns the base-2 logarithm of E, approximately equal to 1.442\",examples:[\"LOG2E\",\"log(e, 2)\"],seealso:[]}},function(e,t){e.exports={name:\"LOG10E\",category:\"Constants\",syntax:[\"LOG10E\"],description:\"Returns the base-10 logarithm of E, approximately equal to 0.434\",examples:[\"LOG10E\",\"log(e, 10)\"],seealso:[]}},function(e,t){e.exports={name:\"NaN\",category:\"Constants\",syntax:[\"NaN\"],description:\"Not a number\",examples:[\"NaN\",\"0 / 0\"],seealso:[]}},function(e,t){e.exports={name:\"null\",category:\"Constants\",syntax:[\"null\"],description:\"Value null\",examples:[\"null\"],seealso:[\"true\",\"false\"]}},function(e,t){e.exports={name:\"pi\",category:\"Constants\",syntax:[\"pi\"],description:\"The number pi is a mathematical constant that is the ratio of a circle's circumference to its diameter, and is approximately equal to 3.14159\",examples:[\"pi\",\"sin(pi/2)\"],seealso:[\"tau\"]}},function(e,t){e.exports={name:\"phi\",category:\"Constants\",syntax:[\"phi\"],description:\"Phi is the golden ratio. Two quantities are in the golden ratio if their ratio is the same as the ratio of their sum to the larger of the two quantities. Phi is defined as `(1 + sqrt(5)) / 2` and is approximately 1.618034...\",examples:[\"tau\"],seealso:[]}},function(e,t){e.exports={name:\"SQRT1_2\",category:\"Constants\",syntax:[\"SQRT1_2\"],description:\"Returns the square root of 1/2, approximately equal to 0.707\",examples:[\"SQRT1_2\",\"sqrt(1/2)\"],seealso:[]}},function(e,t){e.exports={name:\"SQRT2\",category:\"Constants\",syntax:[\"SQRT2\"],description:\"Returns the square root of 2, approximately equal to 1.414\",examples:[\"SQRT2\",\"sqrt(2)\"],seealso:[]}},function(e,t){e.exports={name:\"tau\",category:\"Constants\",syntax:[\"tau\"],description:\"Tau is the ratio constant of a circle's circumference to radius, equal to 2 * pi, approximately 6.2832.\",examples:[\"tau\",\"2 * pi\"],seealso:[\"pi\"]}},function(e,t){e.exports={name:\"true\",category:\"Constants\",syntax:[\"true\"],description:\"Boolean value true\",examples:[\"true\"],seealso:[\"false\"]}},function(e,t){e.exports={name:\"version\",category:\"Constants\",syntax:[\"version\"],description:\"A string with the version number of math.js\",examples:[\"version\"],seealso:[]}},function(e,t){e.exports={name:\"lsolve\",category:\"Algebra\",syntax:[\"x=lsolve(L, b)\"],description:\"Solves the linear system L * x = b where L is an [n x n] lower triangular matrix and b is a [n] column vector.\",examples:[\"a = [-2, 3; 2, 1]\",\"b = [11, 9]\",\"x = lsolve(a, b)\"],seealso:[\"lup\",\"lusolve\",\"usolve\",\"matrix\",\"sparse\"]}},function(e,t){e.exports={name:\"lup\",category:\"Algebra\",syntax:[\"lup(m)\"],description:\"Calculate the Matrix LU decomposition with partial pivoting. Matrix A is decomposed in three matrices (L, U, P) where P * A = L * U\",examples:[\"lup([[2, 1], [1, 4]])\",\"lup(matrix([[2, 1], [1, 4]]))\",\"lup(sparse([[2, 1], [1, 4]]))\"],seealso:[\"lusolve\",\"lsolve\",\"usolve\",\"matrix\",\"sparse\",\"slu\"]}},function(e,t){e.exports={name:\"lusolve\",category:\"Algebra\",syntax:[\"x=lusolve(A, b)\",\"x=lusolve(lu, b)\"],description:\"Solves the linear system A * x = b where A is an [n x n] matrix and b is a [n] column vector.\",examples:[\"a = [-2, 3; 2, 1]\",\"b = [11, 9]\",\"x = lusolve(a, b)\"],seealso:[\"lup\",\"slu\",\"lsolve\",\"usolve\",\"matrix\",\"sparse\"]}},function(e,t){e.exports={name:\"slu\",category:\"Algebra\",syntax:[\"slu(A, order, threshold)\"],description:\"Calculate the Matrix LU decomposition with full pivoting. Matrix A is decomposed in two matrices (L, U) and two permutation vectors (pinv, q) where P * A * Q = L * U\",examples:[\"slu(sparse([4.5, 0, 3.2, 0; 3.1, 2.9, 0, 0.9; 0, 1.7, 3, 0; 3.5, 0.4, 0, 1]), 1, 0.001)\"],seealso:[\"lusolve\",\"lsolve\",\"usolve\",\"matrix\",\"sparse\",\"lup\"]}},function(e,t){e.exports={name:\"usolve\",category:\"Algebra\",syntax:[\"x=usolve(U, b)\"],description:\"Solves the linear system U * x = b where U is an [n x n] upper triangular matrix and b is a [n] column vector.\",examples:[\"x=usolve(sparse([1, 1, 1, 1; 0, 1, 1, 1; 0, 0, 1, 1; 0, 0, 0, 1]), [1; 2; 3; 4])\"],seealso:[\"lup\",\"lusolve\",\"lsolve\",\"matrix\",\"sparse\"]}},function(e,t){e.exports={name:\"abs\",category:\"Arithmetic\",syntax:[\"abs(x)\"],description:\"Compute the absolute value.\",examples:[\"abs(3.5)\",\"abs(-4.2)\"],seealso:[\"sign\"]}},function(e,t){e.exports={name:\"add\",category:\"Operators\",syntax:[\"x + y\",\"add(x, y)\"],description:\"Add two values.\",examples:[\"a = 2.1 + 3.6\",\"a - 3.6\",\"3 + 2i\",\"3 cm + 2 inch\",'\"2.3\" + \"4\"'],seealso:[\"subtract\"]}},function(e,t){e.exports={name:\"cbrt\",category:\"Arithmetic\",syntax:[\"cbrt(x)\",\"cbrt(x, allRoots)\"],description:\"Compute the cubic root value. If x = y * y * y, then y is the cubic root of x. When `x` is a number or complex number, an optional second argument `allRoots` can be provided to return all three cubic roots. If not provided, the principal root is returned\",examples:[\"cbrt(64)\",\"cube(4)\",\"cbrt(-8)\",\"cbrt(2 + 3i)\",\"cbrt(8i)\",\"cbrt(8i, true)\",\"cbrt(27 m^3)\"],seealso:[\"square\",\"sqrt\",\"cube\",\"multiply\"]}},function(e,t){e.exports={name:\"ceil\",category:\"Arithmetic\",syntax:[\"ceil(x)\"],description:\"Round a value towards plus infinity. If x is complex, both real and imaginary part are rounded towards plus infinity.\",examples:[\"ceil(3.2)\",\"ceil(3.8)\",\"ceil(-4.2)\"],seealso:[\"floor\",\"fix\",\"round\"]}},function(e,t){e.exports={name:\"cube\",category:\"Arithmetic\",syntax:[\"cube(x)\"],description:\"Compute the cube of a value. The cube of x is x * x * x.\",examples:[\"cube(2)\",\"2^3\",\"2 * 2 * 2\"],seealso:[\"multiply\",\"square\",\"pow\"]}},function(e,t){e.exports={name:\"divide\",category:\"Operators\",syntax:[\"x / y\",\"divide(x, y)\"],description:\"Divide two values.\",examples:[\"a = 2 / 3\",\"a * 3\",\"4.5 / 2\",\"3 + 4 / 2\",\"(3 + 4) / 2\",\"18 km / 4.5\"],seealso:[\"multiply\"]}},function(e,t){e.exports={name:\"dotDivide\",category:\"Operators\",syntax:[\"x ./ y\",\"dotDivide(x, y)\"],description:\"Divide two values element wise.\",examples:[\"a = [1, 2, 3; 4, 5, 6]\",\"b = [2, 1, 1; 3, 2, 5]\",\"a ./ b\"],seealso:[\"multiply\",\"dotMultiply\",\"divide\"]}},function(e,t){e.exports={name:\"dotMultiply\",category:\"Operators\",syntax:[\"x .* y\",\"dotMultiply(x, y)\"],description:\"Multiply two values element wise.\",examples:[\"a = [1, 2, 3; 4, 5, 6]\",\"b = [2, 1, 1; 3, 2, 5]\",\"a .* b\"],seealso:[\"multiply\",\"divide\",\"dotDivide\"]}},function(e,t){e.exports={name:\"dotpow\",category:\"Operators\",syntax:[\"x .^ y\",\"dotpow(x, y)\"],description:\"Calculates the power of x to y element wise.\",examples:[\"a = [1, 2, 3; 4, 5, 6]\",\"a .^ 2\"],seealso:[\"pow\"]}},function(e,t){e.exports={name:\"exp\",category:\"Arithmetic\",syntax:[\"exp(x)\"],description:\"Calculate the exponent of a value.\",examples:[\"exp(1.3)\",\"e ^ 1.3\",\"log(exp(1.3))\",\"x = 2.4\",\"(exp(i*x) == cos(x) + i*sin(x)) # Euler's formula\"],seealso:[\"pow\",\"log\"]}},function(e,t){e.exports={name:\"fix\",category:\"Arithmetic\",syntax:[\"fix(x)\"],description:\"Round a value towards zero. If x is complex, both real and imaginary part are rounded towards zero.\",examples:[\"fix(3.2)\",\"fix(3.8)\",\"fix(-4.2)\",\"fix(-4.8)\"],seealso:[\"ceil\",\"floor\",\"round\"]}},function(e,t){e.exports={name:\"floor\",category:\"Arithmetic\",syntax:[\"floor(x)\"],description:\"Round a value towards minus infinity.If x is complex, both real and imaginary part are rounded towards minus infinity.\",examples:[\"floor(3.2)\",\"floor(3.8)\",\"floor(-4.2)\"],seealso:[\"ceil\",\"fix\",\"round\"]}},function(e,t){e.exports={name:\"gcd\",category:\"Arithmetic\",syntax:[\"gcd(a, b)\",\"gcd(a, b, c, ...)\"],description:\"Compute the greatest common divisor.\",examples:[\"gcd(8, 12)\",\"gcd(-4, 6)\",\"gcd(25, 15, -10)\"],seealso:[\"lcm\",\"xgcd\"]}},function(e,t){e.exports={name:\"hypot\",category:\"Arithmetic\",syntax:[\"hypot(a, b, c, ...)\",\"hypot([a, b, c, ...])\"],description:\"Calculate the hypotenusa of a list with values. \",examples:[\"hypot(3, 4)\",\"sqrt(3^2 + 4^2)\",\"hypot(-2)\",\"hypot([3, 4, 5])\"],seealso:[\"abs\",\"norm\"]}},function(e,t){e.exports={name:\"lcm\",category:\"Arithmetic\",syntax:[\"lcm(x, y)\"],description:\"Compute the least common multiple.\",examples:[\"lcm(4, 6)\",\"lcm(6, 21)\",\"lcm(6, 21, 5)\"],seealso:[\"gcd\"]}},function(e,t){e.exports={name:\"log\",category:\"Arithmetic\",syntax:[\"log(x)\",\"log(x, base)\"],description:\"Compute the logarithm of a value. If no base is provided, the natural logarithm of x is calculated. If base if provided, the logarithm is calculated for the specified base. log(x, base) is defined as log(x) / log(base).\",examples:[\"log(3.5)\",\"a = log(2.4)\",\"exp(a)\",\"10 ^ 4\",\"log(10000, 10)\",\"log(10000) / log(10)\",\"b = log(1024, 2)\",\"2 ^ b\"],seealso:[\"exp\",\"log10\"]}},function(e,t){e.exports={name:\"log10\",category:\"Arithmetic\",syntax:[\"log10(x)\"],description:\"Compute the 10-base logarithm of a value.\",examples:[\"log10(0.00001)\",\"log10(10000)\",\"10 ^ 4\",\"log(10000) / log(10)\",\"log(10000, 10)\"],seealso:[\"exp\",\"log\"]}},function(e,t){e.exports={name:\"mod\",category:\"Operators\",syntax:[\"x % y\",\"x mod y\",\"mod(x, y)\"],description:\"Calculates the modulus, the remainder of an integer division.\",examples:[\"7 % 3\",\"11 % 2\",\"10 mod 4\",\"function isOdd(x) = x % 2\",\"isOdd(2)\",\"isOdd(3)\"],seealso:[\"divide\"]}},function(e,t){e.exports={name:\"multiply\",category:\"Operators\",syntax:[\"x * y\",\"multiply(x, y)\"],description:\"multiply two values.\",examples:[\"a = 2.1 * 3.4\",\"a / 3.4\",\"2 * 3 + 4\",\"2 * (3 + 4)\",\"3 * 2.1 km\"],seealso:[\"divide\"]}},function(e,t){e.exports={name:\"norm\",category:\"Arithmetic\",syntax:[\"norm(x)\",\"norm(x, p)\"],description:\"Calculate the norm of a number, vector or matrix.\",examples:[\"abs(-3.5)\",\"norm(-3.5)\",\"norm(3 - 4i))\",\"norm([1, 2, -3], Infinity)\",\"norm([1, 2, -3], -Infinity)\",\"norm([3, 4], 2)\",\"norm([[1, 2], [3, 4]], 1)\",\"norm([[1, 2], [3, 4]], 'inf')\",\"norm([[1, 2], [3, 4]], 'fro')\"]}},function(e,t){e.exports={name:\"nthRoot\",category:\"Arithmetic\",syntax:[\"nthRoot(a)\",\"nthRoot(a, root)\"],description:'Calculate the nth root of a value. The principal nth root of a positive real number A, is the positive real solution of the equation \"x^root = A\".',examples:[\"4 ^ 3\",\"nthRoot(64, 3)\",\"nthRoot(9, 2)\",\"sqrt(9)\"],seealso:[\"sqrt\",\"pow\"]}},function(e,t){e.exports={name:\"pow\",category:\"Operators\",syntax:[\"x ^ y\",\"pow(x, y)\"],description:\"Calculates the power of x to y, x^y.\",examples:[\"2^3 = 8\",\"2*2*2\",\"1 + e ^ (pi * i)\"],seealso:[\"multiply\"]}},function(e,t){e.exports={name:\"round\",category:\"Arithmetic\",syntax:[\"round(x)\",\"round(x, n)\"],description:\"round a value towards the nearest integer.If x is complex, both real and imaginary part are rounded towards the nearest integer. When n is specified, the value is rounded to n decimals.\",examples:[\"round(3.2)\",\"round(3.8)\",\"round(-4.2)\",\"round(-4.8)\",\"round(pi, 3)\",\"round(123.45678, 2)\"],seealso:[\"ceil\",\"floor\",\"fix\"]}},function(e,t){e.exports={name:\"sign\",category:\"Arithmetic\",syntax:[\"sign(x)\"],description:\"Compute the sign of a value. The sign of a value x is 1 when x>1, -1 when x<0, and 0 when x=0.\",examples:[\"sign(3.5)\",\"sign(-4.2)\",\"sign(0)\"],seealso:[\"abs\"]}},function(e,t){e.exports={name:\"sqrt\",category:\"Arithmetic\",syntax:[\"sqrt(x)\"],description:\"Compute the square root value. If x = y * y, then y is the square root of x.\",examples:[\"sqrt(25)\",\"5 * 5\",\"sqrt(-1)\"],seealso:[\"square\",\"multiply\"]}},function(e,t){e.exports={name:\"square\",category:\"Arithmetic\",syntax:[\"square(x)\"],description:\"Compute the square of a value. The square of x is x * x.\",examples:[\"square(3)\",\"sqrt(9)\",\"3^2\",\"3 * 3\"],seealso:[\"multiply\",\"pow\",\"sqrt\",\"cube\"]}},function(e,t){e.exports={name:\"subtract\",category:\"Operators\",syntax:[\"x - y\",\"subtract(x, y)\"],description:\"subtract two values.\",examples:[\"a = 5.3 - 2\",\"a + 2\",\"2/3 - 1/6\",\"2 * 3 - 3\",\"2.1 km - 500m\"],seealso:[\"add\"]}},function(e,t){e.exports={name:\"unaryMinus\",category:\"Operators\",syntax:[\"-x\",\"unaryMinus(x)\"],description:\"Inverse the sign of a value. Converts booleans and strings to numbers.\",examples:[\"-4.5\",\"-(-5.6)\",'-\"22\"'],seealso:[\"add\",\"subtract\",\"unaryPlus\"]}},function(e,t){e.exports={name:\"unaryPlus\",category:\"Operators\",syntax:[\"+x\",\"unaryPlus(x)\"],description:\"Converts booleans and strings to numbers.\",examples:[\"+true\",'+\"2\"'],seealso:[\"add\",\"subtract\",\"unaryMinus\"]}},function(e,t){e.exports={name:\"xgcd\",category:\"Arithmetic\",syntax:[\"xgcd(a, b)\"],description:\"Calculate the extended greatest common divisor for two values\",examples:[\"xgcd(8, 12)\",\"gcd(8, 12)\",\"xgcd(36163, 21199)\"],seealso:[\"gcd\",\"lcm\"]}},function(e,t){e.exports={name:\"bitAnd\",category:\"Bitwise\",syntax:[\"x & y\",\"bitAnd(x, y)\"],description:\"Bitwise AND operation. Performs the logical AND operation on each pair of the corresponding bits of the two given values by multiplying them. If both bits in the compared position are 1, the bit in the resulting binary representation is 1, otherwise, the result is 0\",examples:[\"5 & 3\",\"bitAnd(53, 131)\",\"[1, 12, 31] & 42\"],seealso:[\"bitNot\",\"bitOr\",\"bitXor\",\"leftShift\",\"rightArithShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"bitNot\",category:\"Bitwise\",syntax:[\"~x\",\"bitNot(x)\"],description:\"Bitwise NOT operation. Performs a logical negation on each bit of the given value. Bits that are 0 become 1, and those that are 1 become 0.\",examples:[\"~1\",\"~2\",\"bitNot([2, -3, 4])\"],seealso:[\"bitAnd\",\"bitOr\",\"bitXor\",\"leftShift\",\"rightArithShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"bitOr\",category:\"Bitwise\",syntax:[\"x | y\",\"bitOr(x, y)\"],description:\"Bitwise OR operation. Performs the logical inclusive OR operation on each pair of corresponding bits of the two given values. The result in each position is 1 if the first bit is 1 or the second bit is 1 or both bits are 1, otherwise, the result is 0.\",examples:[\"5 | 3\",\"bitOr([1, 2, 3], 4)\"],seealso:[\"bitAnd\",\"bitNot\",\"bitXor\",\"leftShift\",\"rightArithShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"bitXor\",category:\"Bitwise\",syntax:[\"bitXor(x, y)\"],description:\"Bitwise XOR operation, exclusive OR. Performs the logical exclusive OR operation on each pair of corresponding bits of the two given values. The result in each position is 1 if only the first bit is 1 or only the second bit is 1, but will be 0 if both are 0 or both are 1.\",examples:[\"bitOr(1, 2)\",\"bitXor([2, 3, 4], 4)\"],seealso:[\"bitAnd\",\"bitNot\",\"bitOr\",\"leftShift\",\"rightArithShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"leftShift\",category:\"Bitwise\",syntax:[\"x << y\",\"leftShift(x, y)\"],description:\"Bitwise left logical shift of a value x by y number of bits.\",examples:[\"4 << 1\",\"8 >> 1\"],seealso:[\"bitAnd\",\"bitNot\",\"bitOr\",\"bitXor\",\"rightArithShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"rightArithShift\",category:\"Bitwise\",syntax:[\"x >> y\",\"leftShift(x, y)\"],description:\"Bitwise right arithmetic shift of a value x by y number of bits.\",examples:[\"8 >> 1\",\"4 << 1\",\"-12 >> 2\"],seealso:[\"bitAnd\",\"bitNot\",\"bitOr\",\"bitXor\",\"leftShift\",\"rightLogShift\"]}},function(e,t){e.exports={name:\"rightLogShift\",category:\"Bitwise\",syntax:[\"x >> y\",\"leftShift(x, y)\"],description:\"Bitwise right logical shift of a value x by y number of bits.\",examples:[\"8 >>> 1\",\"4 << 1\",\"-12 >>> 2\"],seealso:[\"bitAnd\",\"bitNot\",\"bitOr\",\"bitXor\",\"leftShift\",\"rightArithShift\"]}},function(e,t){e.exports={name:\"bellNumbers\",category:\"Combinatorics\",syntax:[\"bellNumbers(n)\"],description:\"The Bell Numbers count the number of partitions of a set. A partition is a pairwise disjoint subset of S whose union is S. `bellNumbers` only takes integer arguments. The following condition must be enforced: n >= 0.\",examples:[\"bellNumbers(3)\",\"bellNumbers(8)\"],seealso:[\"stirlingS2\"]}},function(e,t){e.exports={name:\"catalan\",category:\"Combinatorics\",syntax:[\"catalan(n)\"],description:\"The Catalan Numbers enumerate combinatorial structures of many different types. catalan only takes integer arguments. The following condition must be enforced: n >= 0.\",examples:[\"catalan(3)\",\"catalan(8)\"],seealso:[\"bellNumbers\"]}},function(e,t){e.exports={name:\"composition\",category:\"Combinatorics\",syntax:[\"composition(n, k)\"],description:\"The composition counts of n into k parts. composition only takes integer arguments. The following condition must be enforced: k <= n.\",examples:[\"composition(5, 3)\"],seealso:[\"combinations\"]}},function(e,t){e.exports={name:\"stirlingS2\",category:\"Combinatorics\",syntax:[\"stirlingS2(n, k)\"],description:\"he Stirling numbers of the second kind, counts the number of ways to partition a set of n labelled objects into k nonempty unlabelled subsets. `stirlingS2` only takes integer arguments. The following condition must be enforced: k <= n. If n = k or k = 1, then s(n,k) = 1.\",examples:[\"stirlingS2(5, 3)\"],seealso:[\"bellNumbers\"]}},function(e,t){e.exports={name:\"arg\",category:\"Complex\",syntax:[\"arg(x)\"],description:\"Compute the argument of a complex value. If x = a+bi, the argument is computed as atan2(b, a).\",examples:[\"arg(2 + 2i)\",\"atan2(3, 2)\",\"arg(2 + 3i)\"],seealso:[\"re\",\"im\",\"conj\",\"abs\"]}},function(e,t){e.exports={name:\"conj\",category:\"Complex\",syntax:[\"conj(x)\"],description:\"Compute the complex conjugate of a complex value. If x = a+bi, the complex conjugate is a-bi.\",examples:[\"conj(2 + 3i)\",\"conj(2 - 3i)\",\"conj(-5.2i)\"],seealso:[\"re\",\"im\",\"abs\",\"arg\"]}},function(e,t){e.exports={name:\"re\",category:\"Complex\",syntax:[\"re(x)\"],description:\"Get the real part of a complex number.\",examples:[\"re(2 + 3i)\",\"im(2 + 3i)\",\"re(-5.2i)\",\"re(2.4)\"],seealso:[\"im\",\"conj\",\"abs\",\"arg\"]}},function(e,t){e.exports={name:\"im\",category:\"Complex\",syntax:[\"im(x)\"],description:\"Get the imaginary part of a complex number.\",examples:[\"im(2 + 3i)\",\"re(2 + 3i)\",\"im(-5.2i)\",\"im(2.4)\"],seealso:[\"re\",\"conj\",\"abs\",\"arg\"]}},function(e,t){e.exports={name:\"eval\",category:\"Expression\",syntax:[\"eval(expression)\",\"eval([expr1, expr2, expr3, ...])\"],description:\"Evaluate an expression or an array with expressions.\",examples:['eval(\"2 + 3\")','eval(\"sqrt(\" + 4 + \")\")'],seealso:[]}},function(e,t){e.exports={name:\"help\",category:\"Expression\",syntax:[\"help(object)\",\"help(string)\"],description:\"Display documentation on a function or data type.\",examples:[\"help(sqrt)\",'help(\"complex\")'],seealso:[]}},function(e,t){e.exports={name:\"distance\",category:\"Geometry\",syntax:[\"distance([x1, y1], [x2, y2])\",\"distance([[x1, y1], [x2, y2])\"],description:\"Calculates the Euclidean distance between two points.\",examples:[\"distance([0,0], [4,4])\",\"distance([[0,0], [4,4]])\"],seealso:[]}},function(e,t){e.exports={name:\"intersect\",category:\"Geometry\",syntax:[\"intersect(expr1, expr2, expr3, expr4)\",\"intersect(expr1, expr2, expr3)\"],description:\"Computes the intersection point of lines and/or planes.\",examples:[\"intersect([0, 0], [10, 10], [10, 0], [0, 10])\",\"intersect([1, 0, 1], [4, -2, 2], [1, 1, 1, 6])\"],seealso:[]}},function(e,t){e.exports={name:\"and\",category:\"Logical\",syntax:[\"x and y\",\"and(x, y)\"],description:\"Logical and. Test whether two values are both defined with a nonzero/nonempty value.\",examples:[\"true and false\",\"true and true\",\"2 and 4\"],seealso:[\"not\",\"or\",\"xor\"]}},function(e,t){e.exports={name:\"not\",category:\"Logical\",syntax:[\"not x\",\"not(x)\"],description:\"Logical not. Flips the boolean value of given argument.\",examples:[\"not true\",\"not false\",\"not 2\",\"not 0\"],seealso:[\"and\",\"or\",\"xor\"]}},function(e,t){e.exports={name:\"or\",category:\"Logical\",syntax:[\"x or y\",\"or(x, y)\"],description:\"Logical or. Test if at least one value is defined with a nonzero/nonempty value.\",examples:[\"true or false\",\"false or false\",\"0 or 4\"],seealso:[\"not\",\"and\",\"xor\"]}},function(e,t){e.exports={name:\"xor\",category:\"Logical\",syntax:[\"x or y\",\"or(x, y)\"],description:\"Logical exclusive or, xor. Test whether one and only one value is defined with a nonzero/nonempty value.\",examples:[\"true xor false\",\"false xor false\",\"true xor true\",\"0 or 4\"],seealso:[\"not\",\"and\",\"or\"]}},function(e,t){e.exports={name:\"concat\",category:\"Matrix\",syntax:[\"concat(A, B, C, ...)\",\"concat(A, B, C, ..., dim)\"],description:\"Concatenate matrices. By default, the matrices are concatenated by the last dimension. The dimension on which to concatenate can be provided as last argument.\",examples:[\"A = [1, 2; 5, 6]\",\"B = [3, 4; 7, 8]\",\"concat(A, B)\",\"concat(A, B, 1)\",\"concat(A, B, 2)\"],seealso:[\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"cross\",category:\"Matrix\",syntax:[\"cross(A, B)\"],description:\"Calculate the cross product for two vectors in three dimensional space.\",examples:[\"cross([1, 1, 0], [0, 1, 1])\",\"cross([3, -3, 1], [4, 9, 2])\",\"cross([2, 3, 4], [5, 6, 7])\"],seealso:[\"multiply\",\"dot\"]}},function(e,t){e.exports={name:\"det\",category:\"Matrix\",syntax:[\"det(x)\"],description:\"Calculate the determinant of a matrix\",examples:[\"det([1, 2; 3, 4])\",\"det([-2, 2, 3; -1, 1, 3; 2, 0, -1])\"],seealso:[\"concat\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"diag\",category:\"Matrix\",syntax:[\"diag(x)\",\"diag(x, k)\"],description:\"Create a diagonal matrix or retrieve the diagonal of a matrix. When x is a vector, a matrix with the vector values on the diagonal will be returned. When x is a matrix, a vector with the diagonal values of the matrix is returned. When k is provided, the k-th diagonal will be filled in or retrieved, if k is positive, the values are placed on the super diagonal. When k is negative, the values are placed on the sub diagonal.\",examples:[\"diag(1:3)\",\"diag(1:3, 1)\",\"a = [1, 2, 3; 4, 5, 6; 7, 8, 9]\",\"diag(a)\"],seealso:[\"concat\",\"det\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"dot\",category:\"Matrix\",syntax:[\"dot(A, B)\"],description:\"Calculate the dot product of two vectors. The dot product of A = [a1, a2, a3, ..., an] and B = [b1, b2, b3, ..., bn] is defined as dot(A, B) = a1 * b1 + a2 * b2 + a3 * b3 + ... + an * bn\",examples:[\"dot([2, 4, 1], [2, 2, 3])\",\"[2, 4, 1] * [2, 2, 3]\"],seealso:[\"multiply\",\"cross\"]}},function(e,t){e.exports={name:\"eye\",category:\"Matrix\",syntax:[\"eye(n)\",\"eye(m, n)\",\"eye([m, n])\",\"eye\"],description:\"Returns the identity matrix with size m-by-n. The matrix has ones on the diagonal and zeros elsewhere.\",examples:[\"eye(3)\",\"eye(3, 5)\",\"a = [1, 2, 3; 4, 5, 6]\",\"eye(size(a))\"],seealso:[\"concat\",\"det\",\"diag\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"flatten\",category:\"Matrix\",syntax:[\"flatten(x)\"],description:\"Flatten a multi dimensional matrix into a single dimensional matrix.\",examples:[\"a = [1, 2, 3; 4, 5, 6]\",\"size(a)\",\"b = flatten(a)\",\"size(b)\"],seealso:[\"concat\",\"resize\",\"size\",\"squeeze\"]}},function(e,t){e.exports={name:\"inv\",category:\"Matrix\",syntax:[\"inv(x)\"],description:\"Calculate the inverse of a matrix\",examples:[\"inv([1, 2; 3, 4])\",\"inv(4)\",\"1 / 4\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"ones\",category:\"Matrix\",syntax:[\"ones(m)\",\"ones(m, n)\",\"ones(m, n, p, ...)\",\"ones([m])\",\"ones([m, n])\",\"ones([m, n, p, ...])\",\"ones\"],description:\"Create a matrix containing ones.\",examples:[\"ones(3)\",\"ones(3, 5)\",\"ones([2,3]) * 4.5\",\"a = [1, 2, 3; 4, 5, 6]\",\"ones(size(a))\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"range\",category:\"Type\",syntax:[\"start:end\",\"start:step:end\",\"range(start, end)\",\"range(start, end, step)\",\"range(string)\"],description:\"Create a range. Lower bound of the range is included, upper bound is excluded.\",examples:[\"1:5\",\"3:-1:-3\",\"range(3, 7)\",\"range(0, 12, 2)\",'range(\"4:10\")',\"a = [1, 2, 3, 4; 5, 6, 7, 8]\",\"a[1:2, 1:2]\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"resize\",category:\"Matrix\",syntax:[\"resize(x, size)\",\"resize(x, size, defaultValue)\"],description:\"Resize a matrix.\",examples:[\"resize([1,2,3,4,5], [3])\",\"resize([1,2,3], [5])\",\"resize([1,2,3], [5], -1)\",\"resize(2, [2, 3])\",'resize(\"hello\", [8], \"!\")'],seealso:[\"size\",\"subset\",\"squeeze\"]}},function(e,t){e.exports={name:\"size\",category:\"Matrix\",syntax:[\"size(x)\"],description:\"Calculate the size of a matrix.\",examples:[\"size(2.3)\",'size(\"hello world\")',\"a = [1, 2; 3, 4; 5, 6]\",\"size(a)\",\"size(1:6)\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"squeeze\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"squeeze\",category:\"Matrix\",syntax:[\"squeeze(x)\"],description:\"Remove inner and outer singleton dimensions from a matrix.\",examples:[\"a = zeros(3,2,1)\",\"size(squeeze(a))\",\"b = zeros(1,1,3)\",\"size(squeeze(b))\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"subset\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"subset\",category:\"Matrix\",syntax:[\"value(index)\",\"value(index) = replacement\",\"subset(value, [index])\",\"subset(value, [index], replacement)\"],description:\"Get or set a subset of a matrix or string. Indexes are one-based. Both the ranges lower-bound and upper-bound are included.\",examples:[\"d = [1, 2; 3, 4]\",\"e = []\",\"e[1, 1:2] = [5, 6]\",\"e[2, :] = [7, 8]\",\"f = d * e\",\"f[2, 1]\",\"f[:, 1]\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"trace\",\"transpose\",\"zeros\"]}},function(e,t){e.exports={name:\"trace\",category:\"Matrix\",syntax:[\"trace(A)\"],description:\"Calculate the trace of a matrix: the sum of the elements on the main diagonal of a square matrix.\",examples:[\"A = [1, 2, 3; -1, 2, 3; 2, 0, 3]\",\"trace(A)\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"transpose\",\"zeros\"]\n}},function(e,t){e.exports={name:\"transpose\",category:\"Matrix\",syntax:[\"x'\",\"transpose(x)\"],description:\"Transpose a matrix\",examples:[\"a = [1, 2, 3; 4, 5, 6]\",\"a'\",\"transpose(a)\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"zeros\"]}},function(e,t){e.exports={name:\"zeros\",category:\"Matrix\",syntax:[\"zeros(m)\",\"zeros(m, n)\",\"zeros(m, n, p, ...)\",\"zeros([m])\",\"zeros([m, n])\",\"zeros([m, n, p, ...])\",\"zeros\"],description:\"Create a matrix containing zeros.\",examples:[\"zeros(3)\",\"zeros(3, 5)\",\"a = [1, 2, 3; 4, 5, 6]\",\"zeros(size(a))\"],seealso:[\"concat\",\"det\",\"diag\",\"eye\",\"inv\",\"ones\",\"range\",\"size\",\"squeeze\",\"subset\",\"trace\",\"transpose\"]}},function(e,t){e.exports={name:\"combinations\",category:\"Probability\",syntax:[\"combinations(n, k)\"],description:\"Compute the number of combinations of n items taken k at a time\",examples:[\"combinations(7, 5)\"],seealso:[\"permutations\",\"factorial\"]}},function(e,t){e.exports={name:\"factorial\",category:\"Probability\",syntax:[\"kldivergence(x, y)\"],description:\"Compute the factorial of a value\",examples:[\"5!\",\"5 * 4 * 3 * 2 * 1\",\"3!\"],seealso:[\"combinations\",\"permutations\",\"gamma\"]}},function(e,t){e.exports={name:\"gamma\",category:\"Probability\",syntax:[\"gamma(n)\"],description:\"Compute the gamma function. For small values, the Lanczos approximation is used, and for large values the extended Stirling approximation.\",examples:[\"gamma(4)\",\"3!\",\"gamma(1/2)\",\"sqrt(pi)\"],seealso:[\"factorial\"]}},function(e,t){e.exports={name:\"kldivergence\",category:\"Probability\",syntax:[\"n!\",\"factorial(n)\"],description:\"Calculate the Kullback-Leibler (KL) divergence between two distributions.\",examples:[\"math.kldivergence([0.7,0.5,0.4], [0.2,0.9,0.5])\"],seealso:[]}},function(e,t){e.exports={name:\"multinomial\",category:\"Probability\",syntax:[\"multinomial(A)\"],description:\"Multinomial Coefficients compute the number of ways of picking a1, a2, ..., ai unordered outcomes from `n` possibilities. multinomial takes one array of integers as an argument. The following condition must be enforced: every ai <= 0.\",examples:[\"multinomial([1, 2, 1])\"],seealso:[\"combinations\",\"factorial\"]}},function(e,t){e.exports={name:\"permutations\",category:\"Probability\",syntax:[\"permutations(n)\",\"permutations(n, k)\"],description:\"Compute the number of permutations of n items taken k at a time\",examples:[\"permutations(5)\",\"permutations(5, 3)\"],seealso:[\"combinations\",\"factorial\"]}},function(e,t){e.exports={name:\"pickRandom\",category:\"Probability\",syntax:[\"pickRandom(array)\"],description:\"Pick a random entry from a given array.\",examples:[\"pickRandom(0:10)\",\"pickRandom([1, 3, 1, 6])\"],seealso:[\"random\",\"randomInt\"]}},function(e,t){e.exports={name:\"random\",category:\"Probability\",syntax:[\"random()\",\"random(max)\",\"random(min, max)\",\"random(size)\",\"random(size, max)\",\"random(size, min, max)\"],description:\"Return a random number.\",examples:[\"random()\",\"random(10, 20)\",\"random([2, 3])\"],seealso:[\"pickRandom\",\"randomInt\"]}},function(e,t){e.exports={name:\"randInt\",category:\"Probability\",syntax:[\"randInt(max)\",\"randInt(min, max)\",\"randInt(size)\",\"randInt(size, max)\",\"randInt(size, min, max)\"],description:\"Return a random integer number\",examples:[\"randInt(10, 20)\",\"randInt([2, 3], 10)\"],seealso:[\"pickRandom\",\"random\"]}},function(e,t){e.exports={name:\"compare\",category:\"Relational\",syntax:[\"compare(x, y)\"],description:\"Compare two values. Returns 1 if x is larger than y, -1 if x is smaller than y, and 0 if x and y are equal.\",examples:[\"compare(2, 3)\",\"compare(3, 2)\",\"compare(2, 2)\",\"compare(5cm, 40mm)\",\"compare(2, [1, 2, 3])\"],seealso:[\"equal\",\"unequal\",\"smaller\",\"smallerEq\",\"largerEq\"]}},function(e,t){e.exports={name:\"deepEqual\",category:\"Relational\",syntax:[\"deepEqual(x, y)\"],description:\"Check equality of two matrices element wise. Returns true if the size of both matrices is equal and when and each of the elements are equal.\",examples:[\"[1,3,4] == [1,3,4]\",\"[1,3,4] == [1,3]\"],seealso:[\"equal\",\"unequal\",\"smaller\",\"larger\",\"smallerEq\",\"largerEq\",\"compare\"]}},function(e,t){e.exports={name:\"equal\",category:\"Relational\",syntax:[\"x == y\",\"equal(x, y)\"],description:\"Check equality of two values. Returns true if the values are equal, and false if not.\",examples:[\"2+2 == 3\",\"2+2 == 4\",\"a = 3.2\",\"b = 6-2.8\",\"a == b\",\"50cm == 0.5m\"],seealso:[\"unequal\",\"smaller\",\"larger\",\"smallerEq\",\"largerEq\",\"compare\",\"deepEqual\"]}},function(e,t){e.exports={name:\"larger\",category:\"Relational\",syntax:[\"x > y\",\"larger(x, y)\"],description:\"Check if value x is larger than y. Returns true if x is larger than y, and false if not.\",examples:[\"2 > 3\",\"5 > 2*2\",\"a = 3.3\",\"b = 6-2.8\",\"(a > b)\",\"(b < a)\",\"5 cm > 2 inch\"],seealso:[\"equal\",\"unequal\",\"smaller\",\"smallerEq\",\"largerEq\",\"compare\"]}},function(e,t){e.exports={name:\"largerEq\",category:\"Relational\",syntax:[\"x >= y\",\"largerEq(x, y)\"],description:\"Check if value x is larger or equal to y. Returns true if x is larger or equal to y, and false if not.\",examples:[\"2 > 1+1\",\"2 >= 1+1\",\"a = 3.2\",\"b = 6-2.8\",\"(a > b)\"],seealso:[\"equal\",\"unequal\",\"smallerEq\",\"smaller\",\"largerEq\",\"compare\"]}},function(e,t){e.exports={name:\"smaller\",category:\"Relational\",syntax:[\"x < y\",\"smaller(x, y)\"],description:\"Check if value x is smaller than value y. Returns true if x is smaller than y, and false if not.\",examples:[\"2 < 3\",\"5 < 2*2\",\"a = 3.3\",\"b = 6-2.8\",\"(a < b)\",\"5 cm < 2 inch\"],seealso:[\"equal\",\"unequal\",\"larger\",\"smallerEq\",\"largerEq\",\"compare\"]}},function(e,t){e.exports={name:\"smallerEq\",category:\"Relational\",syntax:[\"x <= y\",\"smallerEq(x, y)\"],description:\"Check if value x is smaller or equal to value y. Returns true if x is smaller than y, and false if not.\",examples:[\"2 < 1+1\",\"2 <= 1+1\",\"a = 3.2\",\"b = 6-2.8\",\"(a < b)\"],seealso:[\"equal\",\"unequal\",\"larger\",\"smaller\",\"largerEq\",\"compare\"]}},function(e,t){e.exports={name:\"unequal\",category:\"Relational\",syntax:[\"x != y\",\"unequal(x, y)\"],description:\"Check unequality of two values. Returns true if the values are unequal, and false if they are equal.\",examples:[\"2+2 != 3\",\"2+2 != 4\",\"a = 3.2\",\"b = 6-2.8\",\"a != b\",\"50cm != 0.5m\",\"5 cm != 2 inch\"],seealso:[\"equal\",\"smaller\",\"larger\",\"smallerEq\",\"largerEq\",\"compare\",\"deepEqual\"]}},function(e,t){e.exports={name:\"max\",category:\"Statistics\",syntax:[\"max(a, b, c, ...)\",\"max(A)\",\"max(A, dim)\"],description:\"Compute the maximum value of a list of values.\",examples:[\"max(2, 3, 4, 1)\",\"max([2, 3, 4, 1])\",\"max([2, 5; 4, 3])\",\"max([2, 5; 4, 3], 1)\",\"max([2, 5; 4, 3], 2)\",\"max(2.7, 7.1, -4.5, 2.0, 4.1)\",\"min(2.7, 7.1, -4.5, 2.0, 4.1)\"],seealso:[\"mean\",\"median\",\"min\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"mean\",category:\"Statistics\",syntax:[\"mean(a, b, c, ...)\",\"mean(A)\",\"mean(A, dim)\"],description:\"Compute the arithmetic mean of a list of values.\",examples:[\"mean(2, 3, 4, 1)\",\"mean([2, 3, 4, 1])\",\"mean([2, 5; 4, 3])\",\"mean([2, 5; 4, 3], 1)\",\"mean([2, 5; 4, 3], 2)\",\"mean([1.0, 2.7, 3.2, 4.0])\"],seealso:[\"max\",\"median\",\"min\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"median\",category:\"Statistics\",syntax:[\"median(a, b, c, ...)\",\"median(A)\"],description:\"Compute the median of all values. The values are sorted and the middle value is returned. In case of an even number of values, the average of the two middle values is returned.\",examples:[\"median(5, 2, 7)\",\"median([3, -1, 5, 7])\"],seealso:[\"max\",\"mean\",\"min\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"min\",category:\"Statistics\",syntax:[\"min(a, b, c, ...)\",\"min(A)\",\"min(A, dim)\"],description:\"Compute the minimum value of a list of values.\",examples:[\"min(2, 3, 4, 1)\",\"min([2, 3, 4, 1])\",\"min([2, 5; 4, 3])\",\"min([2, 5; 4, 3], 1)\",\"min([2, 5; 4, 3], 2)\",\"min(2.7, 7.1, -4.5, 2.0, 4.1)\",\"max(2.7, 7.1, -4.5, 2.0, 4.1)\"],seealso:[\"max\",\"mean\",\"median\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"mode\",category:\"Statistics\",syntax:[\"mode(a, b, c, ...)\",\"mode(A)\",\"mode(A, a, b, B, c, ...)\"],description:\"Computes the mode of all values as an array. In case mode being more than one, multiple values are returned in an array.\",examples:[\"mode(5, 2, 7)\",\"mode([3, -1, 5, 7])\"],seealso:[\"max\",\"mean\",\"min\",\"median\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"prod\",category:\"Statistics\",syntax:[\"prod(a, b, c, ...)\",\"prod(A)\"],description:\"Compute the product of all values.\",examples:[\"prod(2, 3, 4)\",\"prod([2, 3, 4])\",\"prod([2, 5; 4, 3])\"],seealso:[\"max\",\"mean\",\"min\",\"median\",\"min\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"quantileSeq\",category:\"Statistics\",syntax:[\"quantileSeq(A, prob[, sorted])\",\"quantileSeq(A, [prob1, prob2, ...][, sorted])\",\"quantileSeq(A, N[, sorted])\"],description:\"Compute the prob order quantile of a matrix or a list with values. The sequence is sorted and the middle value is returned. Supported types of sequence values are: Number, BigNumber, Unit Supported types of probablity are: Number, BigNumber. \\n\\nIn case of a (multi dimensional) array or matrix, the prob order quantile of all elements will be calculated.\",examples:[\"quantileSeq([3, -1, 5, 7], 0.5)\",\"quantileSeq([3, -1, 5, 7], [1/3, 2/3])\",\"quantileSeq([3, -1, 5, 7], 2)\",\"quantileSeq([-1, 3, 5, 7], 0.5, true)\"],seealso:[\"mean\",\"median\",\"min\",\"max\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"std\",category:\"Statistics\",syntax:[\"std(a, b, c, ...)\",\"std(A)\",\"std(A, normalization)\"],description:'Compute the standard deviation of all values, defined as std(A) = sqrt(var(A)). Optional parameter normalization can be \"unbiased\" (default), \"uncorrected\", or \"biased\".',examples:[\"std(2, 4, 6)\",\"std([2, 4, 6, 8])\",'std([2, 4, 6, 8], \"uncorrected\")','std([2, 4, 6, 8], \"biased\")',\"std([1, 2, 3; 4, 5, 6])\"],seealso:[\"max\",\"mean\",\"min\",\"median\",\"min\",\"prod\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"sum\",category:\"Statistics\",syntax:[\"sum(a, b, c, ...)\",\"sum(A)\"],description:\"Compute the sum of all values.\",examples:[\"sum(2, 3, 4, 1)\",\"sum([2, 3, 4, 1])\",\"sum([2, 5; 4, 3])\"],seealso:[\"max\",\"mean\",\"median\",\"min\",\"prod\",\"std\",\"sum\",\"var\"]}},function(e,t){e.exports={name:\"var\",category:\"Statistics\",syntax:[\"var(a, b, c, ...)\",\"var(A)\",\"var(A, normalization)\"],description:'Compute the variance of all values. Optional parameter normalization can be \"unbiased\" (default), \"uncorrected\", or \"biased\".',examples:[\"var(2, 4, 6)\",\"var([2, 4, 6, 8])\",'var([2, 4, 6, 8], \"uncorrected\")','var([2, 4, 6, 8], \"biased\")',\"var([1, 2, 3; 4, 5, 6])\"],seealso:[\"max\",\"mean\",\"min\",\"median\",\"min\",\"prod\",\"std\",\"sum\"]}},function(e,t){e.exports={name:\"acos\",category:\"Trigonometry\",syntax:[\"acos(x)\"],description:\"Compute the inverse cosine of a value in radians.\",examples:[\"acos(0.5)\",\"acos(cos(2.3))\"],seealso:[\"cos\",\"atan\",\"asin\"]}},function(e,t){e.exports={name:\"acosh\",category:\"Trigonometry\",syntax:[\"acosh(x)\"],description:\"Calculate the hyperbolic arccos of a value, defined as `acosh(x) = ln(sqrt(x^2 - 1) + x)`.\",examples:[\"acosh(1.5)\"],seealso:[\"cosh\",\"asinh\",\"atanh\"]}},function(e,t){e.exports={name:\"acot\",category:\"Trigonometry\",syntax:[\"acot(x)\"],description:\"Calculate the inverse cotangent of a value.\",examples:[\"acot(0.5)\",\"acot(cot(0.5))\",\"acot(2)\"],seealso:[\"cot\",\"atan\"]}},function(e,t){e.exports={name:\"acoth\",category:\"Trigonometry\",syntax:[\"acoth(x)\"],description:\"Calculate the hyperbolic arccotangent of a value, defined as `acoth(x) = (ln((x+1)/x) + ln(x/(x-1))) / 2`.\",examples:[\"acoth(0.5)\"],seealso:[\"acsch\",\"asech\"]}},function(e,t){e.exports={name:\"acsc\",category:\"Trigonometry\",syntax:[\"acsc(x)\"],description:\"Calculate the inverse cotangent of a value.\",examples:[\"acsc(0.5)\",\"acsc(csc(0.5))\",\"acsc(2)\"],seealso:[\"csc\",\"asin\",\"asec\"]}},function(e,t){e.exports={name:\"acsch\",category:\"Trigonometry\",syntax:[\"acsch(x)\"],description:\"Calculate the hyperbolic arccosecant of a value, defined as `acsch(x) = ln(1/x + sqrt(1/x^2 + 1))`.\",examples:[\"acsch(0.5)\"],seealso:[\"asech\",\"acoth\"]}},function(e,t){e.exports={name:\"asec\",category:\"Trigonometry\",syntax:[\"asec(x)\"],description:\"Calculate the inverse secant of a value.\",examples:[\"asec(0.5)\",\"asec(sec(0.5))\",\"asec(2)\"],seealso:[\"acos\",\"acot\",\"acsc\"]}},function(e,t){e.exports={name:\"asech\",category:\"Trigonometry\",syntax:[\"asech(x)\"],description:\"Calculate the inverse secant of a value.\",examples:[\"asech(0.5)\"],seealso:[\"acsch\",\"acoth\"]}},function(e,t){e.exports={name:\"asin\",category:\"Trigonometry\",syntax:[\"asin(x)\"],description:\"Compute the inverse sine of a value in radians.\",examples:[\"asin(0.5)\",\"asin(sin(2.3))\"],seealso:[\"sin\",\"acos\",\"atan\"]}},function(e,t){e.exports={name:\"asinh\",category:\"Trigonometry\",syntax:[\"asinh(x)\"],description:\"Calculate the hyperbolic arcsine of a value, defined as `asinh(x) = ln(x + sqrt(x^2 + 1))`.\",examples:[\"asinh(0.5)\"],seealso:[\"acosh\",\"atanh\"]}},function(e,t){e.exports={name:\"atan\",category:\"Trigonometry\",syntax:[\"atan(x)\"],description:\"Compute the inverse tangent of a value in radians.\",examples:[\"atan(0.5)\",\"atan(tan(2.3))\"],seealso:[\"tan\",\"acos\",\"asin\"]}},function(e,t){e.exports={name:\"atanh\",category:\"Trigonometry\",syntax:[\"atanh(x)\"],description:\"Calculate the hyperbolic arctangent of a value, defined as `atanh(x) = ln((1 + x)/(1 - x)) / 2`.\",examples:[\"atanh(0.5)\"],seealso:[\"acosh\",\"asinh\"]}},function(e,t){e.exports={name:\"atan2\",category:\"Trigonometry\",syntax:[\"atan2(y, x)\"],description:\"Computes the principal value of the arc tangent of y/x in radians.\",examples:[\"atan2(2, 2) / pi\",\"angle = 60 deg in rad\",\"x = cos(angle)\",\"y = sin(angle)\",\"atan2(y, x)\"],seealso:[\"sin\",\"cos\",\"tan\"]}},function(e,t){e.exports={name:\"cos\",category:\"Trigonometry\",syntax:[\"cos(x)\"],description:\"Compute the cosine of x in radians.\",examples:[\"cos(2)\",\"cos(pi / 4) ^ 2\",\"cos(180 deg)\",\"cos(60 deg)\",\"sin(0.2)^2 + cos(0.2)^2\"],seealso:[\"acos\",\"sin\",\"tan\"]}},function(e,t){e.exports={name:\"cosh\",category:\"Trigonometry\",syntax:[\"cosh(x)\"],description:\"Compute the hyperbolic cosine of x in radians.\",examples:[\"cosh(0.5)\"],seealso:[\"sinh\",\"tanh\",\"coth\"]}},function(e,t){e.exports={name:\"cot\",category:\"Trigonometry\",syntax:[\"cot(x)\"],description:\"Compute the cotangent of x in radians. Defined as 1/tan(x)\",examples:[\"cot(2)\",\"1 / tan(2)\"],seealso:[\"sec\",\"csc\",\"tan\"]}},function(e,t){e.exports={name:\"coth\",category:\"Trigonometry\",syntax:[\"coth(x)\"],description:\"Compute the hyperbolic cotangent of x in radians.\",examples:[\"coth(2)\",\"1 / tanh(2)\"],seealso:[\"sech\",\"csch\",\"tanh\"]}},function(e,t){e.exports={name:\"csc\",category:\"Trigonometry\",syntax:[\"csc(x)\"],description:\"Compute the cosecant of x in radians. Defined as 1/sin(x)\",examples:[\"csc(2)\",\"1 / sin(2)\"],seealso:[\"sec\",\"cot\",\"sin\"]}},function(e,t){e.exports={name:\"csch\",category:\"Trigonometry\",syntax:[\"csch(x)\"],description:\"Compute the hyperbolic cosecant of x in radians. Defined as 1/sinh(x)\",examples:[\"csch(2)\",\"1 / sinh(2)\"],seealso:[\"sech\",\"coth\",\"sinh\"]}},function(e,t){e.exports={name:\"sec\",category:\"Trigonometry\",syntax:[\"sec(x)\"],description:\"Compute the secant of x in radians. Defined as 1/cos(x)\",examples:[\"sec(2)\",\"1 / cos(2)\"],seealso:[\"cot\",\"csc\",\"cos\"]}},function(e,t){e.exports={name:\"sech\",category:\"Trigonometry\",syntax:[\"sech(x)\"],description:\"Compute the hyperbolic secant of x in radians. Defined as 1/cosh(x)\",examples:[\"sech(2)\",\"1 / cosh(2)\"],seealso:[\"coth\",\"csch\",\"cosh\"]}},function(e,t){e.exports={name:\"sin\",category:\"Trigonometry\",syntax:[\"sin(x)\"],description:\"Compute the sine of x in radians.\",examples:[\"sin(2)\",\"sin(pi / 4) ^ 2\",\"sin(90 deg)\",\"sin(30 deg)\",\"sin(0.2)^2 + cos(0.2)^2\"],seealso:[\"asin\",\"cos\",\"tan\"]}},function(e,t){e.exports={name:\"sinh\",category:\"Trigonometry\",syntax:[\"sinh(x)\"],description:\"Compute the hyperbolic sine of x in radians.\",examples:[\"sinh(0.5)\"],seealso:[\"cosh\",\"tanh\"]}},function(e,t){e.exports={name:\"tan\",category:\"Trigonometry\",syntax:[\"tan(x)\"],description:\"Compute the tangent of x in radians.\",examples:[\"tan(0.5)\",\"sin(0.5) / cos(0.5)\",\"tan(pi / 4)\",\"tan(45 deg)\"],seealso:[\"atan\",\"sin\",\"cos\"]}},function(e,t){e.exports={name:\"tanh\",category:\"Trigonometry\",syntax:[\"tanh(x)\"],description:\"Compute the hyperbolic tangent of x in radians.\",examples:[\"tanh(0.5)\",\"sinh(0.5) / cosh(0.5)\"],seealso:[\"sinh\",\"cosh\"]}},function(e,t){e.exports={name:\"to\",category:\"Units\",syntax:[\"x to unit\",\"to(x, unit)\"],description:\"Change the unit of a value.\",examples:[\"5 inch to cm\",\"3.2kg to g\",\"16 bytes in bits\"],seealso:[]}},function(e,t){e.exports={name:\"clone\",category:\"Utils\",syntax:[\"clone(x)\"],description:\"Clone a variable. Creates a copy of primitive variables,and a deep copy of matrices\",examples:[\"clone(3.5)\",\"clone(2 - 4i)\",\"clone(45 deg)\",\"clone([1, 2; 3, 4])\",'clone(\"hello world\")'],seealso:[]}},function(e,t){e.exports={name:\"map\",category:\"Utils\",syntax:[\"map(x, callback)\"],description:\"Create a new matrix or array with the results of the callback function executed on each entry of the matrix/array.\",examples:[\"map([1, 2, 3], function(val) { return value * value })\"],seealso:[\"filter\",\"forEach\"]}},function(e,t){e.exports={name:\"partitionSelect\",category:\"Utils\",syntax:[\"partitionSelect(x, k)\",\"partitionSelect(x, k, compare)\"],description:\"Partition-based selection of an array or 1D matrix. Will find the kth smallest value, and mutates the input array. Uses Quickselect.\",examples:[\"partitionSelect([5, 10, 1], 2)\",'partitionSelect([\"C\", \"B\", \"A\", \"D\"], 1)'],seealso:[\"sort\"]}},function(e,t){e.exports={name:\"filter\",category:\"Utils\",syntax:[\"filter(x, test)\"],description:\"Filter items in a matrix.\",examples:[\"isPositive(x) = x > 0\",\"filter([6, -2, -1, 4, 3], isPositive)\",\"filter([6, -2, 0, 1, 0], x != 0)\"],seealso:[\"sort\",\"map\",\"forEach\"]}},function(e,t){e.exports={name:\"forEach\",category:\"Utils\",syntax:[\"forEach(x, callback)\"],description:\"Iterates over all elements of a matrix/array, and executes the given callback function.\",examples:[\"forEach([1, 2, 3], function(val) { console.log(val) })\"],seealso:[\"map\",\"sort\",\"filter\"]}},function(e,t){e.exports={name:\"format\",category:\"Utils\",syntax:[\"format(value)\",\"format(value, precision)\"],description:\"Format a value of any type as string.\",examples:[\"format(2.3)\",\"format(3 - 4i)\",\"format([])\",\"format(pi, 3)\"],seealso:[\"print\"]}},function(e,t){e.exports={name:\"isInteger\",category:\"Utils\",syntax:[\"isInteger(x)\"],description:\"Test whether a value is an integer number.\",examples:[\"isInteger(2)\",\"isInteger(3.5)\",\"isInteger([3, 0.5, -2])\"],seealso:[\"isNegative\",\"isNumeric\",\"isPositive\",\"isZero\"]}},function(e,t){e.exports={name:\"isNegative\",category:\"Utils\",syntax:[\"isNegative(x)\"],description:\"Test whether a value is negative: smaller than zero.\",examples:[\"isNegative(2)\",\"isNegative(0)\",\"isNegative(-4)\",\"isNegative([3, 0.5, -2])\"],seealso:[\"isInteger\",\"isNumeric\",\"isPositive\",\"isZero\"]}},function(e,t){e.exports={name:\"isNumeric\",category:\"Utils\",syntax:[\"isNumeric(x)\"],description:\"Test whether a value is a numeric value. Returns true when the input is a number, BigNumber, Fraction, or boolean.\",examples:[\"isNumeric(2)\",\"isNumeric(0)\",\"isNumeric(bignumber(500))\",\"isNumeric(fraction(0.125))\",'isNumeric(\"3\")',\"isNumeric(2 + 3i)\",'isNumeric([2.3, \"foo\", false])'],seealso:[\"isInteger\",\"isZero\",\"isNegative\",\"isPositive\"]}},function(e,t){e.exports={name:\"isPositive\",category:\"Utils\",syntax:[\"isPositive(x)\"],description:\"Test whether a value is positive: larger than zero.\",examples:[\"isPositive(2)\",\"isPositive(0)\",\"isPositive(-4)\",\"isPositive([3, 0.5, -2])\"],seealso:[\"isInteger\",\"isNumeric\",\"isNegative\",\"isZero\"]}},function(e,t){e.exports={name:\"isZero\",category:\"Utils\",syntax:[\"isZero(x)\"],description:\"Test whether a value is zero.\",examples:[\"isZero(2)\",\"isZero(0)\",\"isZero(-4)\",\"isZero([3, 0, -2, 0])\"],seealso:[\"isInteger\",\"isNumeric\",\"isNegative\",\"isPositive\"]}},function(e,t){e.exports={name:\"import\",category:\"Utils\",syntax:[\"import(string)\"],description:\"Import functions from a file.\",examples:['import(\"numbers\")','import(\"./mylib.js\")'],seealso:[]}},function(e,t){e.exports={name:\"sort\",category:\"Utils\",syntax:[\"sort(x)\",\"sort(x, compare)\"],description:'Sort the items in a matrix. Compare can be a string \"asc\" or \"desc\", or a custom sort function.',examples:[\"sort([5, 10, 1])\",'sort([\"C\", \"B\", \"A\", \"D\"])',\"sortByLength(a, b) = size(a)[1] - size(b)[1]\",'sort([\"Langdon\", \"Tom\", \"Sara\"], sortByLength)'],seealso:[\"map\",\"filter\",\"forEach\"]}},function(e,t){e.exports={name:\"typeof\",category:\"Utils\",syntax:[\"typeof(x)\"],description:\"Get the type of a variable.\",examples:[\"typeof(3.5)\",\"typeof(2 - 4i)\",\"typeof(45 deg)\",'typeof(\"hello world\")'],seealso:[]}},function(e,t,r){e.exports=[r(252),r(270),r(271),r(272),r(273)]},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(253));return a(\"compile\",{string:function(e){return o(e).compile()},\"Array | Matrix\":function(e){return i(e,function(e){return o(e).compile()})}})}var i=r(19);t.name=\"compile\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t,r){if(1!=arguments.length&&2!=arguments.length)throw new i(\"parse\",arguments.length,1,2);if(he=r&&r.nodes?r.nodes:{},\"string\"==typeof t)return ge=t,x();if(Array.isArray(t)||t instanceof e.Matrix)return a(t,function(e){if(\"string\"!=typeof e)throw new TypeError(\"String expected\");return ge=e,x()});throw new TypeError(\"String or matrix expected\")}function u(){ve=0,de=ge.charAt(0),we=0,be=null}function c(){ve++,de=ge.charAt(ve)}function f(){return ge.charAt(ve+1)}function l(){return ge.charAt(ve+2)}function p(){for(xe=le.NULL,ye=\"\";\" \"==de||\"\t\"==de||\"\\n\"==de&&we;)c();if(\"#\"==de)for(;\"\\n\"!=de&&\"\"!=de;)c();if(\"\"==de)return void(xe=le.DELIMITER);if(\"\\n\"==de&&!we)return xe=le.DELIMITER,ye=de,void c();var e=de+f(),t=e+l();if(3==t.length&&pe[t])return xe=le.DELIMITER,ye=t,c(),c(),void c();if(2==e.length&&pe[e])return xe=le.DELIMITER,ye=e,c(),void c();if(pe[de])return xe=le.DELIMITER,ye=de,void c();if(!d(de)){if(v()){for(;v()||y(de);)ye+=de,c();return void(xe=me[ye]?le.DELIMITER:le.SYMBOL)}for(xe=le.UNKNOWN;\"\"!=de;)ye+=de,c();throw X('Syntax error in part \"'+ye+'\"')}if(xe=le.NUMBER,\".\"==de)ye+=de,c(),y(de)||(xe=le.UNKNOWN);else{for(;y(de);)ye+=de,c();\".\"==de&&(ye+=de,c())}for(;y(de);)ye+=de,c();if(e=f(),(\"E\"==de||\"e\"==de)&&(y(e)||\"-\"==e||\"+\"==e))for(ye+=de,c(),(\"+\"==de||\"-\"==de)&&(ye+=de,c()),y(de)||(xe=le.UNKNOWN);y(de);)ye+=de,c()}function m(){do p();while(\"\\n\"==ye)}function h(){we++}function g(){we--}function v(){var e=ge.charAt(ve-1),t=ge.charAt(ve+1),r=function(e){return/^[a-zA-Z_\\u00C0-\\u02AF\\u0370-\\u03FF]$/.test(e)},n=function(e,t){return/^[\\uD835]$/.test(e)&&/^[\\uDC00-\\uDFFF]$/.test(t)&&/^[^\\uDC55\\uDC9D\\uDCA0\\uDCA1\\uDCA3\\uDCA4\\uDCA7\\uDCA8\\uDCAD\\uDCBA\\uDCBC\\uDCC4\\uDD06\\uDD0B\\uDD0C\\uDD15\\uDD1D\\uDD3A\\uDD3F\\uDD45\\uDD47-\\uDD49\\uDD51\\uDEA6\\uDEA7\\uDFCC\\uDFCD]$/.test(t)};return r(de)||n(de,t)||n(e,de)}function d(e){return e>=\"0\"&&\"9\">=e||\".\"==e}function y(e){return e>=\"0\"&&\"9\">=e}function x(){u(),p();var e=w();if(\"\"!=ye)throw xe==le.DELIMITER?J(\"Unexpected operator \"+ye):X('Unexpected part \"'+ye+'\"');return e}function w(){var e,t,r=[];if(\"\"==ye)return new re(\"undefined\",\"undefined\");for(\"\\n\"!=ye&&\";\"!=ye&&(e=b());\"\\n\"==ye||\";\"==ye;)0==r.length&&e&&(t=\";\"!=ye,r.push({node:e,visible:t})),p(),\"\\n\"!=ye&&\";\"!=ye&&\"\"!=ye&&(e=b(),t=\";\"!=ye,r.push({node:e,visible:t}));return r.length>0?new ee(r):e}function b(){if(xe==le.SYMBOL&&\"function\"==ye)throw X('Deprecated keyword \"function\". Functions can now be assigned without it, like \"f(x) = x^2\".');return N()}function N(){var e,t,r,n,i=E();if(\"=\"==ye){if(i&&i.isSymbolNode)return e=i.name,m(),r=N(),new Q(e,r);if(i&&i.isIndexNode)return m(),r=N(),new fe(i,r);if(i&&i.isFunctionNode&&(n=!0,t=[],e=i.name,i.args.forEach(function(e,r){e&&e.isSymbolNode?t[r]=e.name:n=!1}),n))return m(),r=N(),new ne(e,t,r);throw X(\"Invalid left hand side of assignment operator =\")}return i}function E(){for(var e=M();\"?\"==ye;){var t=be;be=we,m();var r=e,n=M();if(\":\"!=ye)throw X(\"False part of conditional expression expected\");be=null,m();var i=E();e=new te(r,n,i),be=t}return e}function M(){for(var e=A();\"or\"==ye;)m(),e=new ae(\"or\",\"or\",[e,A()]);return e}function A(){for(var e=_();\"xor\"==ye;)m(),e=new ae(\"xor\",\"xor\",[e,_()]);return e}function _(){for(var e=O();\"and\"==ye;)m(),e=new ae(\"and\",\"and\",[e,O()]);return e}function O(){for(var e=T();\"|\"==ye;)m(),e=new ae(\"|\",\"bitOr\",[e,T()]);return e}function T(){for(var e=C();\"^|\"==ye;)m(),e=new ae(\"^|\",\"bitXor\",[e,C()]);return e}function C(){for(var e=S();\"&\"==ye;)m(),e=new ae(\"&\",\"bitAnd\",[e,S()]);return e}function S(){var e,t,r,n,i;for(e=z(),t={\"==\":\"equal\",\"!=\":\"unequal\",\"<\":\"smaller\",\">\":\"larger\",\"<=\":\"smallerEq\",\">=\":\"largerEq\"};ye in t;)r=ye,n=t[r],m(),i=[e,z()],e=new ae(r,n,i);return e}function z(){var e,t,r,n,i;for(e=B(),t={\"<<\":\"leftShift\",\">>\":\"rightArithShift\",\">>>\":\"rightLogShift\"};ye in t;)r=ye,n=t[r],m(),i=[e,B()],e=new ae(r,n,i);return e}function B(){var e,t,r,n,i;for(e=k(),t={to:\"to\",\"in\":\"to\"};ye in t;)r=ye,n=t[r],m(),i=[e,k()],e=new ae(r,n,i);return e}function k(){var e,t=[];if(e=\":\"==ye?new re(\"1\",\"number\"):I(),\":\"==ye&&be!==we){for(t.push(e);\":\"==ye&&t.length<3;)m(),\")\"==ye||\"]\"==ye||\",\"==ye||\"\"==ye?t.push(new ce(\"end\")):t.push(I());e=3==t.length?new ue(t[0],t[2],t[1]):new ue(t[0],t[1])}return e}function I(){var e,t,r,n,i;for(e=R(),t={\"+\":\"add\",\"-\":\"subtract\"};ye in t;)r=ye,n=t[r],m(),i=[e,R()],e=new ae(r,n,i);return e}function R(){var e,t,r,n,i;for(e=P(),t=e,r={\"*\":\"multiply\",\".*\":\"dotMultiply\",\"/\":\"divide\",\"./\":\"dotDivide\",\"%\":\"mod\",mod:\"mod\"};;)if(ye in r)n=ye,i=r[n],m(),t=P(),e=new ae(n,i,[e,t]);else{if(!(xe==le.SYMBOL||\"in\"==ye&&e&&e.isConstantNode||xe==le.NUMBER&&!t.isConstantNode||\"(\"==ye||\"[\"==ye))break;t=P(),e=new ae(\"*\",\"multiply\",[e,t])}return e}function P(){var e,t,r={\"-\":\"unaryMinus\",\"+\":\"unaryPlus\",\"~\":\"bitNot\",not:\"not\"}[ye];return r?(e=ye,m(),t=[P()],new ae(e,r,t)):U()}function U(){var e,t,r,n;return e=q(),(\"^\"==ye||\".^\"==ye)&&(t=ye,r=\"^\"==t?\"pow\":\"dotPow\",m(),n=[e,P()],e=new ae(t,r,n)),e}function q(){var e,t,r,n,i;for(e=L(),t={\"!\":\"factorial\",\"'\":\"transpose\"};ye in t;)r=ye,n=t[r],p(),i=[e],e=new ae(r,n,i);return e}function L(){var e,t=[];if(xe==le.SYMBOL&&he[ye]){if(e=he[ye],p(),\"(\"==ye){if(t=[],h(),p(),\")\"!=ye)for(t.push(E());\",\"==ye;)p(),t.push(E());if(\")\"!=ye)throw X(\"Parenthesis ) expected\");g(),p()}return new e(t)}return F()}function F(){var e,t;return xe==le.SYMBOL||xe==le.DELIMITER&&ye in me?(t=ye,p(),e=D(t),e=$(e)):j()}function D(e){var t;if(\"(\"==ye){if(t=[],h(),p(),\")\"!=ye)for(t.push(E());\",\"==ye;)p(),t.push(E());if(\")\"!=ye)throw X(\"Parenthesis ) expected\");return g(),p(),new se(e,t)}return new ce(e)}function $(e){for(var t;\"[\"==ye;){if(t=[],h(),p(),\"]\"!=ye)for(t.push(E());\",\"==ye;)p(),t.push(E());if(\"]\"!=ye)throw X(\"Parenthesis ] expected\");g(),p(),e=new ie(e,t)}return e}function j(){var e,t,r;if('\"'==ye){for(t=\"\",r=\"\";\"\"!=de&&('\"'!=de||\"\\\\\"==r);)t+=de,r=de,c();if(p(),'\"'!=ye)throw X('End of string \" expected');return p(),e=new re(t,\"string\"),e=$(e)}return G()}function G(){var e,t,r,n;if(\"[\"==ye){if(h(),p(),\"]\"!=ye){var i=H();if(\";\"==ye){for(r=1,t=[i];\";\"==ye;)p(),t[r]=H(),r++;if(\"]\"!=ye)throw X(\"End of matrix ] expected\");g(),p(),n=t[0].nodes.length;for(var a=1;r>a;a++)if(t[a].nodes.length!=n)throw J(\"Column dimensions mismatch (\"+t[a].nodes.length+\" != \"+n+\")\");e=new K(t)}else{if(\"]\"!=ye)throw X(\"End of matrix ] expected\");g(),p(),e=i}}else g(),p(),e=new K([]);return e}return Z()}function H(){for(var e=[N()],t=1;\",\"==ye;)p(),e[t]=N(),t++;return new K(e)}function Z(){var e;return xe==le.NUMBER?(e=ye,p(),new re(e,\"number\")):V()}function V(){var e;if(\"(\"==ye){if(h(),p(),e=N(),\")\"!=ye)throw X(\"Parenthesis ) expected\");return g(),p(),new oe(e)}return Y()}function Y(){throw X(\"\"==ye?\"Unexpected end of expression\":\"Value expected\")}function W(){return ve-ye.length+1}function X(e){var t=W(),r=new SyntaxError(e+\" (char \"+t+\")\");return r[\"char\"]=t,r}function J(e){var t=W(),r=new Error(e+\" (char \"+t+\")\");return r[\"char\"]=t,r}var K=n(r(254)),Q=n(r(257)),ee=n(r(259)),te=n(r(260)),re=n(r(261)),ne=n(r(262)),ie=n(r(263)),ae=n(r(266)),oe=n(r(268)),se=n(r(267)),ue=n(r(264)),ce=n(r(265)),fe=n(r(269)),le={NULL:0,DELIMITER:1,NUMBER:2,SYMBOL:3,UNKNOWN:4},pe={\",\":!0,\"(\":!0,\")\":!0,\"[\":!0,\"]\":!0,'\"':!0,\";\":!0,\"+\":!0,\"-\":!0,\"*\":!0,\".*\":!0,\"/\":!0,\"./\":!0,\"%\":!0,\"^\":!0,\".^\":!0,\"~\":!0,\"!\":!0,\"&\":!0,\"|\":!0,\"^|\":!0,\"'\":!0,\"=\":!0,\":\":!0,\"?\":!0,\"==\":!0,\"!=\":!0,\"<\":!0,\">\":!0,\"<=\":!0,\">=\":!0,\"<<\":!0,\">>\":!0,\">>>\":!0},me={mod:!0,to:!0,\"in\":!0,and:!0,xor:!0,or:!0,not:!0},he={},ge=\"\",ve=0,de=\"\",ye=\"\",xe=le.NULL,we=0,be=null;return s}var i=r(11),a=r(19);t.name=\"parse\",t.path=\"expression\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");if(this.nodes=e||[],!Array.isArray(this.nodes)||!this.nodes.every(function(e){return e&&e.isNode}))throw new TypeError(\"Array containing Nodes expected\")}var s=n(r(255));return o.prototype=new s,o.prototype.type=\"ArrayNode\",o.prototype.isArrayNode=!0,o.prototype._compile=function(e){var t=\"array\"!==e.math.config().matrix,r=this.nodes.map(function(t){return t._compile(e)});return(t?\"math.matrix([\":\"[\")+r.join(\",\")+(t?\"])\":\"]\")},o.prototype.forEach=function(e){for(var t=0;t<this.nodes.length;t++){var r=this.nodes[t];e(r,\"nodes[\"+t+\"]\",this)}},o.prototype.map=function(e){for(var t=[],r=0;r<this.nodes.length;r++)t[r]=this._ifNode(e(this.nodes[r],\"nodes[\"+r+\"]\",this));return new o(t)},o.prototype.clone=function(){return new o(this.nodes.slice(0))},o.prototype._toString=function(e){return i.format(this.nodes)},o.prototype._toTex=function(e){var t=\"\\\\begin{bmatrix}\";return this.nodes.forEach(function(r){t+=r.nodes?r.nodes.map(function(t){return t.toTex(e)}).join(\"&\"):r.toTex(e),t+=\"\\\\\\\\\"}),t+=\"\\\\end{bmatrix}\"},o}var i=r(23);t.name=\"ArrayNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n,a){function o(){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\")}function s(e){for(var t in e)if(e.hasOwnProperty(t)&&t in i)throw new Error('Scope contains an illegal symbol, \"'+t+'\" is a reserved keyword')}return o.prototype.eval=function(e){return this.compile().eval(e)},o.prototype.type=\"Node\",o.prototype.isNode=!0,o.prototype.compile=function(){if(arguments.length>0)throw new Error(\"Calling compile(math) is deprecated. Call the function as compile() instead.\");var e={math:a.expression.transform,args:{},_validateScope:s},t=this._compile(e),r=Object.keys(e).map(function(e){return\" var \"+e+' = defs[\"'+e+'\"];'}),n=r.join(\" \")+'return { \"eval\": function (scope) { if (scope) _validateScope(scope); scope = scope || {}; return '+t+\"; }};\",i=new Function(\"defs\",n);return i(e)},o.prototype._compile=function(e){throw new Error(\"Cannot compile a Node interface\")},o.prototype.forEach=function(e){throw new Error(\"Cannot run forEach on a Node interface\")},o.prototype.map=function(e){throw new Error(\"Cannot run map on a Node interface\")},o.prototype._ifNode=function(e){if(!e||!e.isNode)throw new TypeError(\"Callback function must return a Node\");return e},o.prototype.traverse=function(e){function t(e,r){e.forEach(function(e,n,i){r(e,n,i),t(e,r)})}e(this,null,null),t(this,e)},o.prototype.transform=function(e){function t(e,r){return e.map(function(e,n,i){var a=r(e,n,i);return t(a,r)})}var r=e(this,null,null);return t(r,e)},o.prototype.filter=function(e){var t=[];return this.traverse(function(r,n,i){e(r,n,i)&&t.push(r)}),t},o.prototype.find=function(){throw new Error(\"Function Node.find is deprecated. Use Node.filter instead.\")},o.prototype.match=function(){throw new Error(\"Function Node.match is deprecated. See functions Node.filter, Node.transform, Node.traverse.\")},o.prototype.clone=function(){throw new Error(\"Cannot clone a Node interface\")},o.prototype.toString=function(e){var t;if(e&&\"object\"==typeof e)switch(typeof e.handler){case\"object\":case\"undefined\":break;case\"function\":t=e.handler(this,e);break;default:throw new TypeError(\"Object or function expected as callback\")}return\"undefined\"!=typeof t?t:this._toString(e)},o.prototype._toString=function(){\nthrow new Error(\"_toString not implemented for \"+this.type)},o.prototype.toTex=function(e){var t;if(e&&\"object\"==typeof e)switch(typeof e.handler){case\"object\":case\"undefined\":break;case\"function\":t=e.handler(this,e);break;default:throw new TypeError(\"Object or function expected as callback\")}return\"undefined\"!=typeof t?t:this._toTex(e)},o.prototype._toTex=function(e){throw new Error(\"_toTex not implemented for \"+this.type)},o.prototype.getIdentifier=function(){return this.type},o.prototype.getContent=function(){return this},o}var i=r(256);r(3).extend;t.name=\"Node\",t.path=\"expression.node\",t.math=!0,t.factory=n},function(e,t){\"use strict\";e.exports={end:!0}},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");if(\"string\"!=typeof e)throw new TypeError('String expected for parameter \"name\"');if(!t||!t.isNode)throw new TypeError('Node expected for parameter \"expr\"');if(e in c)throw new Error('Illegal symbol name, \"'+e+'\" is a reserved keyword');this.name=e,this.expr=t}function s(e,t){var r=f.getPrecedence(e,t),n=f.getPrecedence(e.expr,t);return\"all\"===t||null!==n&&r>=n}var u=n(r(255)),c=(n(r(254)),r(256)),f=r(258);return o.prototype=new u,o.prototype.type=\"AssignmentNode\",o.prototype.isAssignmentNode=!0,o.prototype._compile=function(e){return'scope[\"'+this.name+'\"] = '+this.expr._compile(e)},o.prototype.forEach=function(e){e(this.expr,\"expr\",this)},o.prototype.map=function(e){return new o(this.name,this._ifNode(e(this.expr,\"expr\",this)))},o.prototype.clone=function(){return new o(this.name,this.expr)},o.prototype._toString=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.expr.toString(e);return s(this,t)&&(r=\"(\"+r+\")\"),this.name+\" = \"+r},o.prototype._toTex=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.expr.toTex(e);return s(this,t)&&(r=\"\\\\left(\"+r+\"\\\\right)\"),i.toSymbol(this.name)+\":=\"+r},o}var i=r(30);t.name=\"AssignmentNode\",t.path=\"expression.node\",t.factory=n},function(e,t){\"use strict\";function r(e,t){var r=e;\"keep\"!==t&&(r=e.getContent());for(var n=r.getIdentifier(),i=0;i<a.length;i++)if(n in a[i])return i;return null}function n(e,t){var n=e;\"keep\"!==t&&(n=e.getContent());var i=n.getIdentifier(),o=r(n,t);if(null===o)return null;var s=a[o][i];if(s.hasOwnProperty(\"associativity\")){if(\"left\"===s.associativity)return\"left\";if(\"right\"===s.associativity)return\"right\";throw Error(\"'\"+i+\"' has the invalid associativity '\"+s.associativity+\"'.\")}return null}function i(e,t,n){var i=e,o=t;if(\"keep\"!==n)var i=e.getContent(),o=t.getContent();var s=i.getIdentifier(),u=o.getIdentifier(),c=r(i,n);if(null===c)return null;var f=a[c][s];if(f.hasOwnProperty(\"associativeWith\")&&f.associativeWith instanceof Array){for(var l=0;l<f.associativeWith.length;l++)if(f.associativeWith[l]===u)return!0;return!1}return null}var a=[{AssignmentNode:{},FunctionAssignmentNode:{}},{ConditionalNode:{latexLeftParens:!1,latexRightParens:!1,latexParens:!1}},{\"OperatorNode:or\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:xor\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:and\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:bitOr\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:bitXor\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:bitAnd\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:equal\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:unequal\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:smaller\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:larger\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:smallerEq\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:largerEq\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:leftShift\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:rightArithShift\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:rightLogShift\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:to\":{associativity:\"left\",associativeWith:[]}},{RangeNode:{}},{\"OperatorNode:add\":{associativity:\"left\",associativeWith:[\"OperatorNode:add\",\"OperatorNode:subtract\"]},\"OperatorNode:subtract\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:multiply\":{associativity:\"left\",associativeWith:[\"OperatorNode:multiply\",\"OperatorNode:divide\",\"Operator:dotMultiply\",\"Operator:dotDivide\"]},\"OperatorNode:divide\":{associativity:\"left\",associativeWith:[],latexLeftParens:!1,latexRightParens:!1,latexParens:!1},\"OperatorNode:dotMultiply\":{associativity:\"left\",associativeWith:[\"OperatorNode:multiply\",\"OperatorNode:divide\",\"OperatorNode:dotMultiply\",\"OperatorNode:doDivide\"]},\"OperatorNode:dotDivide\":{associativity:\"left\",associativeWith:[]},\"OperatorNode:mod\":{associativity:\"left\",associativeWith:[]}},{\"OperatorNode:unaryPlus\":{associativity:\"right\"},\"OperatorNode:unaryMinus\":{associativity:\"right\"},\"OperatorNode:bitNot\":{associativity:\"right\"},\"OperatorNode:not\":{associativity:\"right\"}},{\"OperatorNode:pow\":{associativity:\"right\",associativeWith:[],latexRightParens:!1},\"OperatorNode:dotPow\":{associativity:\"right\",associativeWith:[]}},{\"OperatorNode:factorial\":{associativity:\"left\"}},{\"OperatorNode:transpose\":{associativity:\"left\"}}];e.exports.properties=a,e.exports.getPrecedence=r,e.exports.getAssociativity=n,e.exports.isAssociativeWith=i},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!Array.isArray(e))throw new Error(\"Array expected\");this.blocks=e.map(function(e){var t=e&&e.node,r=e&&void 0!==e.visible?e.visible:!0;if(!t||!t.isNode)throw new TypeError('Property \"node\" must be a Node');if(\"boolean\"!=typeof r)throw new TypeError('Property \"visible\" must be a boolean');return{node:t,visible:r}})}var o=n(r(255)),s=n(r(71));return a.prototype=new o,a.prototype.type=\"BlockNode\",a.prototype.isBlockNode=!0,a.prototype._compile=function(e){e.ResultSet=s;var t=this.blocks.map(function(t){var r=t.node._compile(e);return t.visible?\"results.push(\"+r+\");\":r+\";\"});return\"(function () {var results = [];\"+t.join(\"\")+\"return new ResultSet(results);})()\"},a.prototype.forEach=function(e){for(var t=0;t<this.blocks.length;t++)e(this.blocks[t].node,\"blocks[\"+t+\"].node\",this)},a.prototype.map=function(e){for(var t=[],r=0;r<this.blocks.length;r++){var n=this.blocks[r],i=this._ifNode(e(n.node,\"blocks[\"+r+\"].node\",this));t[r]={node:i,visible:n.visible}}return new a(t)},a.prototype.clone=function(){var e=this.blocks.map(function(e){return{node:e.node,visible:e.visible}});return new a(e)},a.prototype._toString=function(e){return this.blocks.map(function(t){return t.node.toString(e)+(t.visible?\"\":\";\")}).join(\"\\n\")},a.prototype._toTex=function(e){return this.blocks.map(function(t){return t.node.toTex(e)+(t.visible?\"\":\";\")}).join(\"\\\\;\\\\;\\n\")},a}t.name=\"BlockNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t,r){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e||!e.isNode)throw new TypeError(\"Parameter condition must be a Node\");if(!t||!t.isNode)throw new TypeError(\"Parameter trueExpr must be a Node\");if(!r||!r.isNode)throw new TypeError(\"Parameter falseExpr must be a Node\");this.condition=e,this.trueExpr=t,this.falseExpr=r}var s=n(r(255));return o.prototype=new s,o.prototype.type=\"ConditionalNode\",o.prototype.isConditionalNode=!0,o.prototype._compile=function(e){return e.testCondition=function(t){if(\"number\"==typeof t||\"boolean\"==typeof t||\"string\"==typeof t)return t?!0:!1;if(t){if(t.isBigNumber===!0)return t.isZero()?!1:!0;if(t.isComplex===!0)return t.re||t.im?!0:!1;if(t.isUnit===!0)return t.value?!0:!1}if(null===t||void 0===t)return!1;throw new TypeError('Unsupported type of condition \"'+e.math[\"typeof\"](t)+'\"')},\"testCondition(\"+this.condition._compile(e)+\") ? ( \"+this.trueExpr._compile(e)+\") : ( \"+this.falseExpr._compile(e)+\")\"},o.prototype.forEach=function(e){e(this.condition,\"condition\",this),e(this.trueExpr,\"trueExpr\",this),e(this.falseExpr,\"falseExpr\",this)},o.prototype.map=function(e){return new o(this._ifNode(e(this.condition,\"condition\",this)),this._ifNode(e(this.trueExpr,\"trueExpr\",this)),this._ifNode(e(this.falseExpr,\"falseExpr\",this)))},o.prototype.clone=function(){return new o(this.condition,this.trueExpr,this.falseExpr)},o.prototype._toString=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=i.getPrecedence(this,t),n=this.condition.toString(e),a=i.getPrecedence(this.condition,t);(\"all\"===t||\"OperatorNode\"===this.condition.type||null!==a&&r>=a)&&(n=\"(\"+n+\")\");var o=this.trueExpr.toString(e),s=i.getPrecedence(this.trueExpr,t);(\"all\"===t||\"OperatorNode\"===this.trueExpr.type||null!==s&&r>=s)&&(o=\"(\"+o+\")\");var u=this.falseExpr.toString(e),c=i.getPrecedence(this.falseExpr,t);return(\"all\"===t||\"OperatorNode\"===this.falseExpr.type||null!==c&&r>=c)&&(u=\"(\"+u+\")\"),n+\" ? \"+o+\" : \"+u},o.prototype._toTex=function(e){return\"\\\\left\\\\{\\\\begin{array}{l l}{\"+this.trueExpr.toTex(e)+\"}, &\\\\quad{\\\\text{if}\\\\;\"+this.condition.toTex(e)+\"}\\\\\\\\{\"+this.falseExpr.toTex(e)+\"}, &\\\\quad{\\\\text{otherwise}}\\\\end{array}\\\\right.\"},o}var i=(r(30),r(258));t.name=\"ConditionalNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");if(t){if(\"string\"!=typeof t)throw new TypeError('String expected for parameter \"valueType\"');if(\"string\"!=typeof e)throw new TypeError('String expected for parameter \"value\"');this.value=e,this.valueType=t}else this.value=e+\"\",this.valueType=i(e);if(!u[this.valueType])throw new TypeError('Unsupported type of value \"'+this.valueType+'\"')}var s=n(r(255)),u={number:!0,string:!0,\"boolean\":!0,undefined:!0,\"null\":!0};return o.prototype=new s,o.prototype.type=\"ConstantNode\",o.prototype.isConstantNode=!0,o.prototype._compile=function(e){switch(this.valueType){case\"number\":var t=e.math.config().number;return\"bignumber\"===t?'math.bignumber(\"'+this.value+'\")':\"fraction\"===t?'math.fraction(\"'+this.value+'\")':this.value.replace(/^(0*)[0-9]/,function(e,t){return e.substring(t.length)});case\"string\":return'\"'+this.value+'\"';case\"boolean\":return this.value;case\"undefined\":return this.value;case\"null\":return this.value;default:throw new TypeError('Unsupported type of constant \"'+this.valueType+'\"')}},o.prototype.forEach=function(e){},o.prototype.map=function(e){return this.clone()},o.prototype.clone=function(){return new o(this.value,this.valueType)},o.prototype._toString=function(e){switch(this.valueType){case\"string\":return'\"'+this.value+'\"';default:return this.value}},o.prototype._toTex=function(e){var t,r=this.value;switch(this.valueType){case\"string\":return'\\\\mathtt{\"'+r+'\"}';case\"number\":return t=r.toLowerCase().indexOf(\"e\"),-1!==t?r.substring(0,t)+\"\\\\cdot10^{\"+r.substring(t+1)+\"}\":r;default:return r}},o}var i=r(41).type;t.name=\"ConstantNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e){return\"string\"==typeof e}function i(e,t,i,u){function c(e,t,r){if(!(this instanceof c))throw new SyntaxError(\"Constructor must be called with the new operator\");if(\"string\"!=typeof e)throw new TypeError('String expected for parameter \"name\"');if(!Array.isArray(t)||!t.every(n))throw new TypeError('Array containing strings expected for parameter \"params\"');if(!r||!r.isNode)throw new TypeError('Node expected for parameter \"expr\"');if(e in a)throw new Error('Illegal function name, \"'+e+'\" is a reserved keyword');this.name=e,this.params=t,this.expr=r}function f(e,t){var r=s.getPrecedence(e,t),n=s.getPrecedence(e.expr,t);return\"all\"===t||null!==n&&r>=n}var l=i(r(255));return c.prototype=new l,c.prototype.type=\"FunctionAssignmentNode\",c.prototype.isFunctionAssignmentNode=!0,c.prototype._compile=function(e){return this.params.forEach(function(t){e.args[t]=!0}),'scope[\"'+this.name+'\"] = (function () { var fn = function '+this.name+\"(\"+this.params.join(\",\")+\") { if (arguments.length != \"+this.params.length+') { throw new SyntaxError(\"Wrong number of arguments in function '+this.name+' (\" + arguments.length + \" provided, '+this.params.length+' expected)\"); } return '+this.expr._compile(e)+' }; fn.syntax = \"'+this.name+\"(\"+this.params.join(\", \")+')\"; return fn; })()'},c.prototype.forEach=function(e){e(this.expr,\"expr\",this)},c.prototype.map=function(e){var t=this._ifNode(e(this.expr,\"expr\",this));return new c(this.name,this.params.slice(0),t)},c.prototype.clone=function(){return new c(this.name,this.params.slice(0),this.expr)},c.prototype._toString=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.expr.toString(e);return f(this,t)&&(r=\"(\"+r+\")\"),\"function \"+this.name+\"(\"+this.params.join(\", \")+\") = \"+r},c.prototype._toTex=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.expr.toTex(e);return f(this,t)&&(r=\"\\\\left(\"+r+\"\\\\right)\"),\"\\\\mathrm{\"+this.name+\"}\\\\left(\"+this.params.map(o.toSymbol).join(\",\")+\"\\\\right):=\"+r},c}var a=r(256),o=r(30),s=r(258);t.name=\"FunctionAssignmentNode\",t.path=\"expression.node\",t.factory=i},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e||!e.isNode)throw new TypeError('Node expected for parameter \"object\"');if(!c(t)||!t.every(function(e){return e&&e.isNode}))throw new TypeError('Array containing Nodes expected for parameter \"ranges\"');this.object=e,this.ranges=t}function o(e){switch(e.object.type){case\"ArrayNode\":case\"ConstantNode\":case\"SymbolNode\":case\"ParenthesisNode\":return!1;default:return!0}}var s=n(r(255)),u=(n(r(264)),n(r(265)),n(r(66))),c=Array.isArray;return a.prototype=new s,a.prototype.type=\"IndexNode\",a.prototype.isIndexNode=!0,a.prototype._compile=function(e){return this.compileSubset(e)},a.prototype.compileSubset=function(e,t){function r(e){return e&&e.isSymbolNode&&\"end\"==e.name}var n=!1,i=this.ranges.map(function(e){var t=e.filter(r).length>0;return n=t?t:n,t});e.range=function(e,t,r){return new u(e&&e.isBigNumber===!0?e.toNumber():e,t&&t.isBigNumber===!0?t.toNumber():t,r&&r.isBigNumber===!0?r.toNumber():r)};var a=this.ranges.map(function(t,r){var n=i[r];return t&&t.isRangeNode?n?(e.args.end=!0,\"(function () { var end = size[\"+r+\"]; return range( \"+t.start._compile(e)+\", \"+t.end._compile(e)+\", \"+(t.step?t.step._compile(e):\"1\")+\" );})()\"):\"range(\"+t.start._compile(e)+\", \"+t.end._compile(e)+\", \"+(t.step?t.step._compile(e):\"1\")+\")\":n?(e.args.end=!0,\"(function () { var end = size[\"+r+\"]; return \"+t._compile(e)+\";})()\"):t._compile(e)});return n?\"(function () { var obj = \"+this.object._compile(e)+\"; var size = math.size(obj).valueOf(); return math.subset( obj, math.index(\"+a.join(\", \")+\") \"+(t?\", \"+t:\"\")+\" );})()\":\"math.subset(\"+this.object._compile(e)+\",math.index(\"+a.join(\", \")+\")\"+(t?\", \"+t:\"\")+\")\"},a.prototype.forEach=function(e){e(this.object,\"object\",this);for(var t=0;t<this.ranges.length;t++)e(this.ranges[t],\"ranges[\"+t+\"]\",this)},a.prototype.map=function(e){for(var t=this._ifNode(e(this.object,\"object\",this)),r=[],n=0;n<this.ranges.length;n++)r[n]=this._ifNode(e(this.ranges[n],\"ranges[\"+n+\"]\",this));return new a(t,r)},a.prototype.objectName=function(){return this.object.name},a.prototype.clone=function(){return new a(this.object,this.ranges.slice(0))},a.prototype._toString=function(e){var t=this.object.toString(e);return o(this)&&(t=\"(\"+t+\"(\"),t+\"[\"+this.ranges.join(\", \")+\"]\"},a.prototype._toTex=function(e){var t=this.object.toTex(e);o(this)&&(t=\"\\\\left(\"+t+\"\\\\right)\");var r=this.ranges.map(function(t){return t.toTex(e)});return t+\"_{\"+r.join(\",\")+\"}\"},a}t.name=\"IndexNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t,r){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e||!e.isNode)throw new TypeError(\"Node expected\");if(!t||!t.isNode)throw new TypeError(\"Node expected\");if(r&&(!r||!r.isNode))throw new TypeError(\"Node expected\");if(arguments.length>3)throw new Error(\"Too many arguments\");this.start=e,this.end=t,this.step=r||null}function s(e,t){var r=i.getPrecedence(e,t),n={},a=i.getPrecedence(e.start,t);if(n.start=null!==a&&r>=a||\"all\"===t,e.step){var o=i.getPrecedence(e.step,t);n.step=null!==o&&r>=o||\"all\"===t}var s=i.getPrecedence(e.end,t);return n.end=null!==s&&r>=s||\"all\"===t,n}var u=n(r(255));return o.prototype=new u,o.prototype.type=\"RangeNode\",o.prototype.isRangeNode=!0,o.prototype._compile=function(e){return\"math.range(\"+this.start._compile(e)+\", \"+this.end._compile(e)+(this.step?\", \"+this.step._compile(e):\"\")+\")\"},o.prototype.forEach=function(e){e(this.start,\"start\",this),e(this.end,\"end\",this),this.step&&e(this.step,\"step\",this)},o.prototype.map=function(e){return new o(this._ifNode(e(this.start,\"start\",this)),this._ifNode(e(this.end,\"end\",this)),this.step&&this._ifNode(e(this.step,\"step\",this)))},o.prototype.clone=function(){return new o(this.start,this.end,this.step&&this.step)},o.prototype._toString=function(e){var t,r=e&&e.parenthesis?e.parenthesis:\"keep\",n=s(this,r),i=this.start.toString(e);if(n.start&&(i=\"(\"+i+\")\"),t=i,this.step){var a=this.step.toString(e);n.step&&(a=\"(\"+a+\")\"),t+=\":\"+a}var o=this.end.toString(e);return n.end&&(o=\"(\"+o+\")\"),t+=\":\"+o},o.prototype._toTex=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=s(this,t),n=this.start.toTex(e);if(r.start&&(n=\"\\\\left(\"+n+\"\\\\right)\"),this.step){var i=this.step.toTex(e);r.step&&(i=\"\\\\left(\"+i+\"\\\\right)\"),n+=\":\"+i}var a=this.end.toTex(e);return r.end&&(a=\"\\\\left(\"+a+\"\\\\right)\"),n+=\":\"+a},o}var i=r(258);t.name=\"RangeNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a,o){function s(e){if(!(this instanceof s))throw new SyntaxError(\"Constructor must be called with the new operator\");if(\"string\"!=typeof e)throw new TypeError('String expected for parameter \"name\"');this.name=e}function u(e){throw new Error(\"Undefined symbol \"+e)}var c=n(r(255)),f=n(r(28));return s.prototype=new c,s.prototype.type=\"SymbolNode\",s.prototype.isSymbolNode=!0,s.prototype._compile=function(e){return e.undef=u,e.Unit=f,this.name in e.args?this.name:this.name in e.math?'(\"'+this.name+'\" in scope ? scope[\"'+this.name+'\"] : math[\"'+this.name+'\"])':'(\"'+this.name+'\" in scope ? scope[\"'+this.name+'\"] : '+(f.isValuelessUnit(this.name)?'new Unit(null, \"'+this.name+'\")':'undef(\"'+this.name+'\")')+\")\"},s.prototype.forEach=function(e){},s.prototype.map=function(e){return this.clone()},s.prototype.clone=function(){return new s(this.name)},s.prototype._toString=function(e){return this.name},s.prototype._toTex=function(e){var t=!1;\"undefined\"==typeof o[this.name]&&f.isValuelessUnit(this.name)&&(t=!0);var r=i.toSymbol(this.name,t);return\"\\\\\"===r[0]?r:\" \"+r},s}var i=r(30);t.name=\"SymbolNode\",t.path=\"expression.node\",t.math=!0,t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o,s){function u(e,t,r){if(!(this instanceof u))throw new SyntaxError(\"Constructor must be called with the new operator\");if(\"string\"!=typeof e)throw new TypeError('string expected for parameter \"op\"');if(\"string\"!=typeof t)throw new TypeError('string expected for parameter \"fn\"');if(!Array.isArray(r)||!r.every(function(e){return e&&e.isNode}))throw new TypeError('Array containing Nodes expected for parameter \"args\"');this.op=e,this.fn=t,this.args=r||[]}function c(e,t,r,n){var i=a.getPrecedence(e,t),o=a.getAssociativity(e,t);if(\"all\"===t||r.length>2){var s=[];return r.forEach(function(e){switch(e.getContent().type){case\"ArrayNode\":case\"ConstantNode\":case\"SymbolNode\":case\"ParenthesisNode\":s.push(!1);break;default:s.push(!0)}}),s}switch(r.length){case 0:return[];case 1:var u=a.getPrecedence(r[0],t);if(n&&null!==u){var c,f;if(\"keep\"===t?(c=r[0].getIdentifier(),f=e.getIdentifier()):(c=r[0].getContent().getIdentifier(),f=e.getContent().getIdentifier()),a.properties[i][f].latexLeftParens===!1)return[!1];if(a.properties[u][c].latexParens===!1)return[!1]}return null===u?[!1]:i>=u?[!0]:[!1];case 2:var l,p=a.getPrecedence(r[0],t),m=a.isAssociativeWith(e,r[0],t);l=null===p?!1:p!==i||\"right\"!==o||m?i>p?!0:!1:!0;var h,g=a.getPrecedence(r[1],t),v=a.isAssociativeWith(e,r[1],t);if(h=null===g?!1:g!==i||\"left\"!==o||v?i>g?!0:!1:!0,n){var f,d,y;\"keep\"===t?(f=e.getIdentifier(),d=e.args[0].getIdentifier(),y=e.args[1].getIdentifier()):(f=e.getContent().getIdentifier(),d=e.args[0].getContent().getIdentifier(),y=e.args[1].getContent().getIdentifier()),null!==p&&(a.properties[i][f].latexLeftParens===!1&&(l=!1),a.properties[p][d].latexParens===!1&&(l=!1)),null!==g&&(a.properties[i][f].latexRightParens===!1&&(h=!1),a.properties[g][y].latexParens===!1&&(h=!1))}return[l,h]}}var f=n(r(255));n(r(261)),n(r(265)),n(r(267));return u.prototype=new f,u.prototype.type=\"OperatorNode\",u.prototype.isOperatorNode=!0,u.prototype._compile=function(e){if(!(this.fn in e.math))throw new Error(\"Function \"+this.fn+' missing in provided namespace \"math\"');var t=this.args.map(function(t){return t._compile(e)});return\"math.\"+this.fn+\"(\"+t.join(\", \")+\")\"},u.prototype.forEach=function(e){for(var t=0;t<this.args.length;t++)e(this.args[t],\"args[\"+t+\"]\",this)},u.prototype.map=function(e){for(var t=[],r=0;r<this.args.length;r++)t[r]=this._ifNode(e(this.args[r],\"args[\"+r+\"]\",this));return new u(this.op,this.fn,t)},u.prototype.clone=function(){return new u(this.op,this.fn,this.args.slice(0))},u.prototype._toString=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.args,n=c(this,t,r,!1);switch(r.length){case 1:var i=a.getAssociativity(this,t),o=r[0].toString(e);return n[0]&&(o=\"(\"+o+\")\"),\"right\"===i?this.op+o:\"left\"===i?o+this.op:o+this.op;case 2:var s=r[0].toString(e),u=r[1].toString(e);return n[0]&&(s=\"(\"+s+\")\"),n[1]&&(u=\"(\"+u+\")\"),s+\" \"+this.op+\" \"+u;default:return this.fn+\"(\"+this.args.join(\", \")+\")\"}},u.prototype._toTex=function(e){var t=e&&e.parenthesis?e.parenthesis:\"keep\",r=this.args,n=c(this,t,r,!0),o=i.operators[this.fn];switch(o=\"undefined\"==typeof o?this.op:o,r.length){case 1:var s=a.getAssociativity(this,t),u=r[0].toTex(e);return n[0]&&(u=\"\\\\left(\"+u+\"\\\\right)\"),\"right\"===s?o+u:\"left\"===s?u+o:u+o;case 2:var f=r[0],l=f.toTex(e);n[0]&&(l=\"\\\\left(\"+l+\"\\\\right)\");var p=r[1],m=p.toTex(e);n[1]&&(m=\"\\\\left(\"+m+\"\\\\right)\");var h;switch(h=\"keep\"===t?f.getIdentifier():f.getContent().getIdentifier(),this.getIdentifier()){case\"OperatorNode:divide\":return o+\"{\"+l+\"}{\"+m+\"}\";case\"OperatorNode:pow\":switch(l=\"{\"+l+\"}\",m=\"{\"+m+\"}\",h){case\"ConditionalNode\":case\"OperatorNode:divide\":l=\"\\\\left(\"+l+\"\\\\right)\"}}return l+o+m;default:return\"\\\\mathrm{\"+this.fn+\"}\\\\left(\"+r.map(function(t){return t.toTex(e)}).join(\",\")+\"\\\\right)\"}},u.prototype.getIdentifier=function(){return this.type+\":\"+this.fn},u}var i=r(30),a=r(258);t.name=\"OperatorNode\",t.path=\"expression.node\",t.math=!0,t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a,o){function s(e,t){if(!(this instanceof s))throw new SyntaxError(\"Constructor must be called with the new operator\");if(\"string\"!=typeof e)throw new TypeError('string expected for parameter \"name\"');if(!Array.isArray(t)||!t.every(function(e){return e&&e.isNode}))throw new TypeError('Array containing Nodes expected for parameter \"args\"');this.name=e,this.args=t||[]}function u(e,t,r){for(var n,i=\"\",a=new RegExp(\"\\\\$(?:\\\\{([a-z_][a-z_0-9]*)(?:\\\\[([0-9]+)\\\\])?\\\\}|\\\\$)\",\"ig\"),o=0;null!==(n=a.exec(e));)if(i+=e.substring(o,n.index),o=n.index,\"$$\"===n[0])i+=\"$\",o++;else{o+=n[0].length;var s=t[n[1]];if(!s)throw new ReferenceError(\"Template: Property \"+n[1]+\" does not exist.\");if(void 0===n[2])switch(typeof s){case\"string\":i+=s;break;case\"object\":if(s.isNode)i+=s.toTex(r);else{if(!Array.isArray(s))throw new TypeError(\"Template: \"+n[1]+\" has to be a Node, String or array of Nodes\");i+=s.map(function(e,t){if(e&&e.isNode)return e.toTex(r);throw new TypeError(\"Template: \"+n[1]+\"[\"+t+\"] is not a Node.\")}).join(\",\")}break;default:throw new TypeError(\"Template: \"+n[1]+\" has to be a Node, String or array of Nodes\")}else{if(!s[n[2]]||!s[n[2]].isNode)throw new TypeError(\"Template: \"+n[1]+\"[\"+n[2]+\"] is not a Node.\");i+=s[n[2]].toTex(r)}}return i+=e.slice(o)}var c=n(r(255)),f=n(r(265));s.prototype=new c,s.prototype.type=\"FunctionNode\",s.prototype.isFunctionNode=!0,s.prototype._compile=function(e){var t=e.math[this.name],r=\"function\"==typeof t&&1==t.rawArgs,n=this.args.map(function(t){return t._compile(e)});if(r){var i,a=0;do i=\"p\"+a,a++;while(i in e);return e[i]=this.args,'(\"'+this.name+'\" in scope ? scope[\"'+this.name+'\"]('+n.join(\", \")+') : math[\"'+this.name+'\"]('+i+\", math, scope))\"}var o=new f(this.name);return o._compile(e)+\"(\"+n.join(\", \")+\")\"},s.prototype.forEach=function(e){for(var t=0;t<this.args.length;t++)e(this.args[t],\"args[\"+t+\"]\",this)},s.prototype.map=function(e){for(var t=[],r=0;r<this.args.length;r++)t[r]=this._ifNode(e(this.args[r],\"args[\"+r+\"]\",this));return new s(this.name,t)},s.prototype.clone=function(){return new s(this.name,this.args.slice(0))};var l=s.prototype.toString;s.prototype.toString=function(e){var t;return e&&\"object\"==typeof e.handler&&e.handler.hasOwnProperty(this.name)&&(t=e.handler[this.name](this,e)),\"undefined\"!=typeof t?t:l.call(this,e)},s.prototype._toString=function(e){return this.name+\"(\"+this.args.join(\", \")+\")\"};var p=s.prototype.toTex;return s.prototype.toTex=function(e){var t;return e&&\"object\"==typeof e.handler&&e.handler.hasOwnProperty(this.name)&&(t=e.handler[this.name](this,e)),\"undefined\"!=typeof t?t:p.call(this,e)},s.prototype._toTex=function(e){var t,r=(e&&e.parenthesis?e.parenthesis:\"keep\",this.args.map(function(t){return t.toTex(e)}));!o[this.name]||\"function\"!=typeof o[this.name].toTex&&\"object\"!=typeof o[this.name].toTex&&\"string\"!=typeof o[this.name].toTex||(t=o[this.name].toTex);var n;switch(typeof t){case\"function\":n=t(this,e);break;case\"string\":n=u(t,this,e);break;case\"object\":switch(typeof t[r.length]){case\"function\":n=t[r.length](this,e);break;case\"string\":n=u(t[r.length],this,e)}}return\"undefined\"!=typeof n?n:u(i.defaultTemplate,this,e)},s.prototype.getIdentifier=function(){return this.type+\":\"+this.name},s}var i=r(30);t.name=\"FunctionNode\",t.path=\"expression.node\",t.math=!0,t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e||!e.isNode)throw new TypeError('Node expected for parameter \"content\"');this.content=e}var o=n(r(255));return a.prototype=new o,a.prototype.type=\"ParenthesisNode\",a.prototype.isParenthesisNode=!0,a.prototype._compile=function(e){return this.content._compile(e)},a.prototype.getContent=function(){return this.content.getContent()},a.prototype.forEach=function(e){e(this.content,\"content\",this)},a.prototype.map=function(e){var t=e(this.content,\"content\",this);return new a(t)},a.prototype.clone=function(){return new a(this.content)},a.prototype._toString=function(e){return!e||e&&\"keep\"===e.parenthesis?\"(\"+this.content.toString(e)+\")\":this.content.toString(e)},a.prototype._toTex=function(e){return!e||e&&\"keep\"===e.parenthesis?\"\\\\left(\"+this.content.toTex(e)+\"\\\\right)\":this.content.toTex(e)},a}t.name=\"ParenthesisNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){if(!(this instanceof a))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e||!e.isIndexNode)throw new TypeError('Expected IndexNode for parameter \"index\"');if(!t||!t.isNode)throw new TypeError('Expected Node for parameter \"expr\"');this.index=e,this.expr=t}var o=n(r(255));n(r(263));return a.prototype=new o,a.prototype.type=\"UpdateNode\",a.prototype.isUpdateNode=!0,a.prototype._compile=function(e){var t=this.index.objectName()in e.args?this.name+\" = \":'scope[\"'+this.index.objectName()+'\"]',r=this.index.compileSubset(e,this.expr._compile(e));return t+\" = \"+r},a.prototype.forEach=function(e){e(this.index,\"index\",this),e(this.expr,\"expr\",this)},a.prototype.map=function(e){return new a(this._ifNode(e(this.index,\"index\",this)),this._ifNode(e(this.expr,\"expr\",this)))},a.prototype.clone=function(){return new a(this.index,this.expr)},a.prototype._toString=function(e){var t=this.expr.toString(e);return e&&\"all\"===e.parenthesis&&(t=\"(\"+t+\")\"),this.index.toString(e)+\" = \"+t},a.prototype._toTex=function(e){var t=this.expr.toTex(e);return e&&\"all\"===e.parenthesis&&(t=\"\\\\left(\"+t+\"\\\\right)\"),this.index.toTex(e)+\":=\"+t},a}t.name=\"UpdateNode\",t.path=\"expression.node\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(253));return a(\"compile\",{string:function(e){var t={};return o(e).compile().eval(t)},\"string, Object\":function(e,t){return o(e).compile().eval(t)},\"Array | Matrix\":function(e){var t={};return i(e,function(e){return o(e).compile().eval(t)})},\"Array | Matrix, Object\":function(e,t){return i(e,function(e){return o(e).compile().eval(t)})}})}var i=r(19);t.name=\"eval\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i,a){var o=n(r(81));return i(\"help\",{any:function(t){var r,n=t;if(\"string\"!=typeof t)for(r in a)if(a.hasOwnProperty(r)&&t===a[r]){n=r;break}var i=o[n];if(!i)throw new Error('No documentation found on \"'+n+'\"');return new e.Help(i)}})}t.math=!0,t.name=\"help\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(253));return i(\"parse\",{\"string | Array | Matrix\":a,\"string | Array | Matrix, Object\":a})}t.name=\"parse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i,a){var o=n(r(274));return i(\"parser\",{\"\":function(){return new o(a)}})}t.name=\"parser\",t.factory=n,t.math=!0},function(e,t,r){\"use strict\";function n(e,t,n,i,a){function o(){if(!(this instanceof o))throw new SyntaxError(\"Constructor must be called with the new operator\");this.scope={}}var s=n(r(253));return o.prototype.type=\"Parser\",o.prototype.isParser=!0,o.prototype.parse=function(e){throw new Error(\"Parser.parse is deprecated. Use math.parse instead.\")},o.prototype.compile=function(e){throw new Error(\"Parser.compile is deprecated. Use math.compile instead.\")},o.prototype.eval=function(e){return s(e).compile().eval(this.scope)},o.prototype.get=function(e){return this.scope[e]},o.prototype.set=function(e,t){return this.scope[e]=t},o.prototype.remove=function(e){delete this.scope[e]},o.prototype.clear=function(){for(var e in this.scope)this.scope.hasOwnProperty(e)&&delete this.scope[e]},o}t.name=\"Parser\",t.path=\"expression\",t.factory=n,t.math=!0},function(e,t,r){e.exports=[r(254),r(257),r(259),r(260),r(261),r(263),r(262),r(267),r(255),r(266),r(268),r(264),r(265),r(269)]},function(e,t,r){e.exports=[r(277),r(280),r(282),r(284),r(285),r(287),r(292),r(305),r(307),r(309)]},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(279));return a(\"concat\",{\"...any\":function(e){var t=e.length-1,r=e[t];\"number\"==typeof r?e[t]=r-1:r&&r.isBigNumber===!0&&(e[t]=r.minus(1));try{return o.apply(null,e)}catch(n){throw i(n)}}})}var i=r(278).transform;t.name=\"concat\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){var n=r(43);t.transform=function(e){return e&&e.isIndexError?new n(e.index+1,e.min+1,e.max+1):e}},function(e,t,r){\"use strict\";function n(e,t,n,f){var l=n(r(51)),p=f(\"concat\",{\"...Array | Matrix | number | BigNumber\":function(e){var t,r,n=e.length,f=-1,p=!1,m=[];for(t=0;n>t;t++){var h=e[t];if(h&&h.isMatrix===!0&&(p=!0),\"number\"==typeof h||h&&h.isBigNumber===!0){if(t!==n-1)throw new Error(\"Dimension must be specified as last argument\");if(r=f,f=h.valueOf(),!o(f))throw new TypeError(\"Integer number expected for dimension\");if(0>f)throw new u(f);if(t>0&&f>r)throw new u(f,r+1)}else{var g=a(h).valueOf(),v=s.size(g);if(m[t]=g,r=f,f=v.length-1,t>0&&f!=r)throw new c(r+1,f+1)}}if(0==m.length)throw new SyntaxError(\"At least one matrix expected\");for(var d=m.shift();m.length;)d=i(d,m.shift(),f,0);return p?l(d):d},\"...string\":function(e){return e.join(\"\")}});return p.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",\np}function i(e,t,r,n){if(r>n){if(e.length!=t.length)throw new c(e.length,t.length);for(var a=[],o=0;o<e.length;o++)a[o]=i(e[o],t[o],r,n+1);return a}return e.concat(t)}var a=r(3).clone,o=r(6).isInteger,s=r(40),u=r(43),c=r(42);t.name=\"concat\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t,r){var n,i;if(e[0]&&(n=e[0].compile().eval(r)),e[1])if(e[1]&&e[1].isSymbolNode)i=e[1].compile().eval(r);else{var a=r||{},s=e[1].filter(function(e){return e&&e.isSymbolNode&&!(e.name in t)&&!(e.name in a)})[0],u=Object.create(a),c=e[1].compile();if(!s)throw new Error(\"No undefined variable found in filter equation\");var f=s.name;i=function(e){return u[f]=e,c.eval(u)}}return o(n,i)}var o=n(r(281));n(r(265));return a.rawArgs=!0,a}t.name=\"filter\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(51)),u=o(\"filter\",{\"Array, function\":i,\"Array, RegExp\":a,\"Matrix, function\":function(e,t){return s(i(e.toArray(),t))},\"Matrix, RegExp\":function(e,t){return s(a(e.toArray(),t))}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}function i(e,t){if(1!==o(e).length)throw new Error(\"Only one dimensional matrices supported\");return e.filter(function(e){return t(e)})}function a(e,t){if(1!==o(e).length)throw new Error(\"Only one dimensional matrices supported\");return e.filter(function(e){return t.test(e)})}var o=r(40).size;t.name=\"filter\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){n(r(283));return i(\"forEach\",{\"Array | Matrix, function\":function(e,t){var r=function(n,i){Array.isArray(n)?n.forEach(function(e,t){r(e,i.concat(t+1))}):t(n,i,e)};r(e.valueOf(),[])}})}t.name=\"forEach\",t.path=\"expression.transform\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,i){var a=i(\"forEach\",{\"Array, function\":n,\"Matrix, function\":function(e,t){return e.forEach(t)}});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}function n(e,t){var r=function(n,i){Array.isArray(n)?n.forEach(function(e,t){r(e,i.concat(t))}):t(n,i,e)};r(e,[])}t.name=\"forEach\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n){n(r(67));return function(){for(var t=[],r=0,n=arguments.length;n>r;r++){var i=arguments[r];if(i&&i.isRange===!0)i.start--,i.end-=i.step>0?0:2;else if(i&&i.isSet===!0)i=i.map(function(e){return e-1});else if(i&&(i.isArray===!0||i.isMatrix))i=i.map(function(e){return e-1});else if(\"number\"==typeof i)i--;else{if(!i||i.isBigNumber!==!0)throw new TypeError(\"Ranges must be a Number, Range, Array or Matrix\");i=i.toNumber()-1}t[r]=i}var a=new e.Index;return e.Index.apply(a,t),a}}Array.isArray;t.name=\"index\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=(n(r(286)),n(r(51)));return a(\"max\",{\"Array, function\":function(e,t){return i(e,t,e)},\"Matrix, function\":function(e,t){return o(i(e.valueOf(),t,e))}})}function i(e,t,r){function n(e,i){return Array.isArray(e)?e.map(function(e,t){return n(e,i.concat(t+1))}):t(e,i,r)}return n(e,[])}t.name=\"map\",t.path=\"expression.transform\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,i){var a=i(\"map\",{\"Array, function\":n,\"Matrix, function\":function(e,t){return e.map(t)}});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}function n(e,t){var r=function(n,i){return Array.isArray(n)?n.map(function(e,t){return r(e,i.concat(t))}):t(n,i,e)};return r(e,[])}t.name=\"map\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(289));return o(\"max\",{\"...any\":function(e){if(2==e.length&&a(e[0])){var t=e[1];\"number\"==typeof t?e[1]=t-1:t&&t.isBigNumber===!0&&(e[1]=t.minus(1))}try{return s.apply(null,e)}catch(r){throw i(r)}}})}var i=r(278).transform,a=r(288);t.name=\"max\",t.path=\"expression.transform\",t.factory=n},function(e,t){\"use strict\";e.exports=function(e){return Array.isArray(e)||e&&e.isMatrix===!0}},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e,t){return c(e,t)?e:t}function u(e){var t=void 0;if(i(e,function(e){(void 0===t||c(e,t))&&(t=e)}),void 0===t)throw new Error(\"Cannot calculate max of an empty array\");return t}var c=n(r(63)),f=o(\"max\",{\"Array | Matrix\":u,\"Array | Matrix, number | BigNumber\":function(e,t){return a(e,t.valueOf(),s)},\"...\":function(){return u(arguments)}});return f.toTex=\"\\\\max\\\\left(${args}\\\\right)\",f}var i=r(290),a=r(291);t.name=\"max\",t.factory=n},function(e,t){\"use strict\";e.exports=function r(e,t){e&&e.isMatrix===!0&&(e=e.valueOf());for(var n=0,i=e.length;i>n;n++){var a=e[n];Array.isArray(a)?r(a,t):t(a)}}},function(e,t,r){\"use strict\";function n(e,t,r){var a,o,s,u;if(0>=t){if(Array.isArray(e[0])){for(u=i(e),o=[],a=0;a<u.length;a++)o[a]=n(u[a],t-1,r);return o}for(s=e[0],a=1;a<e.length;a++)s=r(s,e[a]);return s}for(o=[],a=0;a<e.length;a++)o[a]=n(e[a],t-1,r);return o}function i(e){var t,r,n=e.length,i=e[0].length,a=[];for(r=0;i>r;r++){var o=[];for(t=0;n>t;t++)o.push(e[t][r]);a.push(o)}return a}var a=r(40).size,o=r(43);e.exports=function(e,t,r){var i=Array.isArray(e)?a(e):e.size();if(0>t)throw new o(t);if(t>=i.length)throw new o(t,i.length);return e&&e.isMatrix===!0?e.create(n(e.valueOf(),t,r)):n(e,t,r)}},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(293));return o(\"mean\",{\"...any\":function(e){if(2==e.length&&a(e[0])){var t=e[1];\"number\"==typeof t?e[1]=t-1:t&&t.isBigNumber===!0&&(e[1]=t.minus(1))}try{return s.apply(null,e)}catch(r){throw i(r)}}})}var i=r(278).transform,a=r(288);t.name=\"mean\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){function u(e,t){var r=o(e,t,f),n=Array.isArray(e)?i(e):e.size();return l(r,n[t])}function c(e){var t=0,r=0;if(a(e,function(e){t=f(t,e),r++}),0===r)throw new Error(\"Cannot calculate mean of an empty array\");return l(t,r)}var f=n(r(50)),l=n(r(294)),p=s(\"mean\",{\"Array | Matrix\":c,\"Array | Matrix, number | BigNumber\":u,\"...\":function(){return c(arguments)}});return p.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",p}var i=r(40).size,a=r(290),o=r(291);t.name=\"mean\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(295)),s=n(r(296)),u=n(r(299)),c=n(r(51)),f=n(r(298)),l=n(r(57)),p=a(\"divide\",i({\"Array | Matrix, Array | Matrix\":function(e,t){return s(e,u(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,o,!1);break;case\"dense\":r=l(e,t,o,!1)}return r},\"Array, any\":function(e,t){return l(c(e),t,o,!1).valueOf()},\"any, Array | Matrix\":function(e,t){return s(e,u(t))}},o.signatures));return p.toTex=\"\\\\frac{${args[0]}}{${args[1]}}\",p}var i=r(3).extend;t.name=\"divide\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){function i(t,r){var n=r.re*r.re+r.im*r.im;return 0!=n?new e.Complex((t.re*r.re+t.im*r.im)/n,(t.im*r.re-t.re*r.im)/n):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?t.im/0:0)}var a=n(\"divide\",{\"number, number\":function(e,t){return e/t},\"Complex, Complex\":i,\"BigNumber, BigNumber\":function(e,t){return e.div(t)},\"Fraction, Fraction\":function(e,t){return e.div(t)},\"Unit, number\":function(e,t){var r=e.clone();return r.value=(null===r.value?r._normalize(1):r.value)/t,r},\"number, Unit\":function(e,t){var r=t.pow(-1);return r.value=(null===r.value?r._normalize(1):r.value)*e,r},\"Unit, Unit\":function(e,t){return e.divide(t)}});return a}t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(52)),f=n(r(297)),l=n(r(48)),p=n(r(298)),m=n(r(57)),h=e.DenseMatrix,g=e.SparseMatrix,v=o(\"multiply\",i({\"Array, Array\":function(e,t){d(a.size(e),a.size(t));var r=v(u(e),u(t));return r&&r.isMatrix===!0?r.valueOf():r},\"Matrix, Matrix\":function(e,t){var r=e.size(),n=t.size();return d(r,n),1===r.length?1===n.length?y(e,t,r[0]):x(e,t):1===n.length?b(e,t):N(e,t)},\"Matrix, Array\":function(e,t){return v(e,u(t))},\"Array, Matrix\":function(e,t){return v(u(e,t.storage()),t)},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=p(e,t,f,!1);break;case\"dense\":r=m(e,t,f,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=p(t,e,f,!0);break;case\"dense\":r=m(t,e,f,!0)}return r},\"Array, any\":function(e,t){return m(u(e),t,f,!1).valueOf()},\"any, Array\":function(e,t){return m(u(t),e,f,!0).valueOf()}},f.signatures)),d=function(e,t){switch(e.length){case 1:switch(t.length){case 1:if(e[0]!==t[0])throw new RangeError(\"Dimension mismatch in multiplication. Vectors must have the same length\");break;case 2:if(e[0]!==t[0])throw new RangeError(\"Dimension mismatch in multiplication. Vector length (\"+e[0]+\") must match Matrix rows (\"+t[0]+\")\");break;default:throw new Error(\"Can only multiply a 1 or 2 dimensional matrix (Matrix B has \"+t.length+\" dimensions)\")}break;case 2:switch(t.length){case 1:if(e[1]!==t[0])throw new RangeError(\"Dimension mismatch in multiplication. Matrix columns (\"+e[1]+\") must match Vector length (\"+t[0]+\")\");break;case 2:if(e[1]!==t[0])throw new RangeError(\"Dimension mismatch in multiplication. Matrix A columns (\"+e[1]+\") must match Matrix B rows (\"+t[0]+\")\");break;default:throw new Error(\"Can only multiply a 1 or 2 dimensional matrix (Matrix B has \"+t.length+\" dimensions)\")}break;default:throw new Error(\"Can only multiply a 1 or 2 dimensional matrix (Matrix A has \"+e.length+\" dimensions)\")}},y=function(e,t,r){if(0===r)throw new Error(\"Cannot multiply two empty vectors\");var n,i=e._data,a=e._datatype,s=t._data,u=t._datatype,l=c,p=f;a&&u&&a===u&&\"string\"==typeof a&&(n=a,l=o.find(c,[n,n]),p=o.find(f,[n,n]));for(var m=p(i[0],s[0]),h=1;r>h;h++)m=l(m,p(i[h],s[h]));return m},x=function(e,t){switch(t.storage()){case\"dense\":return w(e,t)}throw new Error(\"Not implemented\")},w=function(e,t){var r,n=e._data,i=e._size,a=e._datatype,s=t._data,u=t._size,l=t._datatype,p=i[0],m=u[1],g=c,v=f;a&&l&&a===l&&\"string\"==typeof a&&(r=a,g=o.find(c,[r,r]),v=o.find(f,[r,r]));for(var d=[],y=0;m>y;y++){for(var x=v(n[0],s[0][y]),w=1;p>w;w++)x=g(x,v(n[w],s[w][y]));d[y]=x}return 1===m?d[0]:new h({data:d,size:[m],datatype:r})},b=function(e,t){switch(e.storage()){case\"dense\":return E(e,t);case\"sparse\":return _(e,t)}},N=function(e,t){switch(e.storage()){case\"dense\":switch(t.storage()){case\"dense\":return M(e,t);case\"sparse\":return A(e,t)}break;case\"sparse\":switch(t.storage()){case\"dense\":return O(e,t);case\"sparse\":return T(e,t)}}},E=function(e,t){var r,n=e._data,i=e._size,a=e._datatype,s=t._data,u=t._datatype,l=i[0],p=i[1],m=c,g=f;a&&u&&a===u&&\"string\"==typeof a&&(r=a,m=o.find(c,[r,r]),g=o.find(f,[r,r]));for(var v=[],d=0;l>d;d++){for(var y=n[d],x=g(y[0],s[0]),w=1;p>w;w++)x=m(x,g(y[w],s[w]));v[d]=x}return 1===l?v[0]:new h({data:v,size:[l],datatype:r})},M=function(e,t){var r,n=e._data,i=e._size,a=e._datatype,s=t._data,u=t._size,l=t._datatype,p=i[0],m=i[1],g=u[1],v=c,d=f;a&&l&&a===l&&\"string\"==typeof a&&(r=a,v=o.find(c,[r,r]),d=o.find(f,[r,r]));for(var y=[],x=0;p>x;x++){var w=n[x];y[x]=[];for(var b=0;g>b;b++){for(var N=d(w[0],s[0][b]),E=1;m>E;E++)N=v(N,d(w[E],s[E][b]));y[x][b]=N}}return 1===p&&1===g?y[0][0]:new h({data:y,size:[p,g],datatype:r})},A=function(e,t){var r=e._data,n=e._size,i=e._datatype,a=t._values,s=t._index,u=t._ptr,p=t._size,m=t._datatype;if(!a)throw new Error(\"Cannot multiply Dense Matrix times Pattern only Matrix\");var h,v=n[0],d=p[1],y=c,x=f,w=l,b=0;i&&m&&i===m&&\"string\"==typeof i&&(h=i,y=o.find(c,[h,h]),x=o.find(f,[h,h]),w=o.find(l,[h,h]),b=o.convert(0,h));for(var N=[],E=[],M=[],A=new g({values:N,index:E,ptr:M,size:[v,d],datatype:h}),_=0;d>_;_++){M[_]=E.length;var O=u[_],T=u[_+1];if(T>O)for(var C=0,S=0;v>S;S++){for(var z,B=S+1,k=O;T>k;k++){var I=s[k];C!==B?(z=x(r[S][I],a[k]),C=B):z=y(z,x(r[S][I],a[k]))}C!==B||w(z,b)||(E.push(S),N.push(z))}}return M[d]=E.length,1===v&&1===d?1===N.length?N[0]:0:A},_=function(e,t){var r=e._values,n=e._index,i=e._ptr,a=e._datatype;if(!r)throw new Error(\"Cannot multiply Pattern only Matrix times Dense Matrix\");var s,u=t._data,p=t._datatype,m=e._size[0],h=t._size[0],v=[],d=[],y=[],x=c,w=f,b=l,N=0;a&&p&&a===p&&\"string\"==typeof a&&(s=a,x=o.find(c,[s,s]),w=o.find(f,[s,s]),b=o.find(l,[s,s]),N=o.convert(0,s));var E=[],M=[];y[0]=0;for(var A=0;h>A;A++){var _=u[A];if(!b(_,N))for(var O=i[A],T=i[A+1],C=O;T>C;C++){var S=n[C];M[S]?E[S]=x(E[S],w(_,r[C])):(M[S]=!0,d.push(S),E[S]=w(_,r[C]))}}for(var z=d.length,B=0;z>B;B++){var k=d[B];v[B]=E[k]}return y[1]=d.length,1===m?1===v.length?v[0]:0:new g({values:v,index:d,ptr:y,size:[m,1],datatype:s})},O=function(e,t){var r=e._values,n=e._index,i=e._ptr,a=e._datatype;if(!r)throw new Error(\"Cannot multiply Pattern only Matrix times Dense Matrix\");var s,u=t._data,p=t._datatype,m=e._size[0],h=t._size[0],v=t._size[1],d=c,y=f,x=l,w=0;a&&p&&a===p&&\"string\"==typeof a&&(s=a,d=o.find(c,[s,s]),y=o.find(f,[s,s]),x=o.find(l,[s,s]),w=o.convert(0,s));for(var b=[],N=[],E=[],M=new g({values:b,index:N,ptr:E,size:[m,v],datatype:s}),A=[],_=[],O=0;v>O;O++){E[O]=N.length;for(var T=O+1,C=0;h>C;C++){var S=u[C][O];if(!x(S,w))for(var z=i[C],B=i[C+1],k=z;B>k;k++){var I=n[k];_[I]!==T?(_[I]=T,N.push(I),A[I]=y(S,r[k])):A[I]=d(A[I],y(S,r[k]))}}for(var R=E[O],P=N.length,U=R;P>U;U++){var q=N[U];b[U]=A[q]}}return E[v]=N.length,1===m&&1===v?1===b.length?b[0]:0:M},T=function(e,t){var r,n=e._values,i=e._index,a=e._ptr,s=e._datatype,u=t._values,l=t._index,p=t._ptr,m=t._datatype,h=e._size[0],v=t._size[1],d=n&&u,y=c,x=f;s&&m&&s===m&&\"string\"==typeof s&&(r=s,y=o.find(c,[r,r]),x=o.find(f,[r,r]));for(var w,b,N,E,M,A,_,O,T=d?[]:void 0,C=[],S=[],z=new g({values:T,index:C,ptr:S,size:[h,v],datatype:r}),B=d?[]:void 0,k=[],I=0;v>I;I++){S[I]=C.length;var R=I+1;for(M=p[I],A=p[I+1],E=M;A>E;E++)if(O=l[E],d)for(b=a[O],N=a[O+1],w=b;N>w;w++)_=i[w],k[_]!==R?(k[_]=R,C.push(_),B[_]=x(u[E],n[w])):B[_]=y(B[_],x(u[E],n[w]));else for(b=a[O],N=a[O+1],w=b;N>w;w++)_=i[w],k[_]!==R&&(k[_]=R,C.push(_));if(d)for(var P=S[I],U=C.length,q=P;U>q;q++){var L=C[q];T[q]=B[L]}}return S[v]=C.length,1===h&&1===v&&d?1===T.length?T[0]:0:z};return v.toTex=\"\\\\left(${args[0]}\"+s.operators.multiply+\"${args[1]}\\\\right)\",v}var i=r(3).extend,a=r(40);t.name=\"multiply\",t.factory=n},function(e,t){\"use strict\";function r(e,t,r,n){var i=n(\"multiplyScalar\",{\"number, number\":function(e,t){return e*t},\"Complex, Complex\":function(t,r){return new e.Complex(t.re*r.re-t.im*r.im,t.re*r.im+t.im*r.re)},\"BigNumber, BigNumber\":function(e,t){return e.times(t)},\"Fraction, Fraction\":function(e,t){return e.mul(t)},\"number, Unit\":function(e,t){var r=t.clone();return r.value=null===r.value?r._normalize(e):r.value*e,r},\"Unit, number\":function(e,t){var r=e.clone();return r.value=null===r.value?r._normalize(t):r.value*t,r},\"Unit, Unit\":function(e,t){return e.multiply(t)}});return i}t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(48)),o=e.SparseMatrix,s=function(e,t,r,n){var s=e._values,u=e._index,c=e._ptr,f=e._size,l=e._datatype;if(!s)throw new Error(\"Cannot perform operation on Pattern Sparse Matrix and Scalar value\");var p,m=f[0],h=f[1],g=a,v=0,d=r;\"string\"==typeof l&&(p=l,g=i.find(a,[p,p]),v=i.convert(0,p),t=i.convert(t,p),d=i.find(r,[p,p]));for(var y=[],x=[],w=[],b=new o({values:y,index:x,ptr:w,size:[m,h],datatype:p}),N=0;h>N;N++){w[N]=x.length;for(var E=c[N],M=c[N+1],A=E;M>A;A++){var _=u[A],O=n?d(t,s[A]):d(s[A],t);g(O,v)||(x.push(_),y.push(O))}}return w[h]=x.length,b};return s}t.name=\"algorithm11\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t,r){var n,i,a,o,s;if(1==t){if(o=e[0][0],0==o)throw Error(\"Cannot calculate inverse, determinant is zero\");return[[u(1,o)]]}if(2==t){var h=p(e);if(0==h)throw Error(\"Cannot calculate inverse, determinant is zero\");return[[u(e[1][1],h),u(l(e[0][1]),h)],[u(l(e[1][0]),h),u(e[0][0],h)]]}var g=e.concat();for(n=0;t>n;n++)g[n]=g[n].concat();for(var v=m(t).valueOf(),d=0;r>d;d++){for(n=d;t>n&&0==g[n][d];)n++;if(n==t||0==g[n][d])throw Error(\"Cannot calculate inverse, determinant is zero\");n!=d&&(s=g[d],g[d]=g[n],g[n]=s,s=v[d],v[d]=v[n],v[n]=s);var y=g[d],x=v[d];for(n=0;t>n;n++){var w=g[n],b=v[n];if(n!=d){if(0!=w[d]){for(a=u(l(w[d]),y[d]),i=d;r>i;i++)w[i]=c(w[i],f(a,y[i]));for(i=0;r>i;i++)b[i]=c(b[i],f(a,x[i]))}}else{for(a=y[d],i=d;r>i;i++)w[i]=u(w[i],a);for(i=0;r>i;i++)b[i]=u(b[i],a)}}}return v}var s=n(r(51)),u=n(r(295)),c=n(r(52)),f=n(r(296)),l=n(r(300)),p=n(r(301)),m=n(r(304)),h=a(\"inv\",{\"Array | Matrix\":function(e){var t=e.isMatrix===!0?e.size():i.array.size(e);switch(t.length){case 1:if(1==t[0])return e.isMatrix===!0?s([u(1,e.valueOf()[0])]):[u(1,e[0])];throw new RangeError(\"Matrix must be square (size: \"+i.string.format(t)+\")\");case 2:var r=t[0],n=t[1];if(r==n)return e.isMatrix===!0?s(o(e.valueOf(),r,n),e.storage()):o(e,r,n);throw new RangeError(\"Matrix must be square (size: \"+i.string.format(t)+\")\");default:throw new RangeError(\"Matrix must be two dimensional (size: \"+i.string.format(t)+\")\")}},any:function(e){return u(1,e)}});return h.toTex=\"\\\\left(${args[0]}\\\\right)^{-1}\",h}var i=r(39);t.name=\"inv\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=a(\"unaryMinus\",{number:function(e){return-e},Complex:function(t){return new e.Complex(-t.re,-t.im)},BigNumber:function(e){return e.neg()},Fraction:function(e){return e.neg()},Unit:function(e){var t=e.clone();return t.value=-e.value,t},\"Array | Matrix\":function(e){return i(e,s,!0)}});return s.toTex=o.operators.unaryMinus+\"\\\\left(${args[0]}\\\\right)\",s}var i=r(19);t.name=\"unaryMinus\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function s(e,t,r){if(1==t)return a.clone(e[0][0]);if(2==t)return f(l(e[0][0],e[1][1]),l(e[1][0],e[0][1]));for(var n=function(e){var t,r,n=new Array(e.length),i=0;for(t=1;t<e.length;t++)i=c(i,e[t][t]);for(t=0;t<e.length;t++){for(n[t]=new Array(e.length),n[t][t]=p(i),r=0;t>r;r++)n[t][r]=0;for(r=t+1;r<e.length;r++)n[t][r]=e[t][r];t+1<e.length&&(i=f(i,e[t+1][t+1]))}return n},i=e,o=0;t-1>o;o++)i=l(n(i),e);return t%2==0?p(i[0][0]):i[0][0]}var u=n(r(51)),c=n(r(50)),f=n(r(302)),l=n(r(296)),p=n(r(300)),m=i(\"det\",{any:function(e){return a.clone(e)},\"Array | Matrix\":function(e){var t;switch(e&&e.isMatrix===!0?t=e.size():Array.isArray(e)?(e=u(e),t=e.size()):t=[],t.length){case 0:return a.clone(e);case 1:if(1==t[0])return a.clone(e.valueOf()[0]);throw new RangeError(\"Matrix must be square (size: \"+o.format(t)+\")\");case 2:var r=t[0],n=t[1];if(r==n)return s(e.clone().valueOf(),r,n);throw new RangeError(\"Matrix must be square (size: \"+o.format(t)+\")\");default:throw new RangeError(\"Matrix must be two dimensional (size: \"+o.format(t)+\")\")}}});return m.toTex=\"\\\\det\\\\left(${args[0]}\\\\right)\",m}var i=r(39),a=i.object,o=i.string;t.name=\"det\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=n(r(51)),u=n(r(52)),c=n(r(300)),f=n(r(53)),l=n(r(60)),p=n(r(303)),m=n(r(55)),h=n(r(56)),g=n(r(57)),v=a(\"subtract\",{\"number, number\":function(e,t){return e-t},\"Complex, Complex\":function(t,r){return new e.Complex(t.re-r.re,t.im-r.im)},\"BigNumber, BigNumber\":function(e,t){return e.minus(t)},\"Fraction, Fraction\":function(e,t){return e.sub(t)},\"Unit, Unit\":function(e,t){if(null==e.value)throw new Error(\"Parameter x contains a unit with undefined value\");if(null==t.value)throw new Error(\"Parameter y contains a unit with undefined value\");if(!e.equalBase(t))throw new Error(\"Units do not match\");var r=e.clone();return r.value-=t.value,r.fixPrefix=!1,r},\"Matrix, Matrix\":function(e,t){var r=e.size(),n=t.size();if(r.length!==n.length)throw new i(r.length,n.length);var a;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":a=p(e,t,v);break;default:a=l(t,e,v,!0)}break;default:switch(t.storage()){case\"sparse\":a=f(e,t,v,!1);break;default:a=h(e,t,v)}}return a},\"Array, Array\":function(e,t){return v(s(e),s(t)).valueOf()},\"Array, Matrix\":function(e,t){return v(s(e),t)},\"Matrix, Array\":function(e,t){return v(e,s(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=m(e,c(t),u);break;default:r=g(e,t,v)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=m(t,e,v,!0);break;default:r=g(t,e,v,!0)}return r},\"Array, any\":function(e,t){return g(s(e),t,v,!1).valueOf()},\"any, Array\":function(e,t){return g(s(t),e,v,!0).valueOf()}});return v.toTex=\"\\\\left(${args[0]}\"+o.operators.subtract+\"${args[1]}\\\\right)\",v}var i=r(42);t.name=\"subtract\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(48)),s=e.SparseMatrix,u=function(e,t,r){var n=e._values,u=e._index,c=e._ptr,f=e._size,l=e._datatype,p=t._values,m=t._index,h=t._ptr,g=t._size,v=t._datatype;if(f.length!==g.length)throw new i(f.length,g.length);if(f[0]!==g[0]||f[1]!==g[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+f+\") must match Matrix B (\"+g+\")\");var d,y=f[0],x=f[1],w=o,b=0,N=r;\"string\"==typeof l&&l===v&&(d=l,w=a.find(o,[d,d]),b=a.convert(0,d),N=a.find(r,[d,d]));var E,M,A,_,O=n&&p?[]:void 0,T=[],C=[],S=new s({values:O,index:T,ptr:C,size:[y,x],datatype:d}),z=O?[]:void 0,B=O?[]:void 0,k=[],I=[];for(M=0;x>M;M++){C[M]=T.length;var R=M+1;for(A=c[M],_=c[M+1];_>A;A++)E=u[A],T.push(E),k[E]=R,z&&(z[E]=n[A]);for(A=h[M],_=h[M+1];_>A;A++)E=m[A],k[E]!==R&&T.push(E),I[E]=R,B&&(B[E]=p[A]);if(O)for(A=C[M];A<T.length;){E=T[A];var P=k[E],U=I[E];if(P===R||U===R){var q=P===R?z[E]:b,L=U===R?B[E]:b,F=N(q,L);w(F,b)?T.splice(A,1):(O.push(F),A++)}}}return C[x]=T.length,S};return u}var i=r(42);t.name=\"algorithm05\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e,t){switch(e.length){case 0:return t?c(t):[];case 1:return u(e[0],e[0],t);case 2:return u(e[0],e[1],t);default:throw new Error(\"Vector containing two values expected\")}}function u(t,r,n){var o=t&&t.isBigNumber===!0?e.BigNumber:r&&r.isBigNumber===!0?e.BigNumber:null;if(t&&t.isBigNumber===!0&&(t=t.toNumber()),r&&r.isBigNumber===!0&&(r=r.toNumber()),!a(t)||1>t)throw new Error(\"Parameters in function eye must be positive integers\");if(!a(r)||1>r)throw new Error(\"Parameters in function eye must be positive integers\");var s=o?new e.BigNumber(1):1,u=o?new o(0):0,c=[t,r];if(n){var f=e.Matrix.storage(n);return f.diagonal(c,s,0,u)}for(var l=i.resize([],c,u),p=r>t?t:r,m=0;p>m;m++)l[m][m]=s;return l}var c=n(r(51)),f=o(\"eye\",{\"\":function(){return\"matrix\"===t.matrix?c([]):[]},string:function(e){return c(e)},\"number | BigNumber\":function(e){return u(e,e,\"matrix\"===t.matrix?\"default\":void 0)},\"number | BigNumber, string\":function(e,t){return u(e,e,t)},\"number | BigNumber, number | BigNumber\":function(e,r){return u(e,r,\"matrix\"===t.matrix?\"default\":void 0)},\"number | BigNumber, number | BigNumber, string\":function(e,t,r){return u(e,t,r)},Array:function(e){return s(e)},\"Array, string\":function(e,t){return s(e,t)},Matrix:function(e){return s(e.valueOf(),e.storage())},\"Matrix, string\":function(e,t){return s(e.valueOf(),t)}});return f.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",f}var i=r(40),a=r(6).isInteger;t.name=\"eye\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(306));return o(\"min\",{\"...any\":function(e){if(2==e.length&&a(e[0])){var t=e[1];\"number\"==typeof t?e[1]=t-1:t&&t.isBigNumber===!0&&(e[1]=t.minus(1))}try{return s.apply(null,e)}catch(r){throw i(r)}}})}var i=r(278).transform,a=r(288);t.name=\"min\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e,t){return c(e,t)?e:t}function u(e){var t=void 0;if(i(e,function(e){(void 0===t||c(e,t))&&(t=e)}),void 0===t)throw new Error(\"Cannot calculate min of an empty array\");return t}var c=n(r(59)),f=o(\"min\",{\"Array | Matrix\":u,\"Array | Matrix, number | BigNumber\":function(e,t){return a(e,t.valueOf(),s)},\"...\":function(){return u(arguments)}});return f.toTex=\"\\\\min\\\\left(${args}\\\\right)\",f}var i=r(290),a=r(291);t.name=\"min\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(308));return i(\"range\",{\"...any\":function(e){var t=e.length-1,r=e[t];return\"boolean\"!=typeof r&&e.push(!0),a.apply(null,e)}})}t.name=\"range\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e){return\"array\"===t.matrix?e:p(e)}function o(r,n){var i=l(r);if(!i)throw new SyntaxError('String \"'+r+'\" is no valid range');var o;return\"bignumber\"===t.number?(o=n?f:c,a(o(new e.BigNumber(i.start),new e.BigNumber(i.end),new e.BigNumber(i.step)))):(o=n?u:s,a(o(i.start,i.end,i.step)))}function s(e,t,r){var n=[],i=e;if(r>0)for(;t>i;)n.push(i),i+=r;else if(0>r)for(;i>t;)n.push(i),i+=r;return n}function u(e,t,r){var n=[],i=e;if(r>0)for(;t>=i;)n.push(i),i+=r;else if(0>r)for(;i>=t;)n.push(i),i+=r;return n}function c(e,t,r){var n=[],i=e;if(r.gt(m))for(;i.lt(t);)n.push(i),i=i.plus(r);else if(r.lt(m))for(;i.gt(t);)n.push(i),i=i.plus(r);return n}function f(e,t,r){var n=[],i=e;if(r.gt(m))for(;i.lte(t);)n.push(i),i=i.plus(r);else if(r.lt(m))for(;i.gte(t);)n.push(i),i=i.plus(r);return n}function l(e){var t=e.split(\":\"),r=t.map(function(e){return Number(e)}),n=r.some(function(e){return isNaN(e)});if(n)return null;switch(r.length){case 2:return{start:r[0],end:r[1],step:1};case 3:return{start:r[0],end:r[2],step:r[1]};default:return null}}var p=n(r(51)),m=new e.BigNumber(0),h=new e.BigNumber(1),g=i(\"range\",{string:o,\"string, boolean\":o,\"number, number\":function(e,t){return a(s(e,t,1))},\"number, number, number\":function(e,t,r){return a(s(e,t,r))},\"number, number, boolean\":function(e,t,r){return a(r?u(e,t,1):s(e,t,1))},\"number, number, number, boolean\":function(e,t,r,n){return a(n?u(e,t,r):s(e,t,r))},\"BigNumber, BigNumber\":function(e,t){return a(c(e,t,h))},\"BigNumber, BigNumber, BigNumber\":function(e,t,r){return a(c(e,t,r))},\"BigNumber, BigNumber, boolean\":function(e,t,r){return a(r?f(e,t,h):c(e,t,h))},\"BigNumber, BigNumber, BigNumber, boolean\":function(e,t,r,n){return a(n?f(e,t,r):c(e,t,r))}});return g.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",g}t.name=\"range\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(310));return a(\"subset\",{\"...any\":function(e){try{return o.apply(null,e)}catch(t){throw i(t)}}})}var i=r(278).transform;t.name=\"subset\",t.path=\"expression.transform\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){function u(e,t){if(!t||t.isIndex!==!0)throw new TypeError(\"Index expected\");if(1!=t.size().length)throw new o(t.size().length,1);var r=e.length;a(t.min()[0],r),a(t.max()[0],r);var n=t.dimension(0),i=\"\";return n.forEach(function(t){i+=e.charAt(t)}),i}function c(e,t,r,n){if(!t||t.isIndex!==!0)throw new TypeError(\"Index expected\");if(1!=t.size().length)throw new o(t.size().length,1);if(void 0!==n){if(\"string\"!=typeof n||1!==n.length)throw new TypeError(\"Single character expected as defaultValue\")}else n=\" \";var i=t.dimension(0),s=i.size()[0];if(s!=r.length)throw new o(i.size()[0],r.length);var u=e.length;a(t.min()[0]),a(t.max()[0]);for(var c=[],f=0;u>f;f++)c[f]=e.charAt(f);if(i.forEach(function(e,t){c[e]=r.charAt(t[0])}),c.length>u)for(f=u-1,s=c.length;s>f;f++)c[f]||(c[f]=n);return c.join(\"\")}var f=n(r(51)),l=s(\"subset\",{\"Array, Index\":function(e,t){var r=f(e),n=r.subset(t);return n&&n.valueOf()},\"Matrix, Index\":function(e,t){return e.subset(t)},\"string, Index\":u,\"Array, Index, any\":function(e,t,r){return f(i(e)).subset(t,r,void 0).valueOf()},\"Array, Index, any, any\":function(e,t,r,n){return f(i(e)).subset(t,r,n).valueOf()},\"Matrix, Index, any\":function(e,t,r){return e.clone().subset(t,r)},\"Matrix, Index, any, any\":function(e,t,r,n){return e.clone().subset(t,r,n)},\"string, Index, string\":c,\"string, Index, string, string\":c});return l.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",l}var i=r(3).clone,a=r(40).validateIndex,o=r(42);t.name=\"subset\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e){if(!(this instanceof s))throw new SyntaxError(\"Constructor must be called with the new operator\");if(!e)throw new Error('Argument \"doc\" missing');this.doc=e}var u=n(r(273))();return s.prototype.type=\"Help\",s.prototype.isHelp=!0,s.prototype.toString=function(){var e=this.doc||{},t=\"\\n\";if(e.name&&(t+=\"Name: \"+e.name+\"\\n\\n\"),e.category&&(t+=\"Category: \"+e.category+\"\\n\\n\"),e.description&&(t+=\"Description:\\n \"+e.description+\"\\n\\n\"),e.syntax&&(t+=\"Syntax:\\n \"+e.syntax.join(\"\\n \")+\"\\n\\n\"),e.examples){t+=\"Examples:\\n\";for(var r=0;r<e.examples.length;r++){var n=e.examples[r];t+=\" \"+n+\"\\n\";var i;try{i=u.eval(n)}catch(o){i=o}i&&!i.isHelp&&(t+=\" \"+a.format(i,{precision:14})+\"\\n\")}t+=\"\\n\"}return e.seealso&&(t+=\"See also: \"+e.seealso.join(\", \")+\"\\n\"),t},s.prototype.toJSON=function(){var e=i.clone(this.doc);return e.mathjs=\"Help\",e},s.fromJSON=function(e){var t={};for(var r in e)\"mathjs\"!==r&&(t[r]=e[r]);return new s(t)},s.prototype.valueOf=s.prototype.toString,s}var i=r(3),a=r(23);t.name=\"Help\",t.path=\"type\",t.factory=n},function(e,t,r){e.exports=[r(313),r(341),r(373),r(389),r(399),r(404),r(407),r(412),r(421),r(431),r(437),r(445),r(486),r(488)]},function(e,t,r){e.exports=[r(314),r(316),r(336),r(338),r(340)]},function(e,t,r){\"use strict\";function n(e,t,n,i){var o=n(r(51)),s=n(r(315)),u=n(r(52)),c=n(r(295)),f=n(r(297)),l=n(r(302)),p=n(r(63)),m=n(r(48)),h=n(r(300)),g=e.SparseMatrix,v=e.DenseMatrix,d=e.Spa,y=i(\"lup\",{DenseMatrix:function(e){return x(e)},SparseMatrix:function(e){return w(e)},Array:function(e){var t=o(e),r=x(t);return{L:r.L.valueOf(),U:r.U.valueOf(),p:r.p}}}),x=function(e){var t,r,n,i=e._size[0],o=e._size[1],h=Math.min(i,o),g=a.clone(e._data),d=[],y=[i,h],x=[],w=[h,o],b=[];for(t=0;i>t;t++)b[t]=t;for(r=0;o>r;r++){if(r>0)for(t=0;i>t;t++){var N=Math.min(t,r),E=0;for(n=0;N>n;n++)E=u(E,f(g[t][n],g[n][r]));g[t][r]=l(g[t][r],E)}var M=r,A=0,_=0;for(t=r;i>t;t++){var O=g[t][r],T=s(O);p(T,A)&&(M=t,A=T,_=O)}if(r!==M&&(b[r]=[b[M],b[M]=b[r]][0],v._swapRows(r,M,g)),i>r)for(t=r+1;i>t;t++){var C=g[t][r];m(C,0)||(g[t][r]=c(g[t][r],_))}}for(r=0;o>r;r++)for(t=0;i>t;t++)0===r&&(o>t&&(x[t]=[]),d[t]=[]),r>t?(o>t&&(x[t][r]=g[t][r]),i>r&&(d[t][r]=0)):t!==r?(o>t&&(x[t][r]=0),i>r&&(d[t][r]=g[t][r])):(o>t&&(x[t][r]=g[t][r]),i>r&&(d[t][r]=1));var S=new v({data:d,size:y}),z=new v({data:x,size:w}),B=[];for(t=0,h=b.length;h>t;t++)B[b[t]]=t;return{L:S,U:z,p:B,toString:function(){return\"L: \"+this.L.toString()+\"\\nU: \"+this.U.toString()+\"\\nP: \"+this.p}}},w=function(e){var t,r,n,i=e._size[0],a=e._size[1],o=Math.min(i,a),u=e._values,l=e._index,v=e._ptr,y=[],x=[],w=[],b=[i,o],N=[],E=[],M=[],A=[o,a],_=[],O=[];for(t=0;i>t;t++)_[t]=t,O[t]=t;var T=function(e,t){var r=O[e],n=O[t];_[r]=t,_[n]=e,O[e]=n,O[t]=r};for(r=0;a>r;r++){var C=new d;i>r&&(w.push(y.length),y.push(1),x.push(r)),M.push(N.length);var S=v[r],z=v[r+1];for(n=S;z>n;n++)t=l[n],C.set(_[t],u[n]);r>0&&C.forEach(0,r-1,function(e,t){g._forEachRow(e,y,x,w,function(r,n){r>e&&C.accumulate(r,h(f(n,t)))})});var B=r,k=C.get(r),I=s(k);C.forEach(r+1,i-1,function(e,t){var r=s(t);p(r,I)&&(B=e,I=r,k=t)}),r!==B&&(g._swapRows(r,B,b[1],y,x,w),g._swapRows(r,B,A[1],N,E,M),C.swap(r,B),T(r,B)),C.forEach(0,i-1,function(e,t){r>=e?(N.push(t),E.push(e)):(t=c(t,k),m(t,0)||(y.push(t),x.push(e)))})}return M.push(N.length),w.push(y.length),{L:new g({values:y,index:x,ptr:w,size:b}),U:new g({values:N,index:E,ptr:M,size:A}),p:_,toString:function(){return\"L: \"+this.L.toString()+\"\\nU: \"+this.U.toString()+\"\\nP: \"+this.p}}};return y}var i=r(39),a=i.object;t.name=\"lup\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"abs\",{number:Math.abs,Complex:function(e){var t=Math.abs(e.re),r=Math.abs(e.im);if(1e3>t&&1e3>r)return Math.sqrt(t*t+r*r);if(t>=r){var n=r/t;return t*Math.sqrt(1+n*n)}var i=t/r;return r*Math.sqrt(1+i*i)},BigNumber:function(e){return e.abs()},Fraction:function(e){return e.abs()},\"Array | Matrix\":function(e){return i(e,a,!0)},Unit:function(e){var t=e.clone();return t.value=Math.abs(t.value),t}});return a.toTex=\"\\\\left|${args[0]}\\\\right|\",a}var i=r(19);t.name=\"abs\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(317)),s=n(r(328)),u=i(\"slu\",{\"SparseMatrix, number, number\":function(e,t,r){if(!o(t)||0>t||t>3)throw new Error(\"Symbolic Ordering and Analysis order must be an integer number in the interval [0, 3]\");if(0>r||r>1)throw new Error(\"Partial pivoting threshold must be a number from 0 to 1\");var n=a(t,e,!1),i=s(e,n,r);return{L:i.L,U:i.U,p:i.pinv,q:n.q,toString:function(){return\"L: \"+this.L.toString()+\"\\nU: \"+this.U.toString()+\"\\np: \"+this.p.toString()+(this.q?\"\\nq: \"+this.q.toString():\"\")+\"\\n\";\n}}}});return u}var i=r(39),a=i.number,o=a.isInteger;t.name=\"slu\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(318)),a=n(r(323)),o=n(r(324)),s=n(r(325)),u=n(r(326)),c=function(e,t,r){var n,c=t._ptr,l=t._size,p=l[1],m={};if(m.q=i(e,t),e&&!m.q)return null;if(r){var h=e?a(t,null,m.q,0):t;m.parent=o(h,1);var g=s(m.parent,p);if(m.cp=u(h,m.parent,g,1),h&&m.parent&&m.cp&&f(h,m))for(m.unz=0,n=0;p>n;n++)m.unz+=m.cp[n]}else m.unz=4*c[p]+p,m.lnz=m.unz;return m},f=function(e,t){var r=e._ptr,n=e._index,i=e._size,a=i[0],o=i[1];t.pinv=[],t.leftmost=[];var s,u,c,f,l,p=t.parent,m=t.pinv,h=t.leftmost,g=[],v=0,d=a,y=a+o,x=a+2*o;for(u=0;o>u;u++)g[d+u]=-1,g[y+u]=-1,g[x+u]=0;for(s=0;a>s;s++)h[s]=-1;for(u=o-1;u>=0;u--)for(f=r[u],l=r[u+1],c=f;l>c;c++)h[n[c]]=u;for(s=a-1;s>=0;s--)m[s]=-1,u=h[s],-1!=u&&(0===g[x+u]++&&(g[y+u]=s),g[v+s]=g[d+u],g[d+u]=s);for(t.lnz=0,t.m2=a,u=0;o>u;u++)if(s=g[d+u],t.lnz++,0>s&&(s=t.m2++),m[s]=u,!(--x[u]<=0)){t.lnz+=g[x+u];var w=p[u];-1!=w&&(0===g[x+w]&&(g[y+w]=g[y+u]),g[v+g[y+u]]=g[d+w],g[d+w]=g[v+s],g[x+w]+=g[x+u])}for(s=0;a>s;s++)m[s]<0&&(m[s]=u++);return!0};return c}t.name=\"cs_sqr\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(319)),a=n(r(320)),o=n(r(321)),s=n(r(50)),u=n(r(296)),c=n(r(322)),f=function(e,t){if(!t||0>=e||e>3)return null;var r=t._size,n=r[0],s=r[1],u=0,c=Math.max(16,10*Math.sqrt(s));c=Math.min(s-2,c);var f=l(e,t,n,s,c);a(f,g,null);for(var v,d,y,x,w,b,N,E,M,A,_,O,T,C,S,z,B=f._index,k=f._ptr,I=k[s],R=[],P=[],U=0,q=s+1,L=2*(s+1),F=3*(s+1),D=4*(s+1),$=5*(s+1),j=6*(s+1),G=7*(s+1),H=R,Z=p(s,k,P,U,F,H,L,G,q,j,D,$),V=m(s,k,P,$,D,j,c,q,F,H,L),Y=0;s>V;){for(y=-1;s>Y&&-1==(y=P[F+Y]);Y++);-1!=P[L+y]&&(H[P[L+y]]=-1),P[F+Y]=P[L+y];var W=P[D+y],X=P[q+y];V+=X;var J=0;P[q+y]=-X;var K=k[y],Q=0===W?K:I,ee=Q;for(x=1;W+1>=x;x++){for(x>W?(b=y,N=K,E=P[U+y]-W):(b=B[K++],N=k[b],E=P[U+b]),w=1;E>=w;w++)v=B[N++],(M=P[q+v])<=0||(J+=M,P[q+v]=-M,B[ee++]=v,-1!=P[L+v]&&(H[P[L+v]]=H[v]),-1!=H[v]?P[L+H[v]]=P[L+v]:P[F+P[$+v]]=P[L+v]);b!=y&&(k[b]=i(y),P[j+b]=0)}for(0!==W&&(I=ee),P[$+y]=J,k[y]=Q,P[U+y]=ee-Q,P[D+y]=-2,Z=h(Z,u,j,s),A=Q;ee>A;A++)if(v=B[A],!((_=P[D+v])<=0)){M=-P[q+v];var te=Z-M;for(K=k[v],O=k[v]+_-1;O>=K;K++)b=B[K],P[j+b]>=Z?P[j+b]-=M:0!==P[j+b]&&(P[j+b]=P[$+b]+te)}for(A=Q;ee>A;A++){for(v=B[A],O=k[v],T=O+P[D+v]-1,C=O,S=0,z=0,K=O;T>=K;K++)if(b=B[K],0!==P[j+b]){var re=P[j+b]-Z;re>0?(z+=re,B[C++]=b,S+=b):(k[b]=i(y),P[j+b]=0)}P[D+v]=C-O+1;var ne=C,ie=O+P[U+v];for(K=T+1;ie>K;K++){d=B[K];var ae=P[q+d];0>=ae||(z+=ae,B[C++]=d,S+=d)}0===z?(k[v]=i(y),M=-P[q+v],J-=M,X+=M,V+=M,P[q+v]=0,P[D+v]=-1):(P[$+v]=Math.min(P[$+v],z),B[C]=B[ne],B[ne]=B[O],B[O]=y,P[U+v]=C-O+1,S=(0>S?-S:S)%s,P[L+v]=P[G+S],P[G+S]=v,H[v]=S)}for(P[$+y]=J,u=Math.max(u,J),Z=h(Z+u,u,j,s),A=Q;ee>A;A++)if(v=B[A],!(P[q+v]>=0))for(S=H[v],v=P[G+S],P[G+S]=-1;-1!=v&&-1!=P[L+v];v=P[L+v],Z++){for(E=P[U+v],_=P[D+v],K=k[v]+1;K<=k[v]+E-1;K++)P[j+B[K]]=Z;var oe=v;for(d=P[L+v];-1!=d;){var se=P[U+d]===E&&P[D+d]===_;for(K=k[d]+1;se&&K<=k[d]+E-1;K++)P[j+B[K]]!=Z&&(se=0);se?(k[d]=i(v),P[q+v]+=P[q+d],P[q+d]=0,P[D+d]=-1,d=P[L+d],P[L+oe]=d):(oe=d,d=P[L+d])}}for(K=Q,A=Q;ee>A;A++)v=B[A],(M=-P[q+v])<=0||(P[q+v]=M,z=P[$+v]+J-M,z=Math.min(z,s-V-M),-1!=P[F+z]&&(H[P[F+z]]=v),P[L+v]=P[F+z],H[v]=-1,P[F+z]=v,Y=Math.min(Y,z),P[$+v]=z,B[K++]=v);P[q+y]=X,0===(P[U+y]=K-Q)&&(k[y]=-1,P[j+y]=0),0!==W&&(I=K)}for(v=0;s>v;v++)k[v]=i(k[v]);for(d=0;s>=d;d++)P[F+d]=-1;for(d=s;d>=0;d--)P[q+d]>0||(P[L+d]=P[F+k[d]],P[F+k[d]]=d);for(b=s;b>=0;b--)P[q+b]<=0||-1!=k[b]&&(P[L+b]=P[F+k[b]],P[F+k[b]]=b);for(y=0,v=0;s>=v;v++)-1==k[v]&&(y=o(v,y,P,F,L,R,j));return R.splice(R.length-1,1),R},l=function(e,t,r,n,i){var a=c(t);if(1===e&&n===r)return s(t,a);if(2==e){for(var o=a._index,f=a._ptr,l=0,p=0;r>p;p++){var m=f[p];if(f[p]=l,!(f[p+1]-m>i))for(var h=f[p+1];h>m;m++)o[l++]=o[m]}return f[r]=l,t=c(a),u(a,t)}return u(a,t)},p=function(e,t,r,n,i,a,o,s,u,c,f,l){for(var p=0;e>p;p++)r[n+p]=t[p+1]-t[p];r[n+e]=0;for(var m=0;e>=m;m++)r[i+m]=-1,a[m]=-1,r[o+m]=-1,r[s+m]=-1,r[u+m]=1,r[c+m]=1,r[f+m]=0,r[l+m]=r[n+m];var g=h(0,0,c,e);return r[f+e]=-2,t[e]=-1,r[c+e]=0,g},m=function(e,t,r,n,a,o,s,u,c,f,l){for(var p=0,m=0;e>m;m++){var h=r[n+m];if(0===h)r[a+m]=-2,p++,t[m]=-1,r[o+m]=0;else if(h>s)r[u+m]=0,r[a+m]=-1,p++,t[m]=i(e),r[u+e]++;else{var g=r[c+h];-1!=g&&(f[g]=m),r[l+m]=r[c+h],r[c+h]=m}}return p},h=function(e,t,r,n){if(2>e||0>e+t){for(var i=0;n>i;i++)0!==r[i]&&(r[i]=1);e=2}return e},g=function(e,t){return e!=t};return f}t.name=\"cs_amd\",t.path=\"sparse\",t.factory=n},function(e,t){\"use strict\";function r(){var e=function(e){return-e-2};return e}t.name=\"cs_flip\",t.path=\"sparse\",t.factory=r},function(e,t){\"use strict\";function r(){var e=function(e,t,r){for(var n=e._values,i=e._index,a=e._ptr,o=e._size,s=o[1],u=0,c=0;s>c;c++){var f=a[c];for(a[c]=u;f<a[c+1];f++)t(i[f],c,n?n[f]:1,r)&&(i[u]=i[f],n&&(n[u]=n[f]),u++)}return a[s]=u,i.splice(u,i.length-u),n&&n.splice(u,n.length-u),u};return e}t.name=\"cs_fkeep\",t.path=\"sparse\",t.factory=r},function(e,t){\"use strict\";function r(){var e=function(e,t,r,n,i,a,o){var s=0;for(r[o]=e;s>=0;){var u=r[o+s],c=r[n+u];-1==c?(s--,a[t++]=u):(r[n+u]=r[i+c],++s,r[o+s]=c)}return t};return e}t.name=\"cs_tdfs\",t.path=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=e.DenseMatrix,f=e.SparseMatrix,l=o(\"transpose\",{Array:function(e){return l(u(e)).valueOf()},Matrix:function(e){var t,r=e.size();switch(r.length){case 1:t=e.clone();break;case 2:var n=r[0],i=r[1];if(0===i)throw new RangeError(\"Cannot transpose a 2D matrix with no columns (size: \"+a(r)+\")\");switch(e.storage()){case\"dense\":t=p(e,n,i);break;case\"sparse\":t=m(e,n,i)}break;default:throw new RangeError(\"Matrix must be a vector or two dimensional (size: \"+a(this._size)+\")\")}return t},any:function(e){return i(e)}}),p=function(e,t,r){for(var n,a=e._data,o=[],s=0;r>s;s++){n=o[s]=[];for(var u=0;t>u;u++)n[u]=i(a[u][s])}return new c({data:o,size:[r,t],datatype:e._datatype})},m=function(e,t,r){for(var n=e._values,a=e._index,o=e._ptr,s=n?[]:void 0,u=[],c=[],l=[],p=0;t>p;p++)l[p]=0;var m,h,g;for(m=0,h=a.length;h>m;m++)l[a[m]]++;for(var v=0,d=0;t>d;d++)c.push(v),v+=l[d],l[d]=c[d];for(c.push(v),g=0;r>g;g++)for(var y=o[g],x=o[g+1],w=y;x>w;w++){var b=l[a[w]]++;u[b]=g,n&&(s[b]=i(n[w]))}return new f({values:s,index:u,ptr:c,size:[r,t],datatype:e._datatype})};return l.toTex=\"\\\\left(${args[0]}\\\\right)\"+s.operators.transpose,l}var i=r(3).clone,a=r(23).format;t.name=\"transpose\",t.factory=n},function(e,t){\"use strict\";function r(e){var t=e.SparseMatrix,r=function(e,r,n,i){for(var a=e._values,o=e._index,s=e._ptr,u=e._size,c=e._datatype,f=u[0],l=u[1],p=i&&e._values?[]:null,m=[],h=[],g=0,v=0;l>v;v++){h[v]=g;for(var d=n?n[v]:v,y=s[d],x=s[d+1],w=y;x>w;w++){var b=r?r[o[w]]:o[w];m[g]=b,p&&(p[g]=a[w]),g++}}return h[l]=g,new t({values:p,index:m,ptr:h,size:[f,l],datatype:c})};return r}t.name=\"cs_permute\",t.path=\"sparse\",t.factory=r},function(e,t){\"use strict\";function r(){var e=function(e,t){if(!e)return null;var r,n,i=e._index,a=e._ptr,o=e._size,s=o[0],u=o[1],c=[],f=[],l=0,p=u;if(t)for(r=0;s>r;r++)f[p+r]=-1;for(var m=0;u>m;m++){c[m]=-1,f[l+m]=-1;for(var h=a[m],g=a[m+1],v=h;g>v;v++){var d=i[v];for(r=t?f[p+d]:d;-1!=r&&m>r;r=n)n=f[l+r],f[l+r]=m,-1==n&&(c[r]=m);t&&(f[p+d]=m)}}return c};return e}t.name=\"cs_etree\",t.path=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(321)),a=function(e,t){if(!e)return null;var r,n=0,a=[],o=[],s=0,u=t,c=2*t;for(r=0;t>r;r++)o[s+r]=-1;for(r=t-1;r>=0;r--)-1!=e[r]&&(o[u+r]=o[s+e[r]],o[s+e[r]]=r);for(r=0;t>r;r++)-1==e[r]&&(n=i(r,n,o,s,u,a,c));return a};return a}t.name=\"cs_post\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(322)),a=n(r(327)),o=function(e,t,r,n){if(!e||!t||!r)return null;var o,s,u,c,f,l,p,m=e._size,h=m[0],g=m[1],v=4*g+(n?g+h+1:0),d=[],y=0,x=g,w=2*g,b=3*g,N=4*g,E=5*g+1;for(u=0;v>u;u++)d[u]=-1;var M=[],A=i(e),_=A._index,O=A._ptr;for(u=0;g>u;u++)for(s=r[u],M[s]=-1==d[b+s]?1:0;-1!=s&&-1==d[b+s];s=t[s])d[b+s]=u;if(n){for(u=0;g>u;u++)d[r[u]]=u;for(o=0;h>o;o++){for(u=g,l=O[o],p=O[o+1],f=l;p>f;f++)u=Math.min(u,d[_[f]]);d[E+o]=d[N+u],d[N+u]=o}}for(o=0;g>o;o++)d[y+o]=o;for(u=0;g>u;u++){for(s=r[u],-1!=t[s]&&M[t[s]]--,c=n?d[N+u]:s;-1!=c;c=n?d[E+c]:-1)for(f=O[c];f<O[c+1];f++){o=_[f];var T=a(o,s,d,b,x,w,y);T.jleaf>=1&&M[s]++,2==T.jleaf&&M[T.q]--}-1!=t[s]&&(d[y+s]=t[s])}for(s=0;g>s;s++)-1!=t[s]&&(M[t[s]]+=M[s]);return M};return o}t.name=\"cs_counts\",t.path=\"sparse\",t.factory=n},function(e,t){\"use strict\";function r(){var e=function(e,t,r,n,i,a,o){var s,u,c,f,l=0;if(t>=e||r[n+t]<=r[i+e])return-1;if(r[i+e]=r[n+t],c=r[a+e],r[a+e]=t,-1===c)l=1,f=e;else{for(l=2,f=c;f!=r[o+f];f=r[o+f]);for(s=c;s!=f;s=u)u=r[o+s],r[o+s]=f}return{jleaf:l,q:f}};return e}t.name=\"cs_leaf\",t.path=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(315)),a=n(r(295)),o=n(r(296)),s=n(r(63)),u=n(r(329)),c=n(r(330)),f=e.SparseMatrix,l=function(e,t,r){if(!e)return null;var n,l=e._size,p=l[1],m=100,h=100;t&&(n=t.q,m=t.lnz||m,h=t.unz||h);var g,v,d=[],y=[],x=[],w=new f({values:d,index:y,ptr:x,size:[p,p]}),b=[],N=[],E=[],M=new f({values:b,index:N,ptr:E,size:[p,p]}),A=[],_=[],O=[];for(g=0;p>g;g++)_[g]=0,A[g]=-1,x[g+1]=0;m=0,h=0;for(var T=0;p>T;T++){x[T]=m,E[T]=h;var C=n?n[T]:T,S=c(w,e,C,O,_,A,1),z=-1,B=-1;for(v=S;p>v;v++)if(g=O[v],A[g]<0){var k=i(_[g]);s(k,B)&&(B=k,z=g)}else N[h]=A[g],b[h++]=_[g];if(-1==z||0>=B)return null;A[C]<0&&u(i(_[C]),o(B,r))&&(z=C);var I=_[z];for(N[h]=T,b[h++]=I,A[z]=T,y[m]=z,d[m++]=1,v=S;p>v;v++)g=O[v],A[g]<0&&(y[m]=g,d[m++]=a(_[g],I)),_[g]=0}for(x[p]=m,E[p]=h,v=0;m>v;v++)y[v]=A[y[v]];return d.splice(m,d.length-m),y.splice(m,y.length-m),b.splice(h,b.length-h),N.splice(h,N.length-h),{L:w,U:M,pinv:A}};return l}t.name=\"cs_lu\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=a(\"largerEq\",{\"boolean, boolean\":function(e,t){return e>=t},\"number, number\":function(e,r){return e>=r||i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return e.gte(t)},\"Fraction, Fraction\":function(e,t){return-1!==e.compare(t)},\"Complex, Complex\":function(){throw new TypeError(\"No ordering relation is defined for complex numbers\")},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value>=r.value||i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return e>=t},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,m);break;default:r=s(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,m,!1);break;default:r=f(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(o(e),t)},\"Matrix, Array\":function(e,t){return m(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,m,!1);break;default:r=l(e,t,m,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,m,!0);break;default:r=l(t,e,m,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,m,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,m,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.largerEq+\"${args[1]}\\\\right)\",m}var i=r(6).nearlyEqual;t.name=\"largerEq\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(295)),a=n(r(296)),o=n(r(302)),s=n(r(331)),u=function(e,t,r,n,u,c,f){var l,p,m,h,g=e._values,v=e._index,d=e._ptr,y=e._size,x=y[1],w=t._values,b=t._index,N=t._ptr,E=s(e,t,r,n,c);for(l=E;x>l;l++)u[n[l]]=0;for(p=N[r],m=N[r+1],l=p;m>l;l++)u[b[l]]=w[l];for(var M=E;x>M;M++){var A=n[M],_=c?c[A]:A;if(!(0>_))for(p=d[_],m=d[_+1],u[A]=i(u[A],g[f?p:m-1]),l=f?p+1:p,h=f?m:m-1;h>l;l++){var O=v[l];u[O]=o(u[O],a(g[l],u[A]))}}return E};return u}t.name=\"cs_spsolve\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(332)),a=n(r(333)),o=n(r(334)),s=function(e,t,r,n,s){var u,c,f,l=e._ptr,p=e._size,m=t._index,h=t._ptr,g=p[1],v=g;for(c=h[r],f=h[r+1],u=c;f>u;u++){var d=m[u];a(l,d)||(v=i(d,e,v,n,s))}for(u=v;g>u;u++)o(l,n[u]);return v};return s}t.name=\"cs_reach\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(333)),a=n(r(334)),o=n(r(335)),s=function(e,t,r,n,s){var u,c,f,l=t._index,p=t._ptr,m=t._size,h=m[1],g=0;for(n[0]=e;g>=0;){e=n[g];var v=s?s[e]:e;i(p,e)||(a(p,e),n[h+g]=0>v?0:o(p[v]));var d=1;for(c=n[h+g],f=0>v?0:o(p[v+1]);f>c;c++)if(u=l[c],!i(p,u)){n[h+g]=c,n[++g]=u,d=0;break}d&&(g--,n[--r]=e)}return r};return s}t.name=\"cs_dfs\",t.path=\"sparse\",t.factory=n},function(e,t){\"use strict\";function r(){var e=function(e,t){return e[t]<0};return e}t.name=\"cs_marked\",t.path=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(319)),a=function(e,t){e[t]=i(e[t])};return a}t.name=\"cs_mark\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n){var i=n(r(319)),a=function(e){return 0>e?i(e):e};return a}t.name=\"cs_unflip\",t.path=\"sparse\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(295)),s=n(r(297)),u=n(r(302)),c=n(r(48)),f=n(r(337)),l=e.DenseMatrix,p=i(\"lsolve\",{\"SparseMatrix, Array | Matrix\":function(e,t){return h(e,t)},\"DenseMatrix, Array | Matrix\":function(e,t){return m(e,t)},\"Array, Array | Matrix\":function(e,t){var r=a(e),n=m(r,t);return n.valueOf()}}),m=function(e,t){t=f(e,t,!0);for(var r=t._data,n=e._size[0],i=e._size[1],a=[],p=e._data,m=0;i>m;m++){var h,g=r[m][0]||0;if(c(g,0))h=0;else{var v=p[m][m];if(c(v,0))throw new Error(\"Linear system cannot be solved since matrix is singular\");h=o(g,v);for(var d=m+1;n>d;d++)r[d]=[u(r[d][0]||0,s(h,p[d][m]))]}a[m]=[h]}return new l({data:a,size:[n,1]})},h=function(e,t){t=f(e,t,!0);for(var r,n,i=t._data,a=e._size[0],p=e._size[1],m=e._values,h=e._index,g=e._ptr,v=[],d=0;p>d;d++){var y=i[d][0]||0;if(c(y,0))v[d]=[0];else{var x=0,w=[],b=[],N=g[d+1];for(n=g[d];N>n;n++)r=h[n],r===d?x=m[n]:r>d&&(w.push(m[n]),b.push(r));if(c(x,0))throw new Error(\"Linear system cannot be solved since matrix is singular\");var E=o(y,x);for(n=0,N=b.length;N>n;n++)r=b[n],i[r]=[u(i[r][0]||0,s(E,w[n]))];v[d]=[E]}}return new l({data:v,size:[a,1]})};return p}t.name=\"lsolve\",t.factory=n},function(e,t,r){\"use strict\";function n(e){var t=e.DenseMatrix,r=function(e,r,n){var i=e.size();if(2!==i.length)throw new RangeError(\"Matrix must be two dimensional (size: \"+a.format(i)+\")\");var u=i[0],c=i[1];if(u!==c)throw new RangeError(\"Matrix must be square (size: \"+a.format(i)+\")\");var f,l,p;if(r&&r.isMatrix===!0){var m=r.size();if(1===m.length){if(m[0]!==u)throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\");for(f=[],p=r._data,l=0;u>l;l++)f[l]=[p[l]];return new t({data:f,size:[u,1],datatype:r._datatype})}if(2===m.length){if(m[0]!==u||1!==m[1])throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\");if(r.isDenseMatrix===!0){if(n){for(f=[],p=r._data,l=0;u>l;l++)f[l]=[p[l][0]];return new t({data:f,size:[u,1],datatype:r._datatype})}return r}for(f=[],l=0;u>l;l++)f[l]=[0];for(var h=r._values,g=r._index,v=r._ptr,d=v[1],y=v[0];d>y;y++)l=g[y],f[l][0]=h[y];return new t({data:f,size:[u,1],datatype:r._datatype})}throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\")}if(s(r)){var x=o.size(r);if(1===x.length){if(x[0]!==u)throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\");for(f=[],l=0;u>l;l++)f[l]=[r[l]];return new t({data:f,size:[u,1]})}if(2===x.length){if(x[0]!==u||1!==x[1])throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\");for(f=[],l=0;u>l;l++)f[l]=[r[l][0]];return new t({data:f,size:[u,1]})}throw new RangeError(\"Dimension mismatch. Matrix columns must match vector length.\")}};return r}var i=r(39),a=i.string,o=i.array,s=Array.isArray;t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(314)),u=n(r(316)),c=n(r(339)),f=n(r(337)),l=n(r(340)),p=n(r(336)),m=a(\"lusolve\",{\"Array, Array | Matrix\":function(e,t){e=o(e);var r=s(e),n=g(r.L,r.U,r.p,null,t);return n.valueOf()},\"DenseMatrix, Array | Matrix\":function(e,t){var r=s(e);return g(r.L,r.U,r.p,null,t)},\"SparseMatrix, Array | Matrix\":function(e,t){var r=s(e);return g(r.L,r.U,r.p,null,t)},\"SparseMatrix, Array | Matrix, number, number\":function(e,t,r,n){var i=u(e,r,n);return g(i.L,i.U,i.p,i.q,t)},\"Object, Array | Matrix\":function(e,t){return g(e.L,e.U,e.p,e.q,t)}}),h=function(e){if(e&&e.isMatrix===!0)return e;if(i(e))return o(e);throw new TypeError(\"Invalid Matrix LU decomposition\")},g=function(e,t,r,n,i){e=h(e),t=h(t),i=f(e,i,!1),r&&(i._data=c(r,i._data));var a=p(e,i),o=l(t,a);return n&&(o._data=c(n,o._data)),o};return m}var i=Array.isArray;t.name=\"lusolve\",t.factory=n},function(e,t){\"use strict\";function r(){var e=function(e,t,r){var n,r=t.length,i=[];if(e)for(n=0;r>n;n++)i[e[n]]=t[n];else for(n=0;r>n;n++)i[n]=t[n];return i};return e}t.name=\"cs_ipvec\",t.path=\"sparse\",t.factory=r},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(295)),s=n(r(297)),u=n(r(302)),c=n(r(48)),f=n(r(337)),l=e.DenseMatrix,p=i(\"usolve\",{\"SparseMatrix, Array | Matrix\":function(e,t){return h(e,t)},\"DenseMatrix, Array | Matrix\":function(e,t){return m(e,t)},\"Array, Array | Matrix\":function(e,t){var r=a(e),n=m(r,t);return n.valueOf()}}),m=function(e,t){t=f(e,t,!0);for(var r=t._data,n=e._size[0],i=e._size[1],a=[],p=e._data,m=i-1;m>=0;m--){var h,g=r[m][0]||0;if(c(g,0))h=0;else{var v=p[m][m];if(c(v,0))throw new Error(\"Linear system cannot be solved since matrix is singular\");h=o(g,v);for(var d=m-1;d>=0;d--)r[d]=[u(r[d][0]||0,s(h,p[d][m]))]}a[m]=[h]}return new l({data:a,size:[n,1]})},h=function(e,t){t=f(e,t,!0);for(var r,n,i=t._data,a=e._size[0],p=e._size[1],m=e._values,h=e._index,g=e._ptr,v=[],d=p-1;d>=0;d--){var y=i[d][0]||0;if(c(y,0))v[d]=[0];else{var x=0,w=[],b=[],N=g[d],E=g[d+1];for(n=E-1;n>=N;n--)r=h[n],r===d?x=m[n]:d>r&&(w.push(m[n]),b.push(r));if(c(x,0))throw new Error(\"Linear system cannot be solved since matrix is singular\");var M=o(y,x);for(n=0,E=b.length;E>n;n++)r=b[n],i[r]=[u(i[r][0],s(M,w[n]))];v[d]=[M]}}return new l({data:v,size:[a,1]})};return p}t.name=\"usolve\",t.factory=n},function(e,t,r){e.exports=[r(315),r(50),r(52),r(342),r(344),r(345),r(294),r(346),r(348),r(350),r(343),r(353),r(354),r(355),r(356),r(359),r(352),r(362),r(363),r(296),r(364),r(366),r(351),r(367),r(369),r(357),r(370),r(302),r(300),r(371),r(372)]},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){if(0===e)return e;var t,r=0>e;return r&&(e=-e),isFinite(e)?(t=Math.exp(Math.log(e)/3),t=(e/(t*t)+2*t)/3):t=e,r?-t:t}function s(r,n){var i=r.toPolar(),a=l(new e.Complex(o(i.r),0),p(new e.Complex(0,i.phi/3)));if(n){var s=[a,l(new e.Complex(o(i.r),0),p(new e.Complex(0,i.phi/3+2*Math.PI/3))),l(new e.Complex(o(i.r),0),p(new e.Complex(0,i.phi/3-2*Math.PI/3)))];return\"array\"===t.matrix?s:f(s)}return a}function u(e){if(e.isZero())return e;var t,r=e.isNegative();return r&&(e=e.neg()),e.isFinite()?(t=e.ln().div(3).exp(),t=e.div(t.times(t)).plus(t.times(2)).div(3)):t=1/0,r?t.neg():t}function c(e){var t=e.value<0;t&&(e.value=-e.value);var r=e.pow(1/3);return t&&(r.value=-r.value),r}var f=n(r(51)),l=a.find(n(r(297)),[\"Complex,Complex\"]),p=a.find(n(r(343)),[\"Complex\"]),m=a(\"cbrt\",{number:o,Complex:s,\"Complex, boolean\":s,BigNumber:u,Unit:c,\"Array | Matrix\":function(e){return i(e,m,!0)}});return m.toTex=\"\\\\sqrt[3]{${args[0]}}\",m}var i=r(19);t.name=\"cbrt\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"exp\",{number:Math.exp,Complex:function(t){var r=Math.exp(t.re);return new e.Complex(r*Math.cos(t.im),r*Math.sin(t.im))},BigNumber:function(e){return e.exp()},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex=\"\\\\exp\\\\left(${args[0]}\\\\right)\",a}var i=r(19);t.name=\"exp\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"ceil\",{number:Math.ceil,Complex:function(t){return new e.Complex(Math.ceil(t.re),Math.ceil(t.im))},BigNumber:function(e){return e.ceil()},Fraction:function(e){return e.ceil()},\"Array | Matrix\":function(e){return i(e,a,!0)}});return a.toTex=\"\\\\left\\\\lceil${args[0]}\\\\right\\\\rceil\",a}var i=r(19);t.name=\"ceil\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=a.find(n(r(297)),[\"Complex,Complex\"]),s=a(\"cube\",{number:function(e){return e*e*e},Complex:function(e){return o(o(e,e),e)},BigNumber:function(e){return e.times(e).times(e)},Fraction:function(e){return e.mul(e).mul(e)},\"Array | Matrix\":function(e){return i(e,s,!0)},Unit:function(e){return e.pow(3)}});return s.toTex=\"\\\\left(${args[0]}\\\\right)^3\",s}var i=r(19);t.name=\"cube\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(295)),s=r(30),u=n(r(347)),c=n(r(60)),f=n(r(61)),l=n(r(298)),p=n(r(62)),m=n(r(56)),h=n(r(57)),g=i(\"dotDivide\",{\"any, any\":o,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,o,!1);break;default:r=u(t,e,o,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,o,!1);break;default:r=m(e,t,o)}}return r},\"Array, Array\":function(e,t){return g(a(e),a(t)).valueOf()},\"Array, Matrix\":function(e,t){return g(a(e),t)},\"Matrix, Array\":function(e,t){return g(e,a(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,o,!1);break;default:r=h(e,t,o,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=p(t,e,o,!0);break;default:r=h(t,e,o,!0)}return r},\"Array, any\":function(e,t){return h(a(e),t,o,!1).valueOf()},\"any, Array\":function(e,t){return h(a(t),e,o,!0).valueOf()}});return g.toTex=\"\\\\left(${args[0]}\"+s.operators.dotDivide+\"${args[1]}\\\\right)\",g}t.name=\"dotDivide\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(48)),s=e.SparseMatrix,u=function(e,t,r,n){var u=e._data,c=e._size,f=e._datatype,l=t._values,p=t._index,m=t._ptr,h=t._size,g=t._datatype;if(c.length!==h.length)throw new i(c.length,h.length);if(c[0]!==h[0]||c[1]!==h[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+c+\") must match Matrix B (\"+h+\")\");if(!l)throw new Error(\"Cannot perform operation on Dense Matrix and Pattern Sparse Matrix\");var v,d=c[0],y=c[1],x=o,w=0,b=r;\"string\"==typeof f&&f===g&&(v=f,x=a.find(o,[v,v]),w=a.convert(0,v),b=a.find(r,[v,v]));for(var N=[],E=[],M=[],A=0;y>A;A++){M[A]=E.length;for(var _=m[A],O=m[A+1],T=_;O>T;T++){var C=p[T],S=n?b(l[T],u[C][A]):b(u[C][A],l[T]);x(S,w)||(E.push(C),N.push(S))}}return M[y]=E.length,new s({values:N,index:E,ptr:M,size:[d,y],datatype:v})};return u}var i=r(42);t.name=\"algorithm02\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(297)),s=r(30),u=n(r(347)),c=n(r(349)),f=n(r(298)),l=n(r(56)),p=n(r(57)),m=i(\"dotMultiply\",{\"any, any\":o,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=c(e,t,o,!1);break;default:r=u(t,e,o,!0)}break;default:switch(t.storage()){case\"sparse\":r=u(e,t,o,!1);break;default:r=l(e,t,o)}}return r},\"Array, Array\":function(e,t){return m(a(e),a(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(a(e),t)},\"Matrix, Array\":function(e,t){return m(e,a(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,o,!1);break;default:r=p(e,t,o,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=f(t,e,o,!0);break;default:r=p(t,e,o,!0)}return r},\"Array, any\":function(e,t){return p(a(e),t,o,!1).valueOf()},\"any, Array\":function(e,t){return p(a(t),e,o,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+s.operators.dotMultiply+\"${args[1]}\\\\right)\",m}t.name=\"dotMultiply\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(48)),s=e.SparseMatrix,u=function(e,t,r){var n=e._values,u=e._index,c=e._ptr,f=e._size,l=e._datatype,p=t._values,m=t._index,h=t._ptr,g=t._size,v=t._datatype;if(f.length!==g.length)throw new i(f.length,g.length);if(f[0]!==g[0]||f[1]!==g[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+f+\") must match Matrix B (\"+g+\")\");var d,y=f[0],x=f[1],w=o,b=0,N=r;\"string\"==typeof l&&l===v&&(d=l,w=a.find(o,[d,d]),b=a.convert(0,d),N=a.find(r,[d,d]));var E,M,A,_,O,T=n&&p?[]:void 0,C=[],S=[],z=new s({values:T,index:C,ptr:S,size:[y,x],datatype:d}),B=T?[]:void 0,k=[];for(M=0;x>M;M++){S[M]=C.length;var I=M+1;if(B)for(_=h[M],O=h[M+1],A=_;O>A;A++)E=m[A],k[E]=I,B[E]=p[A];for(_=c[M],O=c[M+1],A=_;O>A;A++)if(E=u[A],B){var R=k[E]===I?B[E]:b,P=N(n[A],R);w(P,b)||(C.push(E),T.push(P))}else C.push(E)}return S[x]=C.length,z};return u}var i=r(42);t.name=\"algorithm09\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(351)),s=r(30),u=n(r(60)),c=n(r(61)),f=n(r(298)),l=n(r(62)),p=n(r(56)),m=n(r(57)),h=i(\"dotPow\",{\"any, any\":o,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=c(e,t,o,!1);break;default:r=u(t,e,o,!0)}break;default:switch(t.storage()){case\"sparse\":r=u(e,t,o,!1);break;default:r=p(e,t,o)}}return r},\"Array, Array\":function(e,t){return h(a(e),a(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(a(e),t)},\"Matrix, Array\":function(e,t){return h(e,a(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, any\":function(e,t){return m(a(e),t,h,!1).valueOf()},\"any, Array\":function(e,t){return m(a(t),e,h,!0).valueOf()}});return h.toTex=\"\\\\left(${args[0]}\"+s.operators.dotPow+\"${args[1]}\\\\right)\",h}t.name=\"dotPow\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(r,n){return i(n)||r>=0||t.predictable?Math.pow(r,n):u(new e.Complex(r,0),new e.Complex(n,0))}function u(e,t){return p(g(h(e),t))}function c(e,t){if(!i(t)||0>t)throw new TypeError(\"For A^b, b must be a positive integer (value is \"+t+\")\");var r=a(e);if(2!=r.length)throw new Error(\"For A^b, A must be 2 dimensional (A has \"+r.length+\" dimensions)\");if(r[0]!=r[1])throw new Error(\"For A^b, A must be square (size is \"+r[0]+\"x\"+r[1]+\")\");for(var n=m(r[0]).valueOf(),o=e;t>=1;)1==(1&t)&&(n=g(o,n)),t>>=1,o=g(o,o);return n}function f(e,t){return v(c(e.valueOf(),t))}var l=r(30),p=n(r(343)),m=n(r(304)),h=n(r(352)),g=n(r(296)),v=n(r(51)),d=o(\"pow\",{\"number, number\":s,\"Complex, Complex\":u,\"BigNumber, BigNumber\":function(r,n){return n.isInteger()||r>=0||t.predictable?r.pow(n):u(new e.Complex(r.toNumber(),0),new e.Complex(n.toNumber(),0))},\"Fraction, Fraction\":function(e,r){if(1!==r.d){if(t.predictable)throw new Error(\"Function pow does not support non-integer exponents for fractions.\");return s(e.valueOf(),r.valueOf())}return e.pow(r)},\"Array, number\":c,\"Array, BigNumber\":function(e,t){return c(e,t.toNumber())},\"Matrix, number\":f,\"Matrix, BigNumber\":function(e,t){return f(e,t.toNumber())},\"Unit, number\":function(e,t){return e.pow(t)}});return d.toTex=\"\\\\left(${args[0]}\\\\right)\"+l.operators.pow+\"{${args[1]}}\",d}var i=r(6).isInteger,a=r(40).size;t.name=\"pow\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(r){return r>=0||t.predictable?Math.log(r):c(new e.Complex(r,0))}function s(t){return new e.Complex(Math.log(Math.sqrt(t.re*t.re+t.im*t.im)),Math.atan2(t.im,t.re))}var u=n(r(295)),c=a(\"log\",{number:o,Complex:s,BigNumber:function(r){return!r.isNegative()||t.predictable?r.ln():s(new e.Complex(r.toNumber(),0))},\"Array | Matrix\":function(e){return i(e,c)},\"any, any\":function(e,t){return u(c(e),c(t))}});return c.toTex={1:\"\\\\ln\\\\left(${args[0]}\\\\right)\",2:\"\\\\log_{${args[1]}}\\\\left(${args[0]}\\\\right)\"},c}var i=r(19);t.name=\"log\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"fix\",{number:function(e){return e>0?Math.floor(e):Math.ceil(e)},Complex:function(t){return new e.Complex(t.re>0?Math.floor(t.re):Math.ceil(t.re),t.im>0?Math.floor(t.im):Math.ceil(t.im))},BigNumber:function(e){return e.isNegative()?e.ceil():e.floor()},Fraction:function(e){return e.s<0?e.ceil():e.floor()},\"Array | Matrix\":function(e){return i(e,a,!0)}});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}var i=r(19);t.name=\"fix\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"floor\",{number:Math.floor,Complex:function(t){return new e.Complex(Math.floor(t.re),Math.floor(t.im))},BigNumber:function(e){return e.floor()},Fraction:function(e){return e.floor()},\"Array | Matrix\":function(e){return i(e,a,!0)}});return a.toTex=\"\\\\left\\\\lfloor${args[0]}\\\\right\\\\rfloor\",a}var i=r(19);t.name=\"floor\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(t,r){if(!t.isInt()||!r.isInt())throw new Error(\"Parameters in function gcd must be integer numbers\");for(var n=new e.BigNumber(0);!r.isZero();){var i=t.mod(r);t=r,r=i}return t.lt(n)?t.neg():t}var s=n(r(51)),u=n(r(53)),c=n(r(54)),f=n(r(55)),l=n(r(56)),p=n(r(57)),m=a(\"gcd\",{\"number, number\":i,\"BigNumber, BigNumber\":o,\"Fraction, Fraction\":function(e,t){return e.gcd(t)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=c(e,t,m);break;default:r=u(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=u(e,t,m,!1);break;default:r=l(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(s(e),s(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(s(e),t)},\"Matrix, Array\":function(e,t){return m(e,s(t))},\"Matrix, number | BigNumber\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,m,!1);break;default:r=p(e,t,m,!1)}return r},\"number | BigNumber, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=f(t,e,m,!0);break;default:r=p(t,e,m,!0)}return r},\"Array, number | BigNumber\":function(e,t){return p(s(e),t,m,!1).valueOf()},\"number | BigNumber, Array\":function(e,t){return p(s(t),e,m,!0).valueOf()},\"Array | Matrix | number | BigNumber, Array | Matrix | number | BigNumber, ...Array | Matrix | number | BigNumber\":function(e,t,r){for(var n=m(e,t),i=0;i<r.length;i++)n=m(n,r[i]);return n}});return m.toTex=\"\\\\gcd\\\\left(${args}\\\\right)\",m}function i(e,t){if(!a(e)||!a(t))throw new Error(\"Parameters in function gcd must be integer numbers\");for(var r;0!=t;)r=e%t,e=t,t=r;return 0>e?-e:e}var a=r(6).isInteger;t.name=\"gcd\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){for(var t=0,r=0,n=0;n<e.length;n++){var i=s(e[n]);p(r,i)?(t=f(t,f(c(r,i),c(r,i))),t=u(t,1),r=i):t=u(t,m(i)?f(c(i,r),c(i,r)):i)}return f(r,l(t))}var s=n(r(315)),u=n(r(52)),c=n(r(295)),f=n(r(297)),l=n(r(357)),p=n(r(59)),m=n(r(358)),h=a(\"hypot\",{\"... number | BigNumber\":o,Array:function(e){return h.apply(h,i(e))},Matrix:function(e){return h.apply(h,i(e.toArray()))}});return h.toTex=\"\\\\hypot\\\\left(${args}\\\\right)\",h}var i=r(40).flatten;t.name=\"hypot\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){function a(r){return r>=0||t.predictable?Math.sqrt(r):o(new e.Complex(r,0))}function o(t){var r,n,i=Math.sqrt(t.re*t.re+t.im*t.im);return r=t.re>=0?.5*Math.sqrt(2*(i+t.re)):Math.abs(t.im)/Math.sqrt(2*(i-t.re)),n=t.re<=0?.5*Math.sqrt(2*(i-t.re)):Math.abs(t.im)/Math.sqrt(2*(i+t.re)),t.im>=0?new e.Complex(r,n):new e.Complex(r,-n)}var s=n(\"sqrt\",{number:a,Complex:o,BigNumber:function(e){return!e.isNegative()||t.predictable?e.sqrt():a(e.toNumber())},\"Array | Matrix\":function(e){return i(e,s,!0)},Unit:function(e){return e.pow(.5)}});return s.toTex=\"\\\\sqrt{${args[0]}}\",s}var i=r(19);t.name=\"sqrt\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"isPositive\",{number:function(e){return e>0},BigNumber:function(e){return!e.isNeg()&&!e.isZero()&&!e.isNaN()},Fraction:function(e){return e.s>0&&e.n>0},Unit:function(e){return e.value>0},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);r(6);t.name=\"isPositive\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(t,r){if(!t.isInt()||!r.isInt())throw new Error(\"Parameters in function lcm must be integer numbers\");if(t.isZero()||r.isZero())return new e.BigNumber(0);for(var n=t.times(r);!r.isZero();){var i=r;\nr=t.mod(i),t=i}return n.div(t).abs()}var s=n(r(51)),u=n(r(347)),c=n(r(360)),f=n(r(298)),l=n(r(56)),p=n(r(57)),m=a(\"lcm\",{\"number, number\":i,\"BigNumber, BigNumber\":o,\"Fraction, Fraction\":function(t,r){return 0===t.n&&0===r.n?new e.Fraction(0):t.mul(r).abs().div(t.gcd(r))},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=c(e,t,m);break;default:r=u(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=u(e,t,m,!1);break;default:r=l(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(s(e),s(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(s(e),t)},\"Matrix, Array\":function(e,t){return m(e,s(t))},\"Matrix, number | BigNumber\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,m,!1);break;default:r=p(e,t,m,!1)}return r},\"number | BigNumber, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=f(t,e,m,!0);break;default:r=p(t,e,m,!0)}return r},\"Array, number | BigNumber\":function(e,t){return p(s(e),t,m,!1).valueOf()},\"number | BigNumber, Array\":function(e,t){return p(s(t),e,m,!0).valueOf()},\"Array | Matrix | number | BigNumber, Array | Matrix | number | BigNumber, ...Array | Matrix | number | BigNumber\":function(e,t,r){for(var n=m(e,t),i=0;i<r.length;i++)n=m(n,r[i]);return n}});return m.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",m}function i(e,t){if(!a(e)||!a(t))throw new Error(\"Parameters in function lcm must be integer numbers\");if(0==e||0==t)return 0;for(var r,n=e*t;0!=t;)r=t,t=e%r,e=r;return Math.abs(n/e)}var a=r(6).isInteger;t.name=\"lcm\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(48)),u=e.SparseMatrix,c=function(e,t,r){var n=e._values,c=e._size,f=e._datatype,l=t._values,p=t._size,m=t._datatype;if(c.length!==p.length)throw new a(c.length,p.length);if(c[0]!==p[0]||c[1]!==p[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+c+\") must match Matrix B (\"+p+\")\");var h,g=c[0],v=c[1],d=s,y=0,x=r;\"string\"==typeof f&&f===m&&(h=f,d=o.find(s,[h,h]),y=o.convert(0,h),x=o.find(r,[h,h]));for(var w=n&&l?[]:void 0,b=[],N=[],E=new u({values:w,index:b,ptr:N,size:[g,v],datatype:h}),M=w?[]:void 0,A=[],_=[],O=0;v>O;O++){N[O]=b.length;var T=O+1;if(i(e,O,A,M,_,T,E,x),i(t,O,A,M,_,T,E,x),M)for(var C=N[O];C<b.length;){var S=b[C];if(_[S]===T){var z=M[S];d(z,y)?b.splice(C,1):(w.push(z),C++)}else b.splice(C,1)}else for(var B=N[O];B<b.length;){var k=b[B];_[k]!==T?b.splice(B,1):B++}}return N[v]=b.length,E};return c}var i=r(361),a=r(42);t.name=\"algorithm06\",t.factory=n},function(e,t){\"use strict\";e.exports=function(e,t,r,n,i,a,o,s,u,c,f){var l,p,m,h,g=e._values,v=e._index,d=e._ptr,y=o._index;if(n)for(p=d[t],m=d[t+1],l=p;m>l;l++)h=v[l],r[h]!==a?(r[h]=a,y.push(h),c?(n[h]=u?s(g[l],f):s(f,g[l]),i[h]=a):n[h]=g[l]):(n[h]=u?s(g[l],n[h]):s(n[h],g[l]),i[h]=a);else for(p=d[t],m=d[t+1],l=p;m>l;l++)h=v[l],r[h]!==a?(r[h]=a,y.push(h)):i[h]=a}},function(e,t,r){\"use strict\";function n(e,t,r,n){function a(t){return new e.Complex(Math.log(Math.sqrt(t.re*t.re+t.im*t.im))/Math.LN10,Math.atan2(t.im,t.re)/Math.LN10)}var o=n(\"log10\",{number:function(r){return r>=0||t.predictable?Math.log(r)/Math.LN10:o(new e.Complex(r,0))},Complex:a,BigNumber:function(r){return!r.isNegative()||t.predictable?r.log():a(new e.Complex(r.toNumber(),0))},\"Array | Matrix\":function(e){return i(e,o)}});return o.toTex=\"\\\\log_{10}\\\\left(${args[0]}\\\\right)\",o}var i=r(19);t.name=\"log10\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){if(t>0)return e-t*Math.floor(e/t);if(0===t)return e;throw new Error(\"Cannot calculate mod for a negative divisor\")}var o=n(r(51)),s=r(30),u=n(r(347)),c=n(r(60)),f=n(r(303)),l=n(r(298)),p=n(r(62)),m=n(r(56)),h=n(r(57)),g=i(\"mod\",{\"number, number\":a,\"BigNumber, BigNumber\":function(e,t){return t.isZero()?e:e.mod(t)},\"Fraction, Fraction\":function(e,t){return e.mod(t)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,g,!1);break;default:r=u(t,e,g,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,g,!1);break;default:r=m(e,t,g)}}return r},\"Array, Array\":function(e,t){return g(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return g(o(e),t)},\"Matrix, Array\":function(e,t){return g(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,g,!1);break;default:r=h(e,t,g,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=p(t,e,g,!0);break;default:r=h(t,e,g,!0)}return r},\"Array, any\":function(e,t){return h(o(e),t,g,!1).valueOf()},\"any, Array\":function(e,t){return h(o(t),e,g,!0).valueOf()}});return g.toTex=\"\\\\left(${args[0]}\"+s.operators.mod+\"${args[1]}\\\\right)\",g}t.name=\"mod\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){var r=e.size();if(1==r.length){if(t===Number.POSITIVE_INFINITY||\"inf\"===t){var n=0;return e.forEach(function(e){var t=o(e);p(t,n)&&(n=t)},!0),n}if(t===Number.NEGATIVE_INFINITY||\"-inf\"===t){var i;return e.forEach(function(e){var t=o(e);(!i||m(t,i))&&(i=t)},!0),i||0}if(\"fro\"===t)return a(e,2);if(\"number\"==typeof t&&!isNaN(t)){if(!l(t,0)){var h=0;return e.forEach(function(e){h=s(u(o(e),t),h)},!0),u(h,1/t)}return Number.POSITIVE_INFINITY}throw new Error(\"Unsupported parameter value\")}if(2==r.length){if(1===t){var d=[],y=0;return e.forEach(function(e,t){var r=t[1],n=s(d[r]||0,o(e));p(n,y)&&(y=n),d[r]=n},!0),y}if(t===Number.POSITIVE_INFINITY||\"inf\"===t){var x=[],w=0;return e.forEach(function(e,t){var r=t[0],n=s(x[r]||0,o(e));p(n,w)&&(w=n),x[r]=n},!0),w}if(\"fro\"===t)return c(g(f(v(e),e)));if(2===t)throw new Error(\"Unsupported parameter value, missing implementation of matrix singular value decomposition\");throw new Error(\"Unsupported parameter value\")}}var o=n(r(315)),s=n(r(50)),u=n(r(351)),c=n(r(357)),f=n(r(296)),l=n(r(48)),p=n(r(63)),m=n(r(59)),h=n(r(51)),g=n(r(365)),v=n(r(322)),d=i.find(o,[\"Complex\"]),y=i(\"norm\",{number:Math.abs,Complex:d,BigNumber:function(e){return e.abs()},\"boolean | null\":function(e){return Math.abs(e)},Array:function(e){return a(h(e),2)},Matrix:function(e){return a(e,2)},\"number | Complex | BigNumber | boolean | null, number | BigNumber | string\":function(e){return y(e)},\"Array, number | BigNumber | string\":function(e,t){return a(h(e),t)},\"Matrix, number | BigNumber | string\":function(e,t){return a(e,t)}});return y.toTex={1:\"\\\\left\\\\|${args[0]}\\\\right\\\\|\",2:\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\"},y}t.name=\"norm\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(51)),u=n(r(50)),c=o(\"trace\",{Array:function(e){return c(s(e))},Matrix:function(e){var t;switch(e.storage()){case\"dense\":t=f(e);break;case\"sparse\":t=l(e)}return t},any:i}),f=function(e){var t=e._size,r=e._data;switch(t.length){case 1:if(1==t[0])return i(r[0]);throw new RangeError(\"Matrix must be square (size: \"+a(t)+\")\");case 2:var n=t[0],o=t[1];if(n===o){for(var s=0,c=0;n>c;c++)s=u(s,r[c][c]);return s}throw new RangeError(\"Matrix must be square (size: \"+a(t)+\")\");default:throw new RangeError(\"Matrix must be two dimensional (size: \"+a(t)+\")\")}},l=function(e){var t=e._values,r=e._index,n=e._ptr,i=e._size,o=i[0],s=i[1];if(o===s){var c=0;if(t.length>0)for(var f=0;s>f;f++)for(var l=n[f],p=n[f+1],m=l;p>m;m++){var h=r[m];if(h===f){c=u(c,t[m]);break}if(h>f)break}return c}throw new RangeError(\"Matrix must be square (size: \"+a(i)+\")\")};return c.toTex=\"\\\\mathrm{tr}\\\\left(${args[0]}\\\\right)\",c}var i=r(3).clone,a=r(23).format;t.name=\"trace\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t,r){var n=e.BigNumber.precision,i=e.BigNumber.constructor({precision:n+2}),a=new e.BigNumber(0),o=new i(1),s=r.isNegative();if(s&&(r=r.neg()),r.isZero())throw new Error(\"Root must be non-zero\");if(t.isNegative()&&!r.abs().mod(2).equals(1))throw new Error(\"Root must be odd when a is negative.\");if(t.isZero())return a;if(!t.isFinite())return s?a:t;var u=t.abs().pow(o.div(r));return u=t.isNeg()?u.neg():u,new e.BigNumber((s?o.div(u):u).toPrecision(n))}var u=n(r(51)),c=n(r(53)),f=n(r(347)),l=n(r(360)),p=n(r(298)),m=n(r(56)),h=n(r(57)),g=o(\"nthRoot\",{number:function(e){return i(e,2)},\"number, number\":i,BigNumber:function(t){return s(t,new e.BigNumber(2))},Complex:function(e){return a(e,2)},\"Complex, number\":a,\"BigNumber, BigNumber\":s,\"Array | Matrix\":function(e){return g(e,2)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":if(1!==t.density())throw new Error(\"Root must be non-zero\");r=l(e,t,g);break;default:r=f(t,e,g,!0)}break;default:switch(t.storage()){case\"sparse\":if(1!==t.density())throw new Error(\"Root must be non-zero\");r=c(e,t,g,!1);break;default:r=m(e,t,g)}}return r},\"Array, Array\":function(e,t){return g(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return g(u(e),t)},\"Matrix, Array\":function(e,t){return g(e,u(t))},\"Matrix, number | BigNumber\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=p(e,t,g,!1);break;default:r=h(e,t,g,!1)}return r},\"number | BigNumber, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":if(1!==t.density())throw new Error(\"Root must be non-zero\");r=p(t,e,g,!0);break;default:r=h(t,e,g,!0)}return r},\"Array, number | BigNumber\":function(e,t){return g(u(e),t).valueOf()},\"number | BigNumber, Array\":function(e,t){return g(e,u(t)).valueOf()}});return g.toTex=\"\\\\sqrt[${args[1]}]{${args[0]}}\",g}function i(e,t){var r=0>t;if(r&&(t=-t),0===t)throw new Error(\"Root must be non-zero\");if(0>e&&Math.abs(t)%2!=1)throw new Error(\"Root must be odd when a is negative.\");if(0==e)return 0;if(!isFinite(e))return r?0:e;var n=Math.pow(Math.abs(e),1/t);return n=0>e?-n:n,r?1/n:n}function a(e,t){if(0>t)throw new Error(\"Root must be greater than zero\");if(0===t)throw new Error(\"Root must be non-zero\");if(t%1!==0)throw new Error(\"Root must be an integer\");for(var r=e.toPolar(),n=[],i=Math.pow(r.r,1/t),a=0;t>a;a++)n.push({r:i,phi:(r.phi+2*Math.PI*a)/t});return n}t.name=\"nthRoot\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var c=n(r(51)),f=n(r(48)),l=n(r(368)),p=n(r(298)),m=n(r(62)),h=n(r(57)),g=o(\"round\",{number:Math.round,\"number, number\":function(e,t){if(!a(t))throw new TypeError(u);if(0>t||t>15)throw new Error(\"Number of decimals in function round must be in te range of 0-15\");return i(e,t)},Complex:function(t){return new e.Complex(Math.round(t.re),Math.round(t.im))},\"Complex, number\":function(t,r){return new e.Complex(i(t.re,r),i(t.im,r))},\"Complex, BigNumber\":function(t,r){if(!r.isInteger())throw new TypeError(u);var n=r.toNumber();return new e.Complex(i(t.re,n),i(t.im,n))},\"number, BigNumber\":function(t,r){if(!r.isInteger())throw new TypeError(u);return new e.BigNumber(t).toDecimalPlaces(r.toNumber())},BigNumber:function(e){return e.toDecimalPlaces(0)},\"BigNumber, BigNumber\":function(e,t){if(!t.isInteger())throw new TypeError(u);return e.toDecimalPlaces(t.toNumber())},Fraction:function(e){return e.round()},\"Array | Matrix\":function(e){return s(e,g,!0)},\"Matrix, number | BigNumber\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=p(e,t,g,!1);break;default:r=h(e,t,g,!1)}return r},\"number | Complex | BigNumber, Matrix\":function(e,t){if(!f(e,0)){var r;switch(t.storage()){case\"sparse\":r=m(t,e,g,!0);break;default:r=h(t,e,g,!0)}return r}return l(t.size(),t.storage())},\"Array, number | BigNumber\":function(e,t){return h(c(e),t,g,!1).valueOf()},\"number | Complex | BigNumber, Array\":function(e,t){return h(c(t),e,g,!0).valueOf()}});return g.toTex={1:\"\\\\left\\\\lfloor${args[0]}\\\\right\\\\rceil\",2:\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\"},g}function i(e,t){return parseFloat(o(e,t))}var a=r(6).isInteger,o=r(6).toFixed,s=r(19),u=\"Number of decimals in function round must be an integer\";t.name=\"round\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t,r){var n=u(t),i=n?new e.BigNumber(0):0;if(c(t),r){var o=f(r);return t.length>0?o.resize(t,i):o}var s=[];return t.length>0?a(s,t,i):s}function u(e){var t=!1;return e.forEach(function(e,r,n){e&&e.isBigNumber===!0&&(t=!0,n[r]=e.toNumber())}),t}function c(e){e.forEach(function(e){if(\"number\"!=typeof e||!i(e)||0>e)throw new Error(\"Parameters in function zeros must be positive integers\")})}var f=n(r(51)),l=o(\"zeros\",{\"\":function(){return\"array\"===t.matrix?s([]):s([],\"default\")},\"...number | BigNumber | string\":function(e){var r=e[e.length-1];if(\"string\"==typeof r){var n=e.pop();return s(e,n)}return\"array\"===t.matrix?s(e):s(e,\"default\")},Array:s,Matrix:function(e){var t=e.storage();return s(e.valueOf(),t)},\"Array | Matrix, string\":function(e,t){return s(e.valueOf(),t)}});return l.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",l}var i=r(6).isInteger,a=r(40).resize;t.name=\"zeros\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"sign\",{number:i.sign,Complex:function(t){var r=Math.sqrt(t.re*t.re+t.im*t.im);return new e.Complex(t.re/r,t.im/r)},BigNumber:function(t){return new e.BigNumber(t.cmp(0))},Fraction:function(t){return new e.Fraction(t.s)},\"Array | Matrix\":function(e){return a(e,o,!0)},Unit:function(e){return i.sign(e.value)}});return o.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",o}var i=r(6),a=r(19);t.name=\"sign\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"square\",{number:function(e){return e*e},Complex:function(t){return new e.Complex(t.re*t.re-t.im*t.im,t.re*t.im+t.im*t.re)},BigNumber:function(e){return e.times(e)},Fraction:function(e){return e.mul(e)},\"Array | Matrix\":function(e){return i(e,a,!0)},Unit:function(e){return e.pow(2)}});return a.toTex=\"\\\\left(${args[0]}\\\\right)^2\",a}var i=r(19);t.name=\"square\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=a(\"unaryPlus\",{number:function(e){return e},Complex:function(e){return e.clone()},BigNumber:function(e){return e},Fraction:function(e){return e},Unit:function(e){return e.clone()},\"Array | Matrix\":function(e){return i(e,s,!0)},\"boolean | string | null\":function(r){return\"bignumber\"==t.number?new e.BigNumber(+r):+r}});return s.toTex=o.operators.unaryPlus+\"\\\\left(${args[0]}\\\\right)\",s}var i=r(19);t.name=\"unaryPlus\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,r){var n,a,o,s=0,c=1,f=1,l=0;if(!i(e)||!i(r))throw new Error(\"Parameters in function xgcd must be integer numbers\");for(;r;)a=Math.floor(e/r),o=e%r,n=s,s=c-a*s,c=n,n=f,f=l-a*f,l=n,e=r,r=o;var p;return p=0>e?[-e,-c,-l]:[e,e?c:0,l],\"array\"===t.matrix?p:u(p)}function s(r,n){var i,a,o,s=new e.BigNumber(0),c=new e.BigNumber(0),f=new e.BigNumber(1),l=new e.BigNumber(1),p=new e.BigNumber(0);if(!r.isInt()||!n.isInt())throw new Error(\"Parameters in function xgcd must be integer numbers\");for(;!n.isZero();)a=r.div(n).floor(),o=r.mod(n),i=c,c=f.minus(a.times(c)),f=i,i=l,l=p.minus(a.times(l)),p=i,r=n,n=o;var m;return m=r.lt(s)?[r.neg(),f.neg(),p.neg()]:[r,r.isZero()?0:f,p],\"array\"===t.matrix?m:u(m)}var u=n(r(51)),c=a(\"xgcd\",{\"number, number\":o,\"BigNumber, BigNumber\":s});return c.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",c}var i=r(6).isInteger;t.name=\"xgcd\",t.factory=n},function(e,t,r){e.exports=[r(374),r(378),r(379),r(381),r(383),r(386),r(388)]},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(347)),f=n(r(360)),l=n(r(298)),p=n(r(56)),m=n(r(57)),h=o(\"bitAnd\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function bitAnd\");return e&t},\"BigNumber, BigNumber\":a,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,h,!1);break;default:r=c(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=p(e,t,h)}}return r},\"Array, Array\":function(e,t){return h(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(u(e),t)},\"Matrix, Array\":function(e,t){return h(e,u(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, any\":function(e,t){return m(u(e),t,h,!1).valueOf()},\"any, Array\":function(e,t){return m(u(t),e,h,!0).valueOf()}});return h.toTex=\"\\\\left(${args[0]}\"+s.operators.bitAnd+\"${args[1]}\\\\right)\",h}var i=r(6).isInteger,a=r(375);t.name=\"bitAnd\",t.factory=n},function(e,t,r){var n=r(376);e.exports=function(e,t){if(e.isFinite()&&!e.isInteger()||t.isFinite()&&!t.isInteger())throw new Error(\"Integers expected in function bitAnd\");var r=e.constructor;if(e.isNaN()||t.isNaN())return new r(NaN);if(e.isZero()||t.eq(-1)||e.eq(t))return e;if(t.isZero()||e.eq(-1))return t;if(!e.isFinite()||!t.isFinite()){if(!e.isFinite()&&!t.isFinite())return e.isNegative()==t.isNegative()?e:new r(0);if(!e.isFinite())return t.isNegative()?e:e.isNegative()?new r(0):t;if(!t.isFinite())return e.isNegative()?t:t.isNegative()?new r(0):e}return n(e,t,function(e,t){return e&t})}},function(e,t,r){function n(e){for(var t=e.c,r=t[0]+\"\",n=1;n<t.length;++n){for(var i=t[n]+\"\",a=7-i.length;a--;)i=\"0\"+i;r+=i}var o;for(o=r.length-1;\"0\"==r.charAt(o);--o);var s=e.e,u=r.slice(0,o+1||1),c=u.length;if(s>0)if(++s>c)for(s-=c;s--;u+=\"0\");else c>s&&(u=u.slice(0,s)+\".\"+u.slice(s));for(var f=[0],n=0;n<u.length;){for(var l=f.length;l--;f[l]*=10);f[0]+=u.charAt(n++)<<0;for(var o=0;o<f.length;++o)f[o]>1&&(null==f[o+1]&&(f[o+1]=0),f[o+1]+=f[o]>>1,f[o]&=1)}return f.reverse()}var i=r(377);e.exports=function(e,t,r){var a,o,s=e.constructor,u=+(e.s<0),c=+(t.s<0);if(u){a=n(i(e));for(var f=0;f<a.length;++f)a[f]^=1}else a=n(e);if(c){o=n(i(t));for(var f=0;f<o.length;++f)o[f]^=1}else o=n(t);var l,p,m;a.length<=o.length?(l=a,p=o,m=u):(l=o,p=a,m=c);var h=l.length,g=p.length,v=1^r(u,c),d=new s(1^v),y=s.ONE,x=new s(2),w=s.precision;for(s.config({precision:1e9});h>0;)r(l[--h],p[--g])==v&&(d=d.plus(y)),y=y.times(x);for(;g>0;)r(m,p[--g])==v&&(d=d.plus(y)),y=y.times(x);return s.config({precision:w}),0==v&&(d.s=-d.s),d}},function(e,t){e.exports=function(e){if(e.isFinite()&&!e.isInteger())throw new Error(\"Integer expected in function bitNot\");var t=e.constructor,r=t.precision;t.config({precision:1e9});var e=e.plus(t.ONE);return e.s=-e.s||null,t.config({precision:r}),e}},function(e,t,r){\"use strict\";function n(e,t,n,s){var u=r(30),c=s(\"bitNot\",{number:function(e){if(!o(e))throw new Error(\"Integer expected in function bitNot\");return~e},BigNumber:a,\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=u.operators.bitNot+\"\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(377),o=r(6).isInteger;t.name=\"bitNot\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(53)),f=n(r(54)),l=n(r(55)),p=n(r(56)),m=n(r(57)),h=o(\"bitOr\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function bitOr\");return e|t},\"BigNumber, BigNumber\":a,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,h);break;default:r=c(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=p(e,t,h)}}return r},\"Array, Array\":function(e,t){return h(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(u(e),t)},\"Matrix, Array\":function(e,t){return h(e,u(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, any\":function(e,t){return m(u(e),t,h,!1).valueOf()},\"any, Array\":function(e,t){return m(u(t),e,h,!0).valueOf()}});return h.toTex=\"\\\\left(${args[0]}\"+s.operators.bitOr+\"${args[1]}\\\\right)\",h}var i=r(6).isInteger,a=r(380);t.name=\"bitOr\",t.factory=n},function(e,t,r){var n=r(376);e.exports=function(e,t){if(e.isFinite()&&!e.isInteger()||t.isFinite()&&!t.isInteger())throw new Error(\"Integers expected in function bitOr\");var r=e.constructor;if(e.isNaN()||t.isNaN())return new r(NaN);var i=new r(-1);return e.isZero()||t.eq(i)||e.eq(t)?t:t.isZero()||e.eq(i)?e:e.isFinite()&&t.isFinite()?n(e,t,function(e,t){return e|t}):!e.isFinite()&&!e.isNegative()&&t.isNegative()||e.isNegative()&&!t.isNegative()&&!t.isFinite()?i:e.isNegative()&&t.isNegative()?e.isFinite()?e:t:e.isFinite()?t:e}},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(60)),f=n(r(61)),l=n(r(62)),p=n(r(56)),m=n(r(57)),h=o(\"bitXor\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function bitXor\");return e^t},\"BigNumber, BigNumber\":a,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,h);break;default:r=c(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=p(e,t,h)}}return r},\"Array, Array\":function(e,t){return h(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(u(e),t)},\"Matrix, Array\":function(e,t){return h(e,u(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=l(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, any\":function(e,t){return m(u(e),t,h,!1).valueOf()},\"any, Array\":function(e,t){return m(u(t),e,h,!0).valueOf()}});return h.toTex=\"\\\\left(${args[0]}\"+s.operators.bitXor+\"${args[1]}\\\\right)\",h}var i=r(6).isInteger,a=r(382);t.name=\"bitXor\",t.factory=n},function(e,t,r){var n=r(376),i=r(377);e.exports=function(e,t){if(e.isFinite()&&!e.isInteger()||t.isFinite()&&!t.isInteger())throw new Error(\"Integers expected in function bitXor\");var r=e.constructor;if(e.isNaN()||t.isNaN())return new r(NaN);if(e.isZero())return t;if(t.isZero())return e;if(e.eq(t))return new r(0);var a=new r(-1);return e.eq(a)?i(t):t.eq(a)?i(e):e.isFinite()&&t.isFinite()?n(e,t,function(e,t){return e^t}):e.isFinite()||t.isFinite()?new r(e.isNegative()==t.isNegative()?1/0:-(1/0)):a}},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(48)),f=n(r(368)),l=n(r(53)),p=n(r(347)),m=n(r(385)),h=n(r(55)),g=n(r(298)),v=n(r(56)),d=n(r(57)),y=o(\"leftShift\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function leftShift\");return e<<t},\"BigNumber, BigNumber\":a,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=m(e,t,y,!1);break;default:r=p(t,e,y,!0)}break;default:switch(t.storage()){case\"sparse\":r=l(e,t,y,!1);break;default:r=v(e,t,y)}}return r},\"Array, Array\":function(e,t){return y(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return y(u(e),t)},\"Matrix, Array\":function(e,t){return y(e,u(t))},\"Matrix, number | BigNumber\":function(e,t){if(!c(t,0)){var r;switch(e.storage()){case\"sparse\":r=g(e,t,y,!1);break;default:r=d(e,t,y,!1)}return r}return e.clone()},\"number | BigNumber, Matrix\":function(e,t){if(!c(e,0)){var r;switch(t.storage()){case\"sparse\":r=h(t,e,y,!0);break;default:r=d(t,e,y,!0)}return r}return f(t.size(),t.storage())},\"Array, number | BigNumber\":function(e,t){return y(u(e),t).valueOf()},\"number | BigNumber, Array\":function(e,t){return y(e,u(t)).valueOf()}});return y.toTex=\"\\\\left(${args[0]}\"+s.operators.leftShift+\"${args[1]}\\\\right)\",y}var i=r(6).isInteger,a=r(384);t.name=\"leftShift\",t.factory=n},function(e,t){e.exports=function(e,t){if(e.isFinite()&&!e.isInteger()||t.isFinite()&&!t.isInteger())throw new Error(\"Integers expected in function leftShift\");var r=e.constructor;return e.isNaN()||t.isNaN()||t.isNegative()&&!t.isZero()?new r(NaN):e.isZero()||t.isZero()?e:e.isFinite()||t.isFinite()?t.lt(55)?e.times(Math.pow(2,t.toNumber())+\"\"):e.times(new r(2).pow(t)):new r(NaN)}},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(48)),s=e.SparseMatrix,u=function(e,t,r){var n=e._values,u=e._index,c=e._ptr,f=e._size,l=e._datatype,p=t._values,m=t._index,h=t._ptr,g=t._size,v=t._datatype;if(f.length!==g.length)throw new i(f.length,g.length);if(f[0]!==g[0]||f[1]!==g[1])throw new RangeError(\"Dimension mismatch. Matrix A (\"+f+\") must match Matrix B (\"+g+\")\");if(!n||!p)throw new Error(\"Cannot perform operation on Pattern Sparse Matrices\");var d,y=f[0],x=f[1],w=o,b=0,N=r;\"string\"==typeof l&&l===v&&(d=l,w=a.find(o,[d,d]),b=a.convert(0,d),N=a.find(r,[d,d]));for(var E,M,A,_,O=[],T=[],C=[],S=new s({values:O,index:T,ptr:C,size:[y,x],datatype:d}),z=[],B=[],k=0;x>k;k++){C[k]=T.length;var I=k+1;for(M=c[k],A=c[k+1],E=M;A>E;E++)_=u[E],B[_]=I,z[_]=n[E],T.push(_);for(M=h[k],A=h[k+1],E=M;A>E;E++)_=m[E],B[_]===I&&(z[_]=N(z[_],p[E]));for(E=C[k];E<T.length;){_=T[E];var R=z[_];w(R,b)?T.splice(E,1):(O.push(R),E++)}}return C[x]=T.length,S};return u}var i=r(42);t.name=\"algorithm08\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=r(30),u=n(r(51)),c=n(r(48)),f=n(r(368)),l=n(r(53)),p=n(r(347)),m=n(r(385)),h=n(r(55)),g=n(r(298)),v=n(r(56)),d=n(r(57)),y=o(\"rightArithShift\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function rightArithShift\");return e>>t},\"BigNumber, BigNumber\":a,\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=m(e,t,y,!1);break;default:r=p(t,e,y,!0)}break;default:switch(t.storage()){case\"sparse\":r=l(e,t,y,!1);break;default:r=v(e,t,y)}}return r},\"Array, Array\":function(e,t){return y(u(e),u(t)).valueOf()},\"Array, Matrix\":function(e,t){return y(u(e),t)},\"Matrix, Array\":function(e,t){return y(e,u(t))},\"Matrix, number | BigNumber\":function(e,t){if(!c(t,0)){var r;switch(e.storage()){case\"sparse\":r=g(e,t,y,!1);break;default:r=d(e,t,y,!1)}return r}return e.clone()},\"number | BigNumber, Matrix\":function(e,t){if(!c(e,0)){var r;switch(t.storage()){case\"sparse\":r=h(t,e,y,!0);break;default:r=d(t,e,y,!0)}return r}return f(t.size(),t.storage())},\"Array, number | BigNumber\":function(e,t){return y(u(e),t).valueOf()},\"number | BigNumber, Array\":function(e,t){return y(e,u(t)).valueOf()}});return y.toTex=\"\\\\left(${args[0]}\"+s.operators.rightArithShift+\"${args[1]}\\\\right)\",y}var i=r(6).isInteger,a=r(387);t.name=\"rightArithShift\",t.factory=n},function(e,t){e.exports=function(e,t){if(e.isFinite()&&!e.isInteger()||t.isFinite()&&!t.isInteger())throw new Error(\"Integers expected in function rightArithShift\");var r=e.constructor;return e.isNaN()||t.isNaN()||t.isNegative()&&!t.isZero()?new r(NaN):e.isZero()||t.isZero()?e:t.isFinite()?t.lt(55)?e.div(Math.pow(2,t.toNumber())+\"\").floor():e.div(new r(2).pow(t)).floor():new r(e.isNegative()?-1:e.isFinite()?0:NaN)}},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=n(r(51)),u=n(r(48)),c=n(r(368)),f=n(r(53)),l=n(r(347)),p=n(r(385)),m=n(r(55)),h=n(r(298)),g=n(r(56)),v=n(r(57)),d=a(\"rightLogShift\",{\"number, number\":function(e,t){if(!i(e)||!i(t))throw new Error(\"Integers expected in function rightLogShift\");return e>>>t},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=p(e,t,d,!1);break;default:r=l(t,e,d,!0)}break;default:switch(t.storage()){case\"sparse\":r=f(e,t,d,!1);break;default:r=g(e,t,d)}}return r},\"Array, Array\":function(e,t){return d(s(e),s(t)).valueOf()},\"Array, Matrix\":function(e,t){return d(s(e),t)},\"Matrix, Array\":function(e,t){return d(e,s(t))},\"Matrix, number | BigNumber\":function(e,t){if(!u(t,0)){var r;switch(e.storage()){case\"sparse\":r=h(e,t,d,!1);break;default:r=v(e,t,d,!1)}return r}return e.clone()},\"number | BigNumber, Matrix\":function(e,t){if(!u(e,0)){var r;switch(t.storage()){case\"sparse\":r=m(t,e,d,!0);break;default:r=v(t,e,d,!0)}return r}return c(t.size(),t.storage())},\"Array, number | BigNumber\":function(e,t){return d(s(e),t).valueOf()},\"number | BigNumber, Array\":function(e,t){return d(e,s(t)).valueOf()}});return d.toTex=\"\\\\left(${args[0]}\"+o.operators.rightLogShift+\"${args[1]}\\\\right)\",d}var i=r(6).isInteger;t.name=\"rightLogShift\",t.factory=n},function(e,t,r){e.exports=[r(390),r(397),r(391),r(398)]},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(50)),o=n(r(391)),s=n(r(395)),u=n(r(396)),c=i(\"bellNumbers\",{\"number | BigNumber\":function(e){if(!u(e)||s(e))throw new TypeError(\"Non-negative integer value expected in function bellNumbers\");for(var t=0,r=0;e>=r;r++)t=a(t,o(e,r));return t}});return c.toTex=\"\\\\mathrm{B}_{${args[0]}}\",c}t.name=\"bellNumbers\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(50)),o=n(r(302)),s=n(r(296)),u=n(r(294)),c=n(r(351)),f=n(r(392)),l=n(r(394)),p=n(r(395)),m=n(r(396)),h=n(r(63)),g=i(\"stirlingS2\",{\"number | BigNumber, number | BigNumber\":function(e,t){if(!m(e)||p(e)||!m(t)||p(t))throw new TypeError(\"Non-negative integer value expected in function stirlingS2\");if(h(t,e))throw new TypeError(\"k must be less than or equal to n in function stirlingS2\");for(var r=f(t),n=0,i=0;t>=i;i++){var g=c(-1,o(t,i)),v=l(t,i),d=c(i,e);n=a(n,s(s(v,d),g))}return u(n,r)}});return g.toTex=\"\\\\mathrm{S}\\\\left(${args[0]},${args[1]}\\\\right)\",g}t.name=\"stirlingS2\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(393)),s=r(30),u=a(\"factorial\",{number:function(e){if(0>e)throw new Error(\"Value must be non-negative\");return o(e+1)},BigNumber:function(e){if(e.isNegative())throw new Error(\"Value must be non-negative\");return o(e.plus(1))},\"Array | Matrix\":function(e){return i(e,u)}});return u.toTex=\"\\\\left(${args[0]}\\\\right)\"+s.operators.factorial,u}var i=r(19);r(77);t.name=\"factorial\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,u){function c(r){if(r.isZero())return new e.BigNumber(1);for(var n=t.precision+(0|Math.log(r.toNumber())),i=e.BigNumber.constructor({precision:n}),a=new i(r),o=r.toNumber()-1;o>1;)a=a.times(o),o--;return new e.BigNumber(a.toPrecision(e.BigNumber.precision))}var f=n(r(296)),l=n(r(351)),p=u(\"gamma\",{number:function(e){var t,r;if(a(e)){if(0>=e)return isFinite(e)?1/0:NaN;if(e>171)return 1/0;for(var n=e-2,i=e-1;n>1;)i*=n,n--;return 0==i&&(i=1),i}if(.5>e)return Math.PI/(Math.sin(Math.PI*e)*p(1-e));if(e>=171.35)return 1/0;if(e>85){var u=e*e,c=u*e,f=c*e,l=f*e;return Math.sqrt(2*Math.PI/e)*Math.pow(e/Math.E,e)*(1+1/(12*e)+1/(288*u)-139/(51840*c)-571/(2488320*f)+163879/(209018880*l)+5246819/(75246796800*l*e))}--e,r=s[0];for(var m=1;m<s.length;++m)r+=s[m]/(e+m);return t=e+o+.5,Math.sqrt(2*Math.PI)*Math.pow(t,e+.5)*Math.exp(-t)*r},Complex:function(t){var r,n;if(0==t.im)return p(t.re);t=new e.Complex(t.re-1,t.im),n=new e.Complex(s[0],0);for(var i=1;i<s.length;++i){var a=t.re+i,u=a*a+t.im*t.im;0!=u?(n.re+=s[i]*a/u,n.im+=-(s[i]*t.im)/u):n.re=s[i]<0?-(1/0):1/0}r=new e.Complex(t.re+o+.5,t.im);var c=Math.sqrt(2*Math.PI);t.re+=.5;var m=l(r,t);0==m.im?m.re*=c:0==m.re?m.im*=c:(m.re*=c,m.im*=c);var h=Math.exp(-r.re);return r.re=h*Math.cos(-r.im),r.im=h*Math.sin(-r.im),f(f(m,r),n)},BigNumber:function(t){if(t.isInteger())return t.isNegative()||t.isZero()?new e.BigNumber(1/0):c(t.minus(1));if(!t.isFinite())return new e.BigNumber(t.isNegative()?NaN:1/0);throw new Error(\"Integer BigNumber expected\")},\"Array | Matrix\":function(e){return i(e,p)}});return p.toTex=\"\\\\Gamma\\\\left(${args[0]}\\\\right)\",p}var i=r(19),a=r(6).isInteger,o=4.7421875,s=[.9999999999999971,57.15623566586292,-59.59796035547549,14.136097974741746,-.4919138160976202,3399464998481189e-20,4652362892704858e-20,-9837447530487956e-20,.0001580887032249125,-.00021026444172410488,.00021743961811521265,-.0001643181065367639,8441822398385275e-20,-26190838401581408e-21,36899182659531625e-22];t.name=\"gamma\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"combinations\",{\"number, number\":function(e,t){var r,n,i;if(!a(e)||0>e)throw new TypeError(\"Positive integer value expected in function combinations\");if(!a(t)||0>t)throw new TypeError(\"Positive integer value expected in function combinations\");if(t>e)throw new TypeError(\"k must be less than or equal to n\");for(r=Math.max(t,e-t),n=1,i=1;e-r>=i;i++)n=n*(r+i)/i;return n},\"BigNumber, BigNumber\":function(t,r){var n,a,o,s,u=new e.BigNumber(1);if(!i(t)||!i(r))throw new TypeError(\"Positive integer value expected in function combinations\");if(r.gt(t))throw new TypeError(\"k must be less than n in function combinations\");for(n=t.minus(r),r.lt(n)&&(n=r),a=u,o=u,s=t.minus(n);o.lte(s);o=o.plus(1))a=a.times(n.plus(o)).dividedBy(o);\nreturn a}});return o.toTex=\"\\\\binom{${args[0]}}{${args[1]}}\",o}function i(e){return e.isInteger()&&e.gte(0)}var a=r(6).isInteger;t.name=\"combinations\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"isNegative\",{number:function(e){return 0>e},BigNumber:function(e){return e.isNeg()&&!e.isZero()&&!e.isNaN()},Fraction:function(e){return e.s<0&&e.n>0},Unit:function(e){return e.value<0},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);r(6);t.name=\"isNegative\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"isInteger\",{number:a.isInteger,BigNumber:function(e){return e.isInt()},Fraction:function(e){return 1===e.d&&isFinite(e.n)},\"Array | Matrix\":function(e){return i(e,o)}});return o}var i=r(19),a=r(6);t.name=\"isInteger\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(394)),o=n(r(52)),s=n(r(358)),u=n(r(396)),c=n(r(63)),f=i(\"composition\",{\"number | BigNumber, number | BigNumber\":function(e,t){if(!(u(e)&&s(e)&&u(t)&&s(t)))throw new TypeError(\"Positive integer value expected in function composition\");if(c(t,e))throw new TypeError(\"k must be less than or equal to n in function composition\");return a(o(e,-1),o(t,-1))}});return f.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",f}t.name=\"composition\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(50)),o=n(r(294)),s=n(r(296)),u=n(r(394)),c=n(r(395)),f=n(r(396)),l=i(\"catalan\",{\"number | BigNumber\":function(e){if(!f(e)||c(e))throw new TypeError(\"Non-negative integer value expected in function catalan\");return o(u(s(e,2),e),a(e,1))}});return l.toTex=\"\\\\mathrm{C}_{${args[0]}}\",l}t.name=\"catalan\",t.factory=n},function(e,t,r){e.exports=[r(400),r(401),r(402),r(403)]},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"arg\",{number:function(e){return Math.atan2(0,e)},Complex:function(e){return Math.atan2(e.im,e.re)},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex=\"\\\\arg\\\\left(${args[0]}\\\\right)\",a}var i=r(19);t.name=\"arg\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"conj\",{number:function(e){return e},BigNumber:function(e){return e},Complex:function(t){return new e.Complex(t.re,-t.im)},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex=\"\\\\left(${args[0]}\\\\right)^*\",a}var i=r(19);t.name=\"conj\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"im\",{number:function(e){return 0},BigNumber:function(t){return new e.BigNumber(0)},Complex:function(e){return e.im},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex=\"\\\\Im\\\\left\\\\lbrace${args[0]}\\\\right\\\\rbrace\",a}var i=r(19);t.name=\"im\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"re\",{number:function(e){return e},BigNumber:function(e){return e},Complex:function(e){return e.re},\"Array | Matrix\":function(e){return i(e,a)}});return a.toTex=\"\\\\Re\\\\left\\\\lbrace${args[0]}\\\\right\\\\rbrace\",a}var i=r(19);t.name=\"re\",t.factory=n},function(e,t,r){e.exports=[r(405),r(406)]},function(e,t,r){\"use strict\";function n(e,t,n,f){var l=n(r(51)),p=f(\"intersect\",{\"Array, Array, Array\":function(e,t,r){if(!a(e))throw new TypeError(\"Array with 3 numbers expected for first argument\");if(!a(t))throw new TypeError(\"Array with 3 numbers expected for second argument\");if(!o(r))throw new TypeError(\"Array with 4 numbers expected as third argument\");return c(e[0],e[1],e[2],t[0],t[1],t[2],r[0],r[1],r[2],r[3])},\"Array, Array, Array, Array\":function(e,t,r,n){if(2===e.length){if(!i(e))throw new TypeError(\"Array with 2 numbers expected for first argument\");if(!i(t))throw new TypeError(\"Array with 2 numbers expected for second argument\");if(!i(r))throw new TypeError(\"Array with 2 numbers expected for third argument\");if(!i(n))throw new TypeError(\"Array with 2 numbers expected for fourth argument\");return s(e[0],e[1],t[0],t[1],r[0],r[1],n[0],n[1])}if(3===e.length){if(!a(e))throw new TypeError(\"Array with 3 numbers expected for first argument\");if(!a(t))throw new TypeError(\"Array with 3 numbers expected for second argument\");if(!a(r))throw new TypeError(\"Array with 3 numbers expected for third argument\");if(!a(n))throw new TypeError(\"Array with 3 numbers expected for fourth argument\");return u(e[0],e[1],e[2],t[0],t[1],t[2],r[0],r[1],r[2],n[0],n[1],n[2])}throw new TypeError(\"Arrays with two or thee dimensional points expected\")},\"Matrix, Matrix, Matrix\":function(e,t,r){return l(p(e.valueOf(),t.valueOf(),r.valueOf()))},\"Matrix, Matrix, Matrix, Matrix\":function(e,t,r,n){return l(p(e.valueOf(),t.valueOf(),r.valueOf(),n.valueOf()))}});return p}function i(e){return 2===e.length&&\"number\"==typeof e[0]&&\"number\"==typeof e[1]}function a(e){return 3===e.length&&\"number\"==typeof e[0]&&\"number\"==typeof e[1]&&\"number\"==typeof e[2]}function o(e){return 4===e.length&&\"number\"==typeof e[0]&&\"number\"==typeof e[1]&&\"number\"==typeof e[2]&&\"number\"==typeof e[3]}function s(e,t,r,n,i,a,o,s){var u=(e-i)*(o-i)+(t-a)*(s-a),c=(o-i)*(r-e)+(s-a)*(n-t),f=(e-i)*(r-e)+(t-a)*(n-t),l=(o-i)*(o-i)+(s-a)*(s-a),p=(r-e)*(r-e)+(n-t)*(n-t),m=(u*c-f*l)/(p*l-c*c),h=(u+m*c)/l,g=e+m*(r-e),v=t+m*(n-t),d=i+h*(o-i),y=a+h*(s-a);return g===d&&v===y?[g,v]:null}function u(e,t,r,n,i,a,o,s,u,c,f,l){var p=(e-o)*(c-o)+(t-s)*(f-s)+(r-u)*(l-u),m=(c-o)*(n-e)+(f-s)*(i-t)+(l-u)*(a-r),h=(e-o)*(n-e)+(t-s)*(i-t)+(r-u)*(a-r),g=(c-o)*(c-o)+(f-s)*(f-s)+(l-u)*(l-u),v=(n-e)*(n-e)+(i-t)*(i-t)+(a-r)*(a-r),d=(p*m-h*g)/(v*g-m*m),y=(p+d*m)/g,x=e+d*(n-e),w=t+d*(i-t),b=r+d*(a-r),N=o+y*(c-o),E=s+y*(f-s),M=u+y*(l-u);return x===N&&w===E&&b===M?[x,w,b]:null}function c(e,t,r,n,i,a,o,s,u,c){var f=(c-e*o-t*s-r*u)/(n*o+i*s+a*u-e-t-r),l=e+f*(n-e),p=t+f*(i-t),m=r+f*(a-r);return[l,p,m]}t.name=\"intersect\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){var h=(n(r(51)),s(\"distance\",{\"Array, Array, Array\":function(e,t,r){if(2==e.length&&2==t.length&&2==r.length){if(!i(e))throw new TypeError(\"Array with 2 numbers expected for first argument\");if(!i(t))throw new TypeError(\"Array with 2 numbers expected for second argument\");if(!i(r))throw new TypeError(\"Array with 2 numbers expected for third argument\");var n=(r[1]-r[0])/(t[1]-t[0]),a=n*n*t[0],o=-1*(n*t[0]),s=e[1];return c(e[0],e[1],a,o,s)}throw new TypeError(\"Invalid Arguments: Try again\")},\"Object, Object, Object\":function(e,t,r){if(2==Object.keys(e).length&&2==Object.keys(t).length&&2==Object.keys(r).length){if(!i(e))throw new TypeError(\"Values of pointX and pointY should be numbers\");if(!i(t))throw new TypeError(\"Values of lineOnePtX and lineOnePtY should be numbers\");if(!i(r))throw new TypeError(\"Values of lineTwoPtX and lineTwoPtY should be numbers\");if(e.hasOwnProperty(\"pointX\")&&e.hasOwnProperty(\"pointY\")&&t.hasOwnProperty(\"lineOnePtX\")&&t.hasOwnProperty(\"lineOnePtY\")&&r.hasOwnProperty(\"lineTwoPtX\")&&r.hasOwnProperty(\"lineTwoPtY\")){var n=(r.lineTwoPtY-r.lineTwoPtX)/(t.lineOnePtY-t.lineOnePtX),a=n*n*t.lineOnePtX,o=-1*(n*t.lineOnePtX),s=e.pointX;return c(e.pointX,e.pointY,a,o,s)}throw new TypeError(\"Key names do not match\")}throw new TypeError(\"Invalid Arguments: Try again\")},\"Array, Array\":function(e,t){if(2==e.length&&3==t.length){if(!i(e))throw new TypeError(\"Array with 2 numbers expected for first argument\");if(!a(t))throw new TypeError(\"Array with 3 numbers expected for second argument\");return c(e[0],e[1],t[0],t[1],t[2])}if(3==e.length&&6==t.length){if(!a(e))throw new TypeError(\"Array with 3 numbers expected for first argument\");if(!o(t))throw new TypeError(\"Array with 6 numbers expected for second argument\");return f(e[0],e[1],e[2],t[0],t[1],t[2],t[3],t[4],t[5])}if(2==e.length&&2==t.length){if(!i(e))throw new TypeError(\"Array with 2 numbers expected for first argument\");if(!i(t))throw new TypeError(\"Array with 2 numbers expected for second argument\");return l(e[0],e[1],t[0],t[1])}if(3==e.length&&3==t.length){if(!a(e))throw new TypeError(\"Array with 3 numbers expected for first argument\");if(!a(t))throw new TypeError(\"Array with 3 numbers expected for second argument\");return p(e[0],e[1],e[2],t[0],t[1],t[2])}throw new TypeError(\"Invalid Arguments: Try again\")},\"Object, Object\":function(e,t){if(2==Object.keys(e).length&&3==Object.keys(t).length){if(!i(e))throw new TypeError(\"Values of pointX and pointY should be numbers\");if(!a(t))throw new TypeError(\"Values of xCoeffLine, yCoeffLine and constant should be numbers\");if(e.hasOwnProperty(\"pointX\")&&e.hasOwnProperty(\"pointY\")&&t.hasOwnProperty(\"xCoeffLine\")&&t.hasOwnProperty(\"yCoeffLine\")&&t.hasOwnProperty(\"yCoeffLine\"))return c(e.pointX,e.pointY,t.xCoeffLine,t.yCoeffLine,t.constant);throw new TypeError(\"Key names do not match\")}if(3==Object.keys(e).length&&6==Object.keys(t).length){if(!a(e))throw new TypeError(\"Values of pointX, pointY and pointZ should be numbers\");if(!o(t))throw new TypeError(\"Values of x0, y0, z0, a, b and c should be numbers\");if(e.hasOwnProperty(\"pointX\")&&e.hasOwnProperty(\"pointY\")&&t.hasOwnProperty(\"x0\")&&t.hasOwnProperty(\"y0\")&&t.hasOwnProperty(\"z0\")&&t.hasOwnProperty(\"a\")&&t.hasOwnProperty(\"b\")&&t.hasOwnProperty(\"c\"))return f(e.pointX,e.pointY,e.pointZ,t.x0,t.y0,t.z0,t.a,t.b,t.c);throw new TypeError(\"Key names do not match\")}if(2==Object.keys(e).length&&2==Object.keys(t).length){if(!i(e))throw new TypeError(\"Values of pointOneX and pointOneY should be numbers\");if(!i(t))throw new TypeError(\"Values of pointTwoX and pointTwoY should be numbers\");if(e.hasOwnProperty(\"pointOneX\")&&e.hasOwnProperty(\"pointOneY\")&&t.hasOwnProperty(\"pointTwoX\")&&t.hasOwnProperty(\"pointTwoY\"))return l(e.pointOneX,e.pointOneY,t.pointTwoX,t.pointTwoY);throw new TypeError(\"Key names do not match\")}if(3==Object.keys(e).length&&3==Object.keys(t).length){if(!a(e))throw new TypeError(\"Values of pointOneX, pointOneY and pointOneZ should be numbers\");if(!a(t))throw new TypeError(\"Values of pointTwoX, pointTwoY and pointTwoZ should be numbers\");if(e.hasOwnProperty(\"pointOneX\")&&e.hasOwnProperty(\"pointOneY\")&&e.hasOwnProperty(\"pointOneZ\")&&t.hasOwnProperty(\"pointTwoX\")&&t.hasOwnProperty(\"pointTwoY\")&&t.hasOwnProperty(\"pointTwoZ\"))return p(e.pointOneX,e.pointOneY,e.pointOneZ,t.pointTwoX,t.pointTwoY,t.pointTwoZ);throw new TypeError(\"Key names do not match\")}throw new TypeError(\"Invalid Arguments: Try again\")},Array:function(e){if(!u(e))throw new TypeError(\"Incorrect array format entered for pairwise distance calculation\");return m(e)}}));return h}function i(e){return e.constructor!==Array&&(e=s(e)),\"number\"==typeof e[0]&&\"number\"==typeof e[1]}function a(e){return e.constructor!==Array&&(e=s(e)),\"number\"==typeof e[0]&&\"number\"==typeof e[1]&&\"number\"==typeof e[2]}function o(e){return e.constructor!==Array&&(e=s(e)),\"number\"==typeof e[0]&&\"number\"==typeof e[1]&&\"number\"==typeof e[2]&&\"number\"==typeof e[3]&&\"number\"==typeof e[4]&&\"number\"==typeof e[5]}function s(e){for(var t=Object.keys(e),r=[],n=0;n<t.length;n++)r.push(e[t[n]]);return r}function u(e){if(2==e[0].length&&\"number\"==typeof e[0][0]&&\"number\"==typeof e[0][1]){for(var t in e)if(2!=e[t].length||\"number\"!=typeof e[t][0]||\"number\"!=typeof e[t][1])return!1}else{if(3!=e[0].length||\"number\"!=typeof e[0][0]||\"number\"!=typeof e[0][1]||\"number\"!=typeof e[0][2])return!1;for(var t in e)if(3!=e[t].length||\"number\"!=typeof e[t][0]||\"number\"!=typeof e[t][1]||\"number\"!=typeof e[t][2])return!1}return!0}function c(e,t,r,n,i){var a=Math.abs(r*e+n*t+i),o=Math.pow(r*r+n*n,.5),s=a/o;return s}function f(e,t,r,n,i,a,o,s,u){var c=[(i-t)*u-(a-r)*s,(a-r)*o-(n-e)*u,(n-e)*s-(i-t)*o];c=Math.pow(c[0]*c[0]+c[1]*c[1]+c[2]*c[2],.5);var f=Math.pow(o*o+s*s+u*u,.5),l=c/f;return l}function l(e,t,r,n){var i=n-t,a=r-e,o=i*i+a*a,s=Math.pow(o,.5);return s}function p(e,t,r,n,i,a){var o=a-r,s=i-t,u=n-e,c=o*o+s*s+u*u,f=Math.pow(c,.5);return f}function m(e){for(var t=[],r=0;r<e.length-1;r++)for(var n=r+1;n<e.length;n++)2==e[0].length?t.push(l(e[r][0],e[r][1],e[n][0],e[n][1])):3==e[0].length&&t.push(p(e[r][0],e[r][1],e[r][2],e[n][0],e[n][1],e[n][2]));return t}t.name=\"distance\",t.factory=n},function(e,t,r){e.exports=[r(408),r(409),r(410),r(411)]},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=r(30),o=n(r(51)),s=n(r(368)),u=n(r(409)),c=n(r(347)),f=n(r(360)),l=n(r(298)),p=n(r(56)),m=n(r(57)),h=i(\"and\",{\"number, number\":function(e,t){return!(!e||!t)},\"Complex, Complex\":function(e,t){return!(0===e.re&&0===e.im||0===t.re&&0===t.im)},\"BigNumber, BigNumber\":function(e,t){return!(e.isZero()||t.isZero()||e.isNaN()||t.isNaN())},\"Unit, Unit\":function(e,t){return 0!==e.value&&null!==e.value&&0!==t.value&&null!==t.value},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=f(e,t,h,!1);break;default:r=c(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=p(e,t,h)}}return r},\"Array, Array\":function(e,t){return h(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(o(e),t)},\"Matrix, Array\":function(e,t){return h(e,o(t))},\"Matrix, any\":function(e,t){if(u(t))return s(e.size(),e.storage());var r;switch(e.storage()){case\"sparse\":r=l(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){if(u(e))return s(e.size(),e.storage());var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, any\":function(e,t){return h(o(e),t).valueOf()},\"any, Array\":function(e,t){return h(e,o(t)).valueOf()}});return h.toTex=\"\\\\left(${args[0]}\"+a.operators.and+\"${args[1]}\\\\right)\",h}t.name=\"and\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=r(30),s=a(\"not\",{number:function(e){return!e},Complex:function(e){return 0===e.re&&0===e.im},BigNumber:function(e){return e.isZero()||e.isNaN()},Unit:function(e){return null===e.value||0==e.value},\"Array | Matrix\":function(e){return i(e,s)}});return s.toTex=o.operators.not+\"\\\\left(${args[0]}\\\\right)\",s}var i=r(19);t.name=\"not\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=r(30),o=n(r(51)),s=n(r(60)),u=n(r(303)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=i(\"or\",{\"number, number\":function(e,t){return!(!e&&!t)},\"Complex, Complex\":function(e,t){return 0!==e.re||0!==e.im||0!==t.re||0!==t.im},\"BigNumber, BigNumber\":function(e,t){return!e.isZero()&&!e.isNaN()||!t.isZero()&&!t.isNaN()},\"Unit, Unit\":function(e,t){return 0!==e.value&&null!==e.value||0!==t.value&&null!==t.value},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,p);break;default:r=s(t,e,p,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,p,!1);break;default:r=f(e,t,p)}}return r},\"Array, Array\":function(e,t){return p(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return p(o(e),t)},\"Matrix, Array\":function(e,t){return p(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,p,!1);break;default:r=l(e,t,p,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,p,!0);break;default:r=l(t,e,p,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,p,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,p,!0).valueOf()}});return p.toTex=\"\\\\left(${args[0]}\"+a.operators.or+\"${args[1]}\\\\right)\",p}t.name=\"or\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=r(30),o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=i(\"xor\",{\"number, number\":function(e,t){return!!(!!e^!!t)},\"Complex, Complex\":function(e,t){return!!((0!==e.re||0!==e.im)^(0!==t.re||0!==t.im))},\"BigNumber, BigNumber\":function(e,t){return!!((!e.isZero()&&!e.isNaN())^(!t.isZero()&&!t.isNaN()))},\"Unit, Unit\":function(e,t){return!!((0!==e.value&&null!==e.value)^(0!==t.value&&null!==t.value))},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,p);break;default:r=s(t,e,p,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,p,!1);break;default:r=f(e,t,p)}}return r},\"Array, Array\":function(e,t){return p(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return p(o(e),t)},\"Matrix, Array\":function(e,t){return p(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,p,!1);break;default:r=l(e,t,p,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,p,!0);break;default:r=l(t,e,p,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,p,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,p,!0).valueOf()}});return p.toTex=\"\\\\left(${args[0]}\"+a.operators.xor+\"${args[1]}\\\\right)\",p}t.name=\"xor\",t.factory=n},function(e,t,r){e.exports=[r(279),r(413),r(301),r(414),r(415),r(304),r(416),r(299),r(417),r(308),r(418),r(419),r(420),r(310),r(365),r(322),r(368)]},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t){var r=i(e),n=i(t);if(1!=r.length||1!=n.length||3!=r[0]||3!=n[0])throw new RangeError(\"Vectors with length 3 expected (Size A = [\"+r.join(\", \")+\"], B = [\"+n.join(\", \")+\"])\");return[u(c(e[1],t[2]),c(e[2],t[1])),u(c(e[2],t[0]),c(e[0],t[2])),u(c(e[0],t[1]),c(e[1],t[0]))]}var s=n(r(51)),u=n(r(302)),c=n(r(296)),f=a(\"cross\",{\"Matrix, Matrix\":function(e,t){return s(o(e.toArray(),t.toArray()))},\"Matrix, Array\":function(e,t){return s(o(e.toArray(),t))},\"Array, Matrix\":function(e,t){return s(o(e,t.toArray()))},\"Array, Array\":o});return f.toTex=\"\\\\left(${args[0]}\\\\right)\\\\times\\\\left(${args[1]}\\\\right)\",f}var i=r(40).size;t.name=\"cross\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){function u(e,t,r,n){if(!o(t))throw new TypeError(\"Second parameter in function diag must be an integer\");var i=t>0?t:0,a=0>t?-t:0;switch(r.length){case 1:return c(e,t,n,r[0],a,i);case 2:return f(e,t,n,r,a,i)}throw new RangeError(\"Matrix for function diag must be 2 dimensional\")}function c(t,r,n,i,a,o){var s=[i+a,i+o],u=e.Matrix.storage(n||\"dense\"),c=u.diagonal(s,t,r);return null!==n?c:c.valueOf()}function f(e,t,r,n,i,o){if(e&&e.isMatrix===!0){var s=e.diagonal(t);return null!==r?r!==s.storage()?l(s,r):s:s.valueOf()}for(var u=Math.min(n[0]-i,n[1]-o),c=[],f=0;u>f;f++)c[f]=a(e[f+i][f+o]);return null!==r?l(c):c}var l=n(r(51)),p=s(\"diag\",{Array:function(e){return u(e,0,i.size(e),null)},\"Array, number\":function(e,t){return u(e,t,i.size(e),null)},\"Array, BigNumber\":function(e,t){return u(e,t.toNumber(),i.size(e),null)},\"Array, string\":function(e,t){return u(e,0,i.size(e),t)},\"Array, number, string\":function(e,t,r){return u(e,t,i.size(e),r)},\"Array, BigNumber, string\":function(e,t,r){return u(e,t.toNumber(),i.size(e),r)},Matrix:function(e){return u(e,0,e.size(),e.storage())},\"Matrix, number\":function(e,t){return u(e,t,e.size(),e.storage())},\"Matrix, BigNumber\":function(e,t){return u(e,t.toNumber(),e.size(),e.storage())},\"Matrix, string\":function(e,t){return u(e,0,e.size(),t)},\"Matrix, number, string\":function(e,t,r){return u(e,t,e.size(),r)},\"Matrix, BigNumber, string\":function(e,t,r){return u(e,t.toNumber(),e.size(),r)}});return p.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",p}var i=r(40),a=r(3).clone,o=r(6).isInteger;t.name=\"diag\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t){var r=i(e),n=i(t),a=r[0];if(1!==r.length||1!==n.length)throw new RangeError(\"Vector expected\");if(r[0]!=n[0])throw new RangeError(\"Vectors must have equal length (\"+r[0]+\" != \"+n[0]+\")\");if(0==a)throw new RangeError(\"Cannot calculate the dot product of empty vectors\");for(var o=0,c=0;a>c;c++)o=s(o,u(e[c],t[c]));return o}var s=n(r(50)),u=n(r(296)),c=a(\"dot\",{\"Matrix, Matrix\":function(e,t){return o(e.toArray(),t.toArray())},\"Matrix, Array\":function(e,t){return o(e.toArray(),t)},\"Array, Matrix\":function(e,t){return o(e,t.toArray())},\"Array, Array\":o});return c.toTex=\"\\\\left(${args[0]}\\\\cdot${args[1]}\\\\right)\",c}var i=r(40).size;t.name=\"dot\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(51)),u=o(\"flatten\",{Array:function(e){return a(i(e))},Matrix:function(e){var t=a(i(e.toArray()));return s(t)}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}var i=r(3).clone,a=r(40).flatten;t.name=\"flatten\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t,r){var n=u(t),i=n?new e.BigNumber(1):1;if(c(t),r){var o=f(r);return t.length>0?o.resize(t,i):o}var s=[];return t.length>0?a(s,t,i):s}function u(e){var t=!1;return e.forEach(function(e,r,n){e&&e.isBigNumber===!0&&(t=!0,n[r]=e.toNumber())}),t}function c(e){e.forEach(function(e){if(\"number\"!=typeof e||!i(e)||0>e)throw new Error(\"Parameters in function ones must be positive integers\")})}var f=n(r(51)),l=o(\"ones\",{\"\":function(){return\"array\"===t.matrix?s([]):s([],\"default\")},\"...number | BigNumber | string\":function(e){var r=e[e.length-1];if(\"string\"==typeof r){var n=e.pop();return s(e,n)}return\"array\"===t.matrix?s(e):s(e,\"default\")},Array:s,Matrix:function(e){var t=e.storage();return s(e.valueOf(),t)},\"Array | Matrix, string\":function(e,t){return s(e.valueOf(),t)}});return l.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",l}var i=r(6).isInteger,a=r(40).resize;t.name=\"ones\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,f){function l(e,t,r){if(void 0!==r){if(\"string\"!=typeof r||1!==r.length)throw new TypeError(\"Single character expected as defaultValue\")}else r=\" \";if(1!==t.length)throw new i(t.length,1);var n=t[0];if(\"number\"!=typeof n||!o(n))throw new TypeError(\"Invalid size, must contain positive integers (size: \"+s(t)+\")\");if(e.length>n)return e.substring(0,n);if(e.length<n){for(var a=e,u=0,c=n-e.length;c>u;u++)a+=r;return a}return e}var p=n(r(51)),m=function(e,r,n){if(2!=arguments.length&&3!=arguments.length)throw new a(\"resize\",arguments.length,2,3);if(r&&r.isMatrix===!0&&(r=r.valueOf()),r.length&&r[0]&&r[0].isBigNumber===!0&&(r=r.map(function(e){return e&&e.isBigNumber===!0?e.toNumber():e})),e&&e.isMatrix===!0)return e.resize(r,n,!0);if(\"string\"==typeof e)return l(e,r,n);var i=Array.isArray(e)?!1:\"array\"!==t.matrix;if(0==r.length){for(;Array.isArray(e);)e=e[0];return u(e)}Array.isArray(e)||(e=[e]),e=u(e);var o=c.resize(e,r,n);return i?p(o):o};return m.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",m}var i=r(42),a=r(11),o=r(6).isInteger,s=r(23).format,u=r(3).clone,c=r(40);t.name=\"resize\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=a(\"size\",{Matrix:function(e){return o(e.size())},Array:i.size,string:function(e){return\"array\"===t.matrix?[e.length]:o([e.length])},\"number | Complex | BigNumber | Unit | boolean | null\":function(e){return\"array\"===t.matrix?[]:o([])}});return s.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",s}var i=r(40);t.name=\"size\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(51)),u=o(\"squeeze\",{Array:function(e){return a.squeeze(i.clone(e))},Matrix:function(e){var t=a.squeeze(e.toArray());return Array.isArray(t)?s(t):t},any:function(e){return i.clone(e)}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}var i=r(3),a=r(40);t.name=\"squeeze\",t.factory=n},function(e,t,r){e.exports=[r(394),r(392),r(393),r(422),r(425),r(426),r(427),r(429),r(430)]},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){var r=t.size().length,n=e.size().length;if(r>1)throw new Error(\"first object must be one dimensional\");if(n>1)throw new Error(\"second object must be one dimensional\");if(r!==n)throw new Error(\"Length of two vectors must be equal\");var i=u(e);if(0===i)throw new Error(\"Sum of elements in first object must be non zero\");var a=u(t);if(0===a)throw new Error(\"Sum of elements in second object must be non zero\");var o=s(e,u(e)),m=s(t,u(t)),h=u(c(o,l(f(o,m))));return p(h)?h:Number.NaN}var o=n(r(51)),s=n(r(294)),u=n(r(423)),c=n(r(296)),f=n(r(346)),l=n(r(352)),p=n(r(424)),m=i(\"kldivergence\",{\"Array, Array\":function(e,t){return a(o(e),o(t))},\"Matrix, Array\":function(e,t){return a(e,o(t))},\"Array, Matrix\":function(e,t){return a(o(e),t)},\"Matrix, Matrix\":function(e,t){return a(e,t)}});return m}t.name=\"kldivergence\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(r){var n=void 0;if(i(r,function(e){n=void 0===n?e:s(n,e)}),void 0===n)switch(t.number){case\"number\":return 0;case\"bignumber\":return new e.BigNumber(0);case\"fraction\":return new e.Fraction(0);default:return 0}return n}var s=n(r(52)),u=a(\"sum\",{\"Array | Matrix\":function(e){return o(e)},\"Array | Matrix, number | BigNumber\":function(){throw new Error(\"sum(A, dim) is not yet supported\")},\"...\":function(){return o(arguments)}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}var i=r(290);t.name=\"sum\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"isNumeric\",{\"number | BigNumber | Fraction | boolean\":function(){return!0},\"Complex | Unit | string\":function(){return!1},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);r(6);t.name=\"isNumeric\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(50)),s=n(r(296)),u=n(r(294)),c=n(r(392)),f=n(r(396)),l=n(r(358));return a(\"multinomial\",{\"Array | Matrix\":function(e){var t=0,r=1;return i(e,function(e){if(!f(e)||!l(e))throw new TypeError(\"Positive integer value expected in function multinomial\");t=o(t,e),r=s(r,c(e))}),u(c(t),r)}})}var i=r(290);t.name=\"multinomial\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=n(r(392)),u=o(\"permutations\",{\"number | BigNumber\":s,\"number, number\":function(e,t){var r,n;if(!a(e)||0>e)throw new TypeError(\"Positive integer value expected in function permutations\");if(!a(t)||0>t)throw new TypeError(\"Positive integer value expected in function permutations\");if(t>e)throw new TypeError(\"second argument k must be less than or equal to first argument n\");for(r=1,n=e-t+1;e>=n;n++)r*=n;return r},\"BigNumber, BigNumber\":function(t,r){var n,a;if(!i(t)||!i(r))throw new TypeError(\"Positive integer value expected in function permutations\");if(r.gt(t))throw new TypeError(\"second argument k must be less than or equal to first argument n\");for(n=new e.BigNumber(1),a=t.minus(r).plus(1);a.lte(t);a=a.plus(1))n=n.times(a);return n}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}function i(e){return e.isInteger()&&e.gte(0)}var a=r(6).isInteger;t.name=\"permutations\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(428)),o=a(\"uniform\").pickRandom;return o.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",o}t.name=\"pickRandom\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e){if(!f.hasOwnProperty(e))throw new Error(\"Unknown distribution \"+e);var t=Array.prototype.slice.call(arguments,1),r=f[e].apply(this,t);return function(e){var t={random:function(e,t,n){var s,c,f;if(arguments.length>3)throw new i(\"random\",arguments.length,0,3);if(1===arguments.length?a(e)?s=e:f=e:2===arguments.length?a(e)?(s=e,f=t):(c=e,f=t):(s=e,c=t,f=n),void 0===f&&(f=1),void 0===c&&(c=0),void 0!==s){var l=o(s.valueOf(),c,f,r);return s&&s.isMatrix===!0?u(l):l}return r(c,f)},randomInt:function(e,t,r){var s,c,f;if(arguments.length>3||arguments.length<1)throw new i(\"randomInt\",arguments.length,1,3);if(1===arguments.length?a(e)?s=e:f=e:2===arguments.length?a(e)?(s=e,f=t):(c=e,f=t):(s=e,c=t,f=r),void 0===c&&(c=0),void 0!==s){var l=o(s.valueOf(),c,f,n);return s&&s.isMatrix===!0?u(l):l}return n(c,f)},pickRandom:function(e){if(1!==arguments.length)throw new i(\"pickRandom\",arguments.length,1);if(e&&e.isMatrix===!0)e=e.valueOf();else if(!Array.isArray(e))throw new TypeError(\"Unsupported type of value in function pickRandom\");if(c.size(e).length>1)throw new Error(\"Only one dimensional vectors supported\");return e[Math.floor(Math.random()*e.length)]}},r=function(t,r){return t+e()*(r-t)},n=function(t,r){return Math.floor(t+e()*(r-t))},o=function(e,t,r,n){var i,a,s=[];if(e=e.slice(0),e.length>1)for(a=0,i=e.shift();i>a;a++)s.push(o(e,t,r,n));else for(a=0,i=e.shift();i>a;a++)s.push(n(t,r));return s};return t}(r)}var u=n(r(51)),c=r(40),f={uniform:function(){return Math.random},normal:function(){return function(){for(var e,t,r=-1;0>r||r>1;)e=Math.random(),t=Math.random(),r=1/6*Math.pow(-2*Math.log(e),.5)*Math.cos(2*Math.PI*t)+.5;return r}}};return s.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",s}var i=r(11),a=r(288);t.name=\"distribution\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(428)),o=a(\"uniform\").random;return o.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",o}t.name=\"random\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(428)),o=a(\"uniform\").randomInt;return o.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",o}t.name=\"randomInt\",t.factory=n},function(e,t,r){e.exports=[r(432),r(433),r(434),r(63),r(329),r(59),r(435),r(436)]},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(303)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=a(\"compare\",{\"boolean, boolean\":function(e,t){return e===t?0:e>t?1:-1},\"number, number\":function(e,r){return e===r||i(e,r,t.epsilon)?0:e>r?1:-1},\"BigNumber, BigNumber\":function(t,r){return new e.BigNumber(t.cmp(r))},\"Fraction, Fraction\":function(t,r){return new e.Fraction(t.compare(r))},\"Complex, Complex\":function(){throw new TypeError(\"No ordering relation is defined for complex numbers\")},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value===r.value||i(e.value,r.value,t.epsilon)?0:e.value>r.value?1:-1},\"string, string\":function(e,t){return e===t?0:e>t?1:-1},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,p);break;default:r=s(t,e,p,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,p,!1);break;default:r=f(e,t,p)}}return r},\"Array, Array\":function(e,t){return p(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return p(o(e),t)},\"Matrix, Array\":function(e,t){return p(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,p,!1);break;default:r=l(e,t,p,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,p,!0);break;default:r=l(t,e,p,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,p,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,p,!0).valueOf()}});return p.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",p}var i=r(6).nearlyEqual;t.name=\"compare\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){if(Array.isArray(e)){if(Array.isArray(t)){var r=e.length;if(r!==t.length)return!1;for(var n=0;r>n;n++)if(!a(e[n],t[n]))return!1;return!0}return!1}return Array.isArray(t)?!1:o(e,t)}var o=n(r(434)),s=i(\"deepEqual\",{\"any, any\":function(e,t){return a(e.valueOf(),t.valueOf())}});return s.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",s}t.name=\"deepEqual\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=n(r(51)),o=n(r(48)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=i(\"equal\",{\"any, any\":function(e,t){return null===e?null===t:null===t?null===e:void 0===e?void 0===t:void 0===t?void 0===e:o(e,t)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,o);break;default:r=s(t,e,o,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,o,!1);break;default:r=f(e,t,o)}}return r},\"Array, Array\":function(e,t){return m(a(e),a(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(a(e),t)},\"Matrix, Array\":function(e,t){return m(e,a(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,o,!1);break;default:r=l(e,t,o,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,o,!0);break;default:r=l(t,e,o,!0)}return r},\"Array, any\":function(e,t){return l(a(e),t,o,!1).valueOf()},\"any, Array\":function(e,t){return l(a(t),e,o,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.equal+\"${args[1]}\\\\right)\",m}t.name=\"equal\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=a(\"smallerEq\",{\"boolean, boolean\":function(e,t){return t>=e},\"number, number\":function(e,r){return r>=e||i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return e.lte(t)},\"Fraction, Fraction\":function(e,t){return 1!==e.compare(t)},\"Complex, Complex\":function(){throw new TypeError(\"No ordering relation is defined for complex numbers\");\n},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return e.value<=r.value||i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return t>=e},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,m);break;default:r=s(t,e,m,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,m,!1);break;default:r=f(e,t,m)}}return r},\"Array, Array\":function(e,t){return m(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(o(e),t)},\"Matrix, Array\":function(e,t){return m(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,m,!1);break;default:r=l(e,t,m,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,m,!0);break;default:r=l(t,e,m,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,m,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,m,!0).valueOf()}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.smallerEq+\"${args[1]}\\\\right)\",m}var i=r(6).nearlyEqual;t.name=\"smallerEq\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(60)),u=n(r(61)),c=n(r(62)),f=n(r(56)),l=n(r(57)),p=r(30),m=a(\"unequal\",{\"any, any\":function(e,t){return null===e?null!==t:null===t?null!==e:void 0===e?void 0!==t:void 0===t?void 0!==e:h(e,t)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=u(e,t,h);break;default:r=s(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=s(e,t,h,!1);break;default:r=f(e,t,h)}}return r},\"Array, Array\":function(e,t){return m(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return m(o(e),t)},\"Matrix, Array\":function(e,t){return m(e,o(t))},\"Matrix, any\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=l(e,t,h,!1)}return r},\"any, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=c(t,e,h,!0);break;default:r=l(t,e,h,!0)}return r},\"Array, any\":function(e,t){return l(o(e),t,h,!1).valueOf()},\"any, Array\":function(e,t){return l(o(t),e,h,!0).valueOf()}}),h=a(\"_unequal\",{\"boolean, boolean\":function(e,t){return e!==t},\"number, number\":function(e,r){return!i(e,r,t.epsilon)},\"BigNumber, BigNumber\":function(e,t){return!e.eq(t)},\"Fraction, Fraction\":function(e,t){return 0!==e.compare(t)},\"Complex, Complex\":function(e,r){return!i(e.re,r.re,t.epsilon)||!i(e.im,r.im,t.epsilon)},\"Unit, Unit\":function(e,r){if(!e.equalBase(r))throw new Error(\"Cannot compare units with different base\");return!i(e.value,r.value,t.epsilon)},\"string, string\":function(e,t){return e!==t}});return m.toTex=\"\\\\left(${args[0]}\"+p.operators.unequal+\"${args[1]}\\\\right)\",m}var i=r(6).nearlyEqual;t.name=\"unequal\",t.factory=n},function(e,t,r){e.exports=[r(289),r(293),r(438),r(306),r(440),r(441),r(442),r(443),r(423),r(444)]},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){e=i(e.valueOf());var t=e.length;if(0==t)throw new Error(\"Cannot calculate median of an empty array\");if(t%2==0){for(var r=t/2-1,n=f(e,r+1),a=e[r],o=0;r>o;++o)c(e[o],a)>0&&(a=e[o]);return m(a,n)}var s=f(e,(t-1)/2);return p(s)}var s=n(r(52)),u=n(r(295)),c=n(r(432)),f=n(r(439)),l=a(\"median\",{\"Array | Matrix\":o,\"Array | Matrix, number | BigNumber\":function(e,t){throw new Error(\"median(A, dim) is not yet supported\")},\"...\":function(){return o(Array.prototype.slice.call(arguments))}}),p=a({\"number | BigNumber | Unit\":function(e){return e}}),m=a({\"number | BigNumber | Unit, number | BigNumber | Unit\":function(e,t){return u(s(e,t),2)}});return l.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",l}var i=r(40).flatten;t.name=\"median\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e,t){return-c(e,t)}function s(e,t,r){if(!i(t)||0>t)throw new Error(\"k must be a non-negative integer\");if(e&&e.isMatrix){var n=e.size();if(n.length>1)throw new Error(\"Only one dimensional matrices supported\");return u(e.valueOf(),t,r)}return Array.isArray(e)?u(e,t,r):void 0}function u(e,t,r){if(t>=e.length)throw new Error(\"k out of bounds\");for(var n=0,i=e.length-1;i>n;){for(var a=n,o=i,s=e[Math.floor(Math.random()*(i-n+1))+n];o>a;)if(r(e[a],s)>=0){var u=e[o];e[o]=e[a],e[a]=u,--o}else++a;r(e[a],s)>0&&--a,a>=t?i=a:n=a+1}return e[t]}var c=n(r(432));return a(\"partitionSelect\",{\"Array | Matrix, number\":function(e,t){return s(e,t,c)},\"Array | Matrix, number, string\":function(e,t,r){if(\"asc\"===r)return s(e,t,c);if(\"desc\"===r)return s(e,t,o);throw new Error('Compare string must be \"asc\" or \"desc\"')},\"Array | Matrix, number, function\":s})}var i=r(6).isInteger;t.name=\"partitionSelect\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){function a(e){e=i(e.valueOf());var t=e.length;if(0==t)throw new Error(\"Cannot calculate mode of an empty array\");var r={},n=[],a=0;for(var o in e)e[o]in r||(r[e[o]]=0),r[e[o]]++,r[e[o]]==a?n.push(e[o]):r[e[o]]>a&&(a=r[e[o]],n=[e[o]]);return n}var o=n(\"mode\",{\"Array | Matrix\":a,\"...\":function(){return a(Array.prototype.slice.call(arguments))}});return o}var i=r(40).flatten;t.name=\"mode\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){var t=void 0;if(i(e,function(e){t=void 0===t?e:s(t,e)}),void 0===t)throw new Error(\"Cannot calculate prod of an empty array\");return t}var s=n(r(297)),u=a(\"prod\",{\"Array | Matrix\":o,\"Array | Matrix, number | BigNumber\":function(e,t){throw new Error(\"prod(A, dim) is not yet supported\")},\"...\":function(){return o(arguments)}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}var i=r(290);t.name=\"prod\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,u){function c(t,r,n){var o,u,c;if(arguments.length<2||arguments.length>3)throw new SyntaxError(\"Function quantileSeq requires two or three parameters\");if(s(t)){if(n=n||!1,\"boolean\"==typeof n){if(u=t.valueOf(),a(r)){if(0>r)throw new Error(\"N/prob must be non-negative\");if(1>=r)return f(u,r,n);if(r>1){if(!i(r))throw new Error(\"N must be a positive integer\");var l=r+1;o=new Array(r);for(var p=0;r>p;)o[p]=f(u,++p/l,n);return o}}if(r&&r.isBigNumber){if(r.isNegative())throw new Error(\"N/prob must be non-negative\");if(c=r.constructor.ONE,r.lte(c))return f(u,r,n);if(r.gt(c)){if(!r.isInteger())throw new Error(\"N must be a positive integer\");var m=r.toNumber();if(m>4294967295)throw new Error(\"N must be less than or equal to 2^32-1, as that is the maximum length of an Array\");var l=new e.BigNumber(m+1);o=new Array(m);for(var p=0;m>p;)o[p]=f(u,new e.BigNumber(++p).div(l),n);return o}}if(Array.isArray(r)){o=new Array(r.length);for(var p=0;p<o.length;++p){var h=r[p];if(a(h)){if(0>h||h>1)throw new Error(\"Probability must be between 0 and 1, inclusive\")}else{if(!h||!h.isBigNumber)throw new TypeError(\"Unexpected type of argument in function quantileSeq\");if(c=h.constructor.ONE,h.isNegative()||h.gt(c))throw new Error(\"Probability must be between 0 and 1, inclusive\")}o[p]=f(u,h,n)}return o}throw new TypeError(\"Unexpected type of argument in function quantileSeq\")}throw new TypeError(\"Unexpected type of argument in function quantileSeq\")}throw new TypeError(\"Unexpected type of argument in function quantileSeq\")}function f(e,t,r){var n=o(e),i=n.length;if(0===i)throw new Error(\"Cannot calculate quantile of an empty sequence\");if(a(t)){var s=t*(i-1),u=s%1;if(0===u){var c=r?n[s]:m(n,s);return g(c),c}var f,v,d=Math.floor(s);if(r)f=n[d],v=n[d+1];else{v=m(n,d+1),f=n[d];for(var y=0;d>y;++y)h(n[y],f)>0&&(f=n[y])}return g(f),g(v),l(p(f,1-u),p(v,u))}var s=t.times(i-1);if(s.isInteger()){s=s.toNumber();var c=r?n[s]:m(n,s);return g(c),c}var f,v,d=s.floor(),u=s.minus(d),x=d.toNumber();if(r)f=n[x],v=n[x+1];else{v=m(n,x+1),f=n[x];for(var y=0;x>y;++y)h(n[y],f)>0&&(f=n[y])}g(f),g(v);var w=u.constructor.ONE;return l(p(f,w.minus(u)),p(v,u))}var l=n(r(50)),p=n(r(296)),m=n(r(439)),h=n(r(432)),g=u({\"number | BigNumber | Unit\":function(e){return e}});return c}var i=r(6).isInteger,a=r(6).isNumber,o=r(40).flatten,s=r(288);t.name=\"quantileSeq\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,i){function a(e,t){if(0==e.length)throw new SyntaxError(\"Function std requires one or more parameters (0 provided)\");return o(s.apply(null,arguments))}var o=n(r(357)),s=n(r(444)),u=i(\"std\",{\"Array | Matrix\":a,\"Array | Matrix, string\":a,\"...\":function(){return a(Array.prototype.slice.call(arguments))}});return u.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",u}t.name=\"std\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t,r){var n=0,i=0;if(0==t.length)throw new SyntaxError(\"Function var requires one or more parameters (0 provided)\");if(a(t,function(e){n=u(n,e),i++}),0===i)throw new Error(\"Cannot calculate var of an empty array\");var o=l(n,i);switch(n=0,a(t,function(e){var t=c(e,o);n=u(n,f(t,t))}),r){case\"uncorrected\":return l(n,i);case\"biased\":return l(n,i+1);case\"unbiased\":var s=n&&n.isBigNumber===!0?new e.BigNumber(0):0;return 1==i?s:l(n,i-1);default:throw new Error('Unknown normalization \"'+r+'\". Choose \"unbiased\" (default), \"uncorrected\", or \"biased\".')}}var u=n(r(52)),c=n(r(302)),f=n(r(297)),l=n(r(295)),p=o(\"variance\",{\"Array | Matrix\":function(e){return s(e,i)},\"Array | Matrix, string\":s,\"...\":function(){return s(arguments,i)}});return p.toTex=\"\\\\mathrm{Var}\\\\left(${args}\\\\right)\",p}var i=\"unbiased\",a=r(290);t.name=\"var\",t.factory=n},function(e,t,r){e.exports=[r(446),r(456),r(458),r(460),r(463),r(465),r(467),r(468),r(464),r(466),r(459),r(469),r(462),r(471),r(472),r(475),r(477),r(479),r(480),r(481),r(482),r(483),r(474),r(484),r(485)]},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t){var r=new e.Complex(t.im*t.im-t.re*t.re+1,-2*t.re*t.im),n=u(r),i=new e.Complex(n.re-t.im,n.im+t.re),a=c(i);return new e.Complex(1.5707963267948966-a.im,a.re)}var u=o.find(n(r(357)),[\"Complex\"]),c=o.find(n(r(352)),[\"Complex\"]),f=o(\"acos\",{number:function(r){return r>=-1&&1>=r||t.predictable?Math.acos(r):s(new e.Complex(r,0))},Complex:s,BigNumber:function(t){return a(t,e.BigNumber,!1)},\"Array | Matrix\":function(e){return i(e,f)}});return f.toTex=\"\\\\cos^{-1}\\\\left(${args[0]}\\\\right)\",f}var i=r(19),a=r(447);t.name=\"acos\",t.factory=n},function(e,t,r){var n=r(77).pi,i=r(448);e.exports=function(e,t,r){if(r){if(e.abs().lt(t.ONE))throw new Error(\"asec() only has non-complex values for |x| >= 1.\")}else if(e.abs().gt(t.ONE))throw new Error(\"acos() only has non-complex values for |x| <= 1.\");if(e.eq(-1))return n(t);var a=t.precision;t.config({precision:a+4}),r&&(e=t.ONE.div(e));var o=i(t.ONE.minus(e.times(e)).sqrt().div(e.plus(t.ONE)),t).times(2);return t.config({precision:a}),o.toDP(a-1)}},function(e,t,r){var n=r(77),i=r(449),a=r(78);e.exports=function(e,t,r){if(e.isNaN())return new t(NaN);if(!r&&e.isZero()||r&&!e.isFinite())return new t(0);var o=t.precision;if(!r&&!e.isFinite()||r&&e.isZero()){var s=n.pi(t.constructor({precision:o+2})).div(2).toDP(o-1);return s.constructor=t,s.s=e.s,s}t.config({precision:o+4}),r&&(e=t.ONE.div(e));var u=e.abs();if(u.lte(.875)){var c=a(e);return c.constructor=t,t.config({precision:o}),c.toDP(t.precision-1)}if(u.gte(1.143)){var s=n.pi(t.constructor({precision:o+4})).div(2),c=s.minus(a(t.ONE.div(u)));return c.s=e.s,c.constructor=t,t.config({precision:o}),c.toDP(t.precision-1)}return e=e.div(e.times(e).plus(1).sqrt()),t.config({precision:o}),i(e,t)}},function(e,t,r){var n=r(77).pi,i=r(450),a=r(451);e.exports=function o(e,t,r){if(e.isNaN())return new t(NaN);var s=t.precision,u=e.abs();if(r){if(u.lt(t.ONE))throw new Error(\"acsc() only has non-complex values for |x| >= 1.\");t.config({precision:s+2}),e=t.ONE.div(e),t.config({precision:s}),u=e.abs()}else if(u.gt(t.ONE))throw new Error(\"asin() only has non-complex values for |x| <= 1.\");if(u.gt(.8)){t.config({precision:s+4});var c=e.s,f=n(t.constructor({precision:s+4})).div(2);return e=f.minus(o(t.ONE.minus(e.times(e)).sqrt(),t)),e.s=c,e.constructor=t,t.config({precision:s}),e.toDP(s-1)}var l=u.gt(.58);l&&(t.config({precision:s+8}),e=e.div(new t(2).sqrt().times(t.ONE.minus(e.times(e)).sqrt().plus(t.ONE).sqrt())),t.config({precision:s}));var p=60>=s||e.dp()<=Math.log(s)&&e.lt(.05)?i(e,s):a(e,t);return l?p.times(2):p}},function(e,t){e.exports=function(e,t){var r=e.constructor;r.config({precision:t+Math.log(t)|4});for(var n=new r(1),i=e,a=NaN,o=e.times(e),s=e,u=new r(n),c=new r(n),f=new r(n),l=3;!i.equals(a);l+=2)s=s.times(o),u=u.times(f),c=c.times(f.plus(n)),a=i,f=new r(l),i=i.plus(s.times(u).div(f.times(c)));return r.config({precision:t}),i.toDP(t-1)}},function(e,t,r){var n=r(452),i=r(455);e.exports=function(e,t){var r=t.precision,a=-(r+4),o=r+8-e.e,s=25-e.e,u=Math.max(1.442695*Math.log(r+2)|5,5);t.config({precision:s});var c=0,f=new t(Math.asin(e.toNumber())+\"\");do{var l=n(f,t,1,!1),p=i(l);l.isZero()||(l.s=f.s);var m=l.minus(e).div(p);f=f.minus(m),s=Math.min(2*s,o),t.config({precision:s})}while(2*m.e>=a&&!m.isZero()&&++c<=u);if(c==u)throw new Error(\"asin() failed to converge to the requested accuracy.Try with a higher precision.\");return t.config({precision:r}),f.toDP(r-1)}},function(e,t,r){var n=r(453),i=r(454);e.exports=function(e,t,r,a){if(e.isNaN()||!e.isFinite())return new t(NaN);var o=t.precision,s=new t(e),u=s.isNegative();u&&(s.s=-s.s);var c=o+(0|Math.log(o))+3;if(t.config({precision:c}),s=n(s,t.constructor({precision:c}),r),s[0].constructor=t,s[1])return s=s[0],a&&s.isZero()&&(s=new t(1/0)),t.config({precision:o}),s;var f;if(s=s[0],r){f=i(s.div(3125),r),t.config({precision:Math.min(c,o+15)});for(var l=new t(5),p=new t(16),m=new t(20),h=0;5>h;++h){var g=f.times(f),v=g.times(f),d=v.times(g);f=p.times(d).minus(m.times(v)).plus(l.times(f))}u&&(f.s=-f.s)}else{var y,x;s.abs().lt(t.ONE)?(y=64,x=3):(y=256,x=4),f=i(s.div(y),r),t.config({precision:Math.min(c,o+8)});for(var w=new t(8);x>0;--x){var g=f.times(f),b=g.times(g);f=w.times(b.minus(g)).plus(t.ONE)}}return a&&(f=f.e<=-o?new t(1/0):t.ONE.div(f)),t.config({precision:o}),f.toDP(o-1)}},function(e,t,r){var n=r(77);e.exports=function(e,t,r){var i=n.pi(t.constructor({precision:t.precision+2})),a=n.tau(t);if(e.abs().lte(i.toDP(e.dp())))return[e,!1];if(e.dp()>0&&e.div(i.toDP(e.dp())).toNumber()%2==0)return[new t(1^r),!0];var o=e.mod(a);return e.dp()>0&&o.toDP(e.dp(),1).isZero()?[new t(1^r),!0]:(o.gt(i)&&(r?(o=o.minus(i),o.s=-o.s):o=a.minus(o)),o.constructor=e.constructor,[o,!1])}},function(e,t){e.exports=function(e,t){for(var r=e.constructor.ONE,n=e,i=NaN,a=e.times(e),o=t?n:n=r,s=r,u=!0,c=t;!n.equals(i);c+=2)o=o.times(a),s=s.times(c+1).times(c+2),i=n,u=!u,n=u?n.plus(o.div(s)):n.minus(o.div(s));return n}},function(e,t){e.exports=function(e){var t=e.constructor,r=t.precision;t.config({precision:r+2});var n=t.ONE.minus(e.times(e)).sqrt();return t.config({precision:r}),n.toDP(r-1)}},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(e){var t,r=u(e);return r.im<=0?(t=r.re,r.re=-r.im,r.im=t):(t=r.im,r.im=-r.re,r.re=t),r}var u=o.find(n(r(446)),[\"Complex\"]),c=o(\"acosh\",{number:function(r){return r>=1||t.predictable?Math.log(Math.sqrt(r*r-1)+r):-1>=r?new e.Complex(Math.log(Math.sqrt(r*r-1)-r),Math.PI):s(new e.Complex(r,0))},Complex:s,BigNumber:function(t){return a(t,e.BigNumber,!1,!1)},\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=\"\\\\cosh^{-1}\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(457);t.name=\"acosh\",t.factory=n},function(e,t){e.exports=function(e,t,r,n){if(e.isNaN())return new t(NaN);if(n&&e.isZero())return new t(1/0);if(!r)if(n){if(e.isNegative()||e.gt(t.ONE))throw new Error(\"asech() only has non-complex values for 0 <= x <= 1.\")}else if(e.lt(t.ONE))throw new Error(\"acosh() only has non-complex values for x >= 1.\");var i=t.precision;t.config({precision:i+4});var a=new t(e);a.constructor=t,n&&(a=t.ONE.div(a));var o=r?a.times(a).plus(t.ONE):a.times(a).minus(t.ONE),s=a.plus(o.sqrt()).ln();return t.config({precision:i}),new t(s.toPrecision(i))}},function(e,t,r){\"use strict\";function n(e,t,n,s){var u=s.find(n(r(459)),[\"Complex\"]),c=s(\"acot\",{number:function(e){return e?Math.atan(1/e):o},Complex:function(t){if(0==t.im)return new e.Complex(t.re?Math.atan(1/t.re):o,0);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re=t.re/r,t.im=-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),u(t)},BigNumber:function(t){return a(t,e.BigNumber,!0)},\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=\"\\\\cot^{-1}\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(448),o=1.5707963267948966;t.name=\"acot\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=o.find(n(r(352)),[\"Complex\"]),u=o(\"atan\",{number:function(e){return Math.atan(e)},Complex:function(t){if(0==t.re){if(1==t.im)return new e.Complex(0,1/0);if(-1==t.im)return new e.Complex(0,-(1/0))}var r=t.re,n=t.im,i=r*r+(1-n)*(1-n),a=new e.Complex((1-n*n-r*r)/i,-2*r/i),o=s(a);return new e.Complex(-.5*o.im,.5*o.re)},BigNumber:function(t){return a(t,e.BigNumber,!1)},\"Array | Matrix\":function(e){return i(e,u,!0)}});return u.toTex=\"\\\\tan^{-1}\\\\left(${args[0]}\\\\right)\",u}var i=r(19),a=r(448);t.name=\"atan\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){function u(t){if(0==t.re&&0==t.im)return new e.Complex(0,o);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re/r,-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),c(t)}var c=s.find(n(r(462)),[\"Complex\"]),f=s(\"acoth\",{number:function(r){return r>=1||-1>=r||t.predictable?isFinite(r)?(Math.log((r+1)/r)+Math.log(r/(r-1)))/2:0:0!==r?u(new e.Complex(r,0)):new e.Complex(0,o)},Complex:u,BigNumber:function(t){return a(t,e.BigNumber,!0)},\"Array | Matrix\":function(e){return i(e,f)}});return f.toTex=\"\\\\coth^{-1}\\\\left(${args[0]}\\\\right)\",f}var i=r(19),a=r(461),o=1.5707963267948966;t.name=\"acoth\",t.factory=n},function(e,t){e.exports=function(e,t,r){if(e.isNaN())return new t(NaN);var n=e.abs();if(n.eq(t.ONE))return new t(e.isNegative()?-(1/0):1/0);if(n.gt(t.ONE)){if(!r)throw new Error(\"atanh() only has non-complex values for |x| <= 1.\")}else if(r)throw new Error(\"acoth() has complex values for |x| < 1.\");if(e.isZero())return new t(0);var i=t.precision;t.config({precision:i+4});var a=new t(e);a.constructor=t,r&&(a=t.ONE.div(a));var o=t.ONE.plus(a).div(t.ONE.minus(a)).ln().div(2);return t.config({precision:i}),new t(o.toPrecision(i))}},function(e,t,r){\"use strict\";function n(e,t,r,n){function o(t){var r=t.re>1&&0==t.im,n=1-t.re,i=1+t.re,a=n*n+t.im*t.im;t=0!=a?new e.Complex((i*n-t.im*t.im)/a,(t.im*n+i*t.im)/a):new e.Complex(-1!=t.re?t.re/0:0,0!=t.im?t.im/0:0);var o=t.re;return t.re=Math.log(Math.sqrt(t.re*t.re+t.im*t.im))/2,t.im=Math.atan2(t.im,o)/2,r&&(t.im=-t.im),t}var s=n(\"atanh\",{number:function(r){return 1>=r&&r>=-1||t.predictable?Math.log((1+r)/(1-r))/2:o(new e.Complex(r,0))},Complex:o,BigNumber:function(t){return a(t,e.BigNumber,!1)},\"Array | Matrix\":function(e){return i(e,s,!0)}});return s.toTex=\"\\\\tanh^{-1}\\\\left(${args[0]}\\\\right)\",s}var i=r(19),a=r(461);t.name=\"atanh\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,s){function u(t){if(0==t.re&&0==t.im)return new e.Complex(o,1/0);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re=t.re/r,t.im=-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),c(t)}var c=s.find(n(r(464)),[\"Complex\"]),f=s(\"acsc\",{number:function(r){return-1>=r||r>=1||t.predictable?Math.asin(1/r):u(new e.Complex(r,0))},Complex:u,BigNumber:function(t){return a(t,e.BigNumber,!0)},\"Array | Matrix\":function(e){return i(e,f)}});return f.toTex=\"\\\\csc^{-1}\\\\left(${args[0]}\\\\right)\",f}var i=r(19),a=r(449),o=1.5707963267948966;t.name=\"acsc\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t){var r=t.re,n=t.im,i=new e.Complex(n*n-r*r+1,-2*r*n),a=u(i),o=new e.Complex(a.re-n,a.im+r),s=c(o);return new e.Complex(s.im,-s.re)}var u=o.find(n(r(357)),[\"Complex\"]),c=o.find(n(r(352)),[\"Complex\"]),f=o(\"asin\",{number:function(r){return r>=-1&&1>=r||t.predictable?Math.asin(r):s(new e.Complex(r,0))},Complex:s,BigNumber:function(t){return a(t,e.BigNumber,!1)},\"Array | Matrix\":function(e){return i(e,f,!0)}});return f.toTex=\"\\\\sin^{-1}\\\\left(${args[0]}\\\\right)\",f}var i=r(19),a=r(449);t.name=\"asin\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=o.find(n(r(466)),[\"Complex\"]),u=o(\"acsch\",{number:function(e){return e=1/e,Math.log(e+Math.sqrt(e*e+1))},Complex:function(t){if(0==t.im)return t=0!=t.re?Math.log(t.re+Math.sqrt(t.re*t.re+1)):1/0,new e.Complex(t,0);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re/r,-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),s(t)},BigNumber:function(t){return a(t,e.BigNumber,!0,!0)},\"Array | Matrix\":function(e){return i(e,u)}});return u.toTex=\"\\\\mathrm{csch}^{-1}\\\\left(${args[0]}\\\\right)\",u}var i=r(19),a=r(457);t.name=\"acsch\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=o.find(n(r(464)),[\"Complex\"]),u=o(\"asinh\",{number:function(e){return Math.log(Math.sqrt(e*e+1)+e)},Complex:function(e){var t=e.im;e.im=-e.re,e.re=t;var r=s(e);return e.re=-e.im,e.im=t,t=r.re,r.re=-r.im,r.im=t,r},BigNumber:function(t){return a(t,e.BigNumber,!0,!1)},\"Array | Matrix\":function(e){return i(e,u,!0)}});return u.toTex=\"\\\\sinh^{-1}\\\\left(${args[0]}\\\\right)\",u}var i=r(19),a=r(457);t.name=\"asinh\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t){if(0==t.re&&0==t.im)return new e.Complex(0,1/0);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re=t.re/r,t.im=-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),u(t)}var u=o.find(n(r(446)),[\"Complex\"]),c=o(\"asec\",{number:function(r){return-1>=r||r>=1||t.predictable?Math.acos(1/r):s(new e.Complex(r,0))},Complex:s,BigNumber:function(t){return a(t,e.BigNumber,!0)},\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=\"\\\\sec^{-1}\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(447);t.name=\"asec\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){function s(t){if(0==t.re&&0==t.im)return new e.Complex(1/0,0);var r=t.re*t.re+t.im*t.im;return t=0!=r?new e.Complex(t.re/r,-t.im/r):new e.Complex(0!=t.re?t.re/0:0,0!=t.im?-(t.im/0):0),u(t)}var u=o.find(n(r(456)),[\"Complex\"]),c=o(\"asech\",{number:function(r){if(1>=r&&r>=-1||t.predictable){r=1/r;var n=Math.sqrt(r*r-1);return r>0||t.predictable?Math.log(n+r):new e.Complex(Math.log(n-r),Math.PI)}return s(new e.Complex(r,0))},Complex:s,BigNumber:function(t){return a(t,e.BigNumber,!1,!0)},\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=\"\\\\mathrm{sech}^{-1}\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(457);t.name=\"asech\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){var o=n(r(51)),s=n(r(347)),u=n(r(60)),c=n(r(349)),f=n(r(298)),l=n(r(62)),p=n(r(56)),m=n(r(57)),h=a(\"atan2\",{\"number, number\":Math.atan2,\"BigNumber, BigNumber\":function(t,r){return i(t,r,e.BigNumber)},\"Matrix, Matrix\":function(e,t){var r;switch(e.storage()){case\"sparse\":switch(t.storage()){case\"sparse\":r=c(e,t,h,!1);break;default:r=s(t,e,h,!0)}break;default:switch(t.storage()){case\"sparse\":r=u(e,t,h,!1);break;default:r=p(e,t,h)}}return r},\"Array, Array\":function(e,t){return h(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return h(o(e),t)},\"Matrix, Array\":function(e,t){return h(e,o(t))},\"Matrix, number | BigNumber\":function(e,t){var r;switch(e.storage()){case\"sparse\":r=f(e,t,h,!1);break;default:r=m(e,t,h,!1)}return r},\"number | BigNumber, Matrix\":function(e,t){var r;switch(t.storage()){case\"sparse\":r=l(t,e,h,!0);break;default:r=m(t,e,h,!0)}return r},\"Array, number | BigNumber\":function(e,t){return m(o(e),t,h,!1).valueOf()},\"number | BigNumber, Array\":function(e,t){return m(o(t),e,h,!0).valueOf()}});return h.toTex=\"\\\\mathrm{atan2}\\\\left(${args}\\\\right)\",h}var i=r(470);t.name=\"atan2\",t.factory=n},function(e,t,r){var n=r(77),i=r(448);e.exports=function(e,t,r){var a=r.precision;if(t.isZero()){if(e.isZero())return new r(NaN);var o=n.pi(r.constructor({precision:a+2})).div(2).toDP(a-1);return o.constructor=r,o.s=e.s,o}r.config({precision:a+2});var s=i(e.div(t),r,!1);if(t.isNegative()){var u=n.pi(r);s=e.isNegative()?s.minus(u):s.plus(u)}return s.constructor=r,r.config({precision:a}),s.toDP(a-1)}},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=o.find(n(r(472)),[\"number\"]),u=o.find(n(r(474)),[\"number\"]),c=o(\"cos\",{number:Math.cos,Complex:function(t){return new e.Complex(Math.cos(t.re)*s(-t.im),Math.sin(t.re)*u(-t.im))},BigNumber:function(t){return a(t,e.BigNumber,0,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function cos is no angle\");return Math.cos(t.value)},\"Array | Matrix\":function(e){return i(e,c)}});return c.toTex=\"\\\\cos\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(452);t.name=\"cos\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"cosh\",{number:i,Complex:function(t){var r=Math.exp(t.re),n=Math.exp(-t.re);return new e.Complex(Math.cos(t.im)*(r+n)/2,Math.sin(t.im)*(r-n)/2)},BigNumber:function(t){return o(t,e.BigNumber,!1,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function cosh is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s)}});return s.toTex=\"\\\\cosh\\\\left(${args[0]}\\\\right)\",s}function i(e){return(Math.exp(e)+Math.exp(-e))/2}var a=r(19),o=r(473);t.name=\"cosh\",t.factory=n},function(e,t){e.exports=function(e,t,r,n){if(e.isNaN())return new t(NaN);if(!e.isFinite())return new t(n?0:r?e:1/0);var i=t.precision;t.config({precision:i+4});var a=new t(e);return a.constructor=t,a=a.exp(),a=r?a.minus(t.ONE.div(a)):a.plus(t.ONE.div(a)),a=n?new t(2).div(a):a.div(2),t.config({precision:i}),new t(a.toPrecision(i))}},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"sinh\",{number:i,Complex:function(t){var r=Math.cos(t.im),n=Math.sin(t.im),i=Math.exp(t.re),a=Math.exp(-t.re);return new e.Complex(r*(i-a)/2,n*(i+a)/2)},BigNumber:function(t){return o(t,e.BigNumber,!0,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function sinh is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s,!0)}});return s.toTex=\"\\\\sinh\\\\left(${args[0]}\\\\right)\",s}function i(e){return Math.abs(e)<1?e+e*e*e/6+e*e*e*e*e/120:(Math.exp(e)-Math.exp(-e))/2}var a=r(19),o=r(473);t.name=\"sinh\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"cot\",{number:function(e){return 1/Math.tan(e)},Complex:function(t){var r=Math.exp(-4*t.im)-2*Math.exp(-2*t.im)*Math.cos(2*t.re)+1;return new e.Complex(2*Math.exp(-2*t.im)*Math.sin(2*t.re)/r,(Math.exp(-4*t.im)-1)/r)},BigNumber:function(t){return a(t,e.BigNumber,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function cot is no angle\");return 1/Math.tan(t.value)},\"Array | Matrix\":function(e){return i(e,o)}});return o.toTex=\"\\\\cot\\\\left(${args[0]}\\\\right)\",o}var i=r(19),a=r(476);t.name=\"cot\",t.factory=n},function(e,t,r){var n=r(77),i=r(452),a=r(455),o=r(453);e.exports=function(e,t,r){if(e.isNaN())return new t(NaN);var s=t.precision,u=n.pi(t.constructor({precision:s+2})),c=u.div(2).toDP(s-1);u=u.toDP(s-1);var f=o(e,t,1)[0];if(f.abs().eq(u))return new t(1/0);t.config({precision:s+4});var l=i(f,t,1,!1),p=a(l);l=l.toDP(s),p=p.toDP(s),f.eq(e)?f.gt(c)&&(p.s=-p.s):u.minus(f.abs()).gt(c)&&(p.s=-p.s);var m=r?p.div(l):l.div(p);return t.config({precision:s}),new t(m.toPrecision(s))}},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"coth\",{number:i,Complex:function(t){var r=Math.exp(2*t.re),n=r*Math.cos(2*t.im),i=r*Math.sin(2*t.im),a=(n-1)*(n-1)+i*i;return new e.Complex(((n+1)*(n-1)+i*i)/a,-2*i/a)},BigNumber:function(t){return o(t,e.BigNumber,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function coth is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s)}});return s.toTex=\"\\\\coth\\\\left(${args[0]}\\\\right)\",s}function i(e){var t=Math.exp(2*e);return(t+1)/(t-1)}var a=r(19),o=r(478);t.name=\"coth\",t.factory=n},function(e,t){e.exports=function(e,t,r){if(e.isNaN())return new t(NaN);if(!e.isFinite())return new t(e.s);var n=t.precision;t.config({precision:n+4});var i=new t(e);i.constructor=t;var a=i.exp(),o=t.ONE.div(a),s=a.minus(o);return s=r?a.plus(o).div(s):s.div(a.plus(o)),t.config({precision:n}),s.toDP(n-1)}},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"csc\",{number:function(e){return 1/Math.sin(e)},Complex:function(t){var r=.25*(Math.exp(-2*t.im)+Math.exp(2*t.im))-.5*Math.cos(2*t.re);return new e.Complex(.5*Math.sin(t.re)*(Math.exp(-t.im)+Math.exp(t.im))/r,.5*Math.cos(t.re)*(Math.exp(-t.im)-Math.exp(t.im))/r)},BigNumber:function(t){return a(t,e.BigNumber,1,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function csc is no angle\");return 1/Math.sin(t.value)},\"Array | Matrix\":function(e){return i(e,o)}});return o.toTex=\"\\\\csc\\\\left(${args[0]}\\\\right)\",o}var i=r(19),a=r(452);t.name=\"csc\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"csch\",{number:i,Complex:function(t){var r=Math.exp(t.re),n=Math.exp(-t.re),i=Math.cos(t.im)*(r-n),a=Math.sin(t.im)*(r+n),o=i*i+a*a;return new e.Complex(2*i/o,-2*a/o)},BigNumber:function(t){return o(t,e.BigNumber,!0,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function csch is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s)}});return s.toTex=\"\\\\mathrm{csch}\\\\left(${args[0]}\\\\right)\",s}function i(e){return 0==e?Number.POSITIVE_INFINITY:Math.abs(2/(Math.exp(e)-Math.exp(-e)))*s(e)}var a=r(19),o=r(473),s=r(6).sign;t.name=\"csch\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"sec\",{number:function(e){return 1/Math.cos(e)},Complex:function(t){var r=.25*(Math.exp(-2*t.im)+Math.exp(2*t.im))+.5*Math.cos(2*t.re);return new e.Complex(.5*Math.cos(t.re)*(Math.exp(-t.im)+Math.exp(t.im))/r,.5*Math.sin(t.re)*(Math.exp(t.im)-Math.exp(-t.im))/r)},BigNumber:function(t){return a(t,e.BigNumber,0,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function sec is no angle\");return 1/Math.cos(t.value)},\"Array | Matrix\":function(e){return i(e,o)}});return o.toTex=\"\\\\sec\\\\left(${args[0]}\\\\right)\",o}var i=r(19),a=r(452);t.name=\"sec\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"sech\",{number:i,Complex:function(t){var r=Math.exp(t.re),n=Math.exp(-t.re),i=Math.cos(t.im)*(r+n),a=Math.sin(t.im)*(r-n),o=i*i+a*a;return new e.Complex(2*i/o,-2*a/o)},BigNumber:function(t){return o(t,e.BigNumber,!1,!0)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function sech is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s)}});return s.toTex=\"\\\\mathrm{sech}\\\\left(${args[0]}\\\\right)\",s}function i(e){return 2/(Math.exp(e)+Math.exp(-e))}var a=r(19),o=r(473);t.name=\"sech\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,o){var s=o.find(n(r(472)),[\"number\"]),u=o.find(n(r(474)),[\"number\"]),c=o(\"sin\",{number:Math.sin,Complex:function(t){return new e.Complex(Math.sin(t.re)*s(-t.im),Math.cos(t.re)*u(t.im))},BigNumber:function(t){return a(t,e.BigNumber,1,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function sin is no angle\");return Math.sin(t.value)},\"Array | Matrix\":function(e){return i(e,c,!0)}});return c.toTex=\"\\\\sin\\\\left(${args[0]}\\\\right)\",c}var i=r(19),a=r(452);t.name=\"sin\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var o=n(\"tan\",{number:Math.tan,Complex:function(t){var r=Math.exp(-4*t.im)+2*Math.exp(-2*t.im)*Math.cos(2*t.re)+1;return new e.Complex(2*Math.exp(-2*t.im)*Math.sin(2*t.re)/r,(1-Math.exp(-4*t.im))/r)},BigNumber:function(t){return a(t,e.BigNumber,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function tan is no angle\");return Math.tan(t.value)},\"Array | Matrix\":function(e){return i(e,o,!0)}});return o.toTex=\"\\\\tan\\\\left(${args[0]}\\\\right)\",o}var i=r(19),a=r(476);t.name=\"tan\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var s=n(\"tanh\",{number:i,Complex:function(t){var r=Math.exp(2*t.re),n=r*Math.cos(2*t.im),i=r*Math.sin(2*t.im),a=(n+1)*(n+1)+i*i;return new e.Complex(((n-1)*(n+1)+i*i)/a,2*i/a)},BigNumber:function(t){\nreturn o(t,e.BigNumber,!1)},Unit:function(t){if(!t.hasBase(e.Unit.BASE_UNITS.ANGLE))throw new TypeError(\"Unit in function tanh is no angle\");return i(t.value)},\"Array | Matrix\":function(e){return a(e,s,!0)}});return s.toTex=\"\\\\tanh\\\\left(${args[0]}\\\\right)\",s}function i(e){var t=Math.exp(2*e);return(t-1)/(t+1)}var a=r(19),o=r(478);t.name=\"tanh\",t.factory=n},function(e,t,r){e.exports=[r(487)]},function(e,t,r){\"use strict\";function n(e,t,n,i){var a=r(30),o=n(r(51)),s=n(r(56)),u=n(r(57)),c=i(\"to\",{\"Unit, Unit | string\":function(e,t){return e.to(t)},\"Matrix, Matrix\":function(e,t){return s(e,t,c)},\"Array, Array\":function(e,t){return c(o(e),o(t)).valueOf()},\"Array, Matrix\":function(e,t){return c(o(e),t)},\"Matrix, Array\":function(e,t){return c(e,o(t))},\"Matrix, any\":function(e,t){return u(e,t,c,!1)},\"any, Matrix\":function(e,t){return u(t,e,c,!0)},\"Array, any\":function(e,t){return u(o(e),t,c,!1).valueOf()},\"any, Array\":function(e,t){return u(o(t),e,c,!0).valueOf()}});return c.toTex=\"\\\\left(${args[0]}\"+a.operators.to+\"${args[1]}\\\\right)\",c}t.name=\"to\",t.factory=n},function(e,t,r){e.exports=[r(489),r(281),r(490),r(396),r(395),r(424),r(358),r(491),r(286),r(439),r(492),r(493),r(494),r(283)]},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"clone\",{any:i.clone});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}var i=r(3);t.name=\"clone\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"format\",{any:i.format,\"any, Object | function | number\":i.format});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}var i=r(23);t.name=\"format\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"isZero\",{number:function(e){return 0===e},BigNumber:function(e){return e.isZero()},Complex:function(e){return 0===e.re&&0===e.im},Fraction:function(e){return 1===e.d&&0===e.n},Unit:function(e){return 0===e.value},\"Array | Matrix\":function(e){return i(e,a)}});return a}var i=r(19);r(6);t.name=\"isZero\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"print\",{\"string, Object\":i,\"string, Object, number\":i});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}function i(e,t,r){return e.replace(/\\$([\\w\\.]+)/g,function(e,n){for(var i=n.split(\".\"),s=t[i.shift()];i.length&&void 0!==s;){var u=i.shift();s=u?s[u]:s+\".\"}return void 0!==s?a(s)?s:o(s,r):e})}var a=r(23).isString,o=r(23).format;t.name=\"print\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,n,a){function o(e){if(\"asc\"===e)return f;if(\"desc\"===e)return l;throw new Error('String \"asc\" or \"desc\" expected')}function s(e){if(1!==i(e).length)throw new Error(\"One dimensional array expected\")}function u(e){if(1!==e.size().length)throw new Error(\"One dimensional matrix expected\")}var c=n(r(51)),f=n(r(432)),l=function(e,t){return-f(e,t)},p=a(\"sort\",{Array:function(e){return s(e),e.sort(f)},Matrix:function(e){return u(e),c(e.toArray().sort(f),e.storage())},\"Array, function\":function(e,t){return s(e),e.sort(t)},\"Matrix, function\":function(e,t){return u(e),c(e.toArray().sort(t),e.storage())},\"Array, string\":function(e,t){return s(e),e.sort(o(t))},\"Matrix, string\":function(e,t){return u(e),c(e.toArray().sort(o(t)),e.storage())}});return p.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",p}var i=r(40).size;t.name=\"sort\",t.factory=n},function(e,t,r){\"use strict\";function n(e,t,r,n){var a=n(\"_typeof\",{any:function(e){var t=i.type(e);if(\"Object\"===t){if(e.isBigNumber===!0)return\"BigNumber\";if(e.isComplex===!0)return\"Complex\";if(e.isFraction===!0)return\"Fraction\";if(e.isMatrix===!0)return\"Matrix\";if(e.isUnit===!0)return\"Unit\";if(e.isIndex===!0)return\"Index\";if(e.isRange===!0)return\"Range\";if(e.isChain===!0)return\"Chain\";if(e.isHelp===!0)return\"Help\"}return t}});return a.toTex=\"\\\\mathrm{${name}}\\\\left(${args}\\\\right)\",a}var i=r(41);t.name=\"typeof\",t.factory=n},function(e,t,r){e.exports=[r(496)]},function(e,t){\"use strict\";function r(e,t,r,n){return function(t,r){var n=e[r&&r.mathjs];return n&&\"function\"==typeof n.fromJSON?n.fromJSON(r):r}}t.name=\"reviver\",t.path=\"json\",t.factory=r},function(e,t,r){\"use strict\";var n=r(11),i=r(42),a=r(43);e.exports=[{name:\"ArgumentsError\",path:\"error\",factory:function(){return n}},{name:\"DimensionError\",path:\"error\",factory:function(){return i}},{name:\"IndexError\",path:\"error\",factory:function(){return a}}]}])});\n//# sourceMappingURL=math.map\n",
"title": "$:/plugins/mklauber/math.js/math.js",
"type": "application/javascript",
"module-type": "library"
}
}
}
/*\
title: $:/plugins/mklauber/math.js/calc.js
type: application/javascript
module-type: widget
Text node widget
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
var math = require("$:/plugins/mklauber/math.js/math.js");
var Widget = require("$:/core/modules/widgets/widget.js").widget;
var CalcWidget = function(parseTreeNode,options) {
this.initialise(parseTreeNode,options);
};
/*
Inherit from the base widget class
*/
CalcWidget.prototype = new Widget();
/*
Render this widget into the DOM
*/
CalcWidget.prototype.render = function(parent,nextSibling) {
this.parentDomNode = parent;
this.computeAttributes();
this.execute();
this.expression = this.document.createElement("div");
this.renderChildren(this.expression);
var text = "";
try {
text = math.eval(this.expression.textContent.trim());
} catch(err) {
if(!this.silence){
text = "Unable to parse '" + this.expression.textContent + "'";
}
}
var textNode = this.document.createTextNode(text);
parent.insertBefore(textNode,nextSibling);
this.domNodes.push(textNode);
};
/*
Compute the internal state of the widget
*/
CalcWidget.prototype.execute = function() {
this.silence = this.getAttribute("silence",false);
var calc = {
type: "element",
tag: "div",
children: this.parseTreeNode.children
};
this.makeChildWidgets([calc]);
};
/*
Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering
*/
CalcWidget.prototype.refresh = function(changedTiddlers) {
if(this.refreshChildren(changedTiddlers)) {
this.refreshSelf();
return true;
}
return false;
};
exports.calc = CalcWidget;
})();
{"tiddlers":{"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Brands.css":{"title":"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Brands.css","tags":"$:/tags/Stylesheet","type":"text/css","text":"/* autoimported retrieved from 'fontawesome-free-5.0.13' */\n@font-face {\n font-family: 'Font Awesome 5 Brands';\n font-style: normal;\n font-weight: normal;\n src: url('data:application/font-woff;charset=utf-8;base64,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') format('woff');\n}\n\n.fab {\n font-family: 'Font Awesome 5 Brands';\n font-style: normal;\n font-weight: normal;\n}\n"},"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Regular.css":{"title":"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Regular.css","tags":"$:/tags/Stylesheet","type":"text/css","text":"/* autoimported retrieved from 'fontawesome-free-5.0.13' */\n@font-face {\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 400;\n src: url('data:application/font-woff;charset=utf-8;base64,d09GRgABAAAAADmEAAsAAAAAeUQAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAABHU1VCAAABCAAAADsAAABUIIslek9TLzIAAAFEAAAAQwAAAFY/ulEMY21hcAAAAYgAAAQwAAAKFvatHQlnbHlmAAAFuAAALTIAAGFMn3rz4WhlYWQAADLsAAAAMAAAADYPy2AEaGhlYQAAMxwAAAAgAAAAJAQ7Aq9obXR4AAAzPAAAAIEAAAHc4ob/yGxvY2EAADPAAAAA8AAAAPBrP4TmbWF4cAAANLAAAAAfAAAAIAGPAOxuYW1lAAA00AAAAWAAAAMSJmYX1nBvc3QAADYwAAADUgAABhsN5AZzeJxjYGRgYOBiMGCwY2BycfMJYeDLSSzJY5BiYGGAAJA8MpsxJzM9kYEDxgPKsYBpDiBmg4gCACY7BUgAeJxjYGR8zjiBgZWBgXEaYxoDA4M7lP7KIMnQwsDAxMDKzIAVBKS5pjA4fGD5spbxwP8DDHqMRxlcgMKMIDkADZYNTAB4nM3W2auVdRTG8a/D0TIrLcshszIrm2ywebDp5JTH1BzO8WijNmfO5EB44wERLxSJiAQJzQhORKQg4oWWUyCoV15ISHYRirHWb4vojfa85zmI+g/kXvuzYb9Xa797ref3AnVAJ3lAOuv9JR2oLn2hqx3arneiW9v1zp3G6PtaltGFXlEXXaN3DIxBMSSGRX00xayYHfNiQSyNZdESK2JtrIv1sSl2xd44GieiFmfiXPbMftk/h2Z9Ds/ROTYn5LScmS25JltzX+7Pw3ksj+fpPFu6lVGloTSX6WV+WVxWllVlS9latpXtZWc5VI6Uk7WOtcG15trG2sFTPU61nj8P0fmyrqZc6GrJJV1tUFd7Lumqz0VdNeS4bM4ZuTxXq6sdl3U1Ql1NVFdzyqK2rja3d7WjHLjQVePFXV1xrw76F7+6rL65UN9eUt+pNlxSP1xUP/ITP/MLv7JFtfuy+kN1WPUnR9vqr/b6m38u1L/tBaP4iLn0YyJPcDVjuZvPuZl3uI97uYbbeIonuYnb+ZDxDGIkL/EiL/MCA3mPDxjMG1zFaN6mDzN5msmMowdvMYXezOAOetKLG3mc+5nFZ3xKEzdwlyb7ER7jIR7lYU36gwxlCBOYzjNMpSt30p9mpvExt/Aa9TzPqzzHcF5hGO9yHddyPd2ZxK28ySeM4B7d2Y7MYQwNzGcBC3mf2bxOX+1QZ21aF56lkXkM0F/Q5f8egivg1b36qPu6/dvCan9Ns0DUmaaC6GqaD6K3aVKIgaaZIQaZpocYYpojYphpooh602wRU0xTRjSa5o1oMk0eMcs0g8Rs0zQS80xzSSwwTSixxDSrxFLT1BLLTPNLtJgmmVhhmmlirWm6iXVG9ZvWmyae2GCafWKjaQuI7037QGwybQaxy6ju0x7TthB7TXtDHDVtEHHCtEtEzbRVxBnTfhHnTJtG9jTtHNlO20f2Ne0h2c+0kWR/026SQ01bStab9pUcbtpccrRph8kG0zaTY017TY4zql7Gm3adnGDaerLZtP/kNFMSkDNMmUDONKUDudyUE2SLKTHI1absINeYUoRsteqkzB2mZCF3mjKG/M2UNuTvptwhd5kSiNxt1Wmce0ypRO41qnu2z5RU5H6j+q2HTelFHjPlGHnclGjkaVO2kWdNKUfpZso7yghT8lFGmjKQMsqUhpQGUy5SJpoSkjLJlJWUyabUpEwx5Sel0ZSklCZTplKmmtKV0mzKWcp0U+JS5piylzLXlMKUeaY8psy3Kl3KIqPqa7EprSkrTblNWWVKcMpmo7oHW0ypTtlq1RNR2WZKesp2o+prhyn9KTuN6j4dMKq+DprOBsohq3KvHDGdF5STppODWkfTGUJtsOk0odZo1ZNbrcmqp7faVKue6mrNplOH2kbT+UPtoOkk4lQP05nEqVZjwH+msZtxeJy9fQmQJFd1YP68ft5HVWZlXV13Vfbd1V1Xj3qmp+aSRqORNCNG8uhAao3GaBBgBh0gr4VogdAKI+NGxjZgbJpdzMqAlwmFlgAtrBoWe7U2eBX4CIVNrHptxwa74VjPeh2BsKFm3/9ZWVdXT0vhsNU1P39m/sx87/333/Xf/2J4hrmyhb6Ftpg5ZpG5hjnFMGipeQDVKzOoUa8U8wXRtZ1YLU8u1np3+jUub+fxUrNeKYhODHevFwtYLPhwECeQE0MvCBgLTtrpbDnptIPaUKVXgrLa2ULtzWSlUq9U2i/Iup/mZStnSULa11EOC5MCrpLnOmukrNIL7aDsvII2XyHP1SvrqhSNFzhB4ArxqKQy8B8G3LbZNtpkTCbKpJkl5jBzC3MOMBzAqmHXKwQH4eo4tHoYv7Fz1urkKLLbFPQc2u5sjUfxHy8SoHcr0CY8vUnfBOh3LqK1S2PxbQucRU6gGF8FenBAj5fR6+hrjMYkmAWgQ8FATgYtraL6POIK86i+ipYyyDEQ5wLeWRRbOoiaAScQxBBz5MEjRx58ihRHmnc1m3e9kxS3HZFE8T5O4e8TROkI7Rn0tW4zKDrtbjso0P6HTeE+HlqaD0Pnj4Gp1QMIgBMAlB5Y2HXEGQTFAqrUAa7YBDBkTOp/CG31P3QcC4Nf2hoLeAEgHYZ9JzzlAJIAqnKPQABVq1FvrgBpmkAnx0Ri5QAqFiro9fGYBwCd57j7xD0BArgV/pxIARrXb0KPQABWtAcQABcFCCgYQJ8lgCsg2C79dmIH+svjidn52jDwABN/5cqVl9hD6FswrorMjcxboecKlVYT/nzXwSL5c53YCgwFGGM1HxcqjZojCn6z4tO/BiDgNxtkzLmOZyDsVwpARMcLmK5O6Aw3YvSvvIo+pMuypxjcgsDLgur56beWm+W5VlMxqgaKHFXEqCrIvFBuT4rRZFTMNpx/BWhZHM/G6bGznWvlsKjJtoA6f2cvVj05Hs0sTimVhGNIqpdO+alyOVU9biiKgfZH5yKqZDiJytR1C6Kk6NGorkhCNv4Ojo/wCteZpkf0GLx0PpuM6hFe/JtYHPoK6BLK0zpzE/DPOGzZMSSCf/UulVr5Linh0uogIUT0O0NYTrbLg+R4a9rfJvT4lc7vA7VsWRNxrtXuoi3Lj+zESFy4bmqYAH4q/V5CguOeHNGjqcw8YNhDUvYIP7JUZ6wDjgrjhtK05RFB6mO73gSxGnPRqQ6Ivq2ttLOxwbPIYXkE9U714kX0CgiyM2fOoPeyPA/vYiT6PvgDOZ0F/j7I3MzcwzzEPMEwUTrGlmCok7FfqHgjYhaPnK+gkfuN4Xujz3tunn7BrlCosQ0SpVzrfbRml9epPKPFM2EF/0Kv9uFe7bp+w5O9i1/vgKZykKmg07KJQN91Ni7SO+/pvIZme81w/9m1frVfnBnX8rFSiQhaxTCUznEsHSGXj6DfQj16fmuInh9ifgnGKJUPfZq2Rugzej5KP3+P9lHbEcFmqIBWDWRjl4792lKMs/Nd3fuJHlr3jyXA+OrWwNVQOQZWwXpoLFQ7m8BzZ1h+s99W20lWfOeYa/0CyX3NWycX60GZ7jyLpjt/BtwbyOaA1kUY8YdhNBR7JBmojdobhEJABdEHG6OrzkBct6jMdtDGCDoBCOlk58switb41sdAAt3A8x4Ittl3QxHj0foQgEMmA1CCQvtfP8bxN8CTHsfN/RwPDynMIPwmU2MOUCk+yCDF1pvq0cfI918mxWN7dc2jWIiThlC8+ubofB1zGuRqaA0QsUBVXgD2IFxvDnZ0CgsnKTwnyed71Yt7YfKZHY+Q6lf2RGonTpQbeizhj/CFibyY8+ZGGFq2ocebTWASmyNHbuT8w3shd/3453rniNsTT3ZojLzJEbLXYHgjnN/l822AYZNRmRQzA3wOdAJ7g5C6WR6gKVEDnD3s+bz/98FKOgcI3yeK6IbBb1R5lsARkOoyGJxgIgnmer8BIcMZ0oA2GwPHoEHb2gMOZIVfuLQrEKj4+4FJR4A9tisgO+kxYMiiPeC4ZIrnOO6caCJrVzjeOkiz1BuHozxgvO5JjyMDuD6yKygbIbjbu/eLEBg2aJ1xmBJYbkeZMwBLVwb2hhvYNfZeKnOvc/T3hFM7oexb64vBPavVbhVdoK/4xv7j5Hi8J3Np8XSv+GFYdPn/v6Afd32I+RHfDw/6EB61xLvmeDY0x8e7EN8GLcJ9bIVoFZ6/E6TDl/lB16/a9yE+GOO5XzrAcURp3cnzX+KUgb4n/vnkeL+8O0ZHNeRAT0K3o7XSlwGGOwOduPKxQCfucJ1/7ksAZKABDzwTaEB2yLe6ike8CwF2c3SZnm4ldrILVtgykXzUARgr6wh7uaEBWyOM5ojcUswRC5U6qKZT4PKforjkqPD7G8K701h4TsDTUH0GrEDHMNB6slJJ7hCCwOglwgulgN3Rc6StY+z0LeeuRoGWP2AZeBlkol2pIt0qYCEH3iWPuAjoiF2IdGGVFz9PAPtYFXosSZwBSjMYh98CaGaYlYAnhtQDDUONoZsb+E2hrCD0Q6+QiFM1DDqRyr/qfJdSLu1s83cHdVSHkjgn1TBCVQ3ad6rBTfBjgMZr3ZYseYrhe/KC2NgHmDvHce8wNH4MG4j6gq0m+HKEfP48DLFYM+Dqq9z1ABPK6miT9v47KdyfgPJPOT66si8SzWfOJDjWZgXWmctMzDisiL7PcU73VpJlbSTSW7MOtEHrgOp2yE6dS4GDBqgBW93G864e8RKl+WQcJTguwyHWQsn5CTbCcqmr3ez5hkCXLSbGTPVpQvsIBEnYdcRsw43iAIHQVocy7xqWufdvQE2R8eapDSzLGK1jGW1Vkp3tZOWMgNm7K0mUS1Y6m1jevx9u50gj+LYAvvc2i0CeSIzNJMGevZ7YsyLxr+uV2o6KD6wOnrWIDTYDkLVitZDriRGGm8QCa/TYfwM85mQ0et/Q4f3XPnKsITu6zIkaPnFofgHOjz1y7WTFc1IZTVKcyeW1i2vLy2uXSevh36Xle/Zdu5xIRWRDl+aN5XsevGe5s6Fh3VFcJx2brs2cmJk5cduJGUrXHm7xUHq/cdAR80bBRJtvAiiOwnQIfY6ZBh+T6MsmjXPMw3AdAc/rQ0njIWDp4mEYiwVfwFlUIfADX/irbMOHG6wzO396MX6hve+e5VnFkAEDFR9eMcz4/e1MZv8hrIqswKlG5122G5OsRGb2+tuun529fiYRR2+JmxIZefHE5LHY0i0LaLG9OtPyj86Js01AozUTdTTZ0CSfY2eb2Jc0Q2AN592qgY1cfKKYqWWztf21rNiMZ01sqLyY8oS5o5OKunIdGf8D+nSKWbqa5IxiMJXEeQQ6DH4ZhEMO3EV8lvOs8DbZ1bnu8e6A2XYNqi7/IubPSZytdo93BgxG+Ibv2d4pkO4HmeMApz9sc4cqh4xMrrhDpu64Ei02akReuej5uuF82UlTvbRNj1/9EyJGTqSdNf5xWn3AUM7yfFth2SqLHq8bI1JWczrrY4TsWcX4A9BTTBueYkdsl8NX1VEi4R56obbkxbpaikbxHQ/Yj8TUYsS03IXyDd3JVybbpVJ7spiN6CDdQH0J6Xxp2omVXFdXeYV3YBju0hM/ayh2uX1zu2xKGidzEzzLx+aPeikVyzziU7xM7MzumDEBlyLIqCM7NYeQJyqWRKbgDijdPFC93HN1AxXsjZwPTTh0Igio38nx6M86s0DIbb7zQtdQPE4DKKGROGwjgb6bhk4grt8Xv8jy58fERJgdtmSBzBgNmI+tIcOyz+l9IjcHSJ9fWDi8sICe7tOw2iftc+Te4YUeH3fjzdNgTe0DadNqDpnnRI3AMJtBNlCuBWIE/IjAkXCwb6JWlJq0XguM2WdzvNJDPCLwfI7l/g6tfbbz4zucNKsgbC/aM/bD17yID/3jDHRk9posd3+O58WPh6RS4JHO5oPcdzs/Vti0cwc8Ak8sVq958RBGygxHH5GH7ZlF4uMTCQcCsEBFAh7sdi5ABToUhARR+oRRvZiLY0HTgg+PEiGyiugNYGbiNHrob0vnlYSVrqbNpHLPZCSwEogHBGYC+8uOCqJAdZT6aTbHIf500oSWVuI0mGYmWF2nu/dPg12MUpmbZVexLMWVb8ibsc6PKbqi1/lf1Drg0EM21nVsS1OHWfYwPHE4aH0YXhSBFx4Kbh+C7wR8MmAjzezkc+wOYFbxG3bDC/Fyhywep3hedjSAU3Pk88WHCAopK/HwsBlTnZq4UerCd1N6qrP94l2ubFmye9eL4XxFG/qBZzzw744B/8yzLQ80TbPlAww0wg4Cwi8bCHuU5BUfVSiJs6hJpUnMAd3mU45uLMZE7K0iZE8eSCpPnvxAOd9KtSsYz5y44XbHySE7cf1clWN5l1fYzgvdyvLs/O03nJjB1cKDR638fN5zJlNTR27nl074L59YXS7504fUpHr9zCw7f3HmsHuMl6GPYPz+ebdyszY7c72a1PcdF635fN6bOZetRK7p2gdQHEI/D57UYjDrUMAhWlTs1QWiVVGLuhSNRdENBmmjDmrWMxC7DyB/ngCHw0pnG9DqQmqVV0+sltPTR7zyB14FACkcalj51ROrIUiZQnm1DL/5cmSlsnqCwCZQHfRENx69CNQ/EMbv+vILF4cj+MOxOzKKfbcWtYP5LZvMCz9HZBIUWljB94fs8F3HOGOsn+QvKMbmy2lymxQ3BgdSfKPzQshc0HL/yc4llDOUC501Aq/S89tsxmHyTBn0O5kdJ9rzZE9/DjCy7xWjebvm1ho1qiR90JDYLTY8+FeDnzePZlCj6GIROIdMhdWbxLNrwS304BkDwO2y8PrJk6c626dyVYBlI0f/a+dyLBKFWC4qZJeyQg1MgVQ0Gsnl0N1njHBSHJ10KA6blgUon+o+l/tFQcjl7o37lUTZilnw+0Ku3x8hfhMgTQ+MwwoXG5wdIGT7JEjVi7GC4HW9Zt2vrJKIJAbLZhiN+slXNgkGplAKCF4SzCmMFTmhOo6akEdAt052zqNNABwYThLFEhaWeakkitLt2MFKUlLda1xVSjDiCNxkPv/sXpAvoNYqAukyGM2ogCtLBjgRsGSAV4KQBzkHJ4w0ai0SNTEOqRfzeRZxNoe5fRz8MFTRLXFeRC3Ec93jme51dqDt7jg/NDHBSuAmc01WYpsc1KQPYsTx34CXdY93BZfZgZY9224dxlVAj2N79iLJacDE/iaNAFmRDDcibomUICNvgWieyljET05gzpFlweDxBMb8/DyPocJFJVYRDC64phZ5vDuil8VSLKqmRU286SYoChHRVZPkzD4gagN8GeIzDdbRHhiVa41+tKrn6o2F/wqz7YHGOuuDCeeTUMBkOr07rNX1OMedneR4/xJpOJnuzVP24TsAFL+BuYW5a0+6VzBotybx57Ho0YnfDKLauwUyuFkn/hr4Sqi15OAKnIG/JOKaWBShq8BdGItORa8lNEVgI+Dq6441LyDF1a2IVokYWU/9Lu/xsiRKtwgc72m6FrFSjyKWw4phRYTdsd7QsZlDk4YuYzlanU/HY9hkVSPD65wkG9HjmsMZMha3CljOfcQpejqnIsSyIqL5JaP9t0TjN1enTHfagsyH+0XXQEQlkFwaEjN1xyL+KhaOpYv5SBkjzCcEvOipuq7Gd0dqP6iG8sScIaMFEEZ3mZ5R74+dUJa09oR0dCr0AAwaqqnGQrnS0KQLkkaLBnac3cH743q5206TynVJUi+q0s6xfXpP+ArUllr0ia6hdTesZ1EGEYiB3xoD9UpxLORHYQgrCoqD0tGhqmlgAAq2ILF5OCZAJoH8iQDp44KIiiBCdsfsFYFfXBQv8ILA1xbE+wVBFp8mJ8dlKXIdqTwtyoNx0hDXPTAdC/XuUPRjUt9mGiRKgQpBBgoIQzIkQRXAe72eIwwCMDD2qDm4ig5QP0aMUs+StgdLBTeCZmA6BV4lOmVGVC9tJRNTPgj+pO1mdFXGFgyURHYyvHfkgKI5WevQmWhiYsKJGTU95uSPewNnmUo+hS7yHJY50VRUO45Q2tVjuPMACXK9xRSl4N4kdrXLdkLG6SuMLis0xUtXfxhWVUkP7e8jYH97jB/MrVAe9geiqcAH86hc82rU4yR8Q73OVp61wD/fbAcu0oYWw6dkMdlxnmr/e3AQf6jHxIM8eqbz9I3oF7ZBmLYDy0tT182id7ENfqSmXgCnobO9Tunf9QNs8HgZVPSHwrmDkdwADhK53ep+eiDg+VT7j0iktt0OzTwoOpeD2CV88k/YYB7nysvocs83nWEOMUx013iBH2b99XQHeKxEOjeh55coC6ySgNbrY6MFTwVxmd8NDm/NNB2RNR1RL1aKOlZlgxWd5m6hgleDGE07OKwn4tjRWcm0LFPWHBxPAMnEKz+ifvbXafZiEfThIvF70TwLrGuwXoYlDMqC9gMFDlCDk+s3WjHfczHocXB3/VaW9Vs1D4QB+mv7ujWw2No/M+H2amv12cR1PnIFTbmuvXDgPy60r1MqRqOM/OsSfGzm5tkYu4L02k3TmnvfE+3u8YPi/pOx8sK08heN2MKJpOMkTyzEGvXOX4j1g+XYDevVAweqiMae8JWfgs91hNr9UeDBFJMBa7pE/UAAiWR6tRCYHBXsAUvUoKjholfz4HAQ1cAB3MTceZAyDqdxwBPCeT5x8Gj943fUv71/X/2oUz9qf/veU0fraEv4BMadLwFr3IrxJ4TqGvmvurn5/96yScc+yQH9HMiVIzACSHAyHwpx4nMEcy5ZBMY7kTJ14okSJRQopmaQ/xgYvsCuJOzFzv2Kkot0/tzLL+S/E3mwVM97T/L8eTAZbOM+XX+SEzz7QTMuxnEs+qd6VOBQRcfPar/5l5ad96T8QrVe8vJs5fhjpYtxMy74gsDZnm20I9moLsHQ/cuIS/y5n1x5CW2h3wOKkbkFEljFxH6oGGgCVcTATu1CSMYQdkwYWBUaGyAXaGwk9KauduKiR+K+oy8sqG4lbuaNmGS9T7H/u2ZzGWGe5VN/8BCWHZzCsUhUxlhUIhFFxFgmZyJYCRF0sxormELciniCWXAfFwXFasV+RzfUD8W8JzNTf2jb7/s3cpRXKjLW/kiTFe1TRJR9k9S+QWq/QWqfJrWTuixrBPd/6OUL3EP4HeEgc86D3iN4k389NUbls+eQOB901AJ12anFTwN9VydAg+L/gFJ0ZvbPyFF1eTmuLsdNoyVnI9/zykKKTUzaKIUU/D1VaPGckOI5ti1G0+IKr2qikkiM0IKxbO5sdmYme/fNE/HY8sTHo9XEOSsy4b0PS9cCmX6JZaEmn8MGH+MU4QuWy5qfUWT8VUvXra8SGqQ1oEGa1EilqxtJPuEy83bmQYbxAh0VawaqKVBlB9BgVhsJDeJFsZdhCFLCJRIOmtGYAKgxOs3U+6sFWZZU8vogFOFH4ryBl1qofLOwqClW3pisSYImcbxka/9TsyVVjxrYTKuRhZKmLhYMVzJ1STcisiQLZlSKxGxZYy8hkZcVXsxN4LyhlzT3/cHcY3uxUGJFVlJQY971EkbcElWJk/U2yUts6zJoM82WeclQrPj0EntbYbHqGp9VJE7UtSgvgjkqqI6pWrZuybarRCw3kxQ0WTKQcqfhoo1gPjSwLV4D3bMZ0q9Beh7saxIbps4P+VvpMQqhISbzD4uV0EogGZtQekQg1P3uFF1oItA/t0trarzOI/KDVwjh7O2/CKp/9c/QSb05HkK/FnM/c5Fob5LGSh1J8rdIRt2gXdwKKUNzH0B5NgNzHhdjIqa/mdAA8xt9FqQEDbJi3RohnBDQbimGfvc2dmk6bimGxMu2pguDpJNU0YobCc+dbyBFAiqXCouLhTsVZEiyJiQzrhVRXFu2dNtSTUcVZKAqH9V0oKryWcN1O78ZYIqaqlZaiKhpExtRXe0Sj+ckTZBqk0beUrTFo4vv1Uq6kccTOZFXZLCEL7GabMciUtSEF8sRAyhrSm7aXQ+5jxkYv136Rd0uSwWss5gBcT4Y+PLDkUmYEeQd8RyJ8PPcZsyjP2jcJX6xy6PDTElSwZZi0ZD7HvhnwAy961+gTxgBdGBAuwLogXczH2B+OdAGoULoKcJhZSB4b1gDNmi9rztWkTeScdza4xy9zZhz1Yzpt/xAeb5LSVvPU92Rmu782VX0JlErz8viu0C9vk0SRVGiBYmACbIsQPWG/lWkRxRZVbkTad9Pfy5QsZ/WTapXznhF/V1Euf5fojG+QlRHkdSKpOaSmhuqkzM8tJc+Ddr4g2vk2m5F4DO9xiI6t/vugOqVAjE+AgPEiRGiD5C0MaSKg9Q+oGdI7DdhlAQ6+VP2vkSsHfPqReRNJ93IORZF9Z/oUcTNyNlqVpiRfuDc6yWTApaiySQQGMujB/SehG54ni5XUoJV9vYl5qxbWVE1aOa7wUvieTf+YNLXtwztfIQEGu1bncw+ExTxlMSLWFIftjTNThKaTFmabk2SWqp3jTQM6cSgL4Ctcje4H7UlYn2QsbtAmNMHagGpfOJdrCBQOiTwU6g0+2noleaA5umdkImeZhCdpBYd4TaTRcy1En6fNxGxziWq0Y9PLMfiEzffTcyNs5xtYbMQI508bEWEloXyGZN1rS8IChfjDXxOhhfxOTYllL3vRbJyyzDjy2p8eVmNymAKOUXFnUxUe7xKGZgYO5rKr4jpqNhmOT4lcHxLUL+HFWDlMEfhJZYHm3WKeQfDtLwB+5T6mUXqbZvEoYJhiwtk6BICtRbpNF3zAOtTqy0Yzl0TLhzbq4jM7sUGT4Aq1Oitu0/I+v9RbC4noBwxQvmkk6qKdkxcSAHp3LwpZN1Gma2LptiQokXWcrkMkmFsyWiasx1ujpVEXZTYac61uAqrYAVOUkAvLstx6R88Jh7+eVlX3mHZZVH8sOt70YyAJ1JvfzI1IQqFWLziPG3z/vKMKCwB2xzjf001BO0pAWvSfyC13yMx8C+qgqF9UtKw8B5NMNSnVK2syGuPiGbgs15h19ET1F+9mXmAeSiMgQB6NpmZr4G1QtInWjRe3arRQIgQTiSUgZrdvGFaKzZq4EBBlagDGt6Fp4vAka1mPQiFG0FGHfV8al74HhYv2N5feVb1vSDM5Vu9a+LRWCZqF7WkxF0Dwv2nl4CjDFlGH0iymI1neJUrW0peuzc9vSrs82OsI5uRiBeR38miC8d4/n5d4FXeEFrY1aVDmnmG4w7MVbvvaFctq3qFieono6xtxbFs5hQdBjizrYAboCs4xyI2WhLYXFTnlbPrq2zRXYnJWEKsjX+GxRWef4vG64Im8DURgdu9X2VXLwXPhvmcbaCpyyTA96vQtVxd7y9gnTD8UM57eSHfyOPQ4uhV2NbbRVfr/J3mim+Xg6n1zu3IuKlzG/o9sqQvFzjva8HhT35dUVXl1zXzIyQ28RHz6YuX1ttBaEAPDkzg27zGiui3mRgzS+WqSN06+IsFsxNgMlUAQCIButkKrVUULP75g/SJ61Op60+kS5oWS33FmrW+koqp+soljrsUMSUpMXFzulhM3zyRkCQTrce1eCoFRXbGP51+3rKeT5/2Z37zeZ5/PtpKTbhxcaJYnBDj7kSqRddKDq8ZeSvzCPOpIC/4qitw3ugKm9HkfHfHWptiP5u/NZQZHrx7IDuSfmHsypyrrMIxlLOGclExbpfN6MBCnEP0YvvFJM86LJ8kgaZ+9bvjFt5cZTXOL8jaJFmQM6nJnQ/31uTcEyfX4tWRl3er1EZ8icaiSXznEHBFuAS1F6kg5iIxjbvECANnM9QhAS4p54P8C5QPQlvoIk9ThD9JSj6eXFhIxvl+6nD3SucVxBRWrl0pIOZKt7LRzzY+2NqfyexvHdx5ZenM0tKZzrP0MDSfSOI8WWaSWR2fy4u7gX2iDb091gyf6k/sk3Afym31rJPWLmuFt3oB4E2SvIk220TQGPL4FbMMnU8M1wf7YJ9fx/wMszYG8mKrOgqgVwyweTNLgnurpIfXBv8PNIAEyqPt5666IPi3A+RHk5tZHYe4OSzKvbLXcuC1gCYjeayTTGPvPNZyL1SaH5h82zVDtfOC7XkFz1tE7bOcwj06CcXk1RJQXyetC97Lp85ypDU3Gc5ZB32VonnBR8M1QP0cgeHBEc0PD5VWAHwvWcjrSftWL7HcBeoDXOsELkuhGDzM8nd0ngmrPE+5kTZ4NADzOMXnAhTHO9s5i6LE0PSbvydPKehUtwZFNe1cYcIWFwOyvIdgeIHjjp9ijK7OWh9atxf4PZ9lvsK8xPw35rUx/TOcAuUK/8QFCqPPl/c4/6cuiBjVIEPDQxO4To7wLNrmhM6z/QyOtb2qnUv9OlofuL7+Jl4yUD2HhVdJ9VXyumHupfBRKH+rl2xy9eLGMdd+5w0+2y8+ZZEaKRgOfOVvgO39HaYKfsiDgcdGI32YuMjEvgjiKxk2iBO0SHCGGBhB6KAStOg1ps+J890Wvcb0OZLBGiO5vdCWtiD3Yuiv3WZMMhVvJjHjL9wKtjPiWUFXp8denVEQ4jjE6fa1lWzJ9XNG3FIzljj+8iWkyMlDVQ7ptoKEifSpkqSjz7uqLgDKnOXeWq3A+z3FlGLN6bFX61bcyPluKVu51tY58g2kiFZGHX/Zgddz1UNJWWF1qTTl7xOQEuiLMO+RzFOWmTkiL4dW4/ut2FLLEwutULx4frMOFx2f+M9+cwl7ouPhytCCmlIjXo83Fi4+HeQ73tlQlMbEvd2Lf0XPBlbm30gvvBxkTj491Cp8Jpg/fgm9B+w5Mve3StbnE2mJeybWPAozr/x6k+rlYDIExiddcB7wQbFCZzwb3bzOXtgqcMrC2B2ZnECWjA3llGJgmWaWblSolzy5DAq4XVwpSEJOMvW7dVPKCVJhpVg9vaBKriWbKqtJj0saq5qy5UrqwmknTZJnicUkc5pE+PxHyQq8ZZk44P8aHp2IqmU9NjER08tqJAPvri6crk4mraRjeGksSTjtGQ6cTsI3mG7eRhDD7MvVs8y94GftvWZjJEutscd5z3Vwd1R2VZCzg6u3dhY/cTPuyG9U+QdLfILFPXeFxhq+c0zNNukr3j10YLr7OfyAPYQ+T70lkvPGkC0ZiKcIdMggkiJEfBMgzjzifNtDdssuY7uFfvqBr9j2hm65WbNX+/zGRuflR9GFRx9Fn9m3IuC2GDc622S6OjxDOTjrPFJFuWrnVZRrdLbVBhzGzN+23uT8bTGItZD6G5m1FS4RTiPFG5up3RheOxWuX8vSvUuusnZ63PrFd/ZW6b1z18WLn6cLqWjx3d2XL9L+u8I+Af6uxszDeL9pJEveG+TTxqJYCSbKBtyx1uhQgNM8wNuA0zxcRu3kbDI5WyeFIXDtwJDErMQizgRtwAlgVr7+0y0SXmOJ3zuRKnfWyqlUGW2WU6iaqe+vZ2jxXNcGbbEsC0qEVXiBOytwP4zqHfo0gqc7l8mTm6SgMbaX0N/QHOpZIm9B5BDtNk/8SVA+wXoTuhWKR2RUBrXIehLKJshbuVAycM6dun6unI1K7mz82HuPwS8anV46cL41cWt1mSx8aS/N2ZNxXsodniqtRpTJonTskWceOYZe4eJnlpbvmFZKU3QtDOl3mrQCY+UJ8HZSTA40brBe9DzzcCBR8MgKP0TyQkEMCPmWnRd2uAluEe8RZB7kKnLujtxnJzvHzYQwoxh5D10GXv5pxxJEAW137kFos/OZ3prQ/KlTk/3s/y0sUHdwlwKtR8jeEpe9vAFmrGJ0vvb669B1goTiz2FhjbSC4tTk+Z546Re/2qsd6dW6tKPx0s/BuF4BSXwEvK57mJ9n3s88NbDzFKFMfsgstIfSg/O9WABpY/tAwjL8IytTBPjnF/svsGv9B3Bx6J0DLyFPe8VgW6tasEL3OJiKW91FE5rWvYLa4ZXJXK6zRjN00WYuN6kFrUlD0kYrnelK8O6x87cbG5c3Tgp4uf+K8KVXmB2fYUj6bmeTvL77UiY4tuvdZOfwGL/YjmPhZJi3/Brw5SZzK3M7aPx7mZ8Fuj7B/Fvm3zFfIquZB6hbbNSGT4cIM0Ts2ujpIO0bhPYu/MvDGxsk17nR3yAsaBo8DrSvlYv9bzVG3hOcet23sPUuJc6GJNkepdHOOy8TcoET1qfadnCAfkLx7unZsPXZoBNzud7z8dFPbO5+ZzMH39nOva7RVlr38HT44fgglNvQkOoLsNH/E8uBje4xSZoHI9DZAcCcpuDSRLIoiDbUyIIhCRXwrcvoa501WzEjD+amjJmLsqKpDr/CuaXOj8qXPv2MIFr4j9+JNtGLl5ViAVfPxFKcvX+BE1UPPRnNyJ25Q6Z78A+xKYhfn7sc2IX99b5TNJ9o93Vro9bNyPkui6imZUyCvKS42K/uunotvklaDBcD65JVJk6skbJfoUxIFqN7u+7JhR6+pMXj2iXt0fEf+/47sGG8Yhj4Z3FaHQv8kF7vrs96s3uNjN2rAFzJs1SNnyWs0auu7ar5X9nRllR/Y3cjIFiT+Dr6Ou3b6+hefruvlOtOIg+omCAjme5SRf1AGoImC+nA3+tZsbusm7MUT+MBwHkBW7LLgkGQyKqyzsl8mkdstFqIVycOJQoJ+O3GCFdSUVXHvIBFgqnsWbKGZAHLmoVFm2M5l1P4VCo+uWWTtySYkTWYLdAmV8MXLJ5VtCOHtxZMUpEVG2QGZBf0ljUrEYkt1W/mJYn3BZyrcngG4/cUUp5l7YbPLbHMrOLHp8C+f51qgjtE7Zm2mbN78SzKYzbjgsXWYtp0zqc4bIX5brER3bk/gBc4J/2cxe4EDnr+jOF0/j5kkEvr/xCw0zS1cG9i+S+X6vXj9XopiD2hVZo0GzCU5rRPnQp3fblAjOLzN7Fs5ycs/zJ55Hh9PQh4hWvayFq8HFg+axRuQtMw38iLkTkMEhUIFn0367hB73mVXuJRw8PNkfV7XYOmm0gzmCDaZJc2TD99fbWRKc1NRnwXpAk7tZj2zQ1j6/jkog2GueVUsvunrt14n6qIWojUkwKWnAjH3RaOKQEVNoyUu48z7fzkytwNCVUtuindivJNN2VsGJOfv4AUPZO7xvImSrUJTxHRhY2/5kRg7ZmQqljgZU5S2HCsJnlxNO90jmkSbtzdbwEDtVCh2WxeBgX2rNjzZWphZbz/krFmq7OW6Jis6B289qBHck6/OOTT7MaRvxxx3YjE6g5OZTIpknB6aHBBOuFLdKVzZQt9G/r2I0TmUusatFCL9GmFrqUh5xRek22QY4GkDNP+By4gM7oukRuk0p38BklC/Uc8fE6zbA+yLjkCk9DQA+HsJZK8R/PUSYV+F3318Iosc4Z86y233CobnCKtHMa8ZEmHXVayDM46fkxEui1WtIhWEW0diceOW5xhSax7GFrx+PCKpLzBx7GtjT5+l6+wpiWz2enpLCvbBqv4vG0IfGVZsoDB7pg+syJaKp6/kaTw3ziPVUtcOTN9h4QFS1qu8IJh8/AGwx73Btl8Y28I91bo+5t7xi6GNklJ9vXKjo1RBsMHlAfgO99EObqHA1PGLf8gahSgu4PeE0nnodxj3vmPrgL0h57VTSQ/kGD1uPqhg4c/es55/KgsP+myTly+/+PAauLREPZvsrPoPzMTjA+fIDwy/ErS05TBKtjz6UeziLWePSR6njj0kQ+pcZ1NPCAj85PeYxSM1Y8i131SkySt+91nNVcUjx4VRVd79n457nz0o4cpZI87fb/9W1T20vUG5WFdDRILN2rRwG4t2nReKZSRvXWF2+fhLB3coUsIkbVN1iGsje6pMnW1PURHV0HutnsomuwbR+O3Dn2qbzvxtP9+BLS+nfko80nmcwxDMmfDLQgqRTghqj7IMjkYpFoEicM0syJLx2eTrJ0XYyTzQAzWztEK3RaW2AYkkcNAlZbXWqVjvdndsMyfp4O70s1FoEtpgyJDJUesNRTACXXYDxzEsXNmxuY1xLJNy2G1KYSmNNaxmiyLNN7OmHMsyQPPZMShtg2btGVZ0tZujLZVo1HJt2c0Ie7UfMvya05c0GZsXxq6jszejVRxpUDnQgsrxSDGOrnIIpSW5aRVZzkeJXJKEfGoqOQSiOfYupWU5TRC7KKpaeYbb3pqYSGqqclFJ4/4nDFp5HiUdxaTqh7Z7YbbBQuKV8KV8SQ/8yUE3Ew1zkNkD47uFqszqBBmpQ5Pv9QyqLe8BYw9n+482sslEYoOXdVC5goG8wvJCxu9dNklL4bBKoQfNTu+jy1WVlBqYjk2XXKytqSpqqwFGYGyIWClqvKCLFvpuURSLpWWOmvdPML2BYE1OEFUswIPBAO3RXJjalRXbUeLZ6xUsjxhWIKmSCZSsRl7LZ+xS8ZsPCULOmZ5Kapf1qMylg+qfKJkpROphufVC8mFIEZnazpOS7qe1KKiKpqOYqlSOqM6LwqcrPDYtIq4aJq+Fk/Hu/u2EjouMW8jGW9kLxNAmRAozHY10OAi5VY/z7UVJLrS9Fbcz28lNuVAljV9ohtYD3Jci7GBNNfvG0ulkpxMzKUtWRZ4tarcR+mn2pymS5GMU5yOLU+kkCKz1lFJ0QTLmCgnU1Ymrjm2qkfVmCupItAQrJysKgqcwQoXrJiJVdR5ISDI5+LJQt3zGqlE2iolePWgTAloKDyHNUFOxWeNkp1xTaCLZWJekTnhRUfNpCXVUhwTaBjVkroupbFOaKb5DwznufZl3oGr74bi0W3mrrbV4S52eHV1NdhBbHU12MZr5HxXH1Pc5YHwvJvD8K1u/ssR5gbmVpKrOyydW6Nr1MMIXM/36y+RXiUzJCBKi7VgKHrhloyNrX6YrB85+4BiBFukb9PN0Q3lRAHH9PN6DBe+80yE5e7m2O90trsb6fLhRCTG/UnJNUMhQahLVFGdwjBojH2qpqn7Jjjuxs5Xu3vt9u1UskdSBizVY8ROHXUk/OHeCzYOWGrRnQJaJN4apkLgSm+L4tcnl5dPLS9PBktrnYEOLB9OqeVYdiXrltXU4cejrSyvxCfjCp9tRctBB50lj55a3ggW214e7MaEF3HJikQ34iXOxNMcxlw6Xm9Trmi2u7HvIE+Y5AYskwyaMh2xhSCBP0hY7foS88gjCUlkCnSJzITGXH9w/q7V23LtGt01ZLNkyoarl1ZLgbFUWl3qbNaKglk+UjLEYu2Sf9j3D99ECj9AfwODIIMxiOGZJOmLJFQ2k8Uar2l8rfhr3dZQXA5Q7tojvfmpMfvBjM7w041A9xpBQ/tnBJuBBBNO7wCeJ6tP+AMHeJINyq0e4OmYoOcwiIbNw/f27Eb2Xbs80RtFXRu1i0tiV0wOoPw4+NDmuG//79Am3WYReJwqMHGe9BAhBeie7Q7VM4hMHeR6jky478pWN/vvqvvINfJeNyiZH7Nl3MPk/0+wVk0726g9fpu4Vy7CqK3+f95bsKoAAHicY2BkYGAAYifJ1Xnx/DZfGbiZGEDguhT/YRj9//v/PUyNjEeBXA4GsDQAJqoLrXicY2BkYGA88P8AAwNTw//v/78zNTIARVBAOQC6hgfreJyNUVsOwCAIw52Mo3E0j+TfksWNgeD7x48Gg6W2CAAQIsCFAliAzCEKyDinqPP1rPObNjAXoNZhDpfqPooXMq0TjBohKfgWjVd7kikLnu5Vc1p/8kdVw7hNe/Ro93nKqV6TZyLLN+fq72z7Qvcb+Wv/gjOv7c5nnM/rjn+vvFbcAAAAAAAAAABuAPYBQAGIAdICHAKoAxoDQgPqBKAFAAVUBb4GMgZ0BrgG/AdAB4QH9Ag+CIAIrgkACUgJnAooCmYK1AseC5wL8AxSDLgNGg1UDbAOLg50DuQPMg+KD/oQVhDeEUYRlhImEnwSzBNCE3IT7hQyFGgUzhUuFXAVzBZKFtAXcBgQGLAZThoMGqAbJhvCHGwcuB0EHcIeIh5+HwAfVB/GIOQhliHsInIjBCNII54j5CRSJJolOCXmJrYm+CdMJ4on5iheKLwpGimkKggq1isKKzQreiuqK+os4C2ELiQuhC74L2IvwjAoMFQwbDCmeJxjYGRgYChneMDAxwACTEDMBYQMDP/BfAYAK/kCfAB4nK2Qv07CUBTGv8s/FQyDJmri4J1YTAohqQODCUvZGZhcCtwWSNvb3F4gLD6Ab+IbOPgYzj6Ik6flhKCR6GCbc/s73/nO16YAzvAOge11SrVlgXPqtlzCEW6Yy7hGi7lC3GeuooEH5houETLXcYtH5gZlPlOCqJxQd4VXZkGJb8wlNPHBXMadOGauEN8zV3EhLHMNjnhirmMkXpgbaJWank6s7K9VpmO1z9KVnlFqqMJl5JsfJvL30UiZbK4T6Tqd3MUmdy93oBJlfKumcryR2SrsWhvIwOhY5gsqirRMjV6oiXVm1qa9djtg3ZnoGB40ElhI+sdrKGTUx/Q8pEu4VB4MscKQKsQSEXxS/rYj/2VrRJ0h77zYzr0OOrusr0nuge8dUJcUOT7tKEwpZ4wNnRlW5OuSahFQH5Anz5K7NyjKiIgl0mK2IGVCuoNZsZWihzbdwTe/Qy5K+gTZmpP4eJxtVAd7ozgQ9VuXgCHxZvd2r/deuN577733OyGNQWshEQnseH/9CUHi5MvxfRbvDSNpyhuPLo36Zzr6/2eDSxhjgilm2EOEGHMkSLGPAyxwGYe4gqu4BddwHbfiNtyOO3An7sLduAf34j7cjwfwIB7Cw3gEj+IxPI4n8CSeQoan8QyexXN4Hi/gRbyEl/EKXsVreB1v4E28hbfxDt7Fe3gfH+BDfISP8Qk+xWf4HF/gS3yFr/ENvsV3+B4/4Ef8hJ/xC37Fb/gdf+BP/IW/8Q/+BUMODgHCEgVKSNzACgoVNAxqHMHCoUGLNTY4HqVMCEvOZbkxq1PCmRXXmLVmkzHVZFxarigTZqMvWhUtm+sXrFYWZXP1grmt5zkplTnFXDnpYNRdXDG7ivJWKiF1kXKmSAtmu40Hp4SXxFc7Wknduv1TWqvW7T42siIXndBDnw41mTtq/Ttkcd7SZXDlnCVEvzhnauuYl8w2Wc5sGmIZchpI7zbrbTFXss6Nr+KUK8NX3arp0K+OhK9u3UijfaoJN1VFuukyTU+wMI3bG0g0vIOhZs5NuKm3cbeEGBNuScgmNGzuNw4xTTrjPuk1KVNT5n86OmExbamv/9ijaCl9W7rre2B5Kdc070krpIkD5EYMRjrmpHooK1YMB9RiueiB2ZCtjdRN77OWgoYzNsaKSYcmS8WKZGmUIBtim/V4uvRq8aRtcqPGBVVJybTIlLzZZRdwzWqyAyTGadHD7r7Q2LO8a+vlMzwUbP+Moa3THSMbB2J9u3onx6Vzxrr+Olf7D8HFlWxF41KIaUleEFFpXC0bpuLStLbwhXWRFF4moqA9KUJrpqFUs7C6aEXbXhuKKqNjJZed4nThkY8wb1UeKemCKMYVq8cVlWmQ+yCyA7+Ltlku/SB5n0ll/CmaNi5UJzX5DeJNVljT1gcDaXWgSfDww8I0pTVrHQ2CSbxpu8OnVy2OWnKdWIdvc0uFD4286iaOrSn1xfDD0TtPXeW7GzttNr7DK5r15tg1fiRLppaTDiX+PL7aZto05LGph6PHrtVJU7ZV7kIr4wH7LoVxHtzixnrpdnknPnx7IvgOpxup/c4sjNliIBU7lpW8ueNSB34wcP9/1xhLo9F//GD0+wAA') format('woff');\n}\n\n.far {\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 400;\n}\n"},"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Solid.css":{"title":"$:/plugins/TheDiveO/FontAwesome/fonts/Font Awesome 5 Free Solid.css","tags":"$:/tags/Stylesheet","type":"text/css","text":"/* autoimported retrieved from 'fontawesome-free-5.0.13' */\n@font-face {\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 900;\n src: url('data:application/font-woff;charset=utf-8;base64,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') format('woff');\n}\n\n.fa, .fas {\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 900;\n}\n"},"$:/plugins/TheDiveO/FontAwesome/history":{"title":"$:/plugins/TheDiveO/FontAwesome/history","created":"20140901110931199","modified":"20180512200315893","type":"text/vnd.tiddlywiki","text":"* ''1.2.8'' -- updates to Font Awesome Free 5.0.13. This brings three new catogies: animals, buildings, and mathematics.\n\n* ''1.2.7'' -- updates to Font Awesome Free 5.0.12 -- they really do rapid updates, do they?! Glad that updating this plugin is automated...\n\n* ''1.2.6'' -- updates to Font Awesome Free 5.0.11.\n\n* ''1.2.5'' -- updates to Font Awesome Free 5.0.10.\n\n* ''1.2.4''\n** adds unicode code point info to the cheatsheet.\n\n* ''1.2.3''\n** makes TW5FontAwesome plugin available as npm package `tw5-fontawesome`.\n** adds ~ThirdFlow plugin as npm dependency `tw5-thirdflow`.\n\n* ''1.2.2''\n** updates to Font Awesome Free 5.0.9.\n** adds new categories //Charity//, //Chat//, and //Moving//.\n** adds new \"Font Awesome 5\" tab to the [[Control Panel|$:/ControlPanel]] that allows customizing the plugin: enable/disable internal and external link styling.\n\n* ''1.2.1''\n** adds missing category information.\n** adds automated update of category information from the Font Awesome 5 web site, as part of the normal update process:\n*** `npm run update` updates only if there is a newer version of Font Awesome 5 Free available from https://fontawesome.com/\n*** `npm run forceupdate` updates unconditionally.\n\n* ''1.2.0''\n** updates to Font Awesome Free 5.0.8.\n** adds category filtering to the cheatsheet.\n** adds Font Awesome 5 category meta data.\n** brings a new (fully) automatic update and release mechanism:\n*** use `$ npm run update-fontawesome` to update the development files to the newest Font Awesome version that is available online.\n*** use `$ npm run release` to create the plugin release file(s).\n** upgrades to most recent version of the [[ThirdFlow|http://thediveo.github.io/ThirdFlow/]] plugin: this comes with a new automated release mechanism.\n** refactors development command `--update-fontawesome` to directly download the most recent Font Awesome 5 Free package .zip from https://fontawesome.com. The only optional parameter to this command is `force` which forces re-updating, even if the font currently installed in the dev wiki is the same version as the one downloaded.\n** upgrades ThirdFlow plugin and now uses the new automated release file generation mechanism.\n\n* ''1.1.2''\n** fixes ~TiddlyWiki-internal links to system tiddlers not showing the gear symbol in the tiddler editor preview pane.\n\n* ''1.1.1''\n** upgrades to Font Awesome 5.0.4.\n** adds update/import command to ~FontAwesome 5 demo ~TiddlyWiki to update ~~from a Font Awesome zip package~~ [//online//]. Use `--update-fontawesome` when running the plugin development ~TiddlyWiki under Node.js.\n*** The `--update-fontawesome` command ~~expects the (path and) name of a Font Awesome zip package from which it will then update itself~~ [//has no parameters, or alternatively a single parameter `force`//]. ~~There is no need to unpack the zip package.~~ For instance:<div><strike>\n\n```bash\n$ tiddlywiki editions/develop --verbose --update-fontawesome ~/Downloads/fontawesome-free-5.0.2-zip --server 8080 $:/core/save/all text/plain text/html\n```\n\n</strike></div>\n*** If for some reason you need to re-update from the same Font Awesome zip package, specify an additional `force` parameter after the zip package file name parameter. For instance:<div><strike>\n\n```bash\n$ tiddlywiki editions/develop --verbose --update-fontawesome ~/Downloads/fontawesome-free-5.0.2-zip force --server 8080 $:/core/save/all text/plain text/html\n```\n</strike></div>\n* ''1.1.0''\n** upgrades to Font Awesome 5.0.2.\n** upgrades user macros to allow to specify the Font Awesome font family: `fas` (//Font Awesome Solid//), `far` (//Font Awesome Regular//), and `fab` (//Font Awesome Brands//).\n** upgrades stylesheets to new Font Awesome font mess, introduces [[$:/plugins/TheDiveO/FontAwesome/macros/css settings]] shorthand macros to avoid lengthy CSS properties assignments in CSS rules using Font Awesome.\n* ''1.0.7''\n** fix overly greedy image URL adornments, so that they don't apply to TW5-internal images. Now, an internal [[tree.jpeg]] doesn't get adornment, while [[http://thediveo.github.io/TW5FontAwesome/fa-flag.png]] still does, so the type of document can be glanced quickly.\n** small visual improvement to `fa-lbadge` which adds a small right margin to the badge, so that the adjacent text doesn't seem to visually collide with the badge anymore.\n* ''1.0.6''\n** link adornments for image URLs (`.jpg`/`.jpeg`, `.png`, `.gif`, `.tif`/`.tiff`)\n* ''1.0.5''\n** maintenance: upgrade ThirdFlow plugin to 1.1.11.\n* ''1.0.4''\n** improved display of link adornments by removing the link underlining from the adornments.\n** fixed CSS class name bug in `fa-lbox` macro. Also added new `fa-lbadge` macro. Added documentation.\n** oh, the magic of the `list-after` field, when applied to CSS tiddlers, avoids overuse of CSS `!important` declarations.\n\n* ''1.0.3''\n** fixed CSS to ensure that our embedded Font Awesome font always takes precendence over any system-installed Font Awesome font. This ensures a consistent user experience, especially when the local Font Awesome would be an older version.\n\n* ''1.0.2''\n** fixes base64 encoding of the embedded Font Awesome woff.\n** updated documentation with a warning about system-installed fonts taking precedence.\n** updated instructions on how to update the embedded font yourself.\n\n* ''1.0.1''\n** incorporates recent Font Awesome 4.7.0.\n** CSS updated to 4.7.0 too.\n** development version contains updated Third Flow plugin.\n\n* ''1.0.0''\n** never released.\n\n* ''0.9.2-beta''\n** stable beta release with Font Awesome 4.2.0.\n\n* ''v0.0.1-beta1''\n** initial plugin release.\n"},"$:/plugins/TheDiveO/FontAwesome/icon":{"title":"$:/plugins/TheDiveO/FontAwesome/icon","created":"20140901103643546","modified":"20140901123044951","tags":"$:/tags/Image","type":"text/vnd.tiddlywiki","text":"<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\">\n <path \n d=\"m 13.75,0 -11.71875,6.875 0,13.75 11.71875,6.875 11.71875,-6.875 0,-13.75 L 13.75,0 z M 6.28125,5.78125 c 0.3579097,1.42e-5 0.6530496,0.1218163 0.90625,0.375 0.2531946,0.2532114 0.4062468,0.5796012 0.40625,0.9375 -3.2e-6,0.4864625 -0.2240993,0.8370553 -0.65625,1.09375 l 0,12.84375 c -2.6e-6,0.08791 -0.029668,0.15448 -0.09375,0.21875 -0.064087,0.06427 -0.1620941,0.09375 -0.25,0.09375 l -0.625,0 c -0.08791,-1e-6 -0.1859173,-0.02948 -0.25,-0.09375 C 5.6546643,21.18573 5.6249987,21.119157 5.625,21.03125 l 0,-12.84375 C 5.1924761,7.9308053 4.9999994,7.5802125 5,7.09375 4.9999994,6.7358512 5.1216167,6.4094614 5.375,6.15625 5.6283811,5.9030663 5.9233364,5.7812642 6.28125,5.78125 z m 6.6875,1.3125 c 0.722833,1.29e-5 1.403139,0.085316 2.03125,0.28125 0.628089,0.1959592 1.367727,0.4764702 2.21875,0.875 0.256694,0.1281803 0.53739,0.2187616 0.875,0.21875 0.364546,1.16e-5 0.758471,-0.076903 1.1875,-0.21875 0.428999,-0.1418231 0.811026,-0.2931062 1.125,-0.46875 0.313942,-0.1756193 0.594637,-0.3581524 0.875,-0.5 0.28033,-0.1418222 0.474573,-0.1874871 0.5625,-0.1875 0.175429,1.29e-5 0.340379,0.059159 0.46875,0.1875 0.128335,0.1283659 0.187481,0.2616962 0.1875,0.4375 l 0,7.75 c -1.9e-5,0.168803 -0.04062,0.283957 -0.125,0.375 -0.08442,0.09105 -0.223803,0.186697 -0.40625,0.28125 -1.45198,0.78379 -2.709891,1.187503 -3.75,1.1875 -0.412222,3e-6 -0.828017,-0.07008 -1.25,-0.21875 -0.422009,-0.148665 -0.782943,-0.324365 -1.09375,-0.5 -0.310829,-0.175628 -0.686986,-0.351512 -1.15625,-0.5 C 14.249466,15.94527 13.743089,15.875004 13.25,15.875 c -1.296838,4e-6 -2.850298,0.514176 -4.6875,1.5 -0.1148759,0.06095 -0.2359002,0.09375 -0.34375,0.09375 -0.1758202,3e-6 -0.3091502,-0.09039 -0.4375,-0.21875 -0.1283567,-0.12835 -0.1875032,-0.26205 -0.1875,-0.4375 l 0,-7.53125 c -3.2e-6,-0.2164353 0.1030684,-0.4073566 0.3125,-0.5625 0.1418315,-0.094545 0.3893527,-0.2417266 0.78125,-0.4375 1.593792,-0.8107376 3.03169,-1.1874871 4.28125,-1.1875 z m 4.4375,1.9375 c -0.342706,0.1226406 -0.355438,0.656233 -0.5,1.375 -0.223336,-0.06073 -0.456025,-0.114193 -0.6875,-0.125 -0.319018,-0.021 -0.822172,0.073 -1.1875,0.0625 -0.365324,-0.0105 -1.089266,-0.319558 -1.6875,-0.25 -0.240525,0.02958 -0.495457,0.05507 -0.71875,0.15625 -0.136605,0.0619 -0.307097,0.253524 -0.40625,0.28125 -0.999033,0.2793 -2.6450261,0.290617 -2.3125,-1.21875 0.02589,-0.1159319 0.051471,-0.2239389 0,-0.25 -0.06413,-0.032479 -0.11842,0.018948 -0.15625,0.09375 -0.5817319,1.263211 0.450231,2.090082 1.625,2.09375 0.368882,-0.02847 0.188339,-0.02825 0.53125,-0.09375 l 0,0.0625 c -0.02423,0.418425 0.151498,0.773091 0.5,1 -0.159883,0.455791 -0.470574,0.831401 -0.6875,1.25 0.08154,0.520418 0.625493,1.213538 0.84375,1.3125 0.19213,0.08713 0.590499,0.108967 0.6875,-0.03125 0.03464,-0.06594 0.03819,-0.208665 0,-0.28125 0.164063,0.181767 0.379948,0.409467 0.59375,0.53125 0.128025,0.06105 0.26257,0.120068 0.40625,0.125 l 0.15625,-0.03125 c 0.09128,-0.01967 0.220122,-0.01257 0.28125,-0.09375 0.06906,-0.117457 0.0042,-0.445257 -0.28125,-0.46875 -0.285459,-0.02351 -0.487565,-0.141306 -0.75,-0.53125 -0.216207,-0.376982 0.06825,-0.879067 0.15625,-1.25 0.451569,0.07267 0.885787,0.123856 1.34375,0.125 0.198682,-0.0101 0.397908,-0.02487 0.59375,-0.0625 0.06247,0.159574 0.248509,0.592654 0.25,0.71875 0.0042,0.355223 -0.119979,0.738597 -0.0625,1.09375 0.03593,0.104625 -0.01675,0.27314 0.1875,0.28125 0.122159,0.0062 0.03564,0.02295 0.21875,0.03125 l 0.1875,0 c 0.201842,0.338685 0.353672,0.332318 0.75,0.34375 L 17.375,15.25 c 0.06687,-0.02489 0.146577,-0.02959 0.1875,-0.09375 0.133768,-0.194285 -0.220263,-0.284732 -0.34375,-0.5 -0.123507,-0.215248 -0.635898,-1.387969 -0.25,-1.71875 0.385924,-0.330776 0.809257,-0.471475 0.96875,-0.78125 0.06907,-0.139714 0.138021,-0.279525 0.15625,-0.4375 0.09397,0.07799 0.154815,0.152169 0.28125,0.15625 0.334451,0.02101 0.632038,-0.392722 0.78125,-0.78125 0.07973,-0.238471 0.140218,-0.485838 0.125,-0.71875 -0.04092,-0.4488514 0.01685,-0.9204038 0.375,-1.21875 C 19.302812,9.334652 18.96272,9.5357952 18.5625,9.59375 18.341438,9.5266203 18.109109,9.4905105 17.875,9.5 17.760279,9.3505649 17.607384,9.0636657 17.40625,9.03125 z m -4.75,4.34375 c -0.01157,0.166727 -0.02255,0.305428 0.03125,0.46875 0.163898,0.134904 0.380252,0.35428 0.53125,0.5 -0.082,-0.02263 -0.235596,-0.0612 -0.3125,-0.03125 L 12.84375,14.28125 12.8125,14.25 c -0.108862,-0.1172 -0.395316,-0.310466 -0.34375,-0.5 0.04127,-0.131581 0.125136,-0.250383 0.1875,-0.375 z\" transform=\"scale(4.6545455,4.6545455)\"\n/>\n </g>\n</svg>"},"$:/plugins/TheDiveO/FontAwesome/license":{"title":"$:/plugins/TheDiveO/FontAwesome/license","created":"20140901105404058","modified":"20170223103858754","type":"text/vnd.tiddlywiki","text":"This plugin is licensed as follows:\n\n* Font Awesome font license: [[SIL OFL 1.1|http://scripts.sil.org/OFL]].\n* Font Awesome CSS and LESS files licenses: [[MIT License|http://opensource.org/licenses/mit-license.html]].\n* everything else in this plugin is (c) by TheDiveO and licensed under the [[MIT License|http://opensource.org/licenses/mit-license.html]]."},"$:/plugins/TheDiveO/FontAwesome/macros/css settings":{"title":"$:/plugins/TheDiveO/FontAwesome/macros/css settings","created":"20171230210524160","modified":"20171230211107336","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define fa-plugin-font-solid()\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 900; \n\\end\n\n\\define fa-plugin-font-regular()\n font-family: 'Font Awesome 5 Free';\n font-style: normal;\n font-weight: 400; \n\\end\n\n\\define fa-plugin-font-brands()\n font-family: 'Font Awesome 5 Brands';\n font-style: normal;\n font-weight: normal; \n\\end\n"},"$:/plugins/TheDiveO/FontAwesome/macros/fa/doc":{"title":"$:/plugins/TheDiveO/FontAwesome/macros/fa/doc","created":"20140831145557569","modified":"20171230205047837","type":"text/vnd.tiddlywiki","text":"Convenience macros to typeset symbols from Font Awesome.\n\n;`fa-lbox` //glyph//:\"fa-flag\" //fam//:\"fas\"\n: typesets the Font Awesome glyp (defaults to `fa-flag` glyph) in a gray box, and pulls it to the left.\n: As Font Awesome 5.0 has broken up the single 4.x font into multiple fonts, this macro now sports a second optional parameter for setting the font family. Allowed values are `fas` (for //Font Awesome Solid//), `far` (for //Font Awesome Regular//), and finally `fab` (for //Font Awesome Brands//).\n\n;`fa-lbadge` //glyph//:\"fa-flag\"\n: typesets the Font Awesome glyph (defaults to `fa-flag` glyph) in inverse, on a round badge. Also pulls the badge with the icon to the left.\n: As Font Awesome 5.0 has broken up the single 4.x font into multiple fonts, this macro now sports a second optional parameter for setting the font family. Allowed values are `fas` (for //Font Awesome Solid//), `far` (for //Font Awesome Regular//), and finally `fab` (for //Font Awesome Brands//).\n\n;`fa-clear`\n: convenience macro that inserts an empty HTML `div` element with styling `clear:both`. Use this before multiple `fa-lbox` and `fa-lbadge` macros to avoid them piling up from left to right."},"$:/plugins/TheDiveO/FontAwesome/macros/fa":{"title":"$:/plugins/TheDiveO/FontAwesome/macros/fa","created":"20140831145445334","modified":"20171230204202514","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define fa-lbox(glyph:\"fa-flag\",fam:\"fas\")\n<i class=\"$fam$ fa-2x pull-left fa-border $glyph$\"></i>\n\\end\n\n\\define fa-lbadge(glyph:\"fa-flag\",fam:\"fas\")\n<span class=\"fa-stack fa-lg fa-pull-left\" style=\"margin-right: .3em;\"><i class=\"fas fa-circle fa-stack-2x\"></i><i class=\"$fam$ fa-stack-1x fa-inverse $glyph$\"></i></span>\n\\end\n\n\\define fa-clear()\n<div style=\"clear:both;\"/>\n\\end\n"},"$:/plugins/TheDiveO/FontAwesome/macros/global-macros":{"title":"$:/plugins/TheDiveO/FontAwesome/macros/global-macros","created":"20180328184036630","modified":"20180328191101221","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define fa5-cfgpath(cfg) $:/config/fa5/$cfg$\n\n\\define fa5-cfgfilterexpr() [<cfg>get[text]] [[yes]] +[first[]prefix[yes]]"},"$:/plugins/TheDiveO/FontAwesome/readme":{"title":"$:/plugins/TheDiveO/FontAwesome/readme","created":"20140901105307611","modified":"20180112190527729","type":"text/vnd.tiddlywiki","text":"This plugin adds support for Font Awesome to your ~TiddlyWiki 5. It embeds the [[Font Awesome|http://fontawesome.io/]] within this plugin, so you don't need to install this font in your operating system. You may, but the //embedded// Font Awesome will take precedence to ensure a consistent user experience.\n\nTo install this plugin in your own ~TiddlyWiki(s), simply drop this [[FontAwesome plugin|$:/plugins/TheDiveO/FontAwesome]] onto your own ~TiddlyWiki(s): this will then import it."},"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/FontAwesome":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/FontAwesome","created":"20170227162302202","list-after":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks","modified":"20180328191153542","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-wk-extlinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\na[href^=\"http://fontawesome.io/\"].tc-tiddlylink-external:before,\na[href^=\"http://fontawesome.com/\"].tc-tiddlylink-external:before,\na[href^=\"https://fontawesome.io/\"].tc-tiddlylink-external:before,\na[href^=\"https://fontawesome.com/\"].tc-tiddlylink-external:before {\n <<fa-plugin-font-brands>>\n content: '\\f425\\202f' !important;\n display: inline-block;\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/GitHub":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/GitHub","created":"20170223102202986","list-after":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks","modified":"20180328191203844","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-wk-extlinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\na[href^=\"http://\"][href*=\"github.com\"]:before {\n <<fa-plugin-font-brands>>\n font-size: 90%;\n content: '\\f09b\\202f';\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/Wikipedia":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/Wikipedia","created":"20170223100306336","modified":"20180328191215033","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-wk-extlinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\na[href^=\"http://\"][href*=\".wikipedia.org\"]:before {\n <<fa-plugin-font-brands>>\n font-size: 90%;\n content: '[\\f266]\\202f';\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/doctypes":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks/doctypes","created":"20170301201914393","list-after":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks","modified":"20180328191142557","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-extdoclinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\na[href$=\".pdf\"].tc-tiddlylink-external:before {\n <<fa-plugin-font-regular>>\n content: '\\f1c1\\202f';\n display: inline-block;\n}\n\na[href$=\".gz\"].tc-tiddlylink-external:before, \na[href$=\".zip\"].tc-tiddlylink-external:before,\na[href$=\".7z\"].tc-tiddlylink-external:before {\n <<fa-plugin-font-regular>>\n content: '\\f1c6\\202f';\n display: inline-block;\n}\n\na[href$=\".jpg\"].tc-tiddlylink-external:before,\na[href$=\".jpeg\"].tc-tiddlylink-external:before,\na[href$=\".png\"].tc-tiddlylink-external:before,\na[href$=\".gif\"].tc-tiddlylink-external:before,\na[href$=\".tif\"].tc-tiddlylink-external:before,\na[href$=\".tiff\"].tc-tiddlylink-external:before {\n <<fa-plugin-font-regular>>\n content: '\\f1c5\\202f';\n display: inline-block;\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/styles/extlinks":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/extlinks","created":"20170223100043117","modified":"20180328191133975","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-extlinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\na[href^=\"http://\"]:before {\n <<fa-plugin-font-solid>>\n font-size: 80%;\n content: '\\f35d\\202f';\n display: inline-block;\n}\n\na[href^=\"https://\"]:before {\n <<fa-plugin-font-solid>>\n font-size: 80%;\n content: '\\f023\\202f';\n display: inline-block;\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/styles/fontawesome 5.css":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/fontawesome 5.css","tags":"$:/tags/Stylesheet","type":"text/css","text":"/* autoimported from 'fontawesome-free-5.0.13' */\n/*!\n * Font Awesome Free 5.0.13 by @fontawesome - https://fontawesome.com\n * License - https://fontawesome.com/license (Icons: CC BY 4.0, Fonts: SIL OFL 1.1, Code: MIT License)\n */\n.fa,\n.fas,\n.far,\n.fal,\n.fab {\n -moz-osx-font-smoothing: grayscale;\n -webkit-font-smoothing: antialiased;\n display: inline-block;\n font-style: normal;\n font-variant: normal;\n text-rendering: auto;\n line-height: 1; }\n\n.fa-lg {\n font-size: 1.33333em;\n line-height: 0.75em;\n vertical-align: -.0667em; }\n\n.fa-xs {\n font-size: .75em; }\n\n.fa-sm {\n font-size: .875em; }\n\n.fa-1x {\n font-size: 1em; }\n\n.fa-2x {\n font-size: 2em; }\n\n.fa-3x {\n font-size: 3em; }\n\n.fa-4x {\n font-size: 4em; }\n\n.fa-5x {\n font-size: 5em; }\n\n.fa-6x {\n font-size: 6em; }\n\n.fa-7x {\n font-size: 7em; }\n\n.fa-8x {\n font-size: 8em; }\n\n.fa-9x {\n font-size: 9em; }\n\n.fa-10x {\n font-size: 10em; }\n\n.fa-fw {\n text-align: center;\n width: 1.25em; }\n\n.fa-ul {\n list-style-type: none;\n margin-left: 2.5em;\n padding-left: 0; }\n .fa-ul > li {\n position: relative; }\n\n.fa-li {\n left: -2em;\n position: absolute;\n text-align: center;\n width: 2em;\n line-height: inherit; }\n\n.fa-border {\n border: solid 0.08em #eee;\n border-radius: .1em;\n padding: .2em .25em .15em; }\n\n.fa-pull-left {\n float: left; }\n\n.fa-pull-right {\n float: right; }\n\n.fa.fa-pull-left,\n.fas.fa-pull-left,\n.far.fa-pull-left,\n.fal.fa-pull-left,\n.fab.fa-pull-left {\n margin-right: .3em; }\n\n.fa.fa-pull-right,\n.fas.fa-pull-right,\n.far.fa-pull-right,\n.fal.fa-pull-right,\n.fab.fa-pull-right {\n margin-left: .3em; }\n\n.fa-spin {\n -webkit-animation: fa-spin 2s infinite linear;\n animation: fa-spin 2s infinite linear; }\n\n.fa-pulse {\n -webkit-animation: fa-spin 1s infinite steps(8);\n animation: fa-spin 1s infinite steps(8); }\n\n@-webkit-keyframes fa-spin {\n 0% {\n -webkit-transform: rotate(0deg);\n transform: rotate(0deg); }\n 100% {\n -webkit-transform: rotate(360deg);\n transform: rotate(360deg); } }\n\n@keyframes fa-spin {\n 0% {\n -webkit-transform: rotate(0deg);\n transform: rotate(0deg); }\n 100% {\n -webkit-transform: rotate(360deg);\n transform: rotate(360deg); } }\n\n.fa-rotate-90 {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=1)\";\n -webkit-transform: rotate(90deg);\n transform: rotate(90deg); }\n\n.fa-rotate-180 {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=2)\";\n -webkit-transform: rotate(180deg);\n transform: rotate(180deg); }\n\n.fa-rotate-270 {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=3)\";\n -webkit-transform: rotate(270deg);\n transform: rotate(270deg); }\n\n.fa-flip-horizontal {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=0, mirror=1)\";\n -webkit-transform: scale(-1, 1);\n transform: scale(-1, 1); }\n\n.fa-flip-vertical {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=2, mirror=1)\";\n -webkit-transform: scale(1, -1);\n transform: scale(1, -1); }\n\n.fa-flip-horizontal.fa-flip-vertical {\n -ms-filter: \"progid:DXImageTransform.Microsoft.BasicImage(rotation=2, mirror=1)\";\n -webkit-transform: scale(-1, -1);\n transform: scale(-1, -1); }\n\n:root .fa-rotate-90,\n:root .fa-rotate-180,\n:root .fa-rotate-270,\n:root .fa-flip-horizontal,\n:root .fa-flip-vertical {\n -webkit-filter: none;\n filter: none; }\n\n.fa-stack {\n display: inline-block;\n height: 2em;\n line-height: 2em;\n position: relative;\n vertical-align: middle;\n width: 2em; }\n\n.fa-stack-1x,\n.fa-stack-2x {\n left: 0;\n position: absolute;\n text-align: center;\n width: 100%; }\n\n.fa-stack-1x {\n line-height: inherit; }\n\n.fa-stack-2x {\n font-size: 2em; }\n\n.fa-inverse {\n color: #fff; }\n\n/* Font Awesome uses the Unicode Private Use Area (PUA) to ensure screen\nreaders do not read off random characters that represent icons */\n.fa-500px:before {\n content: \"\\f26e\"; }\n\n.fa-accessible-icon:before {\n content: \"\\f368\"; }\n\n.fa-accusoft:before {\n content: \"\\f369\"; }\n\n.fa-address-book:before {\n content: \"\\f2b9\"; }\n\n.fa-address-card:before {\n content: \"\\f2bb\"; }\n\n.fa-adjust:before {\n content: \"\\f042\"; }\n\n.fa-adn:before {\n content: \"\\f170\"; }\n\n.fa-adversal:before {\n content: \"\\f36a\"; }\n\n.fa-affiliatetheme:before {\n content: \"\\f36b\"; }\n\n.fa-algolia:before {\n content: \"\\f36c\"; }\n\n.fa-align-center:before {\n content: \"\\f037\"; }\n\n.fa-align-justify:before {\n content: \"\\f039\"; }\n\n.fa-align-left:before {\n content: \"\\f036\"; }\n\n.fa-align-right:before {\n content: \"\\f038\"; }\n\n.fa-allergies:before {\n content: \"\\f461\"; }\n\n.fa-amazon:before {\n content: \"\\f270\"; }\n\n.fa-amazon-pay:before {\n content: \"\\f42c\"; }\n\n.fa-ambulance:before {\n content: \"\\f0f9\"; }\n\n.fa-american-sign-language-interpreting:before {\n content: \"\\f2a3\"; }\n\n.fa-amilia:before {\n content: \"\\f36d\"; }\n\n.fa-anchor:before {\n content: \"\\f13d\"; }\n\n.fa-android:before {\n content: \"\\f17b\"; }\n\n.fa-angellist:before {\n content: \"\\f209\"; }\n\n.fa-angle-double-down:before {\n content: \"\\f103\"; }\n\n.fa-angle-double-left:before {\n content: \"\\f100\"; }\n\n.fa-angle-double-right:before {\n content: \"\\f101\"; }\n\n.fa-angle-double-up:before {\n content: \"\\f102\"; }\n\n.fa-angle-down:before {\n content: \"\\f107\"; }\n\n.fa-angle-left:before {\n content: \"\\f104\"; }\n\n.fa-angle-right:before {\n content: \"\\f105\"; }\n\n.fa-angle-up:before {\n content: \"\\f106\"; }\n\n.fa-angrycreative:before {\n content: \"\\f36e\"; }\n\n.fa-angular:before {\n content: \"\\f420\"; }\n\n.fa-app-store:before {\n content: \"\\f36f\"; }\n\n.fa-app-store-ios:before {\n content: \"\\f370\"; }\n\n.fa-apper:before {\n content: \"\\f371\"; }\n\n.fa-apple:before {\n content: \"\\f179\"; }\n\n.fa-apple-pay:before {\n content: \"\\f415\"; }\n\n.fa-archive:before {\n content: \"\\f187\"; }\n\n.fa-arrow-alt-circle-down:before {\n content: \"\\f358\"; }\n\n.fa-arrow-alt-circle-left:before {\n content: \"\\f359\"; }\n\n.fa-arrow-alt-circle-right:before {\n content: \"\\f35a\"; }\n\n.fa-arrow-alt-circle-up:before {\n content: \"\\f35b\"; }\n\n.fa-arrow-circle-down:before {\n content: \"\\f0ab\"; }\n\n.fa-arrow-circle-left:before {\n content: \"\\f0a8\"; }\n\n.fa-arrow-circle-right:before {\n content: \"\\f0a9\"; }\n\n.fa-arrow-circle-up:before {\n content: \"\\f0aa\"; }\n\n.fa-arrow-down:before {\n content: \"\\f063\"; }\n\n.fa-arrow-left:before {\n content: \"\\f060\"; }\n\n.fa-arrow-right:before {\n content: \"\\f061\"; }\n\n.fa-arrow-up:before {\n content: \"\\f062\"; }\n\n.fa-arrows-alt:before {\n content: \"\\f0b2\"; }\n\n.fa-arrows-alt-h:before {\n content: \"\\f337\"; }\n\n.fa-arrows-alt-v:before {\n content: \"\\f338\"; }\n\n.fa-assistive-listening-systems:before {\n content: \"\\f2a2\"; }\n\n.fa-asterisk:before {\n content: \"\\f069\"; }\n\n.fa-asymmetrik:before {\n content: \"\\f372\"; }\n\n.fa-at:before {\n content: \"\\f1fa\"; }\n\n.fa-audible:before {\n content: \"\\f373\"; }\n\n.fa-audio-description:before {\n content: \"\\f29e\"; }\n\n.fa-autoprefixer:before {\n content: \"\\f41c\"; }\n\n.fa-avianex:before {\n content: \"\\f374\"; }\n\n.fa-aviato:before {\n content: \"\\f421\"; }\n\n.fa-aws:before {\n content: \"\\f375\"; }\n\n.fa-backward:before {\n content: \"\\f04a\"; }\n\n.fa-balance-scale:before {\n content: \"\\f24e\"; }\n\n.fa-ban:before {\n content: \"\\f05e\"; }\n\n.fa-band-aid:before {\n content: \"\\f462\"; }\n\n.fa-bandcamp:before {\n content: \"\\f2d5\"; }\n\n.fa-barcode:before {\n content: \"\\f02a\"; }\n\n.fa-bars:before {\n content: \"\\f0c9\"; }\n\n.fa-baseball-ball:before {\n content: \"\\f433\"; }\n\n.fa-basketball-ball:before {\n content: \"\\f434\"; }\n\n.fa-bath:before {\n content: \"\\f2cd\"; }\n\n.fa-battery-empty:before {\n content: \"\\f244\"; }\n\n.fa-battery-full:before {\n content: \"\\f240\"; }\n\n.fa-battery-half:before {\n content: \"\\f242\"; }\n\n.fa-battery-quarter:before {\n content: \"\\f243\"; }\n\n.fa-battery-three-quarters:before {\n content: \"\\f241\"; }\n\n.fa-bed:before {\n content: \"\\f236\"; }\n\n.fa-beer:before {\n content: \"\\f0fc\"; }\n\n.fa-behance:before {\n content: \"\\f1b4\"; }\n\n.fa-behance-square:before {\n content: \"\\f1b5\"; }\n\n.fa-bell:before {\n content: \"\\f0f3\"; }\n\n.fa-bell-slash:before {\n content: \"\\f1f6\"; }\n\n.fa-bicycle:before {\n content: \"\\f206\"; }\n\n.fa-bimobject:before {\n content: \"\\f378\"; }\n\n.fa-binoculars:before {\n content: \"\\f1e5\"; }\n\n.fa-birthday-cake:before {\n content: \"\\f1fd\"; }\n\n.fa-bitbucket:before {\n content: \"\\f171\"; }\n\n.fa-bitcoin:before {\n content: \"\\f379\"; }\n\n.fa-bity:before {\n content: \"\\f37a\"; }\n\n.fa-black-tie:before {\n content: \"\\f27e\"; }\n\n.fa-blackberry:before {\n content: \"\\f37b\"; }\n\n.fa-blender:before {\n content: \"\\f517\"; }\n\n.fa-blind:before {\n content: \"\\f29d\"; }\n\n.fa-blogger:before {\n content: \"\\f37c\"; }\n\n.fa-blogger-b:before {\n content: \"\\f37d\"; }\n\n.fa-bluetooth:before {\n content: \"\\f293\"; }\n\n.fa-bluetooth-b:before {\n content: \"\\f294\"; }\n\n.fa-bold:before {\n content: \"\\f032\"; }\n\n.fa-bolt:before {\n content: \"\\f0e7\"; }\n\n.fa-bomb:before {\n content: \"\\f1e2\"; }\n\n.fa-book:before {\n content: \"\\f02d\"; }\n\n.fa-book-open:before {\n content: \"\\f518\"; }\n\n.fa-bookmark:before {\n content: \"\\f02e\"; }\n\n.fa-bowling-ball:before {\n content: \"\\f436\"; }\n\n.fa-box:before {\n content: \"\\f466\"; }\n\n.fa-box-open:before {\n content: \"\\f49e\"; }\n\n.fa-boxes:before {\n content: \"\\f468\"; }\n\n.fa-braille:before {\n content: \"\\f2a1\"; }\n\n.fa-briefcase:before {\n content: \"\\f0b1\"; }\n\n.fa-briefcase-medical:before {\n content: \"\\f469\"; }\n\n.fa-broadcast-tower:before {\n content: \"\\f519\"; }\n\n.fa-broom:before {\n content: \"\\f51a\"; }\n\n.fa-btc:before {\n content: \"\\f15a\"; }\n\n.fa-bug:before {\n content: \"\\f188\"; }\n\n.fa-building:before {\n content: \"\\f1ad\"; }\n\n.fa-bullhorn:before {\n content: \"\\f0a1\"; }\n\n.fa-bullseye:before {\n content: \"\\f140\"; }\n\n.fa-burn:before {\n content: \"\\f46a\"; }\n\n.fa-buromobelexperte:before {\n content: \"\\f37f\"; }\n\n.fa-bus:before {\n content: \"\\f207\"; }\n\n.fa-buysellads:before {\n content: \"\\f20d\"; }\n\n.fa-calculator:before {\n content: \"\\f1ec\"; }\n\n.fa-calendar:before {\n content: \"\\f133\"; }\n\n.fa-calendar-alt:before {\n content: \"\\f073\"; }\n\n.fa-calendar-check:before {\n content: \"\\f274\"; }\n\n.fa-calendar-minus:before {\n content: \"\\f272\"; }\n\n.fa-calendar-plus:before {\n content: \"\\f271\"; }\n\n.fa-calendar-times:before {\n content: \"\\f273\"; }\n\n.fa-camera:before {\n content: \"\\f030\"; }\n\n.fa-camera-retro:before {\n content: \"\\f083\"; }\n\n.fa-capsules:before {\n content: \"\\f46b\"; }\n\n.fa-car:before {\n content: \"\\f1b9\"; }\n\n.fa-caret-down:before {\n content: \"\\f0d7\"; }\n\n.fa-caret-left:before {\n content: \"\\f0d9\"; }\n\n.fa-caret-right:before {\n content: \"\\f0da\"; }\n\n.fa-caret-square-down:before {\n content: \"\\f150\"; }\n\n.fa-caret-square-left:before {\n content: \"\\f191\"; }\n\n.fa-caret-square-right:before {\n content: \"\\f152\"; }\n\n.fa-caret-square-up:before {\n content: \"\\f151\"; }\n\n.fa-caret-up:before {\n content: \"\\f0d8\"; }\n\n.fa-cart-arrow-down:before {\n content: \"\\f218\"; }\n\n.fa-cart-plus:before {\n content: \"\\f217\"; }\n\n.fa-cc-amazon-pay:before {\n content: \"\\f42d\"; }\n\n.fa-cc-amex:before {\n content: \"\\f1f3\"; }\n\n.fa-cc-apple-pay:before {\n content: \"\\f416\"; }\n\n.fa-cc-diners-club:before {\n content: \"\\f24c\"; }\n\n.fa-cc-discover:before {\n content: \"\\f1f2\"; }\n\n.fa-cc-jcb:before {\n content: \"\\f24b\"; }\n\n.fa-cc-mastercard:before {\n content: \"\\f1f1\"; }\n\n.fa-cc-paypal:before {\n content: \"\\f1f4\"; }\n\n.fa-cc-stripe:before {\n content: \"\\f1f5\"; }\n\n.fa-cc-visa:before {\n content: \"\\f1f0\"; }\n\n.fa-centercode:before {\n content: \"\\f380\"; }\n\n.fa-certificate:before {\n content: \"\\f0a3\"; }\n\n.fa-chalkboard:before {\n content: \"\\f51b\"; }\n\n.fa-chalkboard-teacher:before {\n content: \"\\f51c\"; }\n\n.fa-chart-area:before {\n content: \"\\f1fe\"; }\n\n.fa-chart-bar:before {\n content: \"\\f080\"; }\n\n.fa-chart-line:before {\n content: \"\\f201\"; }\n\n.fa-chart-pie:before {\n content: \"\\f200\"; }\n\n.fa-check:before {\n content: \"\\f00c\"; }\n\n.fa-check-circle:before {\n content: \"\\f058\"; }\n\n.fa-check-square:before {\n content: \"\\f14a\"; }\n\n.fa-chess:before {\n content: \"\\f439\"; }\n\n.fa-chess-bishop:before {\n content: \"\\f43a\"; }\n\n.fa-chess-board:before {\n content: \"\\f43c\"; }\n\n.fa-chess-king:before {\n content: \"\\f43f\"; }\n\n.fa-chess-knight:before {\n content: \"\\f441\"; }\n\n.fa-chess-pawn:before {\n content: \"\\f443\"; }\n\n.fa-chess-queen:before {\n content: \"\\f445\"; }\n\n.fa-chess-rook:before {\n content: \"\\f447\"; }\n\n.fa-chevron-circle-down:before {\n content: \"\\f13a\"; }\n\n.fa-chevron-circle-left:before {\n content: \"\\f137\"; }\n\n.fa-chevron-circle-right:before {\n content: \"\\f138\"; }\n\n.fa-chevron-circle-up:before {\n content: \"\\f139\"; }\n\n.fa-chevron-down:before {\n content: \"\\f078\"; }\n\n.fa-chevron-left:before {\n content: \"\\f053\"; }\n\n.fa-chevron-right:before {\n content: \"\\f054\"; }\n\n.fa-chevron-up:before {\n content: \"\\f077\"; }\n\n.fa-child:before {\n content: \"\\f1ae\"; }\n\n.fa-chrome:before {\n content: \"\\f268\"; }\n\n.fa-church:before {\n content: \"\\f51d\"; }\n\n.fa-circle:before {\n content: \"\\f111\"; }\n\n.fa-circle-notch:before {\n content: \"\\f1ce\"; }\n\n.fa-clipboard:before {\n content: \"\\f328\"; }\n\n.fa-clipboard-check:before {\n content: \"\\f46c\"; }\n\n.fa-clipboard-list:before {\n content: \"\\f46d\"; }\n\n.fa-clock:before {\n content: \"\\f017\"; }\n\n.fa-clone:before {\n content: \"\\f24d\"; }\n\n.fa-closed-captioning:before {\n content: \"\\f20a\"; }\n\n.fa-cloud:before {\n content: \"\\f0c2\"; }\n\n.fa-cloud-download-alt:before {\n content: \"\\f381\"; }\n\n.fa-cloud-upload-alt:before {\n content: \"\\f382\"; }\n\n.fa-cloudscale:before {\n content: \"\\f383\"; }\n\n.fa-cloudsmith:before {\n content: \"\\f384\"; }\n\n.fa-cloudversify:before {\n content: \"\\f385\"; }\n\n.fa-code:before {\n content: \"\\f121\"; }\n\n.fa-code-branch:before {\n content: \"\\f126\"; }\n\n.fa-codepen:before {\n content: \"\\f1cb\"; }\n\n.fa-codiepie:before {\n content: \"\\f284\"; }\n\n.fa-coffee:before {\n content: \"\\f0f4\"; }\n\n.fa-cog:before {\n content: \"\\f013\"; }\n\n.fa-cogs:before {\n content: \"\\f085\"; }\n\n.fa-coins:before {\n content: \"\\f51e\"; }\n\n.fa-columns:before {\n content: \"\\f0db\"; }\n\n.fa-comment:before {\n content: \"\\f075\"; }\n\n.fa-comment-alt:before {\n content: \"\\f27a\"; }\n\n.fa-comment-dots:before {\n content: \"\\f4ad\"; }\n\n.fa-comment-slash:before {\n content: \"\\f4b3\"; }\n\n.fa-comments:before {\n content: \"\\f086\"; }\n\n.fa-compact-disc:before {\n content: \"\\f51f\"; }\n\n.fa-compass:before {\n content: \"\\f14e\"; }\n\n.fa-compress:before {\n content: \"\\f066\"; }\n\n.fa-connectdevelop:before {\n content: \"\\f20e\"; }\n\n.fa-contao:before {\n content: \"\\f26d\"; }\n\n.fa-copy:before {\n content: \"\\f0c5\"; }\n\n.fa-copyright:before {\n content: \"\\f1f9\"; }\n\n.fa-couch:before {\n content: \"\\f4b8\"; }\n\n.fa-cpanel:before {\n content: \"\\f388\"; }\n\n.fa-creative-commons:before {\n content: \"\\f25e\"; }\n\n.fa-creative-commons-by:before {\n content: \"\\f4e7\"; }\n\n.fa-creative-commons-nc:before {\n content: \"\\f4e8\"; }\n\n.fa-creative-commons-nc-eu:before {\n content: \"\\f4e9\"; }\n\n.fa-creative-commons-nc-jp:before {\n content: \"\\f4ea\"; }\n\n.fa-creative-commons-nd:before {\n content: \"\\f4eb\"; }\n\n.fa-creative-commons-pd:before {\n content: \"\\f4ec\"; }\n\n.fa-creative-commons-pd-alt:before {\n content: \"\\f4ed\"; }\n\n.fa-creative-commons-remix:before {\n content: \"\\f4ee\"; }\n\n.fa-creative-commons-sa:before {\n content: \"\\f4ef\"; }\n\n.fa-creative-commons-sampling:before {\n content: \"\\f4f0\"; }\n\n.fa-creative-commons-sampling-plus:before {\n content: \"\\f4f1\"; }\n\n.fa-creative-commons-share:before {\n content: \"\\f4f2\"; }\n\n.fa-credit-card:before {\n content: \"\\f09d\"; }\n\n.fa-crop:before {\n content: \"\\f125\"; }\n\n.fa-crosshairs:before {\n content: \"\\f05b\"; }\n\n.fa-crow:before {\n content: \"\\f520\"; }\n\n.fa-crown:before {\n content: \"\\f521\"; }\n\n.fa-css3:before {\n content: \"\\f13c\"; }\n\n.fa-css3-alt:before {\n content: \"\\f38b\"; }\n\n.fa-cube:before {\n content: \"\\f1b2\"; }\n\n.fa-cubes:before {\n content: \"\\f1b3\"; }\n\n.fa-cut:before {\n content: \"\\f0c4\"; }\n\n.fa-cuttlefish:before {\n content: \"\\f38c\"; }\n\n.fa-d-and-d:before {\n content: \"\\f38d\"; }\n\n.fa-dashcube:before {\n content: \"\\f210\"; }\n\n.fa-database:before {\n content: \"\\f1c0\"; }\n\n.fa-deaf:before {\n content: \"\\f2a4\"; }\n\n.fa-delicious:before {\n content: \"\\f1a5\"; }\n\n.fa-deploydog:before {\n content: \"\\f38e\"; }\n\n.fa-deskpro:before {\n content: \"\\f38f\"; }\n\n.fa-desktop:before {\n content: \"\\f108\"; }\n\n.fa-deviantart:before {\n content: \"\\f1bd\"; }\n\n.fa-diagnoses:before {\n content: \"\\f470\"; }\n\n.fa-dice:before {\n content: \"\\f522\"; }\n\n.fa-dice-five:before {\n content: \"\\f523\"; }\n\n.fa-dice-four:before {\n content: \"\\f524\"; }\n\n.fa-dice-one:before {\n content: \"\\f525\"; }\n\n.fa-dice-six:before {\n content: \"\\f526\"; }\n\n.fa-dice-three:before {\n content: \"\\f527\"; }\n\n.fa-dice-two:before {\n content: \"\\f528\"; }\n\n.fa-digg:before {\n content: \"\\f1a6\"; }\n\n.fa-digital-ocean:before {\n content: \"\\f391\"; }\n\n.fa-discord:before {\n content: \"\\f392\"; }\n\n.fa-discourse:before {\n content: \"\\f393\"; }\n\n.fa-divide:before {\n content: \"\\f529\"; }\n\n.fa-dna:before {\n content: \"\\f471\"; }\n\n.fa-dochub:before {\n content: \"\\f394\"; }\n\n.fa-docker:before {\n content: \"\\f395\"; }\n\n.fa-dollar-sign:before {\n content: \"\\f155\"; }\n\n.fa-dolly:before {\n content: \"\\f472\"; }\n\n.fa-dolly-flatbed:before {\n content: \"\\f474\"; }\n\n.fa-donate:before {\n content: \"\\f4b9\"; }\n\n.fa-door-closed:before {\n content: \"\\f52a\"; }\n\n.fa-door-open:before {\n content: \"\\f52b\"; }\n\n.fa-dot-circle:before {\n content: \"\\f192\"; }\n\n.fa-dove:before {\n content: \"\\f4ba\"; }\n\n.fa-download:before {\n content: \"\\f019\"; }\n\n.fa-draft2digital:before {\n content: \"\\f396\"; }\n\n.fa-dribbble:before {\n content: \"\\f17d\"; }\n\n.fa-dribbble-square:before {\n content: \"\\f397\"; }\n\n.fa-dropbox:before {\n content: \"\\f16b\"; }\n\n.fa-drupal:before {\n content: \"\\f1a9\"; }\n\n.fa-dumbbell:before {\n content: \"\\f44b\"; }\n\n.fa-dyalog:before {\n content: \"\\f399\"; }\n\n.fa-earlybirds:before {\n content: \"\\f39a\"; }\n\n.fa-ebay:before {\n content: \"\\f4f4\"; }\n\n.fa-edge:before {\n content: \"\\f282\"; }\n\n.fa-edit:before {\n content: \"\\f044\"; }\n\n.fa-eject:before {\n content: \"\\f052\"; }\n\n.fa-elementor:before {\n content: \"\\f430\"; }\n\n.fa-ellipsis-h:before {\n content: \"\\f141\"; }\n\n.fa-ellipsis-v:before {\n content: \"\\f142\"; }\n\n.fa-ember:before {\n content: \"\\f423\"; }\n\n.fa-empire:before {\n content: \"\\f1d1\"; }\n\n.fa-envelope:before {\n content: \"\\f0e0\"; }\n\n.fa-envelope-open:before {\n content: \"\\f2b6\"; }\n\n.fa-envelope-square:before {\n content: \"\\f199\"; }\n\n.fa-envira:before {\n content: \"\\f299\"; }\n\n.fa-equals:before {\n content: \"\\f52c\"; }\n\n.fa-eraser:before {\n content: \"\\f12d\"; }\n\n.fa-erlang:before {\n content: \"\\f39d\"; }\n\n.fa-ethereum:before {\n content: \"\\f42e\"; }\n\n.fa-etsy:before {\n content: \"\\f2d7\"; }\n\n.fa-euro-sign:before {\n content: \"\\f153\"; }\n\n.fa-exchange-alt:before {\n content: \"\\f362\"; }\n\n.fa-exclamation:before {\n content: \"\\f12a\"; }\n\n.fa-exclamation-circle:before {\n content: \"\\f06a\"; }\n\n.fa-exclamation-triangle:before {\n content: \"\\f071\"; }\n\n.fa-expand:before {\n content: \"\\f065\"; }\n\n.fa-expand-arrows-alt:before {\n content: \"\\f31e\"; }\n\n.fa-expeditedssl:before {\n content: \"\\f23e\"; }\n\n.fa-external-link-alt:before {\n content: \"\\f35d\"; }\n\n.fa-external-link-square-alt:before {\n content: \"\\f360\"; }\n\n.fa-eye:before {\n content: \"\\f06e\"; }\n\n.fa-eye-dropper:before {\n content: \"\\f1fb\"; }\n\n.fa-eye-slash:before {\n content: \"\\f070\"; }\n\n.fa-facebook:before {\n content: \"\\f09a\"; }\n\n.fa-facebook-f:before {\n content: \"\\f39e\"; }\n\n.fa-facebook-messenger:before {\n content: \"\\f39f\"; }\n\n.fa-facebook-square:before {\n content: \"\\f082\"; }\n\n.fa-fast-backward:before {\n content: \"\\f049\"; }\n\n.fa-fast-forward:before {\n content: \"\\f050\"; }\n\n.fa-fax:before {\n content: \"\\f1ac\"; }\n\n.fa-feather:before {\n content: \"\\f52d\"; }\n\n.fa-female:before {\n content: \"\\f182\"; }\n\n.fa-fighter-jet:before {\n content: \"\\f0fb\"; }\n\n.fa-file:before {\n content: \"\\f15b\"; }\n\n.fa-file-alt:before {\n content: \"\\f15c\"; }\n\n.fa-file-archive:before {\n content: \"\\f1c6\"; }\n\n.fa-file-audio:before {\n content: \"\\f1c7\"; }\n\n.fa-file-code:before {\n content: \"\\f1c9\"; }\n\n.fa-file-excel:before {\n content: \"\\f1c3\"; }\n\n.fa-file-image:before {\n content: \"\\f1c5\"; }\n\n.fa-file-medical:before {\n content: \"\\f477\"; }\n\n.fa-file-medical-alt:before {\n content: \"\\f478\"; }\n\n.fa-file-pdf:before {\n content: \"\\f1c1\"; }\n\n.fa-file-powerpoint:before {\n content: \"\\f1c4\"; }\n\n.fa-file-video:before {\n content: \"\\f1c8\"; }\n\n.fa-file-word:before {\n content: \"\\f1c2\"; }\n\n.fa-film:before {\n content: \"\\f008\"; }\n\n.fa-filter:before {\n content: \"\\f0b0\"; }\n\n.fa-fire:before {\n content: \"\\f06d\"; }\n\n.fa-fire-extinguisher:before {\n content: \"\\f134\"; }\n\n.fa-firefox:before {\n content: \"\\f269\"; }\n\n.fa-first-aid:before {\n content: \"\\f479\"; }\n\n.fa-first-order:before {\n content: \"\\f2b0\"; }\n\n.fa-first-order-alt:before {\n content: \"\\f50a\"; }\n\n.fa-firstdraft:before {\n content: \"\\f3a1\"; }\n\n.fa-flag:before {\n content: \"\\f024\"; }\n\n.fa-flag-checkered:before {\n content: \"\\f11e\"; }\n\n.fa-flask:before {\n content: \"\\f0c3\"; }\n\n.fa-flickr:before {\n content: \"\\f16e\"; }\n\n.fa-flipboard:before {\n content: \"\\f44d\"; }\n\n.fa-fly:before {\n content: \"\\f417\"; }\n\n.fa-folder:before {\n content: \"\\f07b\"; }\n\n.fa-folder-open:before {\n content: \"\\f07c\"; }\n\n.fa-font:before {\n content: \"\\f031\"; }\n\n.fa-font-awesome:before {\n content: \"\\f2b4\"; }\n\n.fa-font-awesome-alt:before {\n content: \"\\f35c\"; }\n\n.fa-font-awesome-flag:before {\n content: \"\\f425\"; }\n\n.fa-font-awesome-logo-full:before {\n content: \"\\f4e6\"; }\n\n.fa-fonticons:before {\n content: \"\\f280\"; }\n\n.fa-fonticons-fi:before {\n content: \"\\f3a2\"; }\n\n.fa-football-ball:before {\n content: \"\\f44e\"; }\n\n.fa-fort-awesome:before {\n content: \"\\f286\"; }\n\n.fa-fort-awesome-alt:before {\n content: \"\\f3a3\"; }\n\n.fa-forumbee:before {\n content: \"\\f211\"; }\n\n.fa-forward:before {\n content: \"\\f04e\"; }\n\n.fa-foursquare:before {\n content: \"\\f180\"; }\n\n.fa-free-code-camp:before {\n content: \"\\f2c5\"; }\n\n.fa-freebsd:before {\n content: \"\\f3a4\"; }\n\n.fa-frog:before {\n content: \"\\f52e\"; }\n\n.fa-frown:before {\n content: \"\\f119\"; }\n\n.fa-fulcrum:before {\n content: \"\\f50b\"; }\n\n.fa-futbol:before {\n content: \"\\f1e3\"; }\n\n.fa-galactic-republic:before {\n content: \"\\f50c\"; }\n\n.fa-galactic-senate:before {\n content: \"\\f50d\"; }\n\n.fa-gamepad:before {\n content: \"\\f11b\"; }\n\n.fa-gas-pump:before {\n content: \"\\f52f\"; }\n\n.fa-gavel:before {\n content: \"\\f0e3\"; }\n\n.fa-gem:before {\n content: \"\\f3a5\"; }\n\n.fa-genderless:before {\n content: \"\\f22d\"; }\n\n.fa-get-pocket:before {\n content: \"\\f265\"; }\n\n.fa-gg:before {\n content: \"\\f260\"; }\n\n.fa-gg-circle:before {\n content: \"\\f261\"; }\n\n.fa-gift:before {\n content: \"\\f06b\"; }\n\n.fa-git:before {\n content: \"\\f1d3\"; }\n\n.fa-git-square:before {\n content: \"\\f1d2\"; }\n\n.fa-github:before {\n content: \"\\f09b\"; }\n\n.fa-github-alt:before {\n content: \"\\f113\"; }\n\n.fa-github-square:before {\n content: \"\\f092\"; }\n\n.fa-gitkraken:before {\n content: \"\\f3a6\"; }\n\n.fa-gitlab:before {\n content: \"\\f296\"; }\n\n.fa-gitter:before {\n content: \"\\f426\"; }\n\n.fa-glass-martini:before {\n content: \"\\f000\"; }\n\n.fa-glasses:before {\n content: \"\\f530\"; }\n\n.fa-glide:before {\n content: \"\\f2a5\"; }\n\n.fa-glide-g:before {\n content: \"\\f2a6\"; }\n\n.fa-globe:before {\n content: \"\\f0ac\"; }\n\n.fa-gofore:before {\n content: \"\\f3a7\"; }\n\n.fa-golf-ball:before {\n content: \"\\f450\"; }\n\n.fa-goodreads:before {\n content: \"\\f3a8\"; }\n\n.fa-goodreads-g:before {\n content: \"\\f3a9\"; }\n\n.fa-google:before {\n content: \"\\f1a0\"; }\n\n.fa-google-drive:before {\n content: \"\\f3aa\"; }\n\n.fa-google-play:before {\n content: \"\\f3ab\"; }\n\n.fa-google-plus:before {\n content: \"\\f2b3\"; }\n\n.fa-google-plus-g:before {\n content: \"\\f0d5\"; }\n\n.fa-google-plus-square:before {\n content: \"\\f0d4\"; }\n\n.fa-google-wallet:before {\n content: \"\\f1ee\"; }\n\n.fa-graduation-cap:before {\n content: \"\\f19d\"; }\n\n.fa-gratipay:before {\n content: \"\\f184\"; }\n\n.fa-grav:before {\n content: \"\\f2d6\"; }\n\n.fa-greater-than:before {\n content: \"\\f531\"; }\n\n.fa-greater-than-equal:before {\n content: \"\\f532\"; }\n\n.fa-gripfire:before {\n content: \"\\f3ac\"; }\n\n.fa-grunt:before {\n content: \"\\f3ad\"; }\n\n.fa-gulp:before {\n content: \"\\f3ae\"; }\n\n.fa-h-square:before {\n content: \"\\f0fd\"; }\n\n.fa-hacker-news:before {\n content: \"\\f1d4\"; }\n\n.fa-hacker-news-square:before {\n content: \"\\f3af\"; }\n\n.fa-hand-holding:before {\n content: \"\\f4bd\"; }\n\n.fa-hand-holding-heart:before {\n content: \"\\f4be\"; }\n\n.fa-hand-holding-usd:before {\n content: \"\\f4c0\"; }\n\n.fa-hand-lizard:before {\n content: \"\\f258\"; }\n\n.fa-hand-paper:before {\n content: \"\\f256\"; }\n\n.fa-hand-peace:before {\n content: \"\\f25b\"; }\n\n.fa-hand-point-down:before {\n content: \"\\f0a7\"; }\n\n.fa-hand-point-left:before {\n content: \"\\f0a5\"; }\n\n.fa-hand-point-right:before {\n content: \"\\f0a4\"; }\n\n.fa-hand-point-up:before {\n content: \"\\f0a6\"; }\n\n.fa-hand-pointer:before {\n content: \"\\f25a\"; }\n\n.fa-hand-rock:before {\n content: \"\\f255\"; }\n\n.fa-hand-scissors:before {\n content: \"\\f257\"; }\n\n.fa-hand-spock:before {\n content: \"\\f259\"; }\n\n.fa-hands:before {\n content: \"\\f4c2\"; }\n\n.fa-hands-helping:before {\n content: \"\\f4c4\"; }\n\n.fa-handshake:before {\n content: \"\\f2b5\"; }\n\n.fa-hashtag:before {\n content: \"\\f292\"; }\n\n.fa-hdd:before {\n content: \"\\f0a0\"; }\n\n.fa-heading:before {\n content: \"\\f1dc\"; }\n\n.fa-headphones:before {\n content: \"\\f025\"; }\n\n.fa-heart:before {\n content: \"\\f004\"; }\n\n.fa-heartbeat:before {\n content: \"\\f21e\"; }\n\n.fa-helicopter:before {\n content: \"\\f533\"; }\n\n.fa-hips:before {\n content: \"\\f452\"; }\n\n.fa-hire-a-helper:before {\n content: \"\\f3b0\"; }\n\n.fa-history:before {\n content: \"\\f1da\"; }\n\n.fa-hockey-puck:before {\n content: \"\\f453\"; }\n\n.fa-home:before {\n content: \"\\f015\"; }\n\n.fa-hooli:before {\n content: \"\\f427\"; }\n\n.fa-hospital:before {\n content: \"\\f0f8\"; }\n\n.fa-hospital-alt:before {\n content: \"\\f47d\"; }\n\n.fa-hospital-symbol:before {\n content: \"\\f47e\"; }\n\n.fa-hotjar:before {\n content: \"\\f3b1\"; }\n\n.fa-hourglass:before {\n content: \"\\f254\"; }\n\n.fa-hourglass-end:before {\n content: \"\\f253\"; }\n\n.fa-hourglass-half:before {\n content: \"\\f252\"; }\n\n.fa-hourglass-start:before {\n content: \"\\f251\"; }\n\n.fa-houzz:before {\n content: \"\\f27c\"; }\n\n.fa-html5:before {\n content: \"\\f13b\"; }\n\n.fa-hubspot:before {\n content: \"\\f3b2\"; }\n\n.fa-i-cursor:before {\n content: \"\\f246\"; }\n\n.fa-id-badge:before {\n content: \"\\f2c1\"; }\n\n.fa-id-card:before {\n content: \"\\f2c2\"; }\n\n.fa-id-card-alt:before {\n content: \"\\f47f\"; }\n\n.fa-image:before {\n content: \"\\f03e\"; }\n\n.fa-images:before {\n content: \"\\f302\"; }\n\n.fa-imdb:before {\n content: \"\\f2d8\"; }\n\n.fa-inbox:before {\n content: \"\\f01c\"; }\n\n.fa-indent:before {\n content: \"\\f03c\"; }\n\n.fa-industry:before {\n content: \"\\f275\"; }\n\n.fa-infinity:before {\n content: \"\\f534\"; }\n\n.fa-info:before {\n content: \"\\f129\"; }\n\n.fa-info-circle:before {\n content: \"\\f05a\"; }\n\n.fa-instagram:before {\n content: \"\\f16d\"; }\n\n.fa-internet-explorer:before {\n content: \"\\f26b\"; }\n\n.fa-ioxhost:before {\n content: \"\\f208\"; }\n\n.fa-italic:before {\n content: \"\\f033\"; }\n\n.fa-itunes:before {\n content: \"\\f3b4\"; }\n\n.fa-itunes-note:before {\n content: \"\\f3b5\"; }\n\n.fa-java:before {\n content: \"\\f4e4\"; }\n\n.fa-jedi-order:before {\n content: \"\\f50e\"; }\n\n.fa-jenkins:before {\n content: \"\\f3b6\"; }\n\n.fa-joget:before {\n content: \"\\f3b7\"; }\n\n.fa-joomla:before {\n content: \"\\f1aa\"; }\n\n.fa-js:before {\n content: \"\\f3b8\"; }\n\n.fa-js-square:before {\n content: \"\\f3b9\"; }\n\n.fa-jsfiddle:before {\n content: \"\\f1cc\"; }\n\n.fa-key:before {\n content: \"\\f084\"; }\n\n.fa-keybase:before {\n content: \"\\f4f5\"; }\n\n.fa-keyboard:before {\n content: \"\\f11c\"; }\n\n.fa-keycdn:before {\n content: \"\\f3ba\"; }\n\n.fa-kickstarter:before {\n content: \"\\f3bb\"; }\n\n.fa-kickstarter-k:before {\n content: \"\\f3bc\"; }\n\n.fa-kiwi-bird:before {\n content: \"\\f535\"; }\n\n.fa-korvue:before {\n content: \"\\f42f\"; }\n\n.fa-language:before {\n content: \"\\f1ab\"; }\n\n.fa-laptop:before {\n content: \"\\f109\"; }\n\n.fa-laravel:before {\n content: \"\\f3bd\"; }\n\n.fa-lastfm:before {\n content: \"\\f202\"; }\n\n.fa-lastfm-square:before {\n content: \"\\f203\"; }\n\n.fa-leaf:before {\n content: \"\\f06c\"; }\n\n.fa-leanpub:before {\n content: \"\\f212\"; }\n\n.fa-lemon:before {\n content: \"\\f094\"; }\n\n.fa-less:before {\n content: \"\\f41d\"; }\n\n.fa-less-than:before {\n content: \"\\f536\"; }\n\n.fa-less-than-equal:before {\n content: \"\\f537\"; }\n\n.fa-level-down-alt:before {\n content: \"\\f3be\"; }\n\n.fa-level-up-alt:before {\n content: \"\\f3bf\"; }\n\n.fa-life-ring:before {\n content: \"\\f1cd\"; }\n\n.fa-lightbulb:before {\n content: \"\\f0eb\"; }\n\n.fa-line:before {\n content: \"\\f3c0\"; }\n\n.fa-link:before {\n content: \"\\f0c1\"; }\n\n.fa-linkedin:before {\n content: \"\\f08c\"; }\n\n.fa-linkedin-in:before {\n content: \"\\f0e1\"; }\n\n.fa-linode:before {\n content: \"\\f2b8\"; }\n\n.fa-linux:before {\n content: \"\\f17c\"; }\n\n.fa-lira-sign:before {\n content: \"\\f195\"; }\n\n.fa-list:before {\n content: \"\\f03a\"; }\n\n.fa-list-alt:before {\n content: \"\\f022\"; }\n\n.fa-list-ol:before {\n content: \"\\f0cb\"; }\n\n.fa-list-ul:before {\n content: \"\\f0ca\"; }\n\n.fa-location-arrow:before {\n content: \"\\f124\"; }\n\n.fa-lock:before {\n content: \"\\f023\"; }\n\n.fa-lock-open:before {\n content: \"\\f3c1\"; }\n\n.fa-long-arrow-alt-down:before {\n content: \"\\f309\"; }\n\n.fa-long-arrow-alt-left:before {\n content: \"\\f30a\"; }\n\n.fa-long-arrow-alt-right:before {\n content: \"\\f30b\"; }\n\n.fa-long-arrow-alt-up:before {\n content: \"\\f30c\"; }\n\n.fa-low-vision:before {\n content: \"\\f2a8\"; }\n\n.fa-lyft:before {\n content: \"\\f3c3\"; }\n\n.fa-magento:before {\n content: \"\\f3c4\"; }\n\n.fa-magic:before {\n content: \"\\f0d0\"; }\n\n.fa-magnet:before {\n content: \"\\f076\"; }\n\n.fa-male:before {\n content: \"\\f183\"; }\n\n.fa-mandalorian:before {\n content: \"\\f50f\"; }\n\n.fa-map:before {\n content: \"\\f279\"; }\n\n.fa-map-marker:before {\n content: \"\\f041\"; }\n\n.fa-map-marker-alt:before {\n content: \"\\f3c5\"; }\n\n.fa-map-pin:before {\n content: \"\\f276\"; }\n\n.fa-map-signs:before {\n content: \"\\f277\"; }\n\n.fa-mars:before {\n content: \"\\f222\"; }\n\n.fa-mars-double:before {\n content: \"\\f227\"; }\n\n.fa-mars-stroke:before {\n content: \"\\f229\"; }\n\n.fa-mars-stroke-h:before {\n content: \"\\f22b\"; }\n\n.fa-mars-stroke-v:before {\n content: \"\\f22a\"; }\n\n.fa-mastodon:before {\n content: \"\\f4f6\"; }\n\n.fa-maxcdn:before {\n content: \"\\f136\"; }\n\n.fa-medapps:before {\n content: \"\\f3c6\"; }\n\n.fa-medium:before {\n content: \"\\f23a\"; }\n\n.fa-medium-m:before {\n content: \"\\f3c7\"; }\n\n.fa-medkit:before {\n content: \"\\f0fa\"; }\n\n.fa-medrt:before {\n content: \"\\f3c8\"; }\n\n.fa-meetup:before {\n content: \"\\f2e0\"; }\n\n.fa-meh:before {\n content: \"\\f11a\"; }\n\n.fa-memory:before {\n content: \"\\f538\"; }\n\n.fa-mercury:before {\n content: \"\\f223\"; }\n\n.fa-microchip:before {\n content: \"\\f2db\"; }\n\n.fa-microphone:before {\n content: \"\\f130\"; }\n\n.fa-microphone-alt:before {\n content: \"\\f3c9\"; }\n\n.fa-microphone-alt-slash:before {\n content: \"\\f539\"; }\n\n.fa-microphone-slash:before {\n content: \"\\f131\"; }\n\n.fa-microsoft:before {\n content: \"\\f3ca\"; }\n\n.fa-minus:before {\n content: \"\\f068\"; }\n\n.fa-minus-circle:before {\n content: \"\\f056\"; }\n\n.fa-minus-square:before {\n content: \"\\f146\"; }\n\n.fa-mix:before {\n content: \"\\f3cb\"; }\n\n.fa-mixcloud:before {\n content: \"\\f289\"; }\n\n.fa-mizuni:before {\n content: \"\\f3cc\"; }\n\n.fa-mobile:before {\n content: \"\\f10b\"; }\n\n.fa-mobile-alt:before {\n content: \"\\f3cd\"; }\n\n.fa-modx:before {\n content: \"\\f285\"; }\n\n.fa-monero:before {\n content: \"\\f3d0\"; }\n\n.fa-money-bill:before {\n content: \"\\f0d6\"; }\n\n.fa-money-bill-alt:before {\n content: \"\\f3d1\"; }\n\n.fa-money-bill-wave:before {\n content: \"\\f53a\"; }\n\n.fa-money-bill-wave-alt:before {\n content: \"\\f53b\"; }\n\n.fa-money-check:before {\n content: \"\\f53c\"; }\n\n.fa-money-check-alt:before {\n content: \"\\f53d\"; }\n\n.fa-moon:before {\n content: \"\\f186\"; }\n\n.fa-motorcycle:before {\n content: \"\\f21c\"; }\n\n.fa-mouse-pointer:before {\n content: \"\\f245\"; }\n\n.fa-music:before {\n content: \"\\f001\"; }\n\n.fa-napster:before {\n content: \"\\f3d2\"; }\n\n.fa-neuter:before {\n content: \"\\f22c\"; }\n\n.fa-newspaper:before {\n content: \"\\f1ea\"; }\n\n.fa-nintendo-switch:before {\n content: \"\\f418\"; }\n\n.fa-node:before {\n content: \"\\f419\"; }\n\n.fa-node-js:before {\n content: \"\\f3d3\"; }\n\n.fa-not-equal:before {\n content: \"\\f53e\"; }\n\n.fa-notes-medical:before {\n content: \"\\f481\"; }\n\n.fa-npm:before {\n content: \"\\f3d4\"; }\n\n.fa-ns8:before {\n content: \"\\f3d5\"; }\n\n.fa-nutritionix:before {\n content: \"\\f3d6\"; }\n\n.fa-object-group:before {\n content: \"\\f247\"; }\n\n.fa-object-ungroup:before {\n content: \"\\f248\"; }\n\n.fa-odnoklassniki:before {\n content: \"\\f263\"; }\n\n.fa-odnoklassniki-square:before {\n content: \"\\f264\"; }\n\n.fa-old-republic:before {\n content: \"\\f510\"; }\n\n.fa-opencart:before {\n content: \"\\f23d\"; }\n\n.fa-openid:before {\n content: \"\\f19b\"; }\n\n.fa-opera:before {\n content: \"\\f26a\"; }\n\n.fa-optin-monster:before {\n content: \"\\f23c\"; }\n\n.fa-osi:before {\n content: \"\\f41a\"; }\n\n.fa-outdent:before {\n content: \"\\f03b\"; }\n\n.fa-page4:before {\n content: \"\\f3d7\"; }\n\n.fa-pagelines:before {\n content: \"\\f18c\"; }\n\n.fa-paint-brush:before {\n content: \"\\f1fc\"; }\n\n.fa-palette:before {\n content: \"\\f53f\"; }\n\n.fa-palfed:before {\n content: \"\\f3d8\"; }\n\n.fa-pallet:before {\n content: \"\\f482\"; }\n\n.fa-paper-plane:before {\n content: \"\\f1d8\"; }\n\n.fa-paperclip:before {\n content: \"\\f0c6\"; }\n\n.fa-parachute-box:before {\n content: \"\\f4cd\"; }\n\n.fa-paragraph:before {\n content: \"\\f1dd\"; }\n\n.fa-parking:before {\n content: \"\\f540\"; }\n\n.fa-paste:before {\n content: \"\\f0ea\"; }\n\n.fa-patreon:before {\n content: \"\\f3d9\"; }\n\n.fa-pause:before {\n content: \"\\f04c\"; }\n\n.fa-pause-circle:before {\n content: \"\\f28b\"; }\n\n.fa-paw:before {\n content: \"\\f1b0\"; }\n\n.fa-paypal:before {\n content: \"\\f1ed\"; }\n\n.fa-pen-square:before {\n content: \"\\f14b\"; }\n\n.fa-pencil-alt:before {\n content: \"\\f303\"; }\n\n.fa-people-carry:before {\n content: \"\\f4ce\"; }\n\n.fa-percent:before {\n content: \"\\f295\"; }\n\n.fa-percentage:before {\n content: \"\\f541\"; }\n\n.fa-periscope:before {\n content: \"\\f3da\"; }\n\n.fa-phabricator:before {\n content: \"\\f3db\"; }\n\n.fa-phoenix-framework:before {\n content: \"\\f3dc\"; }\n\n.fa-phoenix-squadron:before {\n content: \"\\f511\"; }\n\n.fa-phone:before {\n content: \"\\f095\"; }\n\n.fa-phone-slash:before {\n content: \"\\f3dd\"; }\n\n.fa-phone-square:before {\n content: \"\\f098\"; }\n\n.fa-phone-volume:before {\n content: \"\\f2a0\"; }\n\n.fa-php:before {\n content: \"\\f457\"; }\n\n.fa-pied-piper:before {\n content: \"\\f2ae\"; }\n\n.fa-pied-piper-alt:before {\n content: \"\\f1a8\"; }\n\n.fa-pied-piper-hat:before {\n content: \"\\f4e5\"; }\n\n.fa-pied-piper-pp:before {\n content: \"\\f1a7\"; }\n\n.fa-piggy-bank:before {\n content: \"\\f4d3\"; }\n\n.fa-pills:before {\n content: \"\\f484\"; }\n\n.fa-pinterest:before {\n content: \"\\f0d2\"; }\n\n.fa-pinterest-p:before {\n content: \"\\f231\"; }\n\n.fa-pinterest-square:before {\n content: \"\\f0d3\"; }\n\n.fa-plane:before {\n content: \"\\f072\"; }\n\n.fa-play:before {\n content: \"\\f04b\"; }\n\n.fa-play-circle:before {\n content: \"\\f144\"; }\n\n.fa-playstation:before {\n content: \"\\f3df\"; }\n\n.fa-plug:before {\n content: \"\\f1e6\"; }\n\n.fa-plus:before {\n content: \"\\f067\"; }\n\n.fa-plus-circle:before {\n content: \"\\f055\"; }\n\n.fa-plus-square:before {\n content: \"\\f0fe\"; }\n\n.fa-podcast:before {\n content: \"\\f2ce\"; }\n\n.fa-poo:before {\n content: \"\\f2fe\"; }\n\n.fa-portrait:before {\n content: \"\\f3e0\"; }\n\n.fa-pound-sign:before {\n content: \"\\f154\"; }\n\n.fa-power-off:before {\n content: \"\\f011\"; }\n\n.fa-prescription-bottle:before {\n content: \"\\f485\"; }\n\n.fa-prescription-bottle-alt:before {\n content: \"\\f486\"; }\n\n.fa-print:before {\n content: \"\\f02f\"; }\n\n.fa-procedures:before {\n content: \"\\f487\"; }\n\n.fa-product-hunt:before {\n content: \"\\f288\"; }\n\n.fa-project-diagram:before {\n content: \"\\f542\"; }\n\n.fa-pushed:before {\n content: \"\\f3e1\"; }\n\n.fa-puzzle-piece:before {\n content: \"\\f12e\"; }\n\n.fa-python:before {\n content: \"\\f3e2\"; }\n\n.fa-qq:before {\n content: \"\\f1d6\"; }\n\n.fa-qrcode:before {\n content: \"\\f029\"; }\n\n.fa-question:before {\n content: \"\\f128\"; }\n\n.fa-question-circle:before {\n content: \"\\f059\"; }\n\n.fa-quidditch:before {\n content: \"\\f458\"; }\n\n.fa-quinscape:before {\n content: \"\\f459\"; }\n\n.fa-quora:before {\n content: \"\\f2c4\"; }\n\n.fa-quote-left:before {\n content: \"\\f10d\"; }\n\n.fa-quote-right:before {\n content: \"\\f10e\"; }\n\n.fa-r-project:before {\n content: \"\\f4f7\"; }\n\n.fa-random:before {\n content: \"\\f074\"; }\n\n.fa-ravelry:before {\n content: \"\\f2d9\"; }\n\n.fa-react:before {\n content: \"\\f41b\"; }\n\n.fa-readme:before {\n content: \"\\f4d5\"; }\n\n.fa-rebel:before {\n content: \"\\f1d0\"; }\n\n.fa-receipt:before {\n content: \"\\f543\"; }\n\n.fa-recycle:before {\n content: \"\\f1b8\"; }\n\n.fa-red-river:before {\n content: \"\\f3e3\"; }\n\n.fa-reddit:before {\n content: \"\\f1a1\"; }\n\n.fa-reddit-alien:before {\n content: \"\\f281\"; }\n\n.fa-reddit-square:before {\n content: \"\\f1a2\"; }\n\n.fa-redo:before {\n content: \"\\f01e\"; }\n\n.fa-redo-alt:before {\n content: \"\\f2f9\"; }\n\n.fa-registered:before {\n content: \"\\f25d\"; }\n\n.fa-rendact:before {\n content: \"\\f3e4\"; }\n\n.fa-renren:before {\n content: \"\\f18b\"; }\n\n.fa-reply:before {\n content: \"\\f3e5\"; }\n\n.fa-reply-all:before {\n content: \"\\f122\"; }\n\n.fa-replyd:before {\n content: \"\\f3e6\"; }\n\n.fa-researchgate:before {\n content: \"\\f4f8\"; }\n\n.fa-resolving:before {\n content: \"\\f3e7\"; }\n\n.fa-retweet:before {\n content: \"\\f079\"; }\n\n.fa-ribbon:before {\n content: \"\\f4d6\"; }\n\n.fa-road:before {\n content: \"\\f018\"; }\n\n.fa-robot:before {\n content: \"\\f544\"; }\n\n.fa-rocket:before {\n content: \"\\f135\"; }\n\n.fa-rocketchat:before {\n content: \"\\f3e8\"; }\n\n.fa-rockrms:before {\n content: \"\\f3e9\"; }\n\n.fa-rss:before {\n content: \"\\f09e\"; }\n\n.fa-rss-square:before {\n content: \"\\f143\"; }\n\n.fa-ruble-sign:before {\n content: \"\\f158\"; }\n\n.fa-ruler:before {\n content: \"\\f545\"; }\n\n.fa-ruler-combined:before {\n content: \"\\f546\"; }\n\n.fa-ruler-horizontal:before {\n content: \"\\f547\"; }\n\n.fa-ruler-vertical:before {\n content: \"\\f548\"; }\n\n.fa-rupee-sign:before {\n content: \"\\f156\"; }\n\n.fa-safari:before {\n content: \"\\f267\"; }\n\n.fa-sass:before {\n content: \"\\f41e\"; }\n\n.fa-save:before {\n content: \"\\f0c7\"; }\n\n.fa-schlix:before {\n content: \"\\f3ea\"; }\n\n.fa-school:before {\n content: \"\\f549\"; }\n\n.fa-screwdriver:before {\n content: \"\\f54a\"; }\n\n.fa-scribd:before {\n content: \"\\f28a\"; }\n\n.fa-search:before {\n content: \"\\f002\"; }\n\n.fa-search-minus:before {\n content: \"\\f010\"; }\n\n.fa-search-plus:before {\n content: \"\\f00e\"; }\n\n.fa-searchengin:before {\n content: \"\\f3eb\"; }\n\n.fa-seedling:before {\n content: \"\\f4d8\"; }\n\n.fa-sellcast:before {\n content: \"\\f2da\"; }\n\n.fa-sellsy:before {\n content: \"\\f213\"; }\n\n.fa-server:before {\n content: \"\\f233\"; }\n\n.fa-servicestack:before {\n content: \"\\f3ec\"; }\n\n.fa-share:before {\n content: \"\\f064\"; }\n\n.fa-share-alt:before {\n content: \"\\f1e0\"; }\n\n.fa-share-alt-square:before {\n content: \"\\f1e1\"; }\n\n.fa-share-square:before {\n content: \"\\f14d\"; }\n\n.fa-shekel-sign:before {\n content: \"\\f20b\"; }\n\n.fa-shield-alt:before {\n content: \"\\f3ed\"; }\n\n.fa-ship:before {\n content: \"\\f21a\"; }\n\n.fa-shipping-fast:before {\n content: \"\\f48b\"; }\n\n.fa-shirtsinbulk:before {\n content: \"\\f214\"; }\n\n.fa-shoe-prints:before {\n content: \"\\f54b\"; }\n\n.fa-shopping-bag:before {\n content: \"\\f290\"; }\n\n.fa-shopping-basket:before {\n content: \"\\f291\"; }\n\n.fa-shopping-cart:before {\n content: \"\\f07a\"; }\n\n.fa-shower:before {\n content: \"\\f2cc\"; }\n\n.fa-sign:before {\n content: \"\\f4d9\"; }\n\n.fa-sign-in-alt:before {\n content: \"\\f2f6\"; }\n\n.fa-sign-language:before {\n content: \"\\f2a7\"; }\n\n.fa-sign-out-alt:before {\n content: \"\\f2f5\"; }\n\n.fa-signal:before {\n content: \"\\f012\"; }\n\n.fa-simplybuilt:before {\n content: \"\\f215\"; }\n\n.fa-sistrix:before {\n content: \"\\f3ee\"; }\n\n.fa-sitemap:before {\n content: \"\\f0e8\"; }\n\n.fa-sith:before {\n content: \"\\f512\"; }\n\n.fa-skull:before {\n content: \"\\f54c\"; }\n\n.fa-skyatlas:before {\n content: \"\\f216\"; }\n\n.fa-skype:before {\n content: \"\\f17e\"; }\n\n.fa-slack:before {\n content: \"\\f198\"; }\n\n.fa-slack-hash:before {\n content: \"\\f3ef\"; }\n\n.fa-sliders-h:before {\n content: \"\\f1de\"; }\n\n.fa-slideshare:before {\n content: \"\\f1e7\"; }\n\n.fa-smile:before {\n content: \"\\f118\"; }\n\n.fa-smoking:before {\n content: \"\\f48d\"; }\n\n.fa-smoking-ban:before {\n content: \"\\f54d\"; }\n\n.fa-snapchat:before {\n content: \"\\f2ab\"; }\n\n.fa-snapchat-ghost:before {\n content: \"\\f2ac\"; }\n\n.fa-snapchat-square:before {\n content: \"\\f2ad\"; }\n\n.fa-snowflake:before {\n content: \"\\f2dc\"; }\n\n.fa-sort:before {\n content: \"\\f0dc\"; }\n\n.fa-sort-alpha-down:before {\n content: \"\\f15d\"; }\n\n.fa-sort-alpha-up:before {\n content: \"\\f15e\"; }\n\n.fa-sort-amount-down:before {\n content: \"\\f160\"; }\n\n.fa-sort-amount-up:before {\n content: \"\\f161\"; }\n\n.fa-sort-down:before {\n content: \"\\f0dd\"; }\n\n.fa-sort-numeric-down:before {\n content: \"\\f162\"; }\n\n.fa-sort-numeric-up:before {\n content: \"\\f163\"; }\n\n.fa-sort-up:before {\n content: \"\\f0de\"; }\n\n.fa-soundcloud:before {\n content: \"\\f1be\"; }\n\n.fa-space-shuttle:before {\n content: \"\\f197\"; }\n\n.fa-speakap:before {\n content: \"\\f3f3\"; }\n\n.fa-spinner:before {\n content: \"\\f110\"; }\n\n.fa-spotify:before {\n content: \"\\f1bc\"; }\n\n.fa-square:before {\n content: \"\\f0c8\"; }\n\n.fa-square-full:before {\n content: \"\\f45c\"; }\n\n.fa-stack-exchange:before {\n content: \"\\f18d\"; }\n\n.fa-stack-overflow:before {\n content: \"\\f16c\"; }\n\n.fa-star:before {\n content: \"\\f005\"; }\n\n.fa-star-half:before {\n content: \"\\f089\"; }\n\n.fa-staylinked:before {\n content: \"\\f3f5\"; }\n\n.fa-steam:before {\n content: \"\\f1b6\"; }\n\n.fa-steam-square:before {\n content: \"\\f1b7\"; }\n\n.fa-steam-symbol:before {\n content: \"\\f3f6\"; }\n\n.fa-step-backward:before {\n content: \"\\f048\"; }\n\n.fa-step-forward:before {\n content: \"\\f051\"; }\n\n.fa-stethoscope:before {\n content: \"\\f0f1\"; }\n\n.fa-sticker-mule:before {\n content: \"\\f3f7\"; }\n\n.fa-sticky-note:before {\n content: \"\\f249\"; }\n\n.fa-stop:before {\n content: \"\\f04d\"; }\n\n.fa-stop-circle:before {\n content: \"\\f28d\"; }\n\n.fa-stopwatch:before {\n content: \"\\f2f2\"; }\n\n.fa-store:before {\n content: \"\\f54e\"; }\n\n.fa-store-alt:before {\n content: \"\\f54f\"; }\n\n.fa-strava:before {\n content: \"\\f428\"; }\n\n.fa-stream:before {\n content: \"\\f550\"; }\n\n.fa-street-view:before {\n content: \"\\f21d\"; }\n\n.fa-strikethrough:before {\n content: \"\\f0cc\"; }\n\n.fa-stripe:before {\n content: \"\\f429\"; }\n\n.fa-stripe-s:before {\n content: \"\\f42a\"; }\n\n.fa-stroopwafel:before {\n content: \"\\f551\"; }\n\n.fa-studiovinari:before {\n content: \"\\f3f8\"; }\n\n.fa-stumbleupon:before {\n content: \"\\f1a4\"; }\n\n.fa-stumbleupon-circle:before {\n content: \"\\f1a3\"; }\n\n.fa-subscript:before {\n content: \"\\f12c\"; }\n\n.fa-subway:before {\n content: \"\\f239\"; }\n\n.fa-suitcase:before {\n content: \"\\f0f2\"; }\n\n.fa-sun:before {\n content: \"\\f185\"; }\n\n.fa-superpowers:before {\n content: \"\\f2dd\"; }\n\n.fa-superscript:before {\n content: \"\\f12b\"; }\n\n.fa-supple:before {\n content: \"\\f3f9\"; }\n\n.fa-sync:before {\n content: \"\\f021\"; }\n\n.fa-sync-alt:before {\n content: \"\\f2f1\"; }\n\n.fa-syringe:before {\n content: \"\\f48e\"; }\n\n.fa-table:before {\n content: \"\\f0ce\"; }\n\n.fa-table-tennis:before {\n content: \"\\f45d\"; }\n\n.fa-tablet:before {\n content: \"\\f10a\"; }\n\n.fa-tablet-alt:before {\n content: \"\\f3fa\"; }\n\n.fa-tablets:before {\n content: \"\\f490\"; }\n\n.fa-tachometer-alt:before {\n content: \"\\f3fd\"; }\n\n.fa-tag:before {\n content: \"\\f02b\"; }\n\n.fa-tags:before {\n content: \"\\f02c\"; }\n\n.fa-tape:before {\n content: \"\\f4db\"; }\n\n.fa-tasks:before {\n content: \"\\f0ae\"; }\n\n.fa-taxi:before {\n content: \"\\f1ba\"; }\n\n.fa-teamspeak:before {\n content: \"\\f4f9\"; }\n\n.fa-telegram:before {\n content: \"\\f2c6\"; }\n\n.fa-telegram-plane:before {\n content: \"\\f3fe\"; }\n\n.fa-tencent-weibo:before {\n content: \"\\f1d5\"; }\n\n.fa-terminal:before {\n content: \"\\f120\"; }\n\n.fa-text-height:before {\n content: \"\\f034\"; }\n\n.fa-text-width:before {\n content: \"\\f035\"; }\n\n.fa-th:before {\n content: \"\\f00a\"; }\n\n.fa-th-large:before {\n content: \"\\f009\"; }\n\n.fa-th-list:before {\n content: \"\\f00b\"; }\n\n.fa-themeisle:before {\n content: \"\\f2b2\"; }\n\n.fa-thermometer:before {\n content: \"\\f491\"; }\n\n.fa-thermometer-empty:before {\n content: \"\\f2cb\"; }\n\n.fa-thermometer-full:before {\n content: \"\\f2c7\"; }\n\n.fa-thermometer-half:before {\n content: \"\\f2c9\"; }\n\n.fa-thermometer-quarter:before {\n content: \"\\f2ca\"; }\n\n.fa-thermometer-three-quarters:before {\n content: \"\\f2c8\"; }\n\n.fa-thumbs-down:before {\n content: \"\\f165\"; }\n\n.fa-thumbs-up:before {\n content: \"\\f164\"; }\n\n.fa-thumbtack:before {\n content: \"\\f08d\"; }\n\n.fa-ticket-alt:before {\n content: \"\\f3ff\"; }\n\n.fa-times:before {\n content: \"\\f00d\"; }\n\n.fa-times-circle:before {\n content: \"\\f057\"; }\n\n.fa-tint:before {\n content: \"\\f043\"; }\n\n.fa-toggle-off:before {\n content: \"\\f204\"; }\n\n.fa-toggle-on:before {\n content: \"\\f205\"; }\n\n.fa-toolbox:before {\n content: \"\\f552\"; }\n\n.fa-trade-federation:before {\n content: \"\\f513\"; }\n\n.fa-trademark:before {\n content: \"\\f25c\"; }\n\n.fa-train:before {\n content: \"\\f238\"; }\n\n.fa-transgender:before {\n content: \"\\f224\"; }\n\n.fa-transgender-alt:before {\n content: \"\\f225\"; }\n\n.fa-trash:before {\n content: \"\\f1f8\"; }\n\n.fa-trash-alt:before {\n content: \"\\f2ed\"; }\n\n.fa-tree:before {\n content: \"\\f1bb\"; }\n\n.fa-trello:before {\n content: \"\\f181\"; }\n\n.fa-tripadvisor:before {\n content: \"\\f262\"; }\n\n.fa-trophy:before {\n content: \"\\f091\"; }\n\n.fa-truck:before {\n content: \"\\f0d1\"; }\n\n.fa-truck-loading:before {\n content: \"\\f4de\"; }\n\n.fa-truck-moving:before {\n content: \"\\f4df\"; }\n\n.fa-tshirt:before {\n content: \"\\f553\"; }\n\n.fa-tty:before {\n content: \"\\f1e4\"; }\n\n.fa-tumblr:before {\n content: \"\\f173\"; }\n\n.fa-tumblr-square:before {\n content: \"\\f174\"; }\n\n.fa-tv:before {\n content: \"\\f26c\"; }\n\n.fa-twitch:before {\n content: \"\\f1e8\"; }\n\n.fa-twitter:before {\n content: \"\\f099\"; }\n\n.fa-twitter-square:before {\n content: \"\\f081\"; }\n\n.fa-typo3:before {\n content: \"\\f42b\"; }\n\n.fa-uber:before {\n content: \"\\f402\"; }\n\n.fa-uikit:before {\n content: \"\\f403\"; }\n\n.fa-umbrella:before {\n content: \"\\f0e9\"; }\n\n.fa-underline:before {\n content: \"\\f0cd\"; }\n\n.fa-undo:before {\n content: \"\\f0e2\"; }\n\n.fa-undo-alt:before {\n content: \"\\f2ea\"; }\n\n.fa-uniregistry:before {\n content: \"\\f404\"; }\n\n.fa-universal-access:before {\n content: \"\\f29a\"; }\n\n.fa-university:before {\n content: \"\\f19c\"; }\n\n.fa-unlink:before {\n content: \"\\f127\"; }\n\n.fa-unlock:before {\n content: \"\\f09c\"; }\n\n.fa-unlock-alt:before {\n content: \"\\f13e\"; }\n\n.fa-untappd:before {\n content: \"\\f405\"; }\n\n.fa-upload:before {\n content: \"\\f093\"; }\n\n.fa-usb:before {\n content: \"\\f287\"; }\n\n.fa-user:before {\n content: \"\\f007\"; }\n\n.fa-user-alt:before {\n content: \"\\f406\"; }\n\n.fa-user-alt-slash:before {\n content: \"\\f4fa\"; }\n\n.fa-user-astronaut:before {\n content: \"\\f4fb\"; }\n\n.fa-user-check:before {\n content: \"\\f4fc\"; }\n\n.fa-user-circle:before {\n content: \"\\f2bd\"; }\n\n.fa-user-clock:before {\n content: \"\\f4fd\"; }\n\n.fa-user-cog:before {\n content: \"\\f4fe\"; }\n\n.fa-user-edit:before {\n content: \"\\f4ff\"; }\n\n.fa-user-friends:before {\n content: \"\\f500\"; }\n\n.fa-user-graduate:before {\n content: \"\\f501\"; }\n\n.fa-user-lock:before {\n content: \"\\f502\"; }\n\n.fa-user-md:before {\n content: \"\\f0f0\"; }\n\n.fa-user-minus:before {\n content: \"\\f503\"; }\n\n.fa-user-ninja:before {\n content: \"\\f504\"; }\n\n.fa-user-plus:before {\n content: \"\\f234\"; }\n\n.fa-user-secret:before {\n content: \"\\f21b\"; }\n\n.fa-user-shield:before {\n content: \"\\f505\"; }\n\n.fa-user-slash:before {\n content: \"\\f506\"; }\n\n.fa-user-tag:before {\n content: \"\\f507\"; }\n\n.fa-user-tie:before {\n content: \"\\f508\"; }\n\n.fa-user-times:before {\n content: \"\\f235\"; }\n\n.fa-users:before {\n content: \"\\f0c0\"; }\n\n.fa-users-cog:before {\n content: \"\\f509\"; }\n\n.fa-ussunnah:before {\n content: \"\\f407\"; }\n\n.fa-utensil-spoon:before {\n content: \"\\f2e5\"; }\n\n.fa-utensils:before {\n content: \"\\f2e7\"; }\n\n.fa-vaadin:before {\n content: \"\\f408\"; }\n\n.fa-venus:before {\n content: \"\\f221\"; }\n\n.fa-venus-double:before {\n content: \"\\f226\"; }\n\n.fa-venus-mars:before {\n content: \"\\f228\"; }\n\n.fa-viacoin:before {\n content: \"\\f237\"; }\n\n.fa-viadeo:before {\n content: \"\\f2a9\"; }\n\n.fa-viadeo-square:before {\n content: \"\\f2aa\"; }\n\n.fa-vial:before {\n content: \"\\f492\"; }\n\n.fa-vials:before {\n content: \"\\f493\"; }\n\n.fa-viber:before {\n content: \"\\f409\"; }\n\n.fa-video:before {\n content: \"\\f03d\"; }\n\n.fa-video-slash:before {\n content: \"\\f4e2\"; }\n\n.fa-vimeo:before {\n content: \"\\f40a\"; }\n\n.fa-vimeo-square:before {\n content: \"\\f194\"; }\n\n.fa-vimeo-v:before {\n content: \"\\f27d\"; }\n\n.fa-vine:before {\n content: \"\\f1ca\"; }\n\n.fa-vk:before {\n content: \"\\f189\"; }\n\n.fa-vnv:before {\n content: \"\\f40b\"; }\n\n.fa-volleyball-ball:before {\n content: \"\\f45f\"; }\n\n.fa-volume-down:before {\n content: \"\\f027\"; }\n\n.fa-volume-off:before {\n content: \"\\f026\"; }\n\n.fa-volume-up:before {\n content: \"\\f028\"; }\n\n.fa-vuejs:before {\n content: \"\\f41f\"; }\n\n.fa-walking:before {\n content: \"\\f554\"; }\n\n.fa-wallet:before {\n content: \"\\f555\"; }\n\n.fa-warehouse:before {\n content: \"\\f494\"; }\n\n.fa-weibo:before {\n content: \"\\f18a\"; }\n\n.fa-weight:before {\n content: \"\\f496\"; }\n\n.fa-weixin:before {\n content: \"\\f1d7\"; }\n\n.fa-whatsapp:before {\n content: \"\\f232\"; }\n\n.fa-whatsapp-square:before {\n content: \"\\f40c\"; }\n\n.fa-wheelchair:before {\n content: \"\\f193\"; }\n\n.fa-whmcs:before {\n content: \"\\f40d\"; }\n\n.fa-wifi:before {\n content: \"\\f1eb\"; }\n\n.fa-wikipedia-w:before {\n content: \"\\f266\"; }\n\n.fa-window-close:before {\n content: \"\\f410\"; }\n\n.fa-window-maximize:before {\n content: \"\\f2d0\"; }\n\n.fa-window-minimize:before {\n content: \"\\f2d1\"; }\n\n.fa-window-restore:before {\n content: \"\\f2d2\"; }\n\n.fa-windows:before {\n content: \"\\f17a\"; }\n\n.fa-wine-glass:before {\n content: \"\\f4e3\"; }\n\n.fa-wolf-pack-battalion:before {\n content: \"\\f514\"; }\n\n.fa-won-sign:before {\n content: \"\\f159\"; }\n\n.fa-wordpress:before {\n content: \"\\f19a\"; }\n\n.fa-wordpress-simple:before {\n content: \"\\f411\"; }\n\n.fa-wpbeginner:before {\n content: \"\\f297\"; }\n\n.fa-wpexplorer:before {\n content: \"\\f2de\"; }\n\n.fa-wpforms:before {\n content: \"\\f298\"; }\n\n.fa-wrench:before {\n content: \"\\f0ad\"; }\n\n.fa-x-ray:before {\n content: \"\\f497\"; }\n\n.fa-xbox:before {\n content: \"\\f412\"; }\n\n.fa-xing:before {\n content: \"\\f168\"; }\n\n.fa-xing-square:before {\n content: \"\\f169\"; }\n\n.fa-y-combinator:before {\n content: \"\\f23b\"; }\n\n.fa-yahoo:before {\n content: \"\\f19e\"; }\n\n.fa-yandex:before {\n content: \"\\f413\"; }\n\n.fa-yandex-international:before {\n content: \"\\f414\"; }\n\n.fa-yelp:before {\n content: \"\\f1e9\"; }\n\n.fa-yen-sign:before {\n content: \"\\f157\"; }\n\n.fa-yoast:before {\n content: \"\\f2b1\"; }\n\n.fa-youtube:before {\n content: \"\\f167\"; }\n\n.fa-youtube-square:before {\n content: \"\\f431\"; }\n\n.sr-only {\n border: 0;\n clip: rect(0, 0, 0, 0);\n height: 1px;\n margin: -1px;\n overflow: hidden;\n padding: 0;\n position: absolute;\n width: 1px; }\n\n.sr-only-focusable:active, .sr-only-focusable:focus {\n clip: auto;\n height: auto;\n margin: 0;\n overflow: visible;\n position: static;\n width: auto; }\n"},"$:/plugins/TheDiveO/FontAwesome/styles/tiddlylinks/system":{"title":"$:/plugins/TheDiveO/FontAwesome/styles/tiddlylinks/system","created":"20171230212437805","modified":"20180328191223958","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline html\n\n<$set name=\"cfg\" value=<<fa5-cfgpath \"decorate-syslinks\">> >\n<$list filter=<<fa5-cfgfilterexpr>> >\n\n/* system tiddler titles starting with $:/... */\n.tc-tiddler-body a.tc-tiddlylink[href^=\"#%24%3A%2F\"]:before,\n.tc-tiddler-preview-preview a.tc-tiddlylink[href^=\"#%24%3A%2F\"]:before {\n <<fa-plugin-font-solid>>\n font-size: 80%;\n content: '\\f013\\202f';\n display: inline-block;\n}\n\n</$list>\n</$set>"},"$:/plugins/TheDiveO/FontAwesome/ui/ControlPanel/FontAwesome":{"title":"$:/plugins/TheDiveO/FontAwesome/ui/ControlPanel/FontAwesome","caption":"Font Awesome","created":"20180328182555066","modified":"20180328190604063","tags":"$:/tags/ControlPanel","type":"text/vnd.tiddlywiki","text":"Customize the Font Awesome 5 plugin.\n\n<$checkbox tiddler=<<fa5-cfgpath \"decorate-syslinks\">> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\" > decorate system links: [[$:/ControlPanel]]</$checkbox>\n\n<$checkbox tiddler=<<fa5-cfgpath \"decorate-extlinks\">> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\" > decorate external links: [[TiddlyWiki|http://tiddlywiki.com]] [[Wikipedia|https://en.wikipedia.org/wiki/TiddlyWiki]]</$checkbox>\n\n<$checkbox tiddler=<<fa5-cfgpath \"decorate-extdoclinks\">> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\" > decorate external document links: https://example.org/document.pdf</$checkbox>\n\n<$checkbox tiddler=<<fa5-cfgpath \"decorate-wk-extlinks\">> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\" > decorate external well-known links: http://github.com http://en.wikipedia.org</$checkbox>"}}}
{
"tiddlers": {
"$:/plugins/tiddlywiki/sax/sax.js": {
"text": ";(function (sax) { // wrapper for non-node envs\n sax.parser = function (strict, opt) { return new SAXParser(strict, opt) }\n sax.SAXParser = SAXParser\n sax.SAXStream = SAXStream\n sax.createStream = createStream\n\n // When we pass the MAX_BUFFER_LENGTH position, start checking for buffer overruns.\n // When we check, schedule the next check for MAX_BUFFER_LENGTH - (max(buffer lengths)),\n // since that's the earliest that a buffer overrun could occur. This way, checks are\n // as rare as required, but as often as necessary to ensure never crossing this bound.\n // Furthermore, buffers are only tested at most once per write(), so passing a very\n // large string into write() might have undesirable effects, but this is manageable by\n // the caller, so it is assumed to be safe. Thus, a call to write() may, in the extreme\n // edge case, result in creating at most one complete copy of the string passed in.\n // Set to Infinity to have unlimited buffers.\n sax.MAX_BUFFER_LENGTH = 64 * 1024\n\n var buffers = [\n 'comment', 'sgmlDecl', 'textNode', 'tagName', 'doctype',\n 'procInstName', 'procInstBody', 'entity', 'attribName',\n 'attribValue', 'cdata', 'script'\n ]\n\n sax.EVENTS = [\n 'text',\n 'processinginstruction',\n 'sgmldeclaration',\n 'doctype',\n 'comment',\n 'opentagstart',\n 'attribute',\n 'opentag',\n 'closetag',\n 'opencdata',\n 'cdata',\n 'closecdata',\n 'error',\n 'end',\n 'ready',\n 'script',\n 'opennamespace',\n 'closenamespace'\n ]\n\n function SAXParser (strict, opt) {\n if (!(this instanceof SAXParser)) {\n return new SAXParser(strict, opt)\n }\n\n var parser = this\n clearBuffers(parser)\n parser.q = parser.c = ''\n parser.bufferCheckPosition = sax.MAX_BUFFER_LENGTH\n parser.opt = opt || {}\n parser.opt.lowercase = parser.opt.lowercase || parser.opt.lowercasetags\n parser.looseCase = parser.opt.lowercase ? 'toLowerCase' : 'toUpperCase'\n parser.tags = []\n parser.closed = parser.closedRoot = parser.sawRoot = false\n parser.tag = parser.error = null\n parser.strict = !!strict\n parser.noscript = !!(strict || parser.opt.noscript)\n parser.state = S.BEGIN\n parser.strictEntities = parser.opt.strictEntities\n parser.ENTITIES = parser.strictEntities ? Object.create(sax.XML_ENTITIES) : Object.create(sax.ENTITIES)\n parser.attribList = []\n\n // namespaces form a prototype chain.\n // it always points at the current tag,\n // which protos to its parent tag.\n if (parser.opt.xmlns) {\n parser.ns = Object.create(rootNS)\n }\n\n // mostly just for error reporting\n parser.trackPosition = parser.opt.position !== false\n if (parser.trackPosition) {\n parser.position = parser.line = parser.column = 0\n }\n emit(parser, 'onready')\n }\n\n if (!Object.create) {\n Object.create = function (o) {\n function F () {}\n F.prototype = o\n var newf = new F()\n return newf\n }\n }\n\n if (!Object.keys) {\n Object.keys = function (o) {\n var a = []\n for (var i in o) if (o.hasOwnProperty(i)) a.push(i)\n return a\n }\n }\n\n function checkBufferLength (parser) {\n var maxAllowed = Math.max(sax.MAX_BUFFER_LENGTH, 10)\n var maxActual = 0\n for (var i = 0, l = buffers.length; i < l; i++) {\n var len = parser[buffers[i]].length\n if (len > maxAllowed) {\n // Text/cdata nodes can get big, and since they're buffered,\n // we can get here under normal conditions.\n // Avoid issues by emitting the text node now,\n // so at least it won't get any bigger.\n switch (buffers[i]) {\n case 'textNode':\n closeText(parser)\n break\n\n case 'cdata':\n emitNode(parser, 'oncdata', parser.cdata)\n parser.cdata = ''\n break\n\n case 'script':\n emitNode(parser, 'onscript', parser.script)\n parser.script = ''\n break\n\n default:\n error(parser, 'Max buffer length exceeded: ' + buffers[i])\n }\n }\n maxActual = Math.max(maxActual, len)\n }\n // schedule the next check for the earliest possible buffer overrun.\n var m = sax.MAX_BUFFER_LENGTH - maxActual\n parser.bufferCheckPosition = m + parser.position\n }\n\n function clearBuffers (parser) {\n for (var i = 0, l = buffers.length; i < l; i++) {\n parser[buffers[i]] = ''\n }\n }\n\n function flushBuffers (parser) {\n closeText(parser)\n if (parser.cdata !== '') {\n emitNode(parser, 'oncdata', parser.cdata)\n parser.cdata = ''\n }\n if (parser.script !== '') {\n emitNode(parser, 'onscript', parser.script)\n parser.script = ''\n }\n }\n\n SAXParser.prototype = {\n end: function () { end(this) },\n write: write,\n resume: function () { this.error = null; return this },\n close: function () { return this.write(null) },\n flush: function () { flushBuffers(this) }\n }\n\n var Stream\n try {\n Stream = require('stream').Stream\n } catch (ex) {\n Stream = function () {}\n }\n\n var streamWraps = sax.EVENTS.filter(function (ev) {\n return ev !== 'error' && ev !== 'end'\n })\n\n function createStream (strict, opt) {\n return new SAXStream(strict, opt)\n }\n\n function SAXStream (strict, opt) {\n if (!(this instanceof SAXStream)) {\n return new SAXStream(strict, opt)\n }\n\n Stream.apply(this)\n\n this._parser = new SAXParser(strict, opt)\n this.writable = true\n this.readable = true\n\n var me = this\n\n this._parser.onend = function () {\n me.emit('end')\n }\n\n this._parser.onerror = function (er) {\n me.emit('error', er)\n\n // if didn't throw, then means error was handled.\n // go ahead and clear error, so we can write again.\n me._parser.error = null\n }\n\n this._decoder = null\n\n streamWraps.forEach(function (ev) {\n Object.defineProperty(me, 'on' + ev, {\n get: function () {\n return me._parser['on' + ev]\n },\n set: function (h) {\n if (!h) {\n me.removeAllListeners(ev)\n me._parser['on' + ev] = h\n return h\n }\n me.on(ev, h)\n },\n enumerable: true,\n configurable: false\n })\n })\n }\n\n SAXStream.prototype = Object.create(Stream.prototype, {\n constructor: {\n value: SAXStream\n }\n })\n\n SAXStream.prototype.write = function (data) {\n if (typeof Buffer === 'function' &&\n typeof Buffer.isBuffer === 'function' &&\n Buffer.isBuffer(data)) {\n if (!this._decoder) {\n var SD = require('string_decoder').StringDecoder\n this._decoder = new SD('utf8')\n }\n data = this._decoder.write(data)\n }\n\n this._parser.write(data.toString())\n this.emit('data', data)\n return true\n }\n\n SAXStream.prototype.end = function (chunk) {\n if (chunk && chunk.length) {\n this.write(chunk)\n }\n this._parser.end()\n return true\n }\n\n SAXStream.prototype.on = function (ev, handler) {\n var me = this\n if (!me._parser['on' + ev] && streamWraps.indexOf(ev) !== -1) {\n me._parser['on' + ev] = function () {\n var args = arguments.length === 1 ? [arguments[0]] : Array.apply(null, arguments)\n args.splice(0, 0, ev)\n me.emit.apply(me, args)\n }\n }\n\n return Stream.prototype.on.call(me, ev, handler)\n }\n\n // this really needs to be replaced with character classes.\n // XML allows all manner of ridiculous numbers and digits.\n var CDATA = '[CDATA['\n var DOCTYPE = 'DOCTYPE'\n var XML_NAMESPACE = 'http://www.w3.org/XML/1998/namespace'\n var XMLNS_NAMESPACE = 'http://www.w3.org/2000/xmlns/'\n var rootNS = { xml: XML_NAMESPACE, xmlns: XMLNS_NAMESPACE }\n\n // http://www.w3.org/TR/REC-xml/#NT-NameStartChar\n // This implementation works on strings, a single character at a time\n // as such, it cannot ever support astral-plane characters (10000-EFFFF)\n // without a significant breaking change to either this parser, or the\n // JavaScript language. Implementation of an emoji-capable xml parser\n // is left as an exercise for the reader.\n var nameStart = /[:_A-Za-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD]/\n\n var nameBody = /[:_A-Za-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD\\u00B7\\u0300-\\u036F\\u203F-\\u2040.\\d-]/\n\n var entityStart = /[#:_A-Za-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD]/\n var entityBody = /[#:_A-Za-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD\\u00B7\\u0300-\\u036F\\u203F-\\u2040.\\d-]/\n\n function isWhitespace (c) {\n return c === ' ' || c === '\\n' || c === '\\r' || c === '\\t'\n }\n\n function isQuote (c) {\n return c === '\"' || c === '\\''\n }\n\n function isAttribEnd (c) {\n return c === '>' || isWhitespace(c)\n }\n\n function isMatch (regex, c) {\n return regex.test(c)\n }\n\n function notMatch (regex, c) {\n return !isMatch(regex, c)\n }\n\n var S = 0\n sax.STATE = {\n BEGIN: S++, // leading byte order mark or whitespace\n BEGIN_WHITESPACE: S++, // leading whitespace\n TEXT: S++, // general stuff\n TEXT_ENTITY: S++, // & and such.\n OPEN_WAKA: S++, // <\n SGML_DECL: S++, // <!BLARG\n SGML_DECL_QUOTED: S++, // <!BLARG foo \"bar\n DOCTYPE: S++, // <!DOCTYPE\n DOCTYPE_QUOTED: S++, // <!DOCTYPE \"//blah\n DOCTYPE_DTD: S++, // <!DOCTYPE \"//blah\" [ ...\n DOCTYPE_DTD_QUOTED: S++, // <!DOCTYPE \"//blah\" [ \"foo\n COMMENT_STARTING: S++, // <!-\n COMMENT: S++, // <!--\n COMMENT_ENDING: S++, // <!-- blah -\n COMMENT_ENDED: S++, // <!-- blah --\n CDATA: S++, // <![CDATA[ something\n CDATA_ENDING: S++, // ]\n CDATA_ENDING_2: S++, // ]]\n PROC_INST: S++, // <?hi\n PROC_INST_BODY: S++, // <?hi there\n PROC_INST_ENDING: S++, // <?hi \"there\" ?\n OPEN_TAG: S++, // <strong\n OPEN_TAG_SLASH: S++, // <strong /\n ATTRIB: S++, // <a\n ATTRIB_NAME: S++, // <a foo\n ATTRIB_NAME_SAW_WHITE: S++, // <a foo _\n ATTRIB_VALUE: S++, // <a foo=\n ATTRIB_VALUE_QUOTED: S++, // <a foo=\"bar\n ATTRIB_VALUE_CLOSED: S++, // <a foo=\"bar\"\n ATTRIB_VALUE_UNQUOTED: S++, // <a foo=bar\n ATTRIB_VALUE_ENTITY_Q: S++, // <foo bar=\""\"\n ATTRIB_VALUE_ENTITY_U: S++, // <foo bar="\n CLOSE_TAG: S++, // </a\n CLOSE_TAG_SAW_WHITE: S++, // </a >\n SCRIPT: S++, // <script> ...\n SCRIPT_ENDING: S++ // <script> ... <\n }\n\n sax.XML_ENTITIES = {\n 'amp': '&',\n 'gt': '>',\n 'lt': '<',\n 'quot': '\"',\n 'apos': \"'\"\n }\n\n sax.ENTITIES = {\n 'amp': '&',\n 'gt': '>',\n 'lt': '<',\n 'quot': '\"',\n 'apos': \"'\",\n 'AElig': 198,\n 'Aacute': 193,\n 'Acirc': 194,\n 'Agrave': 192,\n 'Aring': 197,\n 'Atilde': 195,\n 'Auml': 196,\n 'Ccedil': 199,\n 'ETH': 208,\n 'Eacute': 201,\n 'Ecirc': 202,\n 'Egrave': 200,\n 'Euml': 203,\n 'Iacute': 205,\n 'Icirc': 206,\n 'Igrave': 204,\n 'Iuml': 207,\n 'Ntilde': 209,\n 'Oacute': 211,\n 'Ocirc': 212,\n 'Ograve': 210,\n 'Oslash': 216,\n 'Otilde': 213,\n 'Ouml': 214,\n 'THORN': 222,\n 'Uacute': 218,\n 'Ucirc': 219,\n 'Ugrave': 217,\n 'Uuml': 220,\n 'Yacute': 221,\n 'aacute': 225,\n 'acirc': 226,\n 'aelig': 230,\n 'agrave': 224,\n 'aring': 229,\n 'atilde': 227,\n 'auml': 228,\n 'ccedil': 231,\n 'eacute': 233,\n 'ecirc': 234,\n 'egrave': 232,\n 'eth': 240,\n 'euml': 235,\n 'iacute': 237,\n 'icirc': 238,\n 'igrave': 236,\n 'iuml': 239,\n 'ntilde': 241,\n 'oacute': 243,\n 'ocirc': 244,\n 'ograve': 242,\n 'oslash': 248,\n 'otilde': 245,\n 'ouml': 246,\n 'szlig': 223,\n 'thorn': 254,\n 'uacute': 250,\n 'ucirc': 251,\n 'ugrave': 249,\n 'uuml': 252,\n 'yacute': 253,\n 'yuml': 255,\n 'copy': 169,\n 'reg': 174,\n 'nbsp': 160,\n 'iexcl': 161,\n 'cent': 162,\n 'pound': 163,\n 'curren': 164,\n 'yen': 165,\n 'brvbar': 166,\n 'sect': 167,\n 'uml': 168,\n 'ordf': 170,\n 'laquo': 171,\n 'not': 172,\n 'shy': 173,\n 'macr': 175,\n 'deg': 176,\n 'plusmn': 177,\n 'sup1': 185,\n 'sup2': 178,\n 'sup3': 179,\n 'acute': 180,\n 'micro': 181,\n 'para': 182,\n 'middot': 183,\n 'cedil': 184,\n 'ordm': 186,\n 'raquo': 187,\n 'frac14': 188,\n 'frac12': 189,\n 'frac34': 190,\n 'iquest': 191,\n 'times': 215,\n 'divide': 247,\n 'OElig': 338,\n 'oelig': 339,\n 'Scaron': 352,\n 'scaron': 353,\n 'Yuml': 376,\n 'fnof': 402,\n 'circ': 710,\n 'tilde': 732,\n 'Alpha': 913,\n 'Beta': 914,\n 'Gamma': 915,\n 'Delta': 916,\n 'Epsilon': 917,\n 'Zeta': 918,\n 'Eta': 919,\n 'Theta': 920,\n 'Iota': 921,\n 'Kappa': 922,\n 'Lambda': 923,\n 'Mu': 924,\n 'Nu': 925,\n 'Xi': 926,\n 'Omicron': 927,\n 'Pi': 928,\n 'Rho': 929,\n 'Sigma': 931,\n 'Tau': 932,\n 'Upsilon': 933,\n 'Phi': 934,\n 'Chi': 935,\n 'Psi': 936,\n 'Omega': 937,\n 'alpha': 945,\n 'beta': 946,\n 'gamma': 947,\n 'delta': 948,\n 'epsilon': 949,\n 'zeta': 950,\n 'eta': 951,\n 'theta': 952,\n 'iota': 953,\n 'kappa': 954,\n 'lambda': 955,\n 'mu': 956,\n 'nu': 957,\n 'xi': 958,\n 'omicron': 959,\n 'pi': 960,\n 'rho': 961,\n 'sigmaf': 962,\n 'sigma': 963,\n 'tau': 964,\n 'upsilon': 965,\n 'phi': 966,\n 'chi': 967,\n 'psi': 968,\n 'omega': 969,\n 'thetasym': 977,\n 'upsih': 978,\n 'piv': 982,\n 'ensp': 8194,\n 'emsp': 8195,\n 'thinsp': 8201,\n 'zwnj': 8204,\n 'zwj': 8205,\n 'lrm': 8206,\n 'rlm': 8207,\n 'ndash': 8211,\n 'mdash': 8212,\n 'lsquo': 8216,\n 'rsquo': 8217,\n 'sbquo': 8218,\n 'ldquo': 8220,\n 'rdquo': 8221,\n 'bdquo': 8222,\n 'dagger': 8224,\n 'Dagger': 8225,\n 'bull': 8226,\n 'hellip': 8230,\n 'permil': 8240,\n 'prime': 8242,\n 'Prime': 8243,\n 'lsaquo': 8249,\n 'rsaquo': 8250,\n 'oline': 8254,\n 'frasl': 8260,\n 'euro': 8364,\n 'image': 8465,\n 'weierp': 8472,\n 'real': 8476,\n 'trade': 8482,\n 'alefsym': 8501,\n 'larr': 8592,\n 'uarr': 8593,\n 'rarr': 8594,\n 'darr': 8595,\n 'harr': 8596,\n 'crarr': 8629,\n 'lArr': 8656,\n 'uArr': 8657,\n 'rArr': 8658,\n 'dArr': 8659,\n 'hArr': 8660,\n 'forall': 8704,\n 'part': 8706,\n 'exist': 8707,\n 'empty': 8709,\n 'nabla': 8711,\n 'isin': 8712,\n 'notin': 8713,\n 'ni': 8715,\n 'prod': 8719,\n 'sum': 8721,\n 'minus': 8722,\n 'lowast': 8727,\n 'radic': 8730,\n 'prop': 8733,\n 'infin': 8734,\n 'ang': 8736,\n 'and': 8743,\n 'or': 8744,\n 'cap': 8745,\n 'cup': 8746,\n 'int': 8747,\n 'there4': 8756,\n 'sim': 8764,\n 'cong': 8773,\n 'asymp': 8776,\n 'ne': 8800,\n 'equiv': 8801,\n 'le': 8804,\n 'ge': 8805,\n 'sub': 8834,\n 'sup': 8835,\n 'nsub': 8836,\n 'sube': 8838,\n 'supe': 8839,\n 'oplus': 8853,\n 'otimes': 8855,\n 'perp': 8869,\n 'sdot': 8901,\n 'lceil': 8968,\n 'rceil': 8969,\n 'lfloor': 8970,\n 'rfloor': 8971,\n 'lang': 9001,\n 'rang': 9002,\n 'loz': 9674,\n 'spades': 9824,\n 'clubs': 9827,\n 'hearts': 9829,\n 'diams': 9830\n }\n\n Object.keys(sax.ENTITIES).forEach(function (key) {\n var e = sax.ENTITIES[key]\n var s = typeof e === 'number' ? String.fromCharCode(e) : e\n sax.ENTITIES[key] = s\n })\n\n for (var s in sax.STATE) {\n sax.STATE[sax.STATE[s]] = s\n }\n\n // shorthand\n S = sax.STATE\n\n function emit (parser, event, data) {\n parser[event] && parser[event](data)\n }\n\n function emitNode (parser, nodeType, data) {\n if (parser.textNode) closeText(parser)\n emit(parser, nodeType, data)\n }\n\n function closeText (parser) {\n parser.textNode = textopts(parser.opt, parser.textNode)\n if (parser.textNode) emit(parser, 'ontext', parser.textNode)\n parser.textNode = ''\n }\n\n function textopts (opt, text) {\n if (opt.trim) text = text.trim()\n if (opt.normalize) text = text.replace(/\\s+/g, ' ')\n return text\n }\n\n function error (parser, er) {\n closeText(parser)\n if (parser.trackPosition) {\n er += '\\nLine: ' + parser.line +\n '\\nColumn: ' + parser.column +\n '\\nChar: ' + parser.c\n }\n er = new Error(er)\n parser.error = er\n emit(parser, 'onerror', er)\n return parser\n }\n\n function end (parser) {\n if (parser.sawRoot && !parser.closedRoot) strictFail(parser, 'Unclosed root tag')\n if ((parser.state !== S.BEGIN) &&\n (parser.state !== S.BEGIN_WHITESPACE) &&\n (parser.state !== S.TEXT)) {\n error(parser, 'Unexpected end')\n }\n closeText(parser)\n parser.c = ''\n parser.closed = true\n emit(parser, 'onend')\n SAXParser.call(parser, parser.strict, parser.opt)\n return parser\n }\n\n function strictFail (parser, message) {\n if (typeof parser !== 'object' || !(parser instanceof SAXParser)) {\n throw new Error('bad call to strictFail')\n }\n if (parser.strict) {\n error(parser, message)\n }\n }\n\n function newTag (parser) {\n if (!parser.strict) parser.tagName = parser.tagName[parser.looseCase]()\n var parent = parser.tags[parser.tags.length - 1] || parser\n var tag = parser.tag = { name: parser.tagName, attributes: {} }\n\n // will be overridden if tag contails an xmlns=\"foo\" or xmlns:foo=\"bar\"\n if (parser.opt.xmlns) {\n tag.ns = parent.ns\n }\n parser.attribList.length = 0\n emitNode(parser, 'onopentagstart', tag)\n }\n\n function qname (name, attribute) {\n var i = name.indexOf(':')\n var qualName = i < 0 ? [ '', name ] : name.split(':')\n var prefix = qualName[0]\n var local = qualName[1]\n\n // <x \"xmlns\"=\"http://foo\">\n if (attribute && name === 'xmlns') {\n prefix = 'xmlns'\n local = ''\n }\n\n return { prefix: prefix, local: local }\n }\n\n function attrib (parser) {\n if (!parser.strict) {\n parser.attribName = parser.attribName[parser.looseCase]()\n }\n\n if (parser.attribList.indexOf(parser.attribName) !== -1 ||\n parser.tag.attributes.hasOwnProperty(parser.attribName)) {\n parser.attribName = parser.attribValue = ''\n return\n }\n\n if (parser.opt.xmlns) {\n var qn = qname(parser.attribName, true)\n var prefix = qn.prefix\n var local = qn.local\n\n if (prefix === 'xmlns') {\n // namespace binding attribute. push the binding into scope\n if (local === 'xml' && parser.attribValue !== XML_NAMESPACE) {\n strictFail(parser,\n 'xml: prefix must be bound to ' + XML_NAMESPACE + '\\n' +\n 'Actual: ' + parser.attribValue)\n } else if (local === 'xmlns' && parser.attribValue !== XMLNS_NAMESPACE) {\n strictFail(parser,\n 'xmlns: prefix must be bound to ' + XMLNS_NAMESPACE + '\\n' +\n 'Actual: ' + parser.attribValue)\n } else {\n var tag = parser.tag\n var parent = parser.tags[parser.tags.length - 1] || parser\n if (tag.ns === parent.ns) {\n tag.ns = Object.create(parent.ns)\n }\n tag.ns[local] = parser.attribValue\n }\n }\n\n // defer onattribute events until all attributes have been seen\n // so any new bindings can take effect. preserve attribute order\n // so deferred events can be emitted in document order\n parser.attribList.push([parser.attribName, parser.attribValue])\n } else {\n // in non-xmlns mode, we can emit the event right away\n parser.tag.attributes[parser.attribName] = parser.attribValue\n emitNode(parser, 'onattribute', {\n name: parser.attribName,\n value: parser.attribValue\n })\n }\n\n parser.attribName = parser.attribValue = ''\n }\n\n function openTag (parser, selfClosing) {\n if (parser.opt.xmlns) {\n // emit namespace binding events\n var tag = parser.tag\n\n // add namespace info to tag\n var qn = qname(parser.tagName)\n tag.prefix = qn.prefix\n tag.local = qn.local\n tag.uri = tag.ns[qn.prefix] || ''\n\n if (tag.prefix && !tag.uri) {\n strictFail(parser, 'Unbound namespace prefix: ' +\n JSON.stringify(parser.tagName))\n tag.uri = qn.prefix\n }\n\n var parent = parser.tags[parser.tags.length - 1] || parser\n if (tag.ns && parent.ns !== tag.ns) {\n Object.keys(tag.ns).forEach(function (p) {\n emitNode(parser, 'onopennamespace', {\n prefix: p,\n uri: tag.ns[p]\n })\n })\n }\n\n // handle deferred onattribute events\n // Note: do not apply default ns to attributes:\n // http://www.w3.org/TR/REC-xml-names/#defaulting\n for (var i = 0, l = parser.attribList.length; i < l; i++) {\n var nv = parser.attribList[i]\n var name = nv[0]\n var value = nv[1]\n var qualName = qname(name, true)\n var prefix = qualName.prefix\n var local = qualName.local\n var uri = prefix === '' ? '' : (tag.ns[prefix] || '')\n var a = {\n name: name,\n value: value,\n prefix: prefix,\n local: local,\n uri: uri\n }\n\n // if there's any attributes with an undefined namespace,\n // then fail on them now.\n if (prefix && prefix !== 'xmlns' && !uri) {\n strictFail(parser, 'Unbound namespace prefix: ' +\n JSON.stringify(prefix))\n a.uri = prefix\n }\n parser.tag.attributes[name] = a\n emitNode(parser, 'onattribute', a)\n }\n parser.attribList.length = 0\n }\n\n parser.tag.isSelfClosing = !!selfClosing\n\n // process the tag\n parser.sawRoot = true\n parser.tags.push(parser.tag)\n emitNode(parser, 'onopentag', parser.tag)\n if (!selfClosing) {\n // special case for <script> in non-strict mode.\n if (!parser.noscript && parser.tagName.toLowerCase() === 'script') {\n parser.state = S.SCRIPT\n } else {\n parser.state = S.TEXT\n }\n parser.tag = null\n parser.tagName = ''\n }\n parser.attribName = parser.attribValue = ''\n parser.attribList.length = 0\n }\n\n function closeTag (parser) {\n if (!parser.tagName) {\n strictFail(parser, 'Weird empty close tag.')\n parser.textNode += '</>'\n parser.state = S.TEXT\n return\n }\n\n if (parser.script) {\n if (parser.tagName !== 'script') {\n parser.script += '</' + parser.tagName + '>'\n parser.tagName = ''\n parser.state = S.SCRIPT\n return\n }\n emitNode(parser, 'onscript', parser.script)\n parser.script = ''\n }\n\n // first make sure that the closing tag actually exists.\n // <a><b></c></b></a> will close everything, otherwise.\n var t = parser.tags.length\n var tagName = parser.tagName\n if (!parser.strict) {\n tagName = tagName[parser.looseCase]()\n }\n var closeTo = tagName\n while (t--) {\n var close = parser.tags[t]\n if (close.name !== closeTo) {\n // fail the first time in strict mode\n strictFail(parser, 'Unexpected close tag')\n } else {\n break\n }\n }\n\n // didn't find it. we already failed for strict, so just abort.\n if (t < 0) {\n strictFail(parser, 'Unmatched closing tag: ' + parser.tagName)\n parser.textNode += '</' + parser.tagName + '>'\n parser.state = S.TEXT\n return\n }\n parser.tagName = tagName\n var s = parser.tags.length\n while (s-- > t) {\n var tag = parser.tag = parser.tags.pop()\n parser.tagName = parser.tag.name\n emitNode(parser, 'onclosetag', parser.tagName)\n\n var x = {}\n for (var i in tag.ns) {\n x[i] = tag.ns[i]\n }\n\n var parent = parser.tags[parser.tags.length - 1] || parser\n if (parser.opt.xmlns && tag.ns !== parent.ns) {\n // remove namespace bindings introduced by tag\n Object.keys(tag.ns).forEach(function (p) {\n var n = tag.ns[p]\n emitNode(parser, 'onclosenamespace', { prefix: p, uri: n })\n })\n }\n }\n if (t === 0) parser.closedRoot = true\n parser.tagName = parser.attribValue = parser.attribName = ''\n parser.attribList.length = 0\n parser.state = S.TEXT\n }\n\n function parseEntity (parser) {\n var entity = parser.entity\n var entityLC = entity.toLowerCase()\n var num\n var numStr = ''\n\n if (parser.ENTITIES[entity]) {\n return parser.ENTITIES[entity]\n }\n if (parser.ENTITIES[entityLC]) {\n return parser.ENTITIES[entityLC]\n }\n entity = entityLC\n if (entity.charAt(0) === '#') {\n if (entity.charAt(1) === 'x') {\n entity = entity.slice(2)\n num = parseInt(entity, 16)\n numStr = num.toString(16)\n } else {\n entity = entity.slice(1)\n num = parseInt(entity, 10)\n numStr = num.toString(10)\n }\n }\n entity = entity.replace(/^0+/, '')\n if (isNaN(num) || numStr.toLowerCase() !== entity) {\n strictFail(parser, 'Invalid character entity')\n return '&' + parser.entity + ';'\n }\n\n return String.fromCodePoint(num)\n }\n\n function beginWhiteSpace (parser, c) {\n if (c === '<') {\n parser.state = S.OPEN_WAKA\n parser.startTagPosition = parser.position\n } else if (!isWhitespace(c)) {\n // have to process this as a text node.\n // weird, but happens.\n strictFail(parser, 'Non-whitespace before first tag.')\n parser.textNode = c\n parser.state = S.TEXT\n }\n }\n\n function charAt (chunk, i) {\n var result = ''\n if (i < chunk.length) {\n result = chunk.charAt(i)\n }\n return result\n }\n\n function write (chunk) {\n var parser = this\n if (this.error) {\n throw this.error\n }\n if (parser.closed) {\n return error(parser,\n 'Cannot write after close. Assign an onready handler.')\n }\n if (chunk === null) {\n return end(parser)\n }\n if (typeof chunk === 'object') {\n chunk = chunk.toString()\n }\n var i = 0\n var c = ''\n while (true) {\n c = charAt(chunk, i++)\n parser.c = c\n\n if (!c) {\n break\n }\n\n if (parser.trackPosition) {\n parser.position++\n if (c === '\\n') {\n parser.line++\n parser.column = 0\n } else {\n parser.column++\n }\n }\n\n switch (parser.state) {\n case S.BEGIN:\n parser.state = S.BEGIN_WHITESPACE\n if (c === '\\uFEFF') {\n continue\n }\n beginWhiteSpace(parser, c)\n continue\n\n case S.BEGIN_WHITESPACE:\n beginWhiteSpace(parser, c)\n continue\n\n case S.TEXT:\n if (parser.sawRoot && !parser.closedRoot) {\n var starti = i - 1\n while (c && c !== '<' && c !== '&') {\n c = charAt(chunk, i++)\n if (c && parser.trackPosition) {\n parser.position++\n if (c === '\\n') {\n parser.line++\n parser.column = 0\n } else {\n parser.column++\n }\n }\n }\n parser.textNode += chunk.substring(starti, i - 1)\n }\n if (c === '<' && !(parser.sawRoot && parser.closedRoot && !parser.strict)) {\n parser.state = S.OPEN_WAKA\n parser.startTagPosition = parser.position\n } else {\n if (!isWhitespace(c) && (!parser.sawRoot || parser.closedRoot)) {\n strictFail(parser, 'Text data outside of root node.')\n }\n if (c === '&') {\n parser.state = S.TEXT_ENTITY\n } else {\n parser.textNode += c\n }\n }\n continue\n\n case S.SCRIPT:\n // only non-strict\n if (c === '<') {\n parser.state = S.SCRIPT_ENDING\n } else {\n parser.script += c\n }\n continue\n\n case S.SCRIPT_ENDING:\n if (c === '/') {\n parser.state = S.CLOSE_TAG\n } else {\n parser.script += '<' + c\n parser.state = S.SCRIPT\n }\n continue\n\n case S.OPEN_WAKA:\n // either a /, ?, !, or text is coming next.\n if (c === '!') {\n parser.state = S.SGML_DECL\n parser.sgmlDecl = ''\n } else if (isWhitespace(c)) {\n // wait for it...\n } else if (isMatch(nameStart, c)) {\n parser.state = S.OPEN_TAG\n parser.tagName = c\n } else if (c === '/') {\n parser.state = S.CLOSE_TAG\n parser.tagName = ''\n } else if (c === '?') {\n parser.state = S.PROC_INST\n parser.procInstName = parser.procInstBody = ''\n } else {\n strictFail(parser, 'Unencoded <')\n // if there was some whitespace, then add that in.\n if (parser.startTagPosition + 1 < parser.position) {\n var pad = parser.position - parser.startTagPosition\n c = new Array(pad).join(' ') + c\n }\n parser.textNode += '<' + c\n parser.state = S.TEXT\n }\n continue\n\n case S.SGML_DECL:\n if ((parser.sgmlDecl + c).toUpperCase() === CDATA) {\n emitNode(parser, 'onopencdata')\n parser.state = S.CDATA\n parser.sgmlDecl = ''\n parser.cdata = ''\n } else if (parser.sgmlDecl + c === '--') {\n parser.state = S.COMMENT\n parser.comment = ''\n parser.sgmlDecl = ''\n } else if ((parser.sgmlDecl + c).toUpperCase() === DOCTYPE) {\n parser.state = S.DOCTYPE\n if (parser.doctype || parser.sawRoot) {\n strictFail(parser,\n 'Inappropriately located doctype declaration')\n }\n parser.doctype = ''\n parser.sgmlDecl = ''\n } else if (c === '>') {\n emitNode(parser, 'onsgmldeclaration', parser.sgmlDecl)\n parser.sgmlDecl = ''\n parser.state = S.TEXT\n } else if (isQuote(c)) {\n parser.state = S.SGML_DECL_QUOTED\n parser.sgmlDecl += c\n } else {\n parser.sgmlDecl += c\n }\n continue\n\n case S.SGML_DECL_QUOTED:\n if (c === parser.q) {\n parser.state = S.SGML_DECL\n parser.q = ''\n }\n parser.sgmlDecl += c\n continue\n\n case S.DOCTYPE:\n if (c === '>') {\n parser.state = S.TEXT\n emitNode(parser, 'ondoctype', parser.doctype)\n parser.doctype = true // just remember that we saw it.\n } else {\n parser.doctype += c\n if (c === '[') {\n parser.state = S.DOCTYPE_DTD\n } else if (isQuote(c)) {\n parser.state = S.DOCTYPE_QUOTED\n parser.q = c\n }\n }\n continue\n\n case S.DOCTYPE_QUOTED:\n parser.doctype += c\n if (c === parser.q) {\n parser.q = ''\n parser.state = S.DOCTYPE\n }\n continue\n\n case S.DOCTYPE_DTD:\n parser.doctype += c\n if (c === ']') {\n parser.state = S.DOCTYPE\n } else if (isQuote(c)) {\n parser.state = S.DOCTYPE_DTD_QUOTED\n parser.q = c\n }\n continue\n\n case S.DOCTYPE_DTD_QUOTED:\n parser.doctype += c\n if (c === parser.q) {\n parser.state = S.DOCTYPE_DTD\n parser.q = ''\n }\n continue\n\n case S.COMMENT:\n if (c === '-') {\n parser.state = S.COMMENT_ENDING\n } else {\n parser.comment += c\n }\n continue\n\n case S.COMMENT_ENDING:\n if (c === '-') {\n parser.state = S.COMMENT_ENDED\n parser.comment = textopts(parser.opt, parser.comment)\n if (parser.comment) {\n emitNode(parser, 'oncomment', parser.comment)\n }\n parser.comment = ''\n } else {\n parser.comment += '-' + c\n parser.state = S.COMMENT\n }\n continue\n\n case S.COMMENT_ENDED:\n if (c !== '>') {\n strictFail(parser, 'Malformed comment')\n // allow <!-- blah -- bloo --> in non-strict mode,\n // which is a comment of \" blah -- bloo \"\n parser.comment += '--' + c\n parser.state = S.COMMENT\n } else {\n parser.state = S.TEXT\n }\n continue\n\n case S.CDATA:\n if (c === ']') {\n parser.state = S.CDATA_ENDING\n } else {\n parser.cdata += c\n }\n continue\n\n case S.CDATA_ENDING:\n if (c === ']') {\n parser.state = S.CDATA_ENDING_2\n } else {\n parser.cdata += ']' + c\n parser.state = S.CDATA\n }\n continue\n\n case S.CDATA_ENDING_2:\n if (c === '>') {\n if (parser.cdata) {\n emitNode(parser, 'oncdata', parser.cdata)\n }\n emitNode(parser, 'onclosecdata')\n parser.cdata = ''\n parser.state = S.TEXT\n } else if (c === ']') {\n parser.cdata += ']'\n } else {\n parser.cdata += ']]' + c\n parser.state = S.CDATA\n }\n continue\n\n case S.PROC_INST:\n if (c === '?') {\n parser.state = S.PROC_INST_ENDING\n } else if (isWhitespace(c)) {\n parser.state = S.PROC_INST_BODY\n } else {\n parser.procInstName += c\n }\n continue\n\n case S.PROC_INST_BODY:\n if (!parser.procInstBody && isWhitespace(c)) {\n continue\n } else if (c === '?') {\n parser.state = S.PROC_INST_ENDING\n } else {\n parser.procInstBody += c\n }\n continue\n\n case S.PROC_INST_ENDING:\n if (c === '>') {\n emitNode(parser, 'onprocessinginstruction', {\n name: parser.procInstName,\n body: parser.procInstBody\n })\n parser.procInstName = parser.procInstBody = ''\n parser.state = S.TEXT\n } else {\n parser.procInstBody += '?' + c\n parser.state = S.PROC_INST_BODY\n }\n continue\n\n case S.OPEN_TAG:\n if (isMatch(nameBody, c)) {\n parser.tagName += c\n } else {\n newTag(parser)\n if (c === '>') {\n openTag(parser)\n } else if (c === '/') {\n parser.state = S.OPEN_TAG_SLASH\n } else {\n if (!isWhitespace(c)) {\n strictFail(parser, 'Invalid character in tag name')\n }\n parser.state = S.ATTRIB\n }\n }\n continue\n\n case S.OPEN_TAG_SLASH:\n if (c === '>') {\n openTag(parser, true)\n closeTag(parser)\n } else {\n strictFail(parser, 'Forward-slash in opening tag not followed by >')\n parser.state = S.ATTRIB\n }\n continue\n\n case S.ATTRIB:\n // haven't read the attribute name yet.\n if (isWhitespace(c)) {\n continue\n } else if (c === '>') {\n openTag(parser)\n } else if (c === '/') {\n parser.state = S.OPEN_TAG_SLASH\n } else if (isMatch(nameStart, c)) {\n parser.attribName = c\n parser.attribValue = ''\n parser.state = S.ATTRIB_NAME\n } else {\n strictFail(parser, 'Invalid attribute name')\n }\n continue\n\n case S.ATTRIB_NAME:\n if (c === '=') {\n parser.state = S.ATTRIB_VALUE\n } else if (c === '>') {\n strictFail(parser, 'Attribute without value')\n parser.attribValue = parser.attribName\n attrib(parser)\n openTag(parser)\n } else if (isWhitespace(c)) {\n parser.state = S.ATTRIB_NAME_SAW_WHITE\n } else if (isMatch(nameBody, c)) {\n parser.attribName += c\n } else {\n strictFail(parser, 'Invalid attribute name')\n }\n continue\n\n case S.ATTRIB_NAME_SAW_WHITE:\n if (c === '=') {\n parser.state = S.ATTRIB_VALUE\n } else if (isWhitespace(c)) {\n continue\n } else {\n strictFail(parser, 'Attribute without value')\n parser.tag.attributes[parser.attribName] = ''\n parser.attribValue = ''\n emitNode(parser, 'onattribute', {\n name: parser.attribName,\n value: ''\n })\n parser.attribName = ''\n if (c === '>') {\n openTag(parser)\n } else if (isMatch(nameStart, c)) {\n parser.attribName = c\n parser.state = S.ATTRIB_NAME\n } else {\n strictFail(parser, 'Invalid attribute name')\n parser.state = S.ATTRIB\n }\n }\n continue\n\n case S.ATTRIB_VALUE:\n if (isWhitespace(c)) {\n continue\n } else if (isQuote(c)) {\n parser.q = c\n parser.state = S.ATTRIB_VALUE_QUOTED\n } else {\n strictFail(parser, 'Unquoted attribute value')\n parser.state = S.ATTRIB_VALUE_UNQUOTED\n parser.attribValue = c\n }\n continue\n\n case S.ATTRIB_VALUE_QUOTED:\n if (c !== parser.q) {\n if (c === '&') {\n parser.state = S.ATTRIB_VALUE_ENTITY_Q\n } else {\n parser.attribValue += c\n }\n continue\n }\n attrib(parser)\n parser.q = ''\n parser.state = S.ATTRIB_VALUE_CLOSED\n continue\n\n case S.ATTRIB_VALUE_CLOSED:\n if (isWhitespace(c)) {\n parser.state = S.ATTRIB\n } else if (c === '>') {\n openTag(parser)\n } else if (c === '/') {\n parser.state = S.OPEN_TAG_SLASH\n } else if (isMatch(nameStart, c)) {\n strictFail(parser, 'No whitespace between attributes')\n parser.attribName = c\n parser.attribValue = ''\n parser.state = S.ATTRIB_NAME\n } else {\n strictFail(parser, 'Invalid attribute name')\n }\n continue\n\n case S.ATTRIB_VALUE_UNQUOTED:\n if (!isAttribEnd(c)) {\n if (c === '&') {\n parser.state = S.ATTRIB_VALUE_ENTITY_U\n } else {\n parser.attribValue += c\n }\n continue\n }\n attrib(parser)\n if (c === '>') {\n openTag(parser)\n } else {\n parser.state = S.ATTRIB\n }\n continue\n\n case S.CLOSE_TAG:\n if (!parser.tagName) {\n if (isWhitespace(c)) {\n continue\n } else if (notMatch(nameStart, c)) {\n if (parser.script) {\n parser.script += '</' + c\n parser.state = S.SCRIPT\n } else {\n strictFail(parser, 'Invalid tagname in closing tag.')\n }\n } else {\n parser.tagName = c\n }\n } else if (c === '>') {\n closeTag(parser)\n } else if (isMatch(nameBody, c)) {\n parser.tagName += c\n } else if (parser.script) {\n parser.script += '</' + parser.tagName\n parser.tagName = ''\n parser.state = S.SCRIPT\n } else {\n if (!isWhitespace(c)) {\n strictFail(parser, 'Invalid tagname in closing tag')\n }\n parser.state = S.CLOSE_TAG_SAW_WHITE\n }\n continue\n\n case S.CLOSE_TAG_SAW_WHITE:\n if (isWhitespace(c)) {\n continue\n }\n if (c === '>') {\n closeTag(parser)\n } else {\n strictFail(parser, 'Invalid characters in closing tag')\n }\n continue\n\n case S.TEXT_ENTITY:\n case S.ATTRIB_VALUE_ENTITY_Q:\n case S.ATTRIB_VALUE_ENTITY_U:\n var returnState\n var buffer\n switch (parser.state) {\n case S.TEXT_ENTITY:\n returnState = S.TEXT\n buffer = 'textNode'\n break\n\n case S.ATTRIB_VALUE_ENTITY_Q:\n returnState = S.ATTRIB_VALUE_QUOTED\n buffer = 'attribValue'\n break\n\n case S.ATTRIB_VALUE_ENTITY_U:\n returnState = S.ATTRIB_VALUE_UNQUOTED\n buffer = 'attribValue'\n break\n }\n\n if (c === ';') {\n parser[buffer] += parseEntity(parser)\n parser.entity = ''\n parser.state = returnState\n } else if (isMatch(parser.entity.length ? entityBody : entityStart, c)) {\n parser.entity += c\n } else {\n strictFail(parser, 'Invalid character in entity name')\n parser[buffer] += '&' + parser.entity + c\n parser.entity = ''\n parser.state = returnState\n }\n\n continue\n\n default:\n throw new Error(parser, 'Unknown state: ' + parser.state)\n }\n } // while\n\n if (parser.position >= parser.bufferCheckPosition) {\n checkBufferLength(parser)\n }\n return parser\n }\n\n /*! http://mths.be/fromcodepoint v0.1.0 by @mathias */\n /* istanbul ignore next */\n if (!String.fromCodePoint) {\n (function () {\n var stringFromCharCode = String.fromCharCode\n var floor = Math.floor\n var fromCodePoint = function () {\n var MAX_SIZE = 0x4000\n var codeUnits = []\n var highSurrogate\n var lowSurrogate\n var index = -1\n var length = arguments.length\n if (!length) {\n return ''\n }\n var result = ''\n while (++index < length) {\n var codePoint = Number(arguments[index])\n if (\n !isFinite(codePoint) || // `NaN`, `+Infinity`, or `-Infinity`\n codePoint < 0 || // not a valid Unicode code point\n codePoint > 0x10FFFF || // not a valid Unicode code point\n floor(codePoint) !== codePoint // not an integer\n ) {\n throw RangeError('Invalid code point: ' + codePoint)\n }\n if (codePoint <= 0xFFFF) { // BMP code point\n codeUnits.push(codePoint)\n } else { // Astral code point; split in surrogate halves\n // http://mathiasbynens.be/notes/javascript-encoding#surrogate-formulae\n codePoint -= 0x10000\n highSurrogate = (codePoint >> 10) + 0xD800\n lowSurrogate = (codePoint % 0x400) + 0xDC00\n codeUnits.push(highSurrogate, lowSurrogate)\n }\n if (index + 1 === length || codeUnits.length > MAX_SIZE) {\n result += stringFromCharCode.apply(null, codeUnits)\n codeUnits.length = 0\n }\n }\n return result\n }\n /* istanbul ignore next */\n if (Object.defineProperty) {\n Object.defineProperty(String, 'fromCodePoint', {\n value: fromCodePoint,\n configurable: true,\n writable: true\n })\n } else {\n String.fromCodePoint = fromCodePoint\n }\n }())\n }\n})(typeof exports === 'undefined' ? this.sax = {} : exports)\n",
"type": "application/javascript",
"title": "$:/plugins/tiddlywiki/sax/sax.js",
"module-type": "library"
},
"$:/plugins/tiddlywiki/sax/license": {
"text": "The ISC License\n\nCopyright (c) Isaac Z. Schlueter and Contributors\n\nPermission to use, copy, modify, and/or distribute this software for any\npurpose with or without fee is hereby granted, provided that the above\ncopyright notice and this permission notice appear in all copies.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\" AND THE AUTHOR DISCLAIMS ALL WARRANTIES\nWITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF\nMERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR\nANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES\nWHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN\nACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF OR\nIN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.\n\n====\n\n`String.fromCodePoint` by Mathias Bynens used according to terms of MIT\nLicense, as follows:\n\n Copyright Mathias Bynens <https://mathiasbynens.be/>\n\n Permission is hereby granted, free of charge, to any person obtaining\n a copy of this software and associated documentation files (the\n \"Software\"), to deal in the Software without restriction, including\n without limitation the rights to use, copy, modify, merge, publish,\n distribute, sublicense, and/or sell copies of the Software, and to\n permit persons to whom the Software is furnished to do so, subject to\n the following conditions:\n\n The above copyright notice and this permission notice shall be\n included in all copies or substantial portions of the Software.\n\n THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND,\n EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND\n NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE\n LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION\n OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION\n WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n",
"type": "text/plain",
"title": "$:/plugins/tiddlywiki/sax/license"
},
"$:/plugins/tiddlywiki/sax/readme": {
"title": "$:/plugins/tiddlywiki/sax/readme",
"text": "This plugin packages [[sax.js|https://github.com/isaacs/sax-js]] for use by other plugins. It does not provide any end-user visible features.\n"
}
}
}
{
"tiddlers": {
"$:/plugins/tiddlywiki/text-slicer/docs/exporters": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs/exporters",
"tags": "$:/plugins/tiddlywiki/text-slicer/docs",
"caption": "Exporters",
"text": "Documents can be saved under Node.js, or previewed in the browser.\n\n[TBD]\n"
},
"$:/plugins/tiddlywiki/text-slicer/docs/internals": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs/internals",
"tags": "$:/plugins/tiddlywiki/text-slicer/docs",
"caption": "Internals",
"text": "! Introduction\n\nThe slicing process is performed by a simple automaton that scans the document and applies simple declarative rules to yield a collection of tiddlers.\n\nThe automaton processes the incoming XML document starting with the root element and then recursively visits each child node and their children. Actions are triggered as each component of the document is encountered:\n\n* Opening tags of elements\n* Closing tags of elements\n* Text nodes\n\nComponents are matched against the current set of rules to determine what actions should be performed. They can include a combination of:\n\n* Starting a new tiddler with specified fields\n* Rendering the markup for the current tag into the current tiddler\n* Appending the content of the current text node to the current tiddler\n* Threading tiddlers to their parents using a combination of the `list` and `tags` fields\n\n! Slicing State Data\n\nAs the automaton performs its scan, it maintains the following state information:\n\n* ''chunks'' - an array of tiddlers without titles, addressed by their numeric index. The title field is reused to hold the plain text of the chunk that is later used to generate the final title for the tiddler\n* ''currentChunk'' - the numeric index of the chunk currently being filled, or `null` if there is no current chunk\n* ''parentStack'' - a stack of parent chunks stored as `{chunk: <chunk-index>, actions: <actions>}`\n\nAt the start, the special document chunk is created and pushed onto the stack of parent chunks\n\n! Slicing Rules\n\nSlicing rules are maintained in tiddlers tagged `$:/tags/text-slicer/slicer-rules` with the following fields:\n\n* ''title'' - title of the tiddler containing the listof rules\n* ''name'' - short, human readable name for the set of rules\n* ''inherits-from'' - (optional) the ''name'' field of another set of rules that should be inherited as a base\n* ''text'' - JSON data as described below\n\nThe JSON data is an array of rules, each of which is an object with the following fields:\n\n* ''selector'' - a selector string identifying the components to be matched by this rule\n* ''actions'' - an object describing the actions to be performed when this selector matches a tag\n\n!! Selectors\n\nThe selector format is a simplified form of CSS selectors. They are specified as follows:\n\n* A ''selector'' is a list of one or more ''match expressions'' separated by commas. The rule is triggered if any of the match expressions produce a positive match\n* A ''match expression'' is a list of one or element ''tag names'' separated by spaces. The rule is triggered if the final tag name in the list matches the tag of the current element, and all of the preceding tags in the expression exist as ancestors of the current element in the specified order (but not necessarily as immediate children of one another)\n* A ''tag name'' is the textual name of an element\n* Tag names in match expressions may optionally be separated by a `>` sign surrounded by spaces to impose the requirement that the left hand element be the immediate parent of the right hand element\n\n!!! Example Selectors\n\nThis XML document will be used to illustrate some examples:\n\n```\n<a>\n <b>\n <d>one</d>\n </b>\n <c>\n <d>two</d>\n <e>\n three\n <e>\n four\n </e>\n </e>\n </c>\n</a>\n\n```\n\n|!Selector |!Matches |\n|b |Matches the single `<b>` element |\n|d |Matches both of the two `<d>` elements |\n|c,d |Matches the `<c>` element and both of the two `<d>` elements |\n|c d |Matches the second of the two `<d>` elements |\n|a d |Matches both of the two `<d>` elements |\n|a > d |Doesn't match anything |\n|e |Matches both of the two `<e>` elements |\n|c > e |Matches the outermost of the two `<e>` elements |\n|e > e |Matches the innermost of the two `<e>` elements |\n\n!! Actions\n\nThe ''action'' property of a slicer rule is an object that can have any of the following optional fields:\n\n* ''startNewChunk'' - causes a new chunk to be started on encountering an opening tag. The value is an object containing the fields to be assigned to the new chunk\n* ''isParent'' - causes the new chunk to be marked as a child of the current chunk (boolean flag; only applies if ''startNewChunk'' is set)\n* ''headingLevel'' - arrange heading parents according to level (numerical index; only applies if ''startNewChunk'' and ''isParent'' are set)\n* ''dontRenderTag'' - disables the default rendering of opening and closing tags to the current chunk. By default the tags are rendered as XML tags, but this can be overridden via ''markup'' (boolean; defaults to ''false'')\n* ''isImage'' - identifies an element as representing an HTML image element, with special processing for the ''src'' attribute\n* ''markup'' - optional object with either or both of `{wiki: {prefix: <str>,suffix: <str>}}` and `{html: {prefix: <str>,suffix: <str>}}` allowing the rendered tags to be customised\n"
},
"$:/plugins/tiddlywiki/text-slicer/docs/model": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs/model",
"tags": "$:/plugins/tiddlywiki/text-slicer/docs",
"caption": "Document Model",
"text": "Individual tiddlers are created for each heading, paragraph and list item. They are linked together into a hierarchical outline using lists.\n\nFor example, consider a tiddler titled ''Example'' containing this simple text:\n\n<<<\n! This is a heading\n\nThis is a paragraph.\n\n* And the first list item\n* Second list item\n<<<\n\nIt will be sliced up into:\n\n* a tiddler for the overall document\n** a tiddler for the heading\n*** a tiddler for the paragraph\n*** a tiddler for the list\n**** and a tiddler for each list item\n\nThese tiddlers are bound together using lists: the parent tiddler has a ''list'' field that lists each child in the correct order.\n\n!! Slicing Process\n\nSlicing generates the following component tiddlers.\n\nTiddler titles are generated automatically in most cases (but can subsequently be changed manually). The automatically generated title is made up of concatenating the following elements:\n\n* root text (e.g. ''para'')\n* a dash ''-''\n* the first few words of the text of the item (up to 40 characters), separated with dashes ''-''\n* if necessary, a dash ''-'' and a numerical index to make the title unique\n\nFor example, ''para-how-to-use-pentagonal-tiles 23''.\n\nAny CSS classes used in the original document are assigned as tags to the associated tiddlers.\n\n!!! Document\n\nThe document itself is represented by a tiddler with the following fields:\n\n* ''toc-type'': the text \"document\"\n* ''title'': the text ''\"Sliced up \"'' plus the title of the tiddler that was sliced\n* ''text'': Available for comments about the document\n* ''list'': ordered list of tiddlers making up the root level of this document\n\n!!! Headings\n\nTiddlers representing headings have the following fields:\n\n* ''toc-type'': the text \"heading\"\n* ''toc-heading-level'': the heading level \"h1\", \"h2\", \"h3\" etc.\n* ''title'': an automatically generated unique title\n* ''text'': the text of the heading\n* ''list'': ordered list of tiddlers tagged with this heading (i.e. the child headings, paragraphs and lists displayed under this heading)\n** In addition, any CSS classes found in the HTML are converted into tags\n\n!!! Paragraphs\n\nTiddlers representing paragraphs have the following fields:\n\n* ''toc-type'': the text \"paragraph\"\n* ''title'': an automatically generated unique title\n* ''text'': the text of the paragraph\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\n!!! Lists\n\nLists are represented by several tiddlers: one for the list itself, and one for each item in the list.\n\nThe tiddler representing the list itself has the following fields:\n\n* ''toc-type'': the text \"list\"\n* ''toc-list-type'': the text \"ul\" or \"ol\"\n* ''toc-list-filter'': the default filter used to generate the titles of the list items\n* ''title'': an automatically generated unique title\n* ''list'': ordered list of titles of tiddlers representing the items in this list\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\nThe tiddlers representing items within the list have the following fields:\n\n* ''toc-type'': the text \"item\"\n* ''title'': an automatically generated unique title\n* ''text'': the text of the list item\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\n!!! Definition lists\n\nDefinition lists are represented by several tiddlers: one for the definition list itself, and one for each term and definition in the list.\n\nThe tiddler representing the definition list itself has the following fields:\n\n* ''toc-type'': the text \"def-list\"\n* ''toc-list-filter'': the default filter used to generate the titles of the definition list items\n* ''title'': an automatically generated unique title\n* ''list'': ordered list of titles of tiddlers representing the items (terms and/or definition) in the definition list\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\nThe tiddlers representing terms within the definition list have the following fields:\n\n* ''toc-type'': the text \"term\"\n* ''title'': an automatically generated unique title\n* ''text'': the text of the definition list term\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\nThe tiddlers representing definitions within the definition list have the following fields:\n\n* ''toc-type'': the text \"definition\"\n* ''title'': an automatically generated unique title\n* ''text'' : the text of the definition list definition\n* ''tags'': any CSS classes found in the HTML are converted into tags\n\n!!! Images\n\nTiddlers representing images have the following fields:\n\n* ''toc-type'': the text \"image\"\n* ''title'': an automatically generated unique title\n* ''type'': appropriate content type for the image (eg \"image/jpeg\")\n\n!!! Notes\n\nNotes are available during editing but hidden for static renderings. The slicing mechanism does not generate notes; they can only be subsequently added manually. Tiddlers representing notes have the following fields:\n\n* ''toc-type'': the text \"note\"\n* ''title'': an automatically generated unique title\n* ''text'': the text of the note\n* ''tags'': any CSS classes found in the HTML are converted into tags\n"
},
"$:/plugins/tiddlywiki/text-slicer/docs/preview": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs/preview",
"tags": "$:/plugins/tiddlywiki/text-slicer/docs",
"caption": "Preview",
"text": "The document preview column appears at the left side of the screen. The content of headings can be collapsed and expanded to help navigation. Clicking on a tiddler opens the corresponding tiddler in the main story river.\n\nClicking ''Show toolbar'' causes each tiddler to be preceded by a toolbar showing the underlying title. It can be edited directly to rename the tiddler. References to the tiddler in the ''tags'' and ''list'' are automatically updated to reflect the change, but note that links to the tiddler will not be automatically changed.\n\nThe following theme tweaks should be applied to enable the preview column:\n\n* Set [[story left position|$:/themes/tiddlywiki/vanilla/metrics/storyleft]] to ''400px'' (or more)\n* It is recommended to also set the [[sidebar layout|$:/themes/tiddlywiki/vanilla/options/sidebarlayout]] to ''fluid-fixed''.\n\nTo preview the entire document in a separate window, locate it in the preview column and click the button labelled \"View document\". The document will open in plain text in a new window. The window will be automatically updated as you work on the document.\n"
},
"$:/plugins/tiddlywiki/text-slicer/docs/usage": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs/usage",
"tags": "$:/plugins/tiddlywiki/text-slicer/docs",
"caption": "Usage",
"text": "The tool can slice any tiddler that can be rendered as HTML, including both WikiText and HTML itself.\n\nDocuments created with Microsoft Word will need to be first converted to HTML. The library [[mammoth.js|https://github.com/mwilliamson/mammoth.js]] is recommended for this purpose.\n\n!! Browser\n\nIn the browser, you can slice a monolithic document tiddler using the slicer toolbar button.\n\n!! Node.js\n\nThe `--slice` command allows a tiddler to be sliced under Node.js:\n\n```\ntiddlywiki mywiki --slice SourceDocument --build index\n```\n"
},
"$:/plugins/tiddlywiki/text-slicer/docs": {
"title": "$:/plugins/tiddlywiki/text-slicer/docs",
"list": "$:/plugins/tiddlywiki/text-slicer/docs/usage $:/plugins/tiddlywiki/text-slicer/docs/preview $:/plugins/tiddlywiki/text-slicer/docs/model $:/plugins/tiddlywiki/text-slicer/docs/exporters $:/plugins/tiddlywiki/text-slicer/docs/internals",
"text": "! Introduction\n\nThis plugin contains tools to help work with documents that are structured as a hierarchical outline of tiddlers. The structural relationships within the document are expressed through the `list` and `tags` fields: for example, headings have a list specifying the chunks of content to be shown under the heading.\n\nThe major components within the text slicer plugin include:\n\n* ''the slicer'', a tool that slices up an existing monolithic document according to the headings, lists and paragraphs. It is available as a toolbar button for the browser, or as a command for use under Node.js\n* ''document preview column'', a new sidebar on the left that shows the full text of any documents in the wiki and allows individual tiddlers to be opened with a click\n* ''templates'' for previewing and exporting the individual documents as HTML files\n\nMinor components include:\n\n* a new `list-children` filter that returns all the descendents listed in the `list` field of the selected tiddlers\n* a new canned filter for [[advanced search|$:/AdvancedSearch]] that lists orphans tiddlers that are not part of any document\n\n<<tabs \"[all[tiddlers+shadows]tag[$:/plugins/tiddlywiki/text-slicer/docs]!has[draft.of]]\" \"$:/plugins/tiddlywiki/text-slicer/docs/usage\">>\n"
},
"$:/plugins/tiddlywiki/text-slicer/readme": {
"title": "$:/plugins/tiddlywiki/text-slicer/readme",
"text": "This plugin contains tools to help slice up long texts into individual tiddlers. It currently works directly with XHTML documents and with Microsoft Word compatible DOCX documents via conversion to HTML.\n\nIt is an expression of the philosophy of TiddlyWiki: that text is easier to re-use and work with if it is sliced up into separate chunks that can be independently manipulated, and then woven back together to make up stories and narratives for publication.\n\n"
},
"$:/plugins/tiddlywiki/text-slicer/icon": {
"title": "$:/plugins/tiddlywiki/text-slicer/icon",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-text-slicer tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <g fill-rule=\"evenodd\" sketch:type=\"MSPage\">\n <path d=\"M64,0 L118.5596,32 L118.5596,96 L64,128 L9.44039956,96 L9.44039956,32 L64,0 Z\" ></path>\n <g transform=\"translate(32.000000, 32.000000) scale(2.19)\" fill=\"#ffffff\">\n {{$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon}}\n </g>\n </g>\n</svg>"
},
"$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon": {
"title": "$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon",
"tags": "$:/tags/Image",
"text": "<svg class=\"tc-image-text-slicer tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n\t<g fill-rule=\"evenodd\">\n\t\t<path d=\"M78.3637366,56.1312577 L78.3637366,60.2285796 L26.7489717,26.7095593 C23.9558586,24.8956905 23.1577753,21.1490577 24.9741388,18.3521031 C26.7898442,15.5561619 30.5337016,14.7576591 33.3309445,16.5742099 L87.6340125,51.8390346 L84.9731806,51.8390346 L103.852266,39.5788135 L105.182681,41.6274744 L104.070376,43.8022835 C102.858368,43.1824026 101.719662,42.4138485 100.675491,41.5061647 C93.6569185,35.4050129 92.9012893,24.7795163 98.9918187,17.7731637 C105.082348,10.766811 115.709601,10.0363032 122.728174,16.137455 C129.746746,22.2386068 130.502375,32.8641034 124.411846,39.870456 C123.229956,41.2300649 121.856251,42.3760135 120.416666,43.2314984 L94.2434565,60.2285796 L94.2434565,56.1312577 L120.765587,73.3549304 C121.152097,73.6059335 121.506081,73.898207 121.822516,74.2262669 L120.064365,75.9221214 L121.489555,73.938223 C121.915739,74.2443848 122.328975,74.5712591 122.728174,74.9182775 C129.746746,81.0194293 130.502375,91.6449259 124.411846,98.6512786 C118.321316,105.657631 107.694063,106.388139 100.675491,100.286987 C93.6569185,94.1858354 92.9012893,83.5603389 98.9918187,76.5539862 C100.441592,74.8862128 102.178627,73.5416182 104.115112,72.5685999 L105.211846,74.7513028 L103.88143,76.7999638 L84.9731806,64.5208027 L87.6340125,64.5208027 L61.3662147,81.57931 L61.3662147,67.1695775 L78.3637366,56.1312577 Z M114.533131,83.6707925 L115.961891,81.689464 L114.933771,83.9053132 C114.675216,83.7853476 114.424622,83.6467924 114.183613,83.4902793 L113.644945,83.1404643 L114.975362,81.0918038 L113.881545,83.2759699 C111.959385,82.3133637 109.605897,82.7611681 108.179792,84.4017143 C106.463272,86.3763445 106.676536,89.3752164 108.660203,91.0995921 C110.643871,92.8239678 113.643238,92.617794 115.359758,90.6431638 C117.076278,88.6685336 116.863014,85.6696617 114.879347,83.945286 C114.767376,83.8479516 114.651892,83.7564326 114.533131,83.6707925 Z M115.359758,31.8623412 C117.076278,29.8877111 116.863014,26.8888391 114.879347,25.1644635 C112.89568,23.4400878 109.896312,23.6462616 108.179792,25.6208918 C106.463272,27.5955219 106.676536,30.5943939 108.660203,32.3187696 C110.643871,34.0431452 113.643238,33.8369714 115.359758,31.8623412 Z\" fill-rule=\"nonzero\"></path>\n\t\t<g transform=\"translate(0.000000, 46.000000)\">\n\t\t\t<path d=\"M62.9632954,19.5390625 L62.984127,19.5390625 L62.984127,76.87441 C62.984127,79.7051952 60.6450077,82 57.7588318,82 L5.49996847,82 C2.61411765,82 0.274673329,79.7050809 0.274673329,76.87441 L0.274673329,5.12559005 C0.274673329,2.29480483 2.61379258,0 5.49996847,0 L42.7341992,0 L42.7341992,0.0129302741 C44.1445002,-0.0458264988 45.5745556,0.452971616 46.6523962,1.51002434 L61.4328365,16.0053998 C62.4299816,16.9833134 62.9403234,18.2577109 62.9632954,19.5390625 L62.9632954,19.5390625 Z M42.7341992,5.125 L5.50046113,5.125 L5.50046113,76.875 L57.7583392,76.875 L57.7583392,19.5390625 L47.9602131,19.5390625 C45.0739653,19.5390625 42.7341992,17.2466672 42.7341992,14.4138408 L42.7341992,5.125 Z\" ></path>\n\t\t\t<rect x=\"10.7262489\" y=\"23.0625\" width=\"41.8063024\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t\t<rect x=\"10.7262489\" y=\"33.3125\" width=\"41.8063024\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t\t<rect x=\"10.7262489\" y=\"43.5625\" width=\"41.8063024\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t\t<rect x=\"10.7262489\" y=\"53.8125\" width=\"41.8063024\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t\t<rect x=\"10.7262489\" y=\"64.0625\" width=\"41.8063024\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t\t<rect x=\"10.7262489\" y=\"12.8125\" width=\"26.128939\" height=\"5.125\" rx=\"2.5625\"></rect>\n\t\t</g>\n\t</g>\n</svg>\n"
},
"$:/language/Help/slice": {
"title": "$:/language/Help/slice",
"description": "Slice a hierarchical document into individual tiddlers",
"text": "Slices the specified tiddler\n\n```\n--slice <source-title> [<dest-title>] [<slicer-rules>] [<output-mode>]\n```\n\n* ''source-title'': Title of the tiddler to be sliced\n* ''dest-title'': Base title for the generated output tiddlers\n* ''slicer-rules'': Name of the slicer rules to use for the operation (see below)\n* ''output-mode'': \"html\" vs \"wiki\"\n\nThe plugin comes with several built-in sets of slicer rules:\n\n* //html-by-paragraph//: Slice every paragraph into a separate tiddler, threaded by heading\n* //html-by-heading//: Slice every heading into separate threaded tiddlers\n* //html-plain-paragraphs//: Slice every paragraph into a separate tiddler, without formatting or headings\n\nAdvanced users can create or edit their own slicer rules for precise control over the conversion process\n"
},
"$:/plugins/tiddlywiki/text-slicer/modules/commands/slice.js": {
"title": "$:/plugins/tiddlywiki/text-slicer/modules/commands/slice.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/text-slicer/modules/commands/slice.js\ntype: application/javascript\nmodule-type: command\n\nCommand to slice a specified tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\"),\n\ttextSlicer = require(\"$:/plugins/tiddlywiki/text-slicer/modules/slicer.js\");\n\nexports.info = {\n\tname: \"slice\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing parameters\";\n\t}\n\tvar self = this,\n\t\twiki = this.commander.wiki,\n\t\tsourceTitle = this.params[0],\n\t\tdestTitle = this.params[1],\n\t\tslicerRules = this.params[2],\n\t\toutputMode = this.params[3],\n\t\tslicer = new textSlicer.Slicer({\n\t\t\tsourceTiddlerTitle: sourceTitle,\n\t\t\tbaseTiddlerTitle: destTitle,\n\t\t\tslicerRules: slicerRules,\n\t\t\toutputMode: outputMode,\n\t\t\twiki: wiki,\n\t\t\tcallback: function(err,tiddlers) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn self.callback(err);\n\t\t\t\t}\n\t\t\t\twiki.addTiddlers(tiddlers);\n\t\t\t\tself.callback();\t\n\t\t\t}\n\t\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/filters/list-children.js": {
"title": "$:/core/modules/filters/list-children.js",
"text": "/*\\\ntitle: $:/core/modules/filters/list-children.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all the descendents of a tiddler listed in the \"list\" field\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports[\"list-children\"] = function(source,operator,options) {\n\tvar children = {},\n\t\tprocessTiddler = function(title) {\n\t\t\tvar tiddler = options.wiki.getTiddler(title);\n\t\t\tif(tiddler && !$tw.utils.hop(children,title)) {\n\t\t\t\tchildren[title] = true;\n\t\t\t\tvar list = options.wiki.getTiddlerList(title,operator.operand);\n\t\t\t\tlist.forEach(function(listItem) {\n\t\t\t\t\tif(!$tw.utils.hop(children,listItem)) {\n\t\t\t\t\t\tprocessTiddler(listItem);\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t}\n\t\t};\n\tsource(function(tiddler,title) {\n\t\tprocessTiddler(title);\n\t});\n\treturn Object.keys(children);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-by-heading.json": {
"title": "$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-by-heading.json",
"name": "html-by-heading",
"caption": "By Heading (HTML)",
"description": "One tiddler per heading, threaded (HTML)",
"inherits-from": "html-by-paragraph",
"type": "application/json",
"tags": "$:/tags/text-slicer/slicer-rules",
"text": "[\n\t{\n\t\t\"selector\": \"address,center,fieldset,form,hr,iframe,isindex,noframes,noscript,ol,ul,li,pre,table\",\n\t\t\"actions\": {}\n\t},\n\t{\n\t\t\"selector\": \"blockquote\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"<<<\\n\",\n\t\t\t\t\t\"suffix\": \"<<<\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dd\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"\\n: \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dl\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"\\n\",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dt\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"\\n; \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h1\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h1\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 1,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h2\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h2\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 2,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"!! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h3\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h3\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 3,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"!!! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h4\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h4\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 4,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"!!!! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h5\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h5\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 5,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"!!!!! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h6\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h6\"\n\t\t\t},\n\t\t\t\"mergeNext\": true,\n\t\t\t\"setCaption\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 6,\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"!!!!!! \",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"p\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"\",\n\t\t\t\t\t\"suffix\": \"\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"*\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t}\n]\n"
},
"$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-by-paragraph.json": {
"title": "$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-by-paragraph.json",
"name": "html-by-paragraph",
"caption": "By Paragraph (HTML)",
"description": "One tiddler per paragraph, threaded by heading (HTML)",
"type": "application/json",
"tags": "$:/tags/text-slicer/slicer-rules",
"text": "[\n\t{\n\t\t\"selector\": \"address,center,fieldset,form,hr,iframe,isindex,noframes,noscript,pre,table\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"blockquote\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t},\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"<<<\\n\",\n\t\t\t\t\t\"suffix\": \"<<<\\n\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"body,div,head,html,span\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dd\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"definition\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dl\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"def-list\",\n\t\t\t\t\"toc-list-filter\": \"[list<currentTiddler>!has[draft.of]]\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"dt\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"term\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"em,i\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"//\",\n\t\t\t\t\t\"suffix\": \"//\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h1\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 1,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h1\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h2\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 2,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h2\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h3\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 3,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h3\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h4\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 4,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h4\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h5\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 5,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h5\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"h6\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"headingLevel\": 6,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"heading\",\n\t\t\t\t\"toc-heading-level\": \"h6\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"img\",\n\t\t\"actions\": {\n\t\t\t\"isImage\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"li\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"item\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"ol\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"list\",\n\t\t\t\t\"toc-list-type\": \"ol\",\n\t\t\t\t\"toc-list-filter\": \"[list<currentTiddler>!has[draft.of]]\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"p\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"strike\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"~~\",\n\t\t\t\t\t\"suffix\": \"~~\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"strong,b\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"''\",\n\t\t\t\t\t\"suffix\": \"''\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"sub\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \",,\",\n\t\t\t\t\t\"suffix\": \",,\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"sup\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"^^\",\n\t\t\t\t\t\"suffix\": \"^^\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"head > title\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"u\",\n\t\t\"actions\": {\n\t\t\t\"markup\": {\n\t\t\t\t\"wiki\": {\n\t\t\t\t\t\"prefix\": \"__\",\n\t\t\t\t\t\"suffix\": \"__\"\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"ul\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"isParent\": true,\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"list\",\n\t\t\t\t\"toc-list-type\": \"ul\",\n\t\t\t\t\"toc-list-filter\": \"[list<currentTiddler>!has[draft.of]]\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"*\",\n\t\t\"actions\": {}\n\t}\n]"
},
"$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-linear.json": {
"title": "$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-linear.json",
"name": "html-linear",
"caption": "Linear (HTML)",
"description": "One tiddler per paragraph in a single linear thread (HTML)",
"type": "application/json",
"tags": "$:/tags/text-slicer/slicer-rules",
"text": "[\n\t{\n\t\t\"selector\": \"li > p\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"p\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t},\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"a\",\n\t\t\"actions\": {\n\t\t\t\"isAnchor\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"address,blockquote,center,dl,dt,fieldset,form,h1,h2,h3,h4,h5,h6,hr,iframe,isindex,noframes,noscript,ol,pre,table,ul\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t}\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"br,caption,code,col,colgroup,em,i,dd,li,strike,strong,b,sub,sup,u,tbody,td,tfoot,th,thead,tr\",\n\t\t\"actions\": {\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"img\",\n\t\t\"actions\": {\n\t\t\t\"isImage\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"head\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true,\n\t\t\t\"discard\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"*\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t}\n]"
},
"$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-plain-paragraphs.json": {
"title": "$:/plugins/tiddlywiki/text-slicer/slicer-rules/html-plain-paragraphs.json",
"name": "html-plain-paragraphs",
"caption": "Plain Paragraphs (HTML)",
"description": "One tiddler per paragraph, without formatting (HTML)",
"type": "application/json",
"tags": "$:/tags/text-slicer/slicer-rules",
"text": "[\n\t{\n\t\t\"selector\": \"address,blockquote,center,dd,dt,h1,h2,h3,h4,h5,h6,li,p\",\n\t\t\"actions\": {\n\t\t\t\"startNewChunk\": {\n\t\t\t\t\"toc-type\": \"paragraph\"\n\t\t\t},\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t},\n\t{\n\t\t\"selector\": \"*\",\n\t\t\"actions\": {\n\t\t\t\"dontRenderTag\": true\n\t\t}\n\t}\n]\n"
},
"$:/plugins/tiddlywiki/text-slicer/modules/slicer.js": {
"title": "$:/plugins/tiddlywiki/text-slicer/modules/slicer.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/text-slicer/modules/slicer.js\ntype: application/javascript\nmodule-type: library\n\nSlice a tiddler or DOM document into individual tiddlers\n\nvar slicer = new textSlicer.Slicer(doc,{\n\t\tslicerRules: JSON data defining slicer rules -or- title of rules taken from tiddlers tagged $:/tags/text-slicer/slicer-rules\n\t\tsourceTiddlerTitle: tiddler to slice -or-\n\t\tsourceText: text to slice\n\t\toutputMode: \"html\" (default) -or- \"wiki\"\n\t\tbaseTiddlerTitle: \"MySlicedTiddlers-\"\n\t\trole: \"sliced-content\"\n\t\tcallback: function(err,tiddlers)\n\t});\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction Slicer(options) {\n\t// Quick tests\n\tthis.testSlicerRuleMatching();\n\t// Marshal parameters\n\tthis.sourceTiddlerTitle = options.sourceTiddlerTitle;\n\tthis.sourceText = options.sourceText;\n\tthis.wiki = options.wiki;\n\tthis.role = options.role || \"sliced-html\";\n\tthis.outputMode = options.outputMode || \"html\";\n\tthis.escapeWikiText = options.escapeWikiText || false;\n\tthis.callbackFn = options.callback;\n\t// Get the slicer rules\n\tvar nameSlicerRules = null;\n\tif(!options.slicerRules) {\n\t\tnameSlicerRules = \"html-by-paragraph\";\n\t\tthis.slicerRules = this.loadSlicerRules(nameSlicerRules);\n\t} else if(typeof options.slicerRules === \"string\") {\n\t\tnameSlicerRules = options.slicerRules;\n\t\tthis.slicerRules = this.loadSlicerRules(nameSlicerRules);\n\t} else {\n\t\tthis.slicerRules = options.slicerRules;\n\t}\n\t// Set up the base tiddler title\n\tthis.baseTiddlerTitle = this.getBaseTiddlerTitle(options.baseTiddlerTitle);\n\t// Initialise state\n\tthis.namespaces = {}; // Hashmap of URLs\n\tthis.chunks = []; // Array of tiddlers without titles, addressed by their index. We use the title field to hold the plain text content\n\tthis.currentChunk = null; // Index of the chunk currently being written to\n\tthis.parentStack = []; // Stack of parent chunks {chunk: chunk index,actions:}\n\tthis.elementStack = []; // Stack of {tag:,isSelfClosing:,actions:}\n\tthis.titleCounts = {}; // Hashmap of counts of prefixed titles that have been issued\n\t// Set up the document tiddler as top level heading\n\tthis.chunks.push({\n\t\t\"toc-type\": \"document\",\n\t\ttitle: this.baseTiddlerTitle,\n\t\ttext: \"<div class='tc-table-of-contents'><<toc-selective-expandable \\\"\\\"\\\"\" + this.baseTiddlerTitle + \"document\\\"\\\"\\\">></div>\",\n\t\tlist: [],\n\t\ttags: [],\n\t\trole: this.role,\n\t\t\"slicer-rules\": nameSlicerRules,\n\t\t\"slicer-output-mode\": this.outputMode\n\t});\n\tthis.parentStack.push({chunk: 0, actions: this.getMatchingSlicerRuleActions(\"(document)\")});\n\tthis.insertPrecedingChunk({\n\t\t\"toc-type\": \"anchor\",\n\t\t\"title\": this.baseTiddlerTitle + \"-anchor-\"\n\t});\n\t// Set up the parser\n\tvar sax = require(\"$:/plugins/tiddlywiki/sax/sax.js\");\n\tthis.sax = sax.parser(false,{\n\t\txmlns: true,\n\t\tlowercase: true\n\t});\n\tthis.sax.onerror = this.onError.bind(this);\n\tthis.sax.onopennamespace = this.onOpenNamespace.bind(this);\n\tthis.sax.onclosenamespace = this.onCloseNamespace.bind(this);\n\tthis.sax.onopentag = this.onOpenTag.bind(this);\n\tthis.sax.onclosetag = this.onCloseTag.bind(this);\n\tthis.sax.ontext = this.onText.bind(this);\n\tthis.sax.onend = this.onEnd.bind(this);\n\t// Start streaming the data\n\tthis.sax.write(this.getSourceText());\n\tthis.sax.close();\n}\n\nSlicer.prototype.callback = function(err,tiddlers) {\n\tvar self = this;\n\t$tw.utils.nextTick(function() {\n\t\tself.callbackFn(err,tiddlers);\n\t});\n};\n\nSlicer.prototype.loadSlicerRules = function(name) {\n\t// Collect the available slicer rule tiddlers\n\tvar self = this,\n\t\ttitles = this.wiki.getTiddlersWithTag(\"$:/tags/text-slicer/slicer-rules\"),\n\t\ttiddlers = {},\n\t\trules = {},\n\t\truleNames = [];\n\ttitles.forEach(function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\ttiddlers[tiddler.fields.name] = tiddler;\n\t\trules[tiddler.fields.name] = self.wiki.getTiddlerData(title,[]);\n\t});\n\t// Follow the inheritance trail to get a stack of slicer rule names\n\tvar n = name;\n\tdo {\n\t\truleNames.push(n);\n\t\tn = tiddlers[n] && tiddlers[n].fields[\"inherits-from\"];\n\t} while(n && ruleNames.indexOf(n) === -1);\n\t// Concatenate the slicer rules\n\trules = ruleNames.reduce(function(accumulator,name) {\n\t\treturn accumulator.concat(rules[name]);\n\t},[]);\n\treturn rules;\n};\n\nSlicer.prototype.getMatchingSlicerRuleActions = function(name) {\n\tvar rule = this.searchSlicerRules(name,this.slicerRules,this.elementStack);\n\tif(!rule) {\n\t\treturn {};\n\t} else {\n\t\treturn rule.actions;\n\t}\n};\n\nSlicer.prototype.testSlicerRuleMatching = function() {\n\tvar tests = [\n\t\t\t{\n\t\t\t\ttest: this.searchSlicerRules(\"title\",[\n\t\t\t\t\t\t{selector: \"title,head,body\", rules: true},\n\t\t\t\t\t\t{selector: \"body\", rules: true}\n\t\t\t\t\t],[\n\t\t\t\t\t\t{tag:\"head\"}\n\t\t\t\t\t]),\n\t\t\t\tresult: \"title,head,body\"\n\t\t\t},\n\t\t\t{\n\t\t\t\ttest: this.searchSlicerRules(\"body\",[\n\t\t\t\t\t\t{selector: \"title,head,body\", rules: true},\n\t\t\t\t\t\t{selector: \"body\", rules: true}\n\t\t\t\t\t],[\n\t\t\t\t\t\t{tag:\"head\"}\n\t\t\t\t\t]),\n\t\t\t\tresult: \"title,head,body\"\n\t\t\t},\n\t\t\t{\t\n\t\t\t\ttest: this.searchSlicerRules(\"title\",[\n\t\t\t\t\t\t{selector: \"head > title\", rules: true},\n\t\t\t\t\t\t{selector: \"title\", rules: true}\n\t\t\t\t\t],[\n\t\t\t\t\t\t{tag:\"head\"}\n\t\t\t\t\t]),\n\t\t\t\tresult: \"head > title\"\n\t\t\t}\n\t\t],\n\t\tresults = tests.forEach(function(test,index) {\n\t\t\tif(test.test.selector !== test.result) {\n\t\t\t\tthrow \"Failing test \" + index + \", returns \" + test.test.selector + \" instead of \" + test.result;\n\t\t\t}\n\t\t});\n};\n\nSlicer.prototype.searchSlicerRules = function(name,rules,elementStack) {\n\treturn rules.find(function(rule) {\n\t\t// Split and trim the selectors for this rule\n\t\treturn !!rule.selector.split(\",\").map(function(selector) {\n\t\t\t\treturn selector.trim();\n\t\t\t// Find the first selector that matches, if any\n\t\t\t}).find(function(selector) {\n\t\t\t\t// Split and trim the parts of the selector\n\t\t\t\tvar parts = selector.split(\" \").map(function(part) {\n\t\t\t\t\treturn part.trim();\n\t\t\t\t});\n\t\t\t\t// * matches any element\n\t\t\t\tif(parts.length === 1 && parts[0] === \"*\") {\n\t\t\t\t\treturn true;\n\t\t\t\t}\n\t\t\t\t// Make a copy of the element stack so that we can be destructive\n\t\t\t\tvar elements = elementStack.slice(0).concat({tag: name}),\n\t\t\t\t\tnextElementMustBeAtTopOfStack = true,\n\t\t\t\t\tcurrentPart = parts.length - 1;\n\t\t\t\twhile(currentPart >= 0) {\n\t\t\t\t\tif(parts[currentPart] === \">\") {\n\t\t\t\t\t\tnextElementMustBeAtTopOfStack = true;\n\t\t\t\t\t} else {\n\t\t\t\t\t\tif(!nextElementMustBeAtTopOfStack) {\n\t\t\t\t\t\t\twhile(elements.length > 0 && elements[elements.length - 1].tag !== parts[currentPart]) {\n\t\t\t\t\t\t\t\telements.pop();\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t\tif(elements.length === 0 || elements[elements.length - 1].tag !== parts[currentPart]) {\n\t\t\t\t\t\t\treturn false;\n\t\t\t\t\t\t}\n\t\t\t\t\t\telements.pop();\n\t\t\t\t\t\tnextElementMustBeAtTopOfStack = false;\n\t\t\t\t\t}\n\t\t\t\t\tcurrentPart--;\n\t\t\t\t}\n\t\t\t\treturn true;\n\t\t\t});\n\t\t});\n};\n\nSlicer.prototype.getBaseTiddlerTitle = function(baseTiddlerTitle) {\n\tif(baseTiddlerTitle) {\n\t\treturn baseTiddlerTitle\t\t\n\t} else {\n\t\tif(this.sourceTiddlerTitle) {\n\t\t\treturn \"Sliced up \" + this.sourceTiddlerTitle + \":\";\n\t\t} else {\n\t\t\treturn \"SlicedTiddler\";\n\t\t}\n\t}\n};\n\nSlicer.prototype.getSourceText = function() {\n\tif(this.sourceTiddlerTitle) {\n\t\tvar tiddler = this.wiki.getTiddler(this.sourceTiddlerTitle);\n\t\tif(!tiddler) {\n\t\t\tconsole.log(\"Tiddler '\" + this.sourceTiddlerTitle + \"' does not exist\");\n\t\t\treturn \"\";\n\t\t}\n\t\tif(tiddler.fields.type === \"text/html\" || tiddler.fields.type === \"text/xml\" || (tiddler.fields.type || \"\").slice(-4) === \"+xml\") {\n\t\t\treturn tiddler.fields.text;\n\t\t} else {\n\t\t\treturn this.getTiddlerAsHtml(tiddler);\n\t\t}\n\t} else {\n\t\treturn this.sourceText;\n\t}\n};\n\nSlicer.prototype.getTiddlerAsHtml = function(tiddler) {\n\tvar widgetNode = this.wiki.makeTranscludeWidget(tiddler.fields.title,{\n\t\t\tdocument: $tw.fakeDocument,\n\t\t\tparseAsInline: false,\n\t\t\timportPageMacros: true}),\n\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn [\"<!DOCTYPE html PUBLIC \\\"-//W3C//DTD XHTML 1.1//EN\\\" \\\"http://www.w3.org/TR/xhtml11/DTD/xhtml11.dtd\\\">\",\"<html xmlns=\\\"http://www.w3.org/1999/xhtml\\\">\",\"<head>\",\"</head>\",\"<body>\",container.innerHTML,\"</body>\",\"</html>\"].join(\"\\n\");\n};\n\n\nSlicer.prototype.getImmediateParent = function() {\n\treturn this.parentStack.slice(-1)[0];\n};\n\nSlicer.prototype.onError = function(e) {\n\tconsole.error(\"Sax error: \", e)\n\t// Try to resume after errors\n\tthis.sax.error = null;\n\tthis.sax.resume();\n};\n\nSlicer.prototype.onOpenNamespace = function(info) {\n\tthis.namespaces[info.prefix] = info.uri;\n};\n\nSlicer.prototype.onCloseNamespace = function(info) {\n};\n\nSlicer.prototype.onOpenTag = function(node) {\n\tvar actions = this.getMatchingSlicerRuleActions(node.name);\n\t// Create an anchor if we encounter an ID\n\tif(node.attributes.id) {\n\t\tthis.insertPrecedingChunk({\n\t\t\t\"toc-type\": \"anchor\",\n\t\t\t\"title\": this.baseTiddlerTitle + \"-anchor-\" + node.attributes.id.value\n\t\t});\n\t}\n\t// Check for an element that should start a new chunk\n\tif(actions.startNewChunk) {\n\t\t// If this is a heading, pop off any higher or equal level headings first\n\t\tif(actions.isParent && actions.headingLevel) {\n\t\t\tvar parentActions = this.getImmediateParent().actions;\n\t\t\twhile(parentActions.isParent && parentActions.headingLevel && parentActions.headingLevel >= actions.headingLevel) {\n\t\t\t\tthis.parentStack.pop();\n\t\t\t\tparentActions = this.getImmediateParent().actions;\n\t\t\t}\n\t\t}\n\t\t// Start the new chunk\n\t\tthis.startNewChunk(actions.startNewChunk);\n\t\t// If this is a parent then also add it to the parent stack\n\t\tif(actions.isParent) {\n\t\t\tthis.parentStack.push({chunk: this.currentChunk, actions: actions});\n\t\t}\n\t}\n\t// Render the tag inline in the current chunk unless we should ignore it\n\tif(!actions.dontRenderTag) {\n\t\tif(actions.isImage) {\n\t\t\tthis.onOpenImage(node);\n\t\t} else if(actions.isAnchor) {\n\t\t\tthis.onOpenAnchor(node);\n\t\t} else {\n\t\t\tvar markupInfo = actions.markup && actions.markup[this.outputMode];\n\t\t\tif(markupInfo) {\n\t\t\t\tthis.addTextToCurrentChunk(markupInfo.prefix);\n\t\t\t} else {\n\t\t\t\tthis.addTextToCurrentChunk(\"<\" + node.name + (node.isSelfClosing ? \"/\" : \"\") + \">\");\n\t\t\t}\n\t\t}\n\t}\n\t// Remember whether this tag is self closing\n\tthis.elementStack.push({tag: node.name,isSelfClosing: node.isSelfClosing, actions: actions, node: node});\n};\n\nSlicer.prototype.onOpenAnchor = function(node) {\n\tif(node.attributes.href) {\n\t\tvar parts = node.attributes.href.value.split(\"#\"),\n\t\t\tbase = parts[0],\n\t\t\thash = parts[1] || \"\",\n\t\t\ttitle = $tw.utils.resolvePath(base,this.baseTiddlerTitle) + \"-anchor-\" + hash;\n\t\tthis.addTextToCurrentChunk(\"<$link to=\\\"\" + title + \"\\\">\");\n\t}\n};\n\nSlicer.prototype.onCloseAnchor = function(elementInfo) {\n\tif(elementInfo.node.attributes.href) {\n\t\tthis.addTextToCurrentChunk(\"</$link>\");\n\t}\n};\n\nSlicer.prototype.onOpenImage = function(node) {\n\tvar url = node.attributes.src.value;\n\tif(url.slice(0,5) === \"data:\") {\n\t\t// var parts = url.slice(5).split(\",\");\n\t\t// this.chunks.push({\n\t\t// \ttitle: ,\n\t\t// \ttext: parts[1],\n\t\t// \ttype: parts[0].split[\";\"][0],\n\t\t// \trole: this.role\n\t\t// });\n\t}\n\tthis.addTextToCurrentChunk(\"[img[\" + $tw.utils.resolvePath(url,this.baseTiddlerTitle) + \"]]\");\n};\n\nSlicer.prototype.onCloseTag = function(name) {\n\tvar e = this.elementStack.pop(),\n\t\tactions = e.actions,\n\t\tselfClosing = e.isSelfClosing;\n\t// Set the caption if required\n// TODO\n// \tif(actions.setCaption) {\n// \t\tthis.chunks[this.currentChunk].caption = this.chunks[this.currentChunk].title;\n// \t}\n\t// Render the tag\n\tif(actions.isAnchor) {\n\t\tthis.onCloseAnchor(e);\n\t} else if (!actions.dontRenderTag && !selfClosing) {\n\t\tvar markupInfo = actions.markup && actions.markup[this.outputMode];\n\t\tif(markupInfo) {\n\t\t\tthis.addTextToCurrentChunk(markupInfo.suffix);\n\t\t} else {\n\t\t\tthis.addTextToCurrentChunk(\"</\" + name + \">\");\t\t\t\n\t\t}\n\t}\n\t// Check for an element that started a new chunk\n\tif(actions.startNewChunk) {\n\t\tif(!actions.mergeNext) {\n\t\t\tthis.currentChunk = null;\t\t\t\n\t\t}\n\t\t// If this is a parent and not a heading then also pop it from the parent stack\n\t\tif(actions.isParent && !actions.headingLevel) {\n\t\t\tthis.parentStack.pop();\n\t\t}\n\t}\n};\n\nSlicer.prototype.onText = function(text) {\n\tvar self = this;\n\t// Discard the text if we're inside an element with actions.discard set true\n\tif(this.elementStack.some(function(e) {return e.actions.discard;})) {\n\t\treturn;\n\t}\n\t// Optionally escape common character sequences that might be parsed as wikitext\n\ttext = $tw.utils.htmlEncode(text);\n\tif(this.escapeWikiText) {\n\t\t$tw.utils.each([\"[[\",\"{{\",\"__\",\"''\",\"//\",\",,\",\"^^\",\"~~\",\"`\",\"--\",\"\\\"\\\"\",\"@@\"],function(str) {\n\t\t\tvar replace = str.split(\"\").map(function(c) {\n\t\t\t\treturn \"&#\" + c.charCodeAt(0) + \";\";\n\t\t\t}).join(\"\");\n\t\t\ttext = text.replace(new RegExp($tw.utils.escapeRegExp(str),\"mg\"),replace);\n\t\t});\n\t}\n\tthis.addTextToCurrentChunk(text);\n\tthis.addTextToCurrentChunk(text,\"caption\");\n};\n\nSlicer.prototype.onEnd = function() {\n\tthis.callback(null,this.chunks);\n};\n\nSlicer.prototype.addTextToCurrentChunk = function(str,field) {\n\tfield = field || \"text\";\n\tif(this.currentChunk === null && str.trim() !== \"\") {\n\t\tthis.startNewChunk({\n\t\t\ttitle: this.makeTitle(\"paragraph\"),\n\t\t\t\"toc-type\": \"paragraph\"\n\t\t});\n\t}\n\tif(this.currentChunk !== null) {\n\t\tthis.chunks[this.currentChunk][field] += str;\n\t}\n};\n\nSlicer.prototype.startNewChunk = function(fields) {\n\tvar title = fields.title || this.makeTitle(fields[\"toc-type\"]);\n\tvar parentChunk = this.chunks[this.getImmediateParent().chunk];\n\tthis.chunks.push($tw.utils.extend({},{\n\t\ttitle: title,\n\t\ttext: \"\",\n\t\tcaption: \"\",\n\t\ttags: [parentChunk.title],\n\t\tlist: [],\n\t\trole: this.role\n\t},fields));\n\tthis.currentChunk = this.chunks.length - 1;\n\tparentChunk.list.push(title);\n};\n\nSlicer.prototype.insertPrecedingChunk = function(fields) {\n\tif(!fields.title) {\n\t\tthrow \"Chunks need a title\"\n\t}\n\tif(!this.currentChunk) {\n\t\tthis.startNewChunk(fields);\n\t\tthis.currentChunk = null;\n\t} else {\n\t\tvar parentChunk = this.chunks[this.getImmediateParent().chunk],\n\t\t\tindex = this.chunks.length - 1;\n\t\t// Insert the new chunk\n\t\tthis.chunks.splice(index,0,$tw.utils.extend({},{\n\t\t\ttext: \"\",\n\t\t\tcaption: \"\",\n\t\t\ttags: [parentChunk.title],\n\t\t\tlist: [],\n\t\t\trole: this.role\n\t\t},fields));\n\t\t// Adjust the current chunk pointer\n\t\tthis.currentChunk += 1;\n\t\t// Insert a pointer to the new chunk in the parent\n\t\tparentChunk.list.splice(parentChunk.list.length - 1,0,fields.title);\t\t\n\t}\n};\n\nSlicer.prototype.isBlank = function(s) {\n\treturn (/^[\\s\\xA0]*$/g).test(s);\n};\n\nSlicer.prototype.makeTitle = function(prefix) {\n\tprefix = prefix || \"\";\n\tvar count = (this.titleCounts[prefix] || 0) + 1;\n\tthis.titleCounts[prefix] = count;\n\treturn this.baseTiddlerTitle + \"-\" + prefix + \"-\" + count;\n};\n\nexports.Slicer = Slicer;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/tiddlywiki/text-slicer/modules/startup/slicer-startup.js": {
"title": "$:/plugins/tiddlywiki/text-slicer/modules/startup/slicer-startup.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/text-slicer/modules/startup/slicer-startup.js\ntype: application/javascript\nmodule-type: startup\n\nSetup the root widget event handlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar textSlicer = require(\"$:/plugins/tiddlywiki/text-slicer/modules/slicer.js\");\n\n// Export name and synchronous status\nexports.name = \"slicer\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n// Install the root widget event handlers\nexports.startup = function() {\n\t// Check sax is installed\n\tif(!$tw.utils.hop($tw.modules.titles,\"$:/plugins/tiddlywiki/sax/sax.js\")) {\n\t\t// Make a logger\n\t\tvar logger = new $tw.utils.Logger(\"text-slicer\");\n\t\tlogger.alert(\"The plugin 'text-slicer' requires the 'sax' plugin to be installed\");\n\t}\n\t// Add tm-slice-tiddler event handler\n\t$tw.rootWidget.addEventListener(\"tm-slice-tiddler\",function(event) {\n\t\tvar slicer = new textSlicer.Slicer({\n\t\t\tsourceTiddlerTitle: event.param,\n\t\t\tslicerRules: event.paramObject && event.paramObject.slicerRules,\n\t\t\toutputMode: event.paramObject && event.paramObject.outputMode,\n\t\t\tbaseTiddlerTitle: event.paramObject && event.paramObject.destTitle,\n\t\t\trole: event.paramObject && event.paramObject.role,\n\t\t\twiki: $tw.wiki,\n\t\t\tcallback: function(err,tiddlers) {\n\t\t\t\tif(err) {\n\t\t\t\t\tlogger.alert(\"Slicer error: \" + err);\n\t\t\t\t} else {\n\t\t\t\t\t$tw.wiki.addTiddlers(tiddlers);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/plugins/tiddlywiki/text-slicer/styles": {
"title": "$:/plugins/tiddlywiki/text-slicer/styles",
"tags": "$:/tags/Stylesheet",
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline macrocallblock\n\n.tc-document-preview-column {\n\tposition: fixed;\n\toverflow-y: auto;\n\toverflow-x: visible;\n\t-webkit-overflow-scrolling: touch;\n background-color: <<colour page-background>>;\n\tright: auto;\n\tleft: 0;\n\ttop: 0;\n\tbottom: 0;\n\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};\n\tfont-size: 0.9em;\n}\n\n.tc-sliced-document-title {\n\tfont-size: 1.7em;\n}\n\n.tc-slice-note {\n color: #800;\n padding: 4px 4px 4px 4px;\n border: 1px solid #feed77;\n background: #feed77;\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#dede80),color-stop(7%,#feed77),color-stop(92%,#feed77),color-stop(100%,#dede80));\n background: -webkit-linear-gradient(72deg,rgba(255, 255, 255, 0.5) 8%,rgba(255, 255, 255, 0.1) 80%), -webkit-linear-gradient(top,#dede80 0,#feed77 7%,#feed77 92%,#dede80 100%);\n background: -moz-linear-gradient(72deg,rgba(255, 255, 255, 0.5) 8%,rgba(255, 255, 255, 0.1) 80%), -moz-linear-gradient(top,#dede80 0,#feed77 7%,#feed77 92%,#dede80 100%);\n background: -o-linear-gradient(72deg,rgba(255, 255, 255, 0.5) 8%,rgba(255, 255, 255, 0.1) 80%), -o-linear-gradient(top,#dede80 0,#feed77 7%,#feed77 92%,#dede80 100%);\n background: -ms-linear-gradient(72deg,rgba(255, 255, 255, 0.5) 8%,rgba(255, 255, 255, 0.1) 80%), -ms-linear-gradient(top,#dede80 0,#feed77 7%,#feed77 92%,#dede80 100%);\n background: linear-gradient(72deg,rgba(255, 255, 255, 0.5) 8%,rgba(255, 255, 255, 0.1) 80%), linear-gradient(top,#dede80 0,#feed77 7%,#feed77 92%,#dede80 100%);\n\t<<box-shadow \"1px 1px 6px rgba(0,0,0,0.4)\">>\n}\n\n.tc-document-preview-column .tc-sliced-document-header {\n\tmargin-left: 24px;\n}\n\n.tc-document-preview-column .tc-sliced-document {\n\tmargin-bottom: 3px;\n}\n\n.tc-view-template-document-tiddler-wrapper,\n.tc-edit-template-document-tiddler-wrapper {\n border-top: 2px solid #D6A2A2;\n margin-left: -42px;\n margin-right: -42px;\n margin-bottom: -42px;\n padding-left: 42px;\n padding-right: 42px;\n background: #FFE3E3;\n padding-bottom: 10px;\n}\n\n.tc-edit-template-document-tiddler-wrapper {\n\tbackground: #A3A3D7;\n}\n\ndiv.tc-view-template-document-tiddler-heading a,\ndiv.tc-edit-template-document-tiddler-heading a {\n\tborder: 1px solid #D6A2A2;\n\tbackground: #fff;\n\tborder-radius: 16px;\n padding: 0px 4px 0px 4px;\n margin: 2px 4px 2px 4px;\n white-space: nowrap;\n display: inline-block;\n}\n\ndiv.tc-view-template-document-tiddler-heading a:hover,\ndiv.tc-edit-template-document-tiddler-heading a:hover {\n\tborder: 1px solid <<colour background>>;\n\ttext-decoration: none;\n\tcolor: <<colour background>>;\n\tbackground: <<colour foreground>>;\n}\n\n.tc-view-template-document-tiddler-heading-icon,\n.tc-edit-template-document-tiddler-heading-icon {\n\twidth: 42px;\n\ttext-align: center;\n margin-left: -42px;\n margin-right: -42px;\n position: absolute;\n}\n\n.tc-view-template-document-tiddler-subheading,\n.tc-edit-template-document-tiddler-subheading {\n\tfont-size: 0.7em;\n\tfont-weight: bold;\n\tcolor: <<colour muted-foreground>>;\n}\n\n.tc-view-template-document-tiddler-heading-icon svg,\n.tc-edit-template-document-tiddler-heading-icon svg {\n\tfill: <<colour background>>;\t\n}\n\n.tc-view-template-document-tiddler {\n\tfont-size: 0.9em;\n}\n\n.tc-document-tiddler {\n\tfont-family: Georgia, Times, 'Times New Roman', serif;\n}\n\n.tc-text-slicer-rename input {\n\tdisplay: block;\n\twidth: 100%;\n}\n\n.tc-document-tiddler-link {\n\tcursor: pointer;\n}\n\n.tc-document-tiddler-link:hover {\n background: <<color background>>;\n}\n\n.tc-document-tiddler-toolbar {\n\tposition: absolute;\n\twidth: 24px;\n\ttext-align: center;\n}\n\n.tc-document-preview-column .tc-sliced-document-body {\n\tmargin-left: 24px;\n}\n\n.tc-document-preview-column .tc-document-tiddler-toolbar {\n\tmargin-left: -24px;\n}\n\n.tc-table-of-contents .tc-slice-toolbar button {\n\tcolor: inherit;\n\tbackground-color: inherit;\n}\n\n.tc-tiddler-frame .tc-document-tiddler-toolbar {\n left: 0;\n width: 42px;\n}\n\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/def-list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/def-list",
"text": "\\define body()\n<dl>\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler\"/>\n</$list>\n</dl>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/definition": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/definition",
"text": "\\define body()\n<$link tag=\"dd\" class=\"tc-document-tiddler-link\">\n\n<$transclude/>\n\n</$link>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/document": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/document",
"text": "\\define config-document-status()\n$:/state/plugins/tiddlywiki/text-slicer/show-preview-document/$(tv-heading-status-config-prefix)$/$(currentTiddler)$\n\\end\n\n\\define config-show-toolbar()\n$:/state/plugins/tiddlywiki/text-slicer/show-toolbar/$(currentTiddler)$\n\\end\n\n\\define config-show-metadata()\n$:/state/plugins/tiddlywiki/text-slicer/show-metadata/$(currentTiddler)$\n\\end\n\n\\define config-heading-status()\n$:/state/plugins/tiddlywiki/text-slicer/heading-status/$(currentTiddler)$\n\\end\n\n\\define body()\n<$set name=\"tv-heading-status-config-title\" value=<<config-heading-status>>>\n<$set name=\"tv-show-toolbar\" value={{$(config-show-toolbar)$}}>\n<div class=\"tc-sliced-document\">\n<div class=\"tc-sliced-document-header\">\n<div class=\"tc-document-tiddler-toolbar\">\n<$reveal type=\"nomatch\" state=<<config-document-status>> text=\"close\" default=\"open\" tag=\"div\">\n<$button set=<<config-document-status>> setTo=\"close\" class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<config-document-status>> text=\"close\" default=\"open\" tag=\"div\">\n<$button set=<<config-document-status>> setTo=\"open\" class=\"tc-btn-invisible\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n</div>\n<h1 class=\"tc-sliced-document-title\">''Document'': <$link><$view field=\"title\"/></$link></h1>\n</div>\n<$reveal type=\"nomatch\" state=<<config-document-status>> text=\"close\" default=\"open\" tag=\"div\">\n{{||$:/plugins/tiddlywiki/text-slicer/ui/document/header}}\n<div class='tc-sliced-document-body'>\n<$set name=\"tv-show-toolbar\" value={{$(config-show-toolbar)$}}>\n<$set name=\"tv-exclude-filter\" value={{!!toc-exclude-filter}}>\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler\"/>\n</$set>\n</$set>\n</div>\n</$reveal>\n</div>\n</$set>\n</$set>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/heading": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/heading",
"text": "\\define config-heading-status()\n$(tv-heading-status-config-title)$/$(tv-heading-status-config-prefix)$/$(currentTiddler)$\n\\end\n\n\\define body(level:\"h1\")\n<$set name=\"tv-heading-status-config-title\" value=<<config-heading-status>>>\n<div class=\"tc-document-tiddler\">\n<div class=\"tc-document-tiddler-toolbar\">\n<$reveal type=\"nomatch\" state=<<tv-heading-status-config-title>> text=\"close\" default=<<tv-default-heading-state>> tag=\"div\">\n<$button set=<<tv-heading-status-config-title>> setTo=\"close\" class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<tv-heading-status-config-title>> text=\"close\" default=<<tv-default-heading-state>> tag=\"div\">\n<$button set=<<tv-heading-status-config-title>> setTo=\"open\" class=\"tc-btn-invisible\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n</div>\n<$link tag=\"$level$\" class=\"tc-document-tiddler-link\">\n<$transclude/>\n</$link>\n<$reveal type=\"nomatch\" state=<<tv-heading-status-config-title>> text=\"close\" default=<<tv-default-heading-state>> tag=\"div\">\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler\"/>\n</$reveal>\n</div>\n</$set>\n\\end\n\n<$macrocall $name=\"body\" level={{!!toc-heading-level}}/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/image": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/image",
"text": "\\define body()\n<$link tag=\"div\" class=\"tc-document-tiddler-link tc-document-tiddler\">\n\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n<$transclude/>\n\n</$list>\n\n</$link>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/item": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/item",
"text": "\\define body()\n<$link tag=\"li\" class=\"tc-document-tiddler-link\">\n\n<$transclude/>\n\n</$link>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/list",
"text": "\\define body(type:\"ul\")\n<$type$>\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler\"/>\n</$list>\n</$type$>\n\\end\n\n<$macrocall $name=\"body\" type={{!!toc-list-type}}/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/note": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/note",
"text": "\\define body()\n<div class=\"tc-slice-note\">\n\n<$link tag=\"div\" class=\"tc-document-tiddler-link tc-document-tiddler\">\n\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n<$transclude/>\n\n</$list>\n\n</$link>\n\n</div>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/paragraph": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/paragraph",
"text": "\\define body()\n<$link tag=\"div\" class=\"tc-document-tiddler-link tc-document-tiddler\">\n\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n<$transclude/>\n\n</$list>\n\n</$link>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/term": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/term",
"text": "\\define body()\n<$link tag=\"dt\" class=\"tc-document-tiddler-link\">\n\n<$transclude/>\n\n</$link>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler",
"text": "\\define if(condition,then,else)\n<$list filter=\"\"\"$condition$ +[limit[1]]\"\"\" emptyMessage=\"\"\"$else$\"\"\" variable=\"ignore\">\n$then$\n</$list>\n\\end\n\n\\define include-component(type)\n<<if \"[{!!toc-type}prefix[$type$]]\" \"\"\"\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/$type$\" mode=\"block\"/>\n\"\"\">>\n\\end\n\n<$list filter=\"[<tv-show-toolbar>prefix[yes]]\" variable=\"hasToolbar\">\n{{||$:/plugins/tiddlywiki/text-slicer/ui/tiddler/toolbar}}\n</$list>\n\n<<include-component \"document\">>\n<<include-component \"heading\">>\n<<include-component \"paragraph\">>\n<<include-component \"note\">>\n<<include-component \"list\">>\n<<include-component \"item\">>\n<<include-component \"image\">>\n<<include-component \"def-list\">>\n<<include-component \"term\">>\n<<include-component \"definition\">>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/def-list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/def-list",
"text": "\\define body()\n<dl>\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler\"/>\n</$list>\n</dl>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/definition": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/definition",
"text": "\\define body()\n<dd>\n\n<$transclude/>\n\n</dd>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/document": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/document",
"text": "<$set name=\"tv-exclude-filter\" value={{!!toc-exclude-filter}}>\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler\"/>\n\n</$set>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/heading": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/heading",
"text": "\\define body(level:\"h1\")\n<$level$>\n<$transclude/>\n</$level$>\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler\"/>\n\\end\n\n<$macrocall $name=\"body\" level={{!!toc-heading-level}}/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/image": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/image",
"text": "<$transclude/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/item": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/item",
"text": "\\define body()\n<li>\n\n<$transclude/>\n\n</li>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/list",
"text": "\\define body(type:\"ul\")\n<$type$>\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler\"/>\n</$list>\n</$type$>\n\\end\n\n<$macrocall $name=\"body\" type={{!!toc-list-type}}/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/note": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/note",
"text": "<!-- Don't display notes in plain mode -->\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/paragraph": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/paragraph",
"text": "\\define body()\n<div>\n\n<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n<$transclude/>\n\n</$list>\n\n</div>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/term": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/term",
"text": "\\define body()\n<dt>\n\n<$transclude/>\n\n</dt>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/plain/tiddler",
"text": "<$vars toc-type={{!!toc-type}}>\n\n<$list filter=\"[<toc-type>prefix[document]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/document\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[heading]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/heading\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[paragraph]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/paragraph\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[note]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/note\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[list]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/list\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[item]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/item\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[image]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/image\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[def-list]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/def-list\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[term]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/term\" mode=\"block\"/>\n</$list>\n\n<$list filter=\"[<toc-type>prefix[definition]]\" variable=\"item\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/definition\" mode=\"block\"/>\n</$list>\n\n</$vars>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/def-list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/def-list",
"text": "<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n`<dl class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n\n`</dl>`\n\n</$list>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/definition": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/definition",
"text": "`<dd class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$transclude/>\n\n`</dd>`\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/document": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/document",
"text": "<$set name=\"tv-exclude-filter\" value={{!!toc-exclude-filter}}>\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n\n</$set>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/heading": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/heading",
"text": "`<`<$text text={{!!toc-heading-level}}/>` class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$view field=\"text\" format=\"htmlencodedplainwikified\"/>\n\n`</`<$text text={{!!toc-heading-level}}/>`>`\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes",
"text": "<$list filter=\"[all[current]tags[]addprefix[$:/_DocumentTags/]has[title]]\"><$view field=\"css-class\"/> </$list>"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/image": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/image",
"text": "<$reveal state=\"!!_canonical_uri\" type=\"match\" text=\"\">\n\n`<img src=\"data:`<$view format=\"text\" field=\"type\"/>`;base64,`<$view format=\"text\" field=\"text\"/>`\" class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n</$reveal>\n\n<$reveal state=\"!!_canonical_uri\" type=\"nomatch\" text=\"\">\n\n`<img src=\"`<$text text=<<tv-external-image-path>>/><$view field=\"title\" format=\"doubleurlencoded\"/>`\" class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/item": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/item",
"text": "`<li class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$transclude/>\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n\n`</li>`\n\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/list": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/list",
"text": "<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n`<`<$text text={{!!toc-list-type}}/>` class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$list filter={{!!toc-list-filter}} template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n\n`</`<$text text={{!!toc-list-type}}/>`>`\n\n</$list>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/note": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/note",
"text": "<!-- Don't display notes in static mode -->\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/paragraph": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/paragraph",
"text": "<$list filter=\"\"\"[all[current]] $(tv-exclude-filter)$ +[limit[1]]\"\"\" variable=\"item\">\n\n`<p class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$view field=\"text\" format=\"htmlencodedplainwikified\"/>\n\n`</p>`\n\n</$list>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/term": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/term",
"text": "`<dt class=\"`{{||$:/plugins/tiddlywiki/text-slicer/templates/static/helpers/classes}}`\">`\n\n<$transclude/>\n\n`</dt>`\n\n<$list filter=\"[list<currentTiddler>!has[draft.of]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler\"/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler": {
"title": "$:/plugins/tiddlywiki/text-slicer/templates/static/tiddler",
"text": "<$vars toc-type={{!!toc-type}}>\n\n<$list filter=\"[<toc-type>prefix[document]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/document\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[heading]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/heading\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[paragraph]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/paragraph\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[note]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/note\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[list]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/list\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[item]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/item\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[image]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/image\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[def-list]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/def-list\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[term]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/term\" mode=\"block\"/>\n\n</$list>\n\n<$list filter=\"[<toc-type>prefix[definition]]\" variable=\"item\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/static/definition\" mode=\"block\"/>\n\n</$list>\n\n</$vars>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/document/header": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/document/header",
"text": "\\define metadatafield()\n<tr>\n<td>\n''<$transclude tiddler=<<listItem>> field=\"caption\"/>''\n</td>\n<td>\n<$reveal type=\"match\" state=\"\"\"$(listItem)$!!field-type\"\"\" text=\"string\">\n<$edit-text field={{$(listItem)$!!field}}/>\n</$reveal>\n<$reveal type=\"match\" state=\"\"\"$(listItem)$!!field-type\"\"\" text=\"list\">\n<$edit-text tag=\"textarea\" field={{$(listItem)$!!field}}/>\n</$reveal>\n</td>\n</tr>\n\\end\n\n<div class=\"tc-sliced-document-header\">\n\n''Exclude filter'': <$edit-text field=\"toc-exclude-filter\"/>\n\n<$checkbox tiddler=<<config-show-toolbar>> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> Show toolbar </$checkbox> <$checkbox tiddler=<<config-show-metadata>> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> Show metadata</$checkbox> <$button>\n<$action-sendmessage $message=\"tm-open-window\" $param=<<currentTiddler>> template=\"$:/plugins/tiddlywiki/text-slicer/templates/plain/document\"/>\nView document\n</$button>\n\n<$reveal state=<<config-show-metadata>> default=\"no\" type=\"match\" text=\"yes\">\n<table>\n<tbody>\n<$list filter=\"[all[system+tiddlers]tag[$:/tags/DocumentMetaData]]\" variable=\"listItem\">\n<<metadatafield>>\n</$list>\n</tbody>\n</table>\n</$reveal>\n\n\n</div>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/edit-template-segment": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/edit-template-segment",
"tags": "$:/tags/EditTemplate",
"text": "\\define edit-field(name,tag:\"input\")\n$name$:\n\n<$edit-text field=\"\"\"$name$\"\"\" tag=\"$tag$\" class=\"tc-edit-texteditor\"/>\n\\end\n\n<$reveal type=\"nomatch\" state=\"!!toc-type\" text=\"\">\n\n<div class=\"tc-edit-template-document-tiddler-wrapper\">\n\n<div class=\"tc-edit-template-document-tiddler-heading\">\n\n<div class=\"tc-edit-template-document-tiddler-heading-icon\">\n\n{{$:/core/images/file}}\n\n</div>\n\n<div class=\"tc-edit-template-document-tiddler-subheading\">\n\n<$view field=\"toc-type\"/>\n\n</div>\n\n<<edit-field \"list\" tag:\"textarea\">>\n\n</div>\n\n</div>\n\n</$reveal>\n\n"
},
"$:/plugins/tiddlywiki/text-slicer/filters/Orphans": {
"title": "$:/plugins/tiddlywiki/text-slicer/filters/Orphans",
"tags": "$:/tags/Filter",
"filter": "[has[toc-type]] -[toc-type[document]list-children[]]",
"description": "[Text-slicer] Tiddlers that are not part of any document",
"text": ""
},
"$:/plugins/tiddlywiki/text-slicer/ui/preview-column/empty": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/preview-column/empty",
"text": "<div class=\"tc-sliced-document-header\">\n\nCreate a document by slicing an existing tiddler\n\n---\n\n[[Help|$:/plugins/tiddlywiki/text-slicer/docs]]\n\n</div>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/preview-column/multiple": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/preview-column/multiple",
"text": "Show document: <$select tiddler=\"$:/plugins/tiddlywiki/text-slicer/config/currentDocument\">\n<$list filter=\"[toc-type[document]!has[draft.of]sort[title]]\">\n<option value=<<currentTiddler>>><$view field=\"title\"/></option>\n</$list>\n</$select>\n\n<$tiddler tiddler={{$:/plugins/tiddlywiki/text-slicer/config/currentDocument}}>\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/document\"/>\n\n</$tiddler>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/preview-column/single": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/preview-column/single",
"text": "<$list filter=\"[toc-type[document]!has[draft.of]sort[title]limit[1]]\" template=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/document\"/>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/preview-column": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/preview-column",
"tags": "$:/tags/AboveStory",
"text": "<$scrollable fallthrough=\"no\" class=\"tc-document-preview-column\">\n\n<$vars\n\ttv-default-heading-state=\"open\"\n>\n\n<$list filter=\"[toc-type[document]!has[draft.of]sort[title]limit[1]]\" emptyMessage={{$:/plugins/tiddlywiki/text-slicer/ui/preview-column/empty}}>\n\n<$list filter=\"[toc-type[document]!has[draft.of]sort[title]butfirst[1]limit[1]]\" emptyMessage={{$:/plugins/tiddlywiki/text-slicer/ui/preview-column/single}} template=\"$:/plugins/tiddlywiki/text-slicer/ui/preview-column/multiple\"/>\n\n</$list>\n\n</$vars>\n\n</$scrollable>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/slice-modal": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/slice-modal",
"footer": "<$button message=\"tm-close-tiddler\">Cancel</$button> <$button><$action-sendmessage $message=\"tm-close-tiddler\"/><$action-sendmessage $message=\"tm-slice-tiddler\" $param=<<currentTiddler>> slicerRules={{$:/config/plugins/text-slicer/slice-rule}} outputMode={{$:/config/plugins/text-slicer/output-mode}} destTitle={{$:/config/plugins/text-slicer/base-title}}/>Slice</$button>",
"subtitle": "Slicing \"<$text text=<<currentTiddler>>/>\" into chunks",
"text": "''Choose how the tiddler should be sliced''\n\nPrefix for extracted tiddlers: <$edit-text tiddler=\"$:/config/plugins/text-slicer/base-title\" default={{{ [[Sliced up ]addsuffix<currentTiddler>addsuffix[:]] }}} tag=\"input\" size=\"30\"/>\n\n<$select tiddler=\"$:/config/plugins/text-slicer/slice-rule\" default=\"html-by-paragraph\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/text-slicer/slicer-rules]!has[draft.of]]\">\n<option value={{!!name}}><$text text={{!!description}}/></option>\n</$list>\n</$select>\n\nOutput mode: <$select tiddler=\"$:/config/plugins/text-slicer/output-mode\" default=\"html\">\n<option value=\"html\">HTML</option>\n<option value=\"wiki\">Wiki text</option>\n</$select>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/slice-toolbar-button": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/slice-toolbar-button",
"tags": "$:/tags/ViewToolbar",
"list-before": "$:/core/ui/Buttons/edit",
"caption": "{{$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon}} Slice text tiddler",
"description": "Slice this text tiddler by headings and lists",
"text": "\\whitespace trim\n\n\\define hint()\nSlice this text tiddler into chunks\n\\end\n\n<$button tooltip=<<hint>> aria-label=<<hint>> class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-modal\" $param=\"$:/plugins/tiddlywiki/text-slicer/ui/slice-modal\" currentTiddler=<<currentTiddler>>/>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"> Slice tiddler</span>\n</$list>\n</$button>"
},
"$:/plugins/tiddlywiki/text-slicer/ui/tiddler/toolbar/title": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/tiddler/toolbar/title",
"tags": "$:/tags/TextSlicerToolbar",
"text": "\\define renameProxyTitle()\n$:/state/plugins/tiddlywiki/text-slicer/rename-$(currentTiddler)$\n\\end\n\n\\define body()\n<div class=\"tc-text-slicer-rename\">\n<$edit-text tag=\"input\" tiddler=<<renameProxyTitle>> placeholder=\"Rename\" default=<<currentTiddler>>/>\n<$reveal type=\"nomatch\" state=\"\"\"$(renameProxyTitle)$\"\"\" text=<<currentTiddler>> default=<<currentTiddler>>>\n<$button>\n<$action-deletetiddler $tiddler=<<renameProxyTitle>>/>\ncancel\n</$button>\n<$button>\n<$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to={{$(renameProxyTitle)$}}/>\n<$action-deletetiddler $tiddler=<<renameProxyTitle>>/>\nrename\n</$button>\n<$set name=\"proxy-title\" value={{$(renameProxyTitle)$}}>\n<$list filter=\"\"\"[<proxy-title>is[tiddler]]\"\"\">\nWarning: tiddler already exists\n</$list>\n</$set>\n</$reveal>\n</div>\n\\end\n\n<<body>>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/tiddler/toolbar": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/tiddler/toolbar",
"text": "<div class=\"tc-slice-toolbar\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TextSlicerToolbar]!has[draft.of]]\" variable=\"listItem\"><$transclude tiddler=<<listItem>>/></$list>\n</div>\n"
},
"$:/plugins/tiddlywiki/text-slicer/ui/view-template-segment": {
"title": "$:/plugins/tiddlywiki/text-slicer/ui/view-template-segment",
"tags": "$:/tags/ViewTemplate",
"text": "\\define config-heading-status()\n$:/state/plugins/tiddlywiki/text-slicer/heading-status/$(currentTiddler)$\n\\end\n\n<$reveal type=\"nomatch\" state=<<folded-state>> text=\"hide\" retain=\"yes\" animate=\"yes\">\n\n<$reveal type=\"nomatch\" state=\"!!toc-type\" text=\"\">\n\n<div class=\"tc-view-template-document-tiddler-wrapper\">\n\n<div class=\"tc-view-template-document-tiddler-heading\">\n\n<div class=\"tc-view-template-document-tiddler-heading-icon\">\n\n{{$:/core/images/file}}\n\n</div>\n\n<div class=\"tc-view-template-document-tiddler-subheading\">\n\n<$view field=\"toc-type\"/>\n\n</div>\n\nParents: <$list filter=\"[all[current]listed[]!is[system]]\" emptyMessage=\"None\">\n<$link><$view field=\"title\"/></$link>\n</$list>\n\n</div>\n\n<div class=\"tc-view-template-document-tiddler\">\n\n<$vars\n\ttv-default-heading-state=\"close\"\n\ttv-heading-status-config-prefix=\"view-template\"\n\ttv-heading-status-config-title=<<config-heading-status>>\n>\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/text-slicer/templates/interactive/tiddler\"/>\n\n</$vars>\n\n</div>\n\n</div>\n\n</$reveal>\n\n</$reveal>\n"
}
}
}
\whitespace trim
\define hint()
Slice this text tiddler into chunks
\end
<$button tooltip=<<hint>> aria-label=<<hint>> class=<<tv-config-toolbar-class>>>
<$action-sendmessage $message="tm-modal" $param="$:/plugins/tiddlywiki/text-slicer/ui/slice-modal" currentTiddler=<<currentTiddler>>/>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/plugins/tiddlywiki/text-slicer/images/text-slicer-icon}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text"> slice tiddler</span>
</$list>
</$button>
{
"tiddlers": {
"$:/plugins/tongerner/layout_adjustment/icon": {
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 100 100\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:svg=\"http://www.w3.org/2000/svg\">\n <metadata id=\"metadata7\">image/svg+xml</metadata>\n <g>\n <title>Layer 1</title>\n <g id=\"layer1\">\n <path d=\"m48.98237,97.8691l-41.98313,-24.239l0,-48.47796l41.98313,-24.23897l41.98313,24.23897l0,48.47796l-41.98313,24.239z\" id=\"path4142\" stroke-miterlimit=\"4\" stroke-width=\"1.2218\" stroke=\"#a0a0ff\" fill=\"#a0a0ff\"/>\n <path id=\"svg_3\" d=\"m73.1813,28.26401l-15.55706,15.81597c0.61319,0.6247 0.61206,1.63724 0,2.25944c-0.61371,0.62398 -1.60823,0.62448 -2.22362,0l-6.66496,-6.77579c-0.61439,-0.62462 -0.61363,-1.63801 0,-2.26065c0.61329,-0.62358 1.60695,-0.62444 2.22244,0l0,0l15.55707,-15.81609c1.84112,-1.87179 4.8262,-1.87179 6.66732,0c1.84113,1.87178 1.84112,4.90654 -0.00001,6.77832l-0.00118,-0.0012zm-50.00484,46.31849l-2.22244,-2.2595l3.30621,-5.6205l3.36112,-1.1578l23.33557,-23.72413l2.22243,2.25941l-23.33556,23.72422l-1.13868,3.417l-5.52865,3.3613z\" fill-rule=\"evenodd\"/>\n </g>\n </g>\n</svg>",
"type": "image/svg+xml",
"title": "$:/plugins/tongerner/layout_adjustment/icon",
"modifier": "TonGerner",
"modified": "20170725155035239",
"creator": "TonGerner",
"created": "20160109160239631"
},
"$:/plugins/tongerner/layout_adjustment/macros": {
"created": "20150801122014492",
"text": "\\define inputBox() <$edit-text tiddler=\"$(reftarget)$\" field=\"$(reffield)$\" class=\"$(refclass)$\"/>\n\n\\define tableRow(header,target,field,class)\n<$set name=\"reftarget\" value=\"$target$\">\n<$set name=\"reffield\" value=\"$field$\">\n<$set name=\"refclass\" value=\"settings\">\n<tr><th>$header$ </th><td><<inputBox>></td></tr>\n</$set>\n</$set>\n</$set>\n\\end\n",
"title": "$:/plugins/tongerner/layout_adjustment/macros",
"tags": "$:/tags/Macro",
"modifier": "TonGerner",
"modified": "20170103121557249",
"creator": "TonGerner"
},
"$:/plugins/tongerner/layout_adjustment/readme": {
"created": "20150731184044439",
"text": "''Note:''<br>This plugin contains 'general' settings for the layout and is additionally required for my following plugins: ^^1^^\n\n* Top menu\n* Top-left menu (top + left menu)\n* Left menu\n* Toolbar (on top)\n* Tiddlersbar\n* ~TabStory (alternative tiddlersbar at top of story)\n* Uptoolbar (toolbar above title)\n* Tristate (tristate sidebar)\n\nThis plugin contains layout code common to the mentioned plugins and let you adjust:\n\n!! Theme tweaks\n* Scroll offset (thanks to [[Tobias Beer|https://tobibeer.github.io/tb5/#%24%3A%2Fhack-142-scroll-pagescroller.js]])\n* Sidebar layout\n* Story left position\n* Story top position\n* Story right\n* Story width\n* Tiddler width\n* Sidebar top position (new)\n* Sidebar width\n\n!! Other layout settings\n* Color and height of the top bar (as a boundary for top menu, toolbar, tiddlersbar, etc.)\n* Top padding of a tiddler\n* Color for displaying the 'active' state of some toggle buttons\n* Vertical position of 'sticky titles'\n* Vertical position 'sticky editor toolbar'\n\nSettings for the layout can be found in ''~ControlPanel > Appearance > [[Plugin tweaks|$:/plugins/tongerner/layout_adjustment/tweaks]]'', also available via the 'Adjust layout' button ({{$:/plugins/tongerner/layout_adjustment/image/layout}}) in the Toprightbar.\n\n|borderless|k\n|^^^1^^ | |All these plugins contain a 'Settings' tiddler tagged with $:/tags/plugin-tweaks and will display - when installed - a tab under 'Plugin tweaks'.|\n\n<<<\n''Note:''<br>A sticky editor toolbar works ''only'' in non-preview mode!.\n<<<",
"title": "$:/plugins/tongerner/layout_adjustment/readme",
"modifier": "TonGerner",
"modified": "20180131171650981",
"creator": "TonGerner"
},
"$:/plugins/tongerner/layout_adjustment/settings": {
"text": "!!Layout settings\n<table class=\"tablestyle\">\n@@.brown ''Top bar''@@\n<<tableRow \"Background color 'Top bar'\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"topbar-background-color\">>\n<<tableRow \"Height 'Top bar'\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"topbar-height\">>\n@@.brown ''Tiddler''@@\n<<tableRow \"Top padding\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"tiddler-padding-top\">>\n@@.brown ''Button color''@@\n<<tableRow \"Active button color\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"active-state-color\">>\n@@.brown ''Sticky''@@<br>{{$:/plugins/tongerner/layout_adjustment/pin-buttons}}\n<<tableRow \"Top 'sticky title'\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"top-sticky-title\">>\n<<tableRow \"Top 'sticky editor toolbar'\" \"$:/plugins/tongerner/layout_adjustment/styles\" \"top-sticky-editor-toolbar\">>\n</table>\n\n<<<\n''Note:''\n\n* @@color:red;For a 'Top bar' to become visible, a color and a height > 0px need to be entered!@@\n* The 'Sticky general' button ({{$:/plugins/tongerner/layout_adjustment/pin-icon}}/{{$:/plugins/tongerner/layout_adjustment/unpin-icon}}) acts the same as the setting in ''Control panel > Appearance > Theme tweaks > Sticky titles''\n*The 'Sticky editor toolbar' button (also {{$:/plugins/tongerner/layout_adjustment/pin-icon}}/{{$:/plugins/tongerner/layout_adjustment/unpin-icon}}) adds stickyness to the editor toolbar\n** Both 'Top' settings are ''only'' active when the toolbar is sticky!\n* A sticky editor toolbar works ''only'' in non-preview mode!\n<<<\n\n<$button tooltip=\"Help\">\n<$action-sendmessage $message=\"tm-open-window\" $param=\"$:/plugins/tongerner/layout_adjustment/settings-help\" height=\"450px\" width=\"700px\"/>Help</$button>",
"title": "$:/plugins/tongerner/layout_adjustment/settings",
"tags": "$:/tags/plugin-tweaks",
"order": "2",
"modifier": "TonGerner",
"modified": "20180217143046452",
"creator": "TonGerner",
"created": "20150729190109349",
"caption": "Layout"
},
"$:/plugins/tongerner/layout_adjustment/settings-help": {
"text": "<<<\n''Note:''<br>You can enter values in allowed CSS units, e.g. `%`, `px`, `em`...\n<<<\n\n|Item|Entry |Description |Default |h\n|Topbar |Background color 'Top bar'|Background color of the top bar<br>Transparent when left 'blank'''!''| ^^''1''^^ |\n|~|Height 'Top bar'|Height of the top bar| 0px ^^''2''^^ |\n|Tiddler |Top padding |Top padding of tiddler | 30px |\n|Button color|Active button color |Color indicating 'active' state of toggle button | #0044BB |\n|Sticky ^^''3''^^|Top 'sticky title' |Position sticky title from top | 0px |\n|~|Top 'sticky editor toolbar'|Position sticky editor toolbar from top| 35px ^^''4''^^ |\n\n<br>\n\n|borderless|k\n|^''@@font-size: 0.8em;1@@'' | |Default left blank; `#F4F4F4` is the Page background color for the Snow White theme|\n|^''@@font-size: 0.8em;2@@'' | |A ''height > 0px'' is required to 'see' the top bar!|\n|^''@@font-size: 0.8em;3@@'' | |Both 'Sticky Top' settings are ''only'' active when the toolbar is sticky!|\n|^''@@font-size: 0.8em;4@@'' | |About 35px higher than Top 'sticky title' to prevent hiding the tiddler control buttons|\n\n\n\n",
"title": "$:/plugins/tongerner/layout_adjustment/settings-help",
"tags": "",
"modifier": "TonGerner",
"modified": "20180217143233293",
"creator": "TonGerner",
"created": "20150801124528672"
},
"$:/plugins/tongerner/layout_adjustment/sidebar-top": {
"text": "0px",
"title": "$:/plugins/tongerner/layout_adjustment/sidebar-top",
"tags": "",
"modified": "20170317105358712",
"created": "20161213115930178"
},
"$:/plugins/tongerner/layout_adjustment/styles": {
"created": "20161212103011213",
"text": "<pre>/* LAYOUT SETTINGS */\n\n/* VERTICAL OFFSET FOR TOP OF SIDEBAR */\nhtml .tc-sidebar-scrollable {\n top: {{$:/plugins/tongerner/layout_adjustment/sidebar-top}};\n}\n\n* BACKGROUND COLOR TOPRIGHTBAR (with double chevron) */\n.tc-topbar {\n background-color: {{!!topbar-background-color}};\n}\n\n/* TOPBAR */\n.tgc-toolbar {\n display:block;\n position:fixed;\n left:0px;\n top:0px;\n width:100%;\n height: {{!!topbar-height}};\n background-color: {{!!topbar-background-color}};\n z-index: 600;\n}\n\n/* TOP PADDING TIDDLER */\nbody.tc-body .tc-tiddler-frame {\n padding-top: {{!!tiddler-padding-top}};\n}\n\n/* COLOR ACTIVE STATE TOGGLE BUTTON */\nbody.tc-body .tgc-active-indicator {\n color: {{!!active-state-color}};\n}\nbody.tc-body .tgc-active-indicator svg {\n fill: {{!!active-state-color}};\n}\n\nbody.tc-body .tgc-active-indicator:hover svg {\n fill: <<colour \"foreground\">>;\n}\n\n/* SETTINGS TABLE */\n/* WIDTH SETTINGS TABLE */\ninput[type='text'].settings {\n width: 120px;\n}\ntable.tablestyle {\n font-size: 0.9em;\n border-width: 0px;\n border-style: solid;\n padding: 2px;\n border-color: #DDD;\n border-collapse: collapse;\n}\ntable.tablestyle th {\n background-color: #F0F0F0;\n border-color: #DDD;\n text-align: left;\n vertical-align: top;\n border-style: solid;\n border-width: 1px;\n padding: 5px;\n}\ntable.tablestyle tr {\n background-color: #F0F0F0;\n padding: 0px;\n}\ntable.tablestyle td {\n border-color: #DDD;\n border-style: solid;\n border-width: 1px;\n padding:2px;\n}\n/* BORDERLESS TABLES */\n.borderless, .borderless table, .borderless td, .borderless tr, .borderless th, .borderless tbody {\n border:0 !important;\n margin:0 !important;\n padding:0 !important;\n}\n</pre>",
"topbar-height": "0px",
"topbar-background-color": "",
"title": "$:/plugins/tongerner/layout_adjustment/styles",
"tiddler-padding-top": "30px",
"tags": "$:/tags/Stylesheet",
"story-river-top": "0px",
"sidebar-top": "0px",
"modifier": "TonGerner",
"modified": "20180201194136555",
"list-after": "$:/themes/tiddlywiki/vanilla/base",
"creator": "TonGerner",
"active-state-color": "#0044BB",
"top-sticky-title": "0px",
"top-sticky-editor-toolbar": "35px"
},
"$:/plugins/tongerner/layout_adjustment/themetweaks": {
"created": "20161212090056554",
"text": "!! Theme tweaks\n\n@@.brown '''Adjust layout' button''@@<br>\n<$checkbox tiddler=\"$:/plugins/tongerner/layout_adjustment/configuration-button\" tag=\"$:/tags/TopRightBar\"> 'Adjust layout' button ({{$:/plugins/tongerner/layout_adjustment/image/layout}}) visible in Toprightbar?</$checkbox>\n\n@@.brown ''Scroll hack''@@<br>\n<$checkbox tiddler=\"$:/scrollhack-pagescroller.js\" field=\"module-type\" checked=\"macro\" unchecked=\"\" default=\"macro\"> Scroll hack active?</$checkbox> (needs save & refresh)\n\n|tablestyle|k\n|<$link to=\"$:/plugins/tongerner/layout_adjustment/offsetY\">''Scroll offset''     </$link> |<$edit-text tiddler=\"$:/plugins/tongerner/layout_adjustment/offsetY\" default=\"\" tag=\"input\"/> |\n\n@@.brown ''Theme tweaks''@@<br>\nexcerpt from 'Theme Tweaks' tab\n\n|tablestyle|k\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\">Sidebar layout</$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\"><option value=\"fixed-fluid\">Fixed story, Fluid sidebar</option><option value=\"fluid-fixed\">Fluid story, Fixed sidebar</option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\">Story left position</$link>|^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\">Story top position</$link>|^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\">Story right</$link>|^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\">Story width</$link>|^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\">Tiddler width</$link>|^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/plugins/tongerner/layout_adjustment/sidebar-top\">Sidebar top position</$link> |^<$edit-text tiddler=\"$:/plugins/tongerner/layout_adjustment/sidebar-top\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\">Sidebar width</$link> |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\" default=\"\" tag=\"input\"/> |\n<$button tooltip=\"Help\">\n<$action-sendmessage $message=\"tm-open-window\" $param=\"$:/plugins/tongerner/layout_adjustment/themetweaks-help\" height=\"700px\" width=\"700px\"/>Help</$button>\n",
"title": "$:/plugins/tongerner/layout_adjustment/themetweaks",
"tags": "$:/tags/plugin-tweaks",
"order": "1",
"modified": "20180222204708661",
"caption": "Theme tweaks"
},
"$:/plugins/tongerner/layout_adjustment/themetweaks-help": {
"created": "20161212130221042",
"text": "|Item |Entry |Description |Default |h\n|'Adjust layout' button |<input type=\"checkbox\" /> / <input type=\"checkbox\" checked />|Toggle button in Toprightbar on/off| <input type=\"checkbox\" checked /> |\n|Scroll hack |<input type=\"checkbox\" /> / <input type=\"checkbox\" checked />|Scroll hack active?<br>(needs save & refresh)| <input type=\"checkbox\" checked /> |\n|~|Scroll offset |Shifts standard scroll top position downwards| 42px ^^1^^|\n|Theme tweaks |^Sidebar layout |^Choice between Fluid story, fixed sidebar and Fixed story, fluid sidebar|Fluid story, fixed sidebar ^^2^^|\n|~|^Story left position |^How far the left margin of the story river (tiddler area) is from the left of the page| 0px|\n|~|^Story top position |^How far the top margin of the story river is from the top of the page| 0px ^^3^^|\n|~|^Story right |^How far the left margin of the sidebar is from the left of the page| 770px|\n|~|^Story width |^The overall width of the story river| 770px|\n|~|^Tiddler width |^Within the story river| 686px|\n|~|^Sidebar top position |^Start of the sidebar<br>(from the top)| 0px ^^3^^|\n|~|^Sidebar width |^The width of the sidebar in fluid-fixed layout| 350px|\n\n|borderless|k\n|^''@@font-size: 0.8em;1@@'' ||To fix the tiddler scrolling underneath the top bar when opening TW. Default 42px. Adjust as required but use the minimum value needed (to prevent scroll problems at the bottom).|\n|^''@@font-size: 0.8em;2@@'' ||''Fluid story, fixed sidebar interferes with Tristate plugin'' => select Fixed story, fluid sidebar for Tristate plugin |\n|^''@@font-size: 0.8em;3@@'' | |Default values; ''need to be adjusted (increased) in case of adding a top menu, toolbar, tiddlersbar, ... to the wiki!''|",
"title": "$:/plugins/tongerner/layout_adjustment/themetweaks-help",
"tags": "",
"modified": "20180222204547275"
},
"$:/plugins/tongerner/layout_adjustment/topbar": {
"created": "20150731184520854",
"text": "<div class=\"tgc-toolbar\"></div>\n<<scrollhack>>",
"creator": "TonGerner",
"modified": "20171224115711436",
"modifier": "TonGerner",
"tags": "$:/tags/PageTemplate",
"title": "$:/plugins/tongerner/layout_adjustment/topbar"
},
"$:/plugins/tongerner/layout_adjustment/tweaks": {
"created": "20150801100456266",
"creator": "TonGerner",
"text": "The general layout adjustments can be found under the 'Themes tweak' and 'Layout' tabs. Dependent on installed plugins other tabs are available ('Top menu', 'Top-left menu', 'Toolbar', 'Tiddlersbar, '~TabStory', 'Uptoolbar', 'Tristate').\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/plugin-tweaks]nsort[order]]\" \"$:/plugins/tongerner/layout_adjustment/settings\" \"\" \"tc-vertical\">>",
"caption": "Plugin tweaks",
"modified": "20171204115305512",
"modifier": "TonGerner",
"tags": "$:/tags/ControlPanel/Appearance",
"title": "$:/plugins/tongerner/layout_adjustment/tweaks"
},
"$:/themes/tiddlywiki/vanilla/sticky_": {
"created": "20170330150510113",
"text": "<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"match\" text=\"yes\">\n``\n.tc-tiddler-title {\n position: -webkit-sticky;\n position: -moz-sticky;\n position: -o-sticky;\n position: -ms-sticky;\n position: sticky;\n top: ``{{$:/plugins/tongerner/layout_adjustment/styles!!top-sticky-title}}``;\n background: ``<<colour tiddler-background>>``;\n z-index: 500;\n}\n.tc-editor-toolbar {\n position: -webkit-sticky;\n position: -moz-sticky;\n position: -o-sticky;\n position: -ms-sticky;\n position: sticky;\n top: ``{{$:/plugins/tongerner/layout_adjustment/styles!!top-sticky-editor-toolbar}}``;\n background: ``<<colour tiddler-background>>``;\n z-index: 500;\n}\n``\n</$reveal>\n",
"type": "text/vnd.tiddlywiki",
"title": "$:/themes/tiddlywiki/vanilla/sticky_",
"tags": "",
"modified": "20180201194034940"
},
"$:/plugins/tongerner/layout_adjustment/configuration-button": {
"created": "20171203165317769",
"text": "<$button class=\"tc-btn-invisible\" tooltip=\"Adjust layout\">\n<$action-sendmessage $message=\"tm-open-window\" $param=\"$:/plugins/tongerner/layout_adjustment/tweaks\" height=\"700px\" width=\"650px\"/>\n{{$:/plugins/tongerner/layout_adjustment/image/layout}}\n</$button>\n\n\n",
"title": "$:/plugins/tongerner/layout_adjustment/configuration-button",
"tags": "$:/tags/TopRightBar",
"modified": "20180201194645402",
"list-before": "$:/core/ui/TopBar/menu",
"description": "Adjust layout",
"caption": "{{$:/plugins/tongerner/layout_adjustment/icon}} adjust layout"
},
"$:/plugins/tongerner/layout_adjustment/image/layout": {
"text": "<svg class=\"tgc-layout-button tc-image-button\" height=\"22pt\" width=\"22pt\" viewBox=\"0 0 22 22\">\n<path d=\"m19.84313,0.21698l-17.65497,0c-1.08334,0 -1.96191,0.9235 -1.96191,2.0571l0,17.48416c0,1.1359 0.87857,2.0571 1.96191,2.0571l17.65497,0c1.08334,0 1.96191,-0.92119 1.96191,-2.0571l0,-17.48416c0,-1.1336 -0.87857,-2.0571 -1.96191,-2.0571zm-17.65497,7.80127l5.71838,0l0,11.73768l-5.71838,0l0,-11.73768zm7.67809,11.73768l0,-11.73768l9.97689,0l0,-2.0571l-17.65497,0l0,-3.68707l17.65497,0l0.0022,17.48416l-9.97909,0l0,-0.00231z\"/>\n</svg>",
"created": "20171203171851124",
"modified": "20171203173424044",
"tags": "",
"title": "$:/plugins/tongerner/layout_adjustment/image/layout"
},
"$:/plugins/tongerner/layout_adjustment/offsetY": {
"created": "20171203173818558",
"text": "42px",
"title": "$:/plugins/tongerner/layout_adjustment/offsetY",
"tags": "",
"modified": "20171225094534779"
},
"$:/scrollhack-pagescroller.js": {
"created": "20171203173540819",
"text": "/*\\\ntitle: $:/scrollhack-pagescroller.js\ntype: application/javascript\nmodule-type: macro\nsummary: overwrites $tw.pageScroller.scrollIntoView to introduce an offset [[$:/plugins/tongerner/layout_adjustment/offsetY]]\n\n<<scrollhack>>\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"scrollhack\";\nexports.params = [{}];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\n\tif(!this.hackOnce){\n\t\tthis.hackOnce = true;\n\n\t\t//SCROLLHACK: get offsetY\n\t\tvar offsetY = parseInt($tw.wiki.getTiddlerText(\"$:/plugins/tongerner/layout_adjustment/offsetY\"));\n\t\toffsetY = isNaN(offsetY) ? 0 : offsetY;\n\n\t\t/*\n\t\tHandle a scroll event DIFFERENTLY hitting the page document\n\t\t*/\n\t\t$tw.pageScroller.scrollIntoView = function(element) {\n\t\t\tvar duration = $tw.utils.getAnimationDuration();\n\t\t\t// Now get ready to scroll the body\n\t\t\tthis.cancelScroll();\n\t\t\tthis.startTime = Date.now();\n\t\t\tvar scrollPosition = $tw.utils.getScrollPosition();\n\t\t\t// Get the client bounds of the element and adjust by the scroll position\n\t\t\tvar clientBounds = element.getBoundingClientRect(),\n\t\t\t\tbounds = {\n\t\t\t\t\tleft: clientBounds.left + scrollPosition.x,\n\t\t\t\t\ttop: clientBounds.top + scrollPosition.y,\n\t\t\t\t\twidth: clientBounds.width,\n\t\t\t\t\theight: clientBounds.height\n\t\t\t\t};\n\t\t\t// We'll consider the horizontal and vertical scroll directions separately via this function\n\t\t\tvar getEndPos = function(targetPos,targetSize,currentPos,currentSize) {\n\t\t\t\t\t// If the target is above/left of the current view, then scroll to it's top/left\n\t\t\t\t\tif(targetPos <= currentPos) {\n\t\t\t\t\t\treturn targetPos;\n\t\t\t\t\t// If the target is smaller than the window and the scroll position is too far up, then scroll till the target is at the bottom of the window\n\t\t\t\t\t} else if(targetSize < currentSize && currentPos < (targetPos + targetSize - currentSize)) {\n\t\t\t\t\t\treturn targetPos + targetSize - currentSize;\n\t\t\t\t\t// If the target is big, then just scroll to the top\n\t\t\t\t\t} else if(currentPos < targetPos) {\n\t\t\t\treturn targetPos;\n\t\t\t\t\t// Otherwise, stay where we are\n\t\t\t\t\t} else {\n\t\t\t\t\t\treturn currentPos;\n\t\t\t\t\t}\n\t\t\t\t},\n\t\t\t\tendX = getEndPos(bounds.left,bounds.width,scrollPosition.x,window.innerWidth),\n\t\t\t\tendY = getEndPos(bounds.top,bounds.height,scrollPosition.y,window.innerHeight);\n\n\t\t\t// Only scroll if necessary\n\t\t\tif(endX !== scrollPosition.x || endY !== scrollPosition.y) {\n\n\t\t\t\t//HACK-142: fix endY via offsetY\n\t\t\t\tendY = (endY - offsetY) || 0;\n\n\t\t\t\tvar self = this,\n\t\t\t\t\tdrawFrame;\n\t\t\t\tdrawFrame = function () {\n\t\t\t\t\tvar t;\n\t\t\t\t\tif(duration <= 0) {\n\t\t\t\t\t\tt = 1;\n\t\t\t\t\t} else {\n\t\t\t\t\t\tt = ((Date.now()) - self.startTime) / duration;\t\n\t\t\t\t\t}\n\t\t\t\t\tif(t >= 1) {\n\n\t\t\t\t\t\tself.cancelScroll();\n\t\t\t\t\t\tt = 1;\n\t\t\t\t\t}\n\t\t\t\t\tt = $tw.utils.slowInSlowOut(t);\n\n\t\t\t\t\twindow.scrollTo(\n\t\t\t\t\t\tscrollPosition.x + (endX - scrollPosition.x) * t,\n\t\t\t\t\t\tscrollPosition.y + (endY - scrollPosition.y) * t);\n\n\t\t\t\t\tif(t < 1) {\n\t\t\t\t\t\tself.idRequestFrame = self.requestAnimationFrame.call(window,drawFrame);\n\t\t\t\t\t}\n\t\t\t\t};\n\t\t\t\tdrawFrame();\n\t\t\t}\n\t\t};\n\n\n\t};\n\treturn \"\";\n\n}\n\n})();",
"type": "application/javascript",
"title": "$:/scrollhack-pagescroller.js",
"tags": "",
"module-type": "macro",
"modified": "20171225094433841"
},
"$:/plugins/tongerner/layout_adjustment/license": {
"text": "[[Layout adjustment plugin|http://tongerner.tiddlyspot.com/#Layout%20adjustment%20plugin]] © Ton Gerner — 2018\n\nMIT License: https://opensource.org/licenses/MIT\n",
"title": "$:/plugins/tongerner/layout_adjustment/license",
"tags": "",
"modified": "20180130092116257",
"created": "20180124162829244"
},
"$:/plugins/tongerner/layout_adjustment/pin-buttons": {
"created": "20180131170419120",
"text": "<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"nomatch\" text=\"no\">\n<$button set=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" setTo=\"no\" tooltip=\"Activate sticky\" class=\"tc-btn-invisible\">{{$:/plugins/tongerner/layout_adjustment/pin-icon}}\n</$button>\n</$reveal>\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"match\" text=\"no\">\n<$button set=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" setTo=\"yes\" tooltip=\"Deactivate sticky\" class=\"tc-btn-invisible\">{{$:/plugins/tongerner/layout_adjustment/unpin-icon}}\n</$button>\n</$reveal>Sticky general: {{$:/themes/tiddlywiki/vanilla/options/stickytitles}} —\n<$reveal state=\"$:/state/sticky-toolbar\" type=\"nomatch\" text=\"yes\">\n<$button set=\"$:/state/sticky-toolbar\" setTo=\"yes\" tooltip=\"Activate sticky editor toolbar\" class=\"tc-btn-invisible\">{{$:/plugins/tongerner/layout_adjustment/unpin-icon}}\n<$action-setfield $tiddler=\"$:/themes/tiddlywiki/vanilla/sticky_\" title=\"$:/themes/tiddlywiki/vanilla/sticky\"/>\n</$button>\n</$reveal>\n<$reveal state=\"$:/state/sticky-toolbar\" type=\"match\" text=\"yes\">\n<$button set=\"$:/state/sticky-toolbar\" setTo=\"no\" tooltip=\"Deactivate sticky editor toolbar\" class=\"tc-btn-invisible\">{{$:/plugins/tongerner/layout_adjustment/pin-icon}}\n<$action-deletetiddler $tiddler=\"$:/themes/tiddlywiki/vanilla/sticky\"/>\n</$button>\n</$reveal>Sticky editor toolbar: {{$:/state/sticky-toolbar}}",
"title": "$:/plugins/tongerner/layout_adjustment/pin-buttons",
"tags": "",
"modified": "20180131170949454"
},
"$:/plugins/tongerner/layout_adjustment/pin-icon": {
"created": "20180131170610630",
"text": "<svg class=\"tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 8 8\">\n <path d=\"m1.85672,0.03562a0.5,0.49555 0 0 0 0.16,0.99109l0.5,0l0,1.98219l-1,0c-0.55,0 -1,0.44599 -1,0.99109l3,0l0,2.97328l0.44,0.99109l0.56,-0.99109l0,-2.97328l3,0c0,-0.5451 -0.45,-0.99109 -1,-0.99109l-1,0l0,-1.98219l0.5,0a0.5,0.49555 0 1 0 0,-0.99109l-4,0a0.5,0.49555 0 0 0 -0.09,0a0.5,0.49555 0 0 0 -0.06,0l-0.01,0z\"/>\n</svg>",
"title": "$:/plugins/tongerner/layout_adjustment/pin-icon",
"tags": "",
"modified": "20180131170621663"
},
"$:/plugins/tongerner/layout_adjustment/unpin-icon": {
"created": "20180130174200638",
"text": "<svg class=\"tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 8 8\">\n <path transform=\"rotate(89.90647888183594 4.016719818115234,3.998984575271606) \" d=\"m1.85672,0.03562a0.5,0.49555 0 0 0 0.16,0.99109l0.5,0l0,1.98219l-1,0c-0.55,0 -1,0.44599 -1,0.99109l3,0l0,2.97328l0.44,0.99109l0.56,-0.99109l0,-2.97328l3,0c0,-0.5451 -0.45,-0.99109 -1,-0.99109l-1,0l0,-1.98219l0.5,0a0.5,0.49555 0 1 0 0,-0.99109l-4,0a0.5,0.49555 0 0 0 -0.09,0a0.5,0.49555 0 0 0 -0.06,0l-0.01,0z\"/>\n</svg>",
"title": "$:/plugins/tongerner/layout_adjustment/unpin-icon",
"tags": "",
"modified": "20180131170641913"
}
}
}
<$button class="tc-btn-invisible" tooltip="Adjust layout">
<$action-sendmessage $message="tm-open-window" $param="$:/plugins/tongerner/layout_adjustment/tweaks" height="700px" width="650px"/>
{{$:/plugins/tongerner/layout_adjustment/image/layout}}
</$button>
<pre>/* LAYOUT SETTINGS */
/* VERTICAL OFFSET FOR TOP OF SIDEBAR */
html .tc-sidebar-scrollable {
top: {{$:/plugins/tongerner/layout_adjustment/sidebar-top}};
}
* BACKGROUND COLOR TOPRIGHTBAR (with double chevron) */
.tc-topbar {
background-color: {{!!topbar-background-color}};
}
/* TOPBAR */
.tgc-toolbar {
display:block;
position:fixed;
left:0px;
top:0px;
width:100%;
height: {{!!topbar-height}};
background-color: {{!!topbar-background-color}};
z-index: 600;
}
/* TOP PADDING TIDDLER */
body.tc-body .tc-tiddler-frame {
padding-top: {{!!tiddler-padding-top}};
}
/* COLOR ACTIVE STATE TOGGLE BUTTON */
body.tc-body .tgc-active-indicator {
color: {{!!active-state-color}};
}
body.tc-body .tgc-active-indicator svg {
fill: {{!!active-state-color}};
}
body.tc-body .tgc-active-indicator:hover svg {
fill: <<colour "foreground">>;
}
/* SETTINGS TABLE */
/* WIDTH SETTINGS TABLE */
input[type='text'].settings {
width: 120px;
}
table.tablestyle {
font-size: 0.9em;
border-width: 0px;
border-style: solid;
padding: 2px;
border-color: #DDD;
border-collapse: collapse;
}
table.tablestyle th {
background-color: #F0F0F0;
border-color: #DDD;
text-align: left;
vertical-align: top;
border-style: solid;
border-width: 1px;
padding: 5px;
}
table.tablestyle tr {
background-color: #F0F0F0;
padding: 0px;
}
table.tablestyle td {
border-color: #DDD;
border-style: solid;
border-width: 1px;
padding:2px;
}
</pre>
{
"tiddlers": {
"$:/plugins/tongerner/toolbar/icon": {
"created": "20160109201523824",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 100 100\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:svg=\"http://www.w3.org/2000/svg\">\n <metadata id=\"metadata7\">image/svg+xml</metadata>\n <g id=\"layer1\">\n <path fill=\"#a0a0ff\" stroke=\"#a0a0ff\" stroke-width=\"1.2218\" stroke-miterlimit=\"4\" id=\"path4142\" d=\"m48.98237,97.8691l-41.98313,-24.239l0,-48.47796l41.98313,-24.23897l41.98313,24.23897l0,48.47796l-41.98313,24.239z\"/>\n <g fill-rule=\"evenodd\" id=\"g4872\" transform=\"matrix(0.11300223,0,0,0.11300223,17.222103,983.45683) \">\n <path id=\"path4874\" d=\"m110.48779,-8358.78588c-1.13358,4.40426 -2.87653,8.56387 -5.13362,12.38361l0,0l6.72416,6.72416c3.12274,3.12274 3.13177,8.1918 0.0108,11.31274l-5.66866,5.66866c-3.11945,3.11945 -8.18581,3.11608 -11.31273,-0.0108l-6.72416,-6.72416c-3.81971,2.25706 -7.97933,4.00001 -12.38358,5.13359l0,0l0,9.50523c0,4.41622 -3.57798,8.00697 -7.99165,8.00697l-8.0167,0c-4.41157,0 -7.99165,-3.58484 -7.99165,-8.00697l0,-9.50523c-4.40425,-1.13358 -8.56387,-2.87653 -12.38361,-5.13362l-6.72416,6.72416c-3.12274,3.12273 -8.1918,3.13176 -11.31273,0.0108l-5.66866,-5.66866c-3.11946,-3.11946 -3.11609,-8.18582 0.01083,-11.31274l6.72416,-6.72416c-2.25709,-3.81971 -4.00004,-7.97932 -5.13362,-12.38358l-9.50524,0c-4.41622,0 -8.00697,-3.57798 -8.00697,-7.99165l0,-8.01669c0,-4.41158 3.58484,-7.99166 8.00697,-7.99166l9.50524,0c1.13358,-4.40425 2.87653,-8.56386 5.13362,-12.3836l-6.72416,-6.72416c-3.12274,-3.12274 -3.13177,-8.1918 -0.01083,-11.31274l5.66866,-5.66866c3.11945,-3.11945 8.18582,-3.11608 11.31274,0.01083l6.72416,6.72416c3.81973,-2.25709 7.97935,-4.00004 12.3836,-5.13362l0,-9.50523c0,-4.41622 3.57798,-8.00698 7.99165,-8.00698l8.0167,0c4.41157,0 7.99165,3.58485 7.99165,8.00698l0,9.50523c4.40425,1.13358 8.56387,2.87653 12.38361,5.13362l6.72416,-6.72416c3.12274,-3.12273 8.1918,-3.13176 11.31273,-0.01083l5.66866,5.66866c3.11946,3.11946 3.11609,8.18582 -0.0108,11.31274l-6.72416,6.72416l0,0c2.25709,3.81974 4.00004,7.97936 5.13362,12.38361l9.50524,0c4.41622,0 8.00697,3.57798 8.00697,7.99165l0,8.0167c0,4.41157 -3.58484,7.99165 -8.00697,7.99165l-9.50524,0l0,0l-0.00003,-0.00001zm-46.48779,20c17.67311,0 32,-14.32688 32,-32c0,-17.67311 -14.32689,-32 -32,-32c-17.67311,0 -32,14.32689 -32,32c0,17.67312 14.32689,32 32,32z\"/>\n </g>\n <g fill-rule=\"evenodd\" id=\"g4936\" transform=\"matrix(0.11579241,0,0,0.11579241,63.644957,982.92385) \">\n <path id=\"path4938\" d=\"m120.78304,-8197.20903c4.64125,8.86334 7.26637,18.9488 7.26637,29.64739c0,35.34622 -28.65378,64 -64,64c-35.34623,0 -64,-28.65378 -64,-64c0,-35.34622 28.65377,-64 64,-64c18.80747,0 35.72015,8.11254 47.42964,21.02919l2.68053,-2.68054c3.12961,-3.12961 8.19646,-3.13114 11.32065,-0.0069c3.11784,3.11784 3.12109,8.19262 -0.007,11.32065l-4.69025,4.69025l0.00006,-0.00004zm-12.05853,12.05853c2.14606,5.44655 3.3249,11.38004 3.3249,17.58886c0,26.50967 -21.49034,48 -48,48c-26.50967,0 -48,-21.49033 -48,-48c0,-26.50967 21.49033,-48 48,-48c14.38948,0 27.30009,6.33177 36.09769,16.36113l-41.20598,41.20597l-16.96554,-16.96554c-3.12697,-3.12696 -8.20089,-3.12285 -11.31873,-0.005c-3.12419,3.1242 -3.11963,8.19408 0.005,11.31873l22.6174,22.61736c1.56432,1.56432 3.6159,2.34503 5.65877,2.34673c2.04995,0.00009 4.10094,-0.78269 5.6669,-2.34865l44.11959,-44.11959z\"/>\n </g>\n <g id=\"g5003\" transform=\"matrix(0.11690278,0,0,0.11690278,38.314452,983.18889) \">\n <title transform=\"translate(0,-8153.3525390625) \">Layer 1</title>\n <g fill-rule=\"evenodd\" id=\"svg_1\">\n <path d=\"m55.85411,-8086.40722c-1.52416,-1.80209 -2.84072,-3.42633 -3.89984,-4.81894c-11.06427,-14.54832 -16.64509,-6.88804 -22.96008,0c-6.31499,6.88803 1.6636,12.46909 -4.32957,17.33473c-5.99318,4.86562 -5.62318,6.5522 -15.73807,-2.34916c-10.11489,-8.90137 -10.81448,-11.35217 -6.17119,-16.43122c4.64328,-5.07906 8.52412,2.91821 18.0093,-6.1079c9.48518,-9.02611 1.51725,-17.02576 1.51725,-17.02576c0,0 19.74913,-40.3714 46.1387,-37.38968c26.38957,2.98173 -9.98323,-3.86096 -19.03074,27.80068c-3.87369,13.55592 0.72092,10.3617 8.06618,16.08732c1.70653,1.33025 6.4279,4.73206 12.67112,9.31714c-6.12912,5.87914 -11.1567,10.66902 -14.27306,13.58279zm11.64114,12.94668c16.01297,17.03624 37.74187,37.72569 45.11634,40.42049c10.43254,3.81232 15.38841,-3.14158 15.38841,-14.78954c0,-7.1524 -23.82932,-26.54247 -43.92395,-41.76999c-7.40772,7.15607 -13.37595,12.95256 -16.5808,16.13904z\" id=\"svg_2\"/>\n <path d=\"m33.98171,-8043.52475l35.24108,-35.24108c-1.38906,-1.39189 -1.3865,-3.64794 0,-5.03444c1.39021,-1.39022 3.64306,-1.39138 5.03712,0.0027l15.09796,15.09796c1.39171,1.39171 1.39008,3.64974 0.0027,5.03714c-1.38933,1.38933 -3.64019,1.39136 -5.03444,0l0,0l-35.24108,35.24108c-4.17067,4.17067 -10.93268,4.17067 -15.10335,0.00001c-4.17066,-4.17066 -4.17065,-10.93266 0.00002,-15.10334l-0.00001,-0.00003zm113.27493,-103.20604l5.03444,5.03444l-7.48954,12.52398l-7.61379,2.57935l-52.86164,52.86163l-5.03443,-5.03444l52.86163,-52.86163l2.57933,-7.6138l12.524,-7.48953z\" id=\"svg_3\"/>\n </g>\n </g>\n <rect fill=\"none\" stroke=\"#000000\" stroke-width=\"2.9199\" stroke-miterlimit=\"4\" id=\"rect5021\" width=\"80.40152\" height=\"22.72296\" x=\"8.81709\" y=\"26.24402\" ry=\"3.6519\"/>\n </g>\n</svg>",
"type": "image/svg+xml",
"title": "$:/plugins/tongerner/toolbar/icon",
"modifier": "TonGerner",
"modified": "20170726092634259",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/list-standard-tags": {
"text": "<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n{{$:/core/ui/Buttons/tag-manager}}\n\n</$set>\n\n</$set>\n\n</$set>\n\n<style type=\"text/css\">\n .cloud span { white-space:nowrap; margin-right:6px; }\n .cloud .tc-tag-list-item { margin-right:0; margin-top:4px; }\n</style>\n<div class=\"cloud\">\n <$list filter=\"[tags[]!is[system]sort[title]]\">\n <span>\n <$transclude tiddler=\"$:/core/ui/TagTemplate\"/>\n <small><$count filter=\"[all[current]tagging[]]\"/></small>\n </span>\n </$list>\n</div>\n\n<hr>\n\n{{$:/core/ui/UntaggedTemplate}}\n\n",
"caption": "Standard tags",
"created": "20140430135036880",
"creator": "TonGerner",
"modified": "20160731144648254",
"modifier": "TonGerner",
"title": "$:/plugins/tongerner/toolbar/list-standard-tags"
},
"$:/plugins/tongerner/toolbar/list-systemtags": {
"created": "20140806181818854",
"creator": "TonGerner",
"text": "\\define lingo-base() $:/language/SideBar/Tags/\n\n<$list filter=\"[all[shadows+tiddlers]tags[]is[system]sort[title]]\"><br>\n<$transclude tiddler=\"$:/core/ui/TagTemplate\"/> <small class=\"tc-menu-list-count\"><$count filter=\"[all[current]tagging[]]\"/></small>\n\n</$list>",
"caption": "System tags",
"list-after": "$:/core/ui/MoreSideBar/Tags",
"modified": "20160731150554287",
"modifier": "TonGerner",
"title": "$:/plugins/tongerner/toolbar/list-systemtags"
},
"$:/plugins/tongerner/toolbar/readme": {
"text": "This plugin creates a toolbar on top of the screen.<br>\nWith buttons to replicate the functions normally only available in the sidebar and buttons for a few new functions: tagging in view mode, rename tags, delete a corrupt tiddler, list (open/all/recent/tags/untagged), new template tiddler, ...\n\n<<<\n''Note:''<br>''This plugin needs my $:/plugins/tongerner/layout_adjustment plugin for general layout settings (available at http://tongerner.tiddlyspot.com/).''\n<<<\n\nSettings for the layout can be found in ~ControlPanel > Appearance > [[Plugin tweaks|$:/plugins/tongerner/layout_adjustment/tweaks]].\n\nThe buttons in the toolbar can be switched on/off in ''~ControlPanel > Appearance > Toolbars > [[Top toolbar|$:/core/ui/ControlPanel/Toolbars/TopToolbar]]''. Drag and drop to change the ordering.\n\n<<<\n''Note:''\n\n* This plugin incorporates a slightly modified version of [[Danielo's TagsSearch plugin|http://braintest.tiddlyspot.com/#TagsSearch-Plugin]]\n* For toggling of sticky behaviour, see ~ControlPanel > Appearance > [[Plugin tweaks|$:/plugins/tongerner/layout_adjustment/tweaks]] (tab Layout)\n* All 'List' buttons do not work via 'More actions'!\n* In case you want the possibility to enable/disable the toolbar, drag & drop $:/_toggle-toolbar-plugin-button (available at http://tongerner.tiddlyspot.com/ & http://tw5toolbar.tiddlyspot.com/) to your TW5<br>It creates a button ({{$:/plugins/tongerner/toolbar/images/enable-toolbar}} / {{$:/plugins/tongerner/toolbar/images/disable-toolbar}}) in the right topbar which enables/disables the toolbar plugin. ''You need to click this button twice to perform the action!''\n<<<\n",
"title": "$:/plugins/tongerner/toolbar/readme",
"modifier": "TonGerner",
"modified": "20180222205418411",
"creator": "TonGerner",
"created": "20150724160944794"
},
"$:/plugins/tongerner/toolbar/settings": {
"text": "!!Toolbar settings\n<table class=\"tablestyle\">\n@@.brown ''Toolbar''@@\n<<tableRow \"Size 'Toolbar' buttons\" \"$:/plugins/tongerner/toolbar/styles\" \"button-size\">>\n<<tableRow \"Color 'Toolbar' buttons\" \"$:/plugins/tongerner/toolbar/styles\" \"button-color\">>\n<<tableRow \"Hover color 'Toolbar' buttons\" \"$:/plugins/tongerner/toolbar/styles\" \"button-hover-color\">>\n<<tableRow \"Start 'Toolbar'\" \"$:/plugins/tongerner/toolbar/styles\" \"toolbar-left\">>\n<<tableRow \"Top 'Toolbar'\" \"$:/plugins/tongerner/toolbar/styles\" \"toolbar-top\">>\n<<tableRow \"Button spacing 'Toolbar'\" \"$:/plugins/tongerner/toolbar/styles\" \"toolbar-button-spacing\">>\n@@.brown ''Menus''@@\n<<tableRow \"Scroll height 'list' menus\" \"$:/plugins/tongerner/toolbar/styles\" \"menus-scroll-height\">>\n<<tableRow \"Font size 'list' menus\" \"$:/plugins/tongerner/toolbar/styles\" \"menus-font-size\">>\n</table>\n<$button tooltip=\"Help\">\n<$action-sendmessage $message=\"tm-open-window\" $param=\"$:/plugins/tongerner/toolbar/settings-help\" height=\"450px\" width=\"700px\"/>Help</$button>\n",
"title": "$:/plugins/tongerner/toolbar/settings",
"tags": "$:/tags/plugin-tweaks",
"order": "6",
"modifier": "TonGerner",
"modified": "20171222164006739",
"creator": "TonGerner",
"created": "20140616162341822",
"caption": "Toolbar"
},
"$:/plugins/tongerner/toolbar/settings-help": {
"text": "<<<\n''Note:''<br>You can enter values in allowed CSS units, e.g. `%`, `px`, `em`...\n<<<\n\n|Item|Entry |Description |Default |h\n|''Toolbar(s)'' |Size 'Toolbar' buttons|Size of toolbar buttons| 1.4em |\n|~|Color 'Toolbar' buttons|Color of toolbar buttons|#AAAAAA|\n|~|Hover color 'Toolbar' buttons|Hover color of toolbar buttons|#131313|\n|~|Start 'Top toolbar' |Start of the top toolbar<br>(from the left)| 40px |\n|~|Top 'Toolbar'|Offset from top<br>(Top left + Top right bar)| 10px |\n|~|Button spacing 'Top toolbar' |Distance between buttons of Topbar toolbar<br>(Top left + Top right bar)| 5px |\n|''Menus'' |Scroll height 'list' menus |Scroll height of 'list' menus in toolbar | 400px |\n|~|Font size 'list' menus |Font size for 'list' menu entries | 1em |\n",
"title": "$:/plugins/tongerner/toolbar/settings-help",
"modifier": "TonGerner",
"modified": "20180215205707191",
"creator": "TonGerner",
"created": "20140616162513097"
},
"$:/plugins/tongerner/toolbar/styles": {
"created": "20150721080358195",
"text": "<pre>/* TOOLBAR */\n/* TOPBAR BUTTONS COLOR */\n.tc-topbar button svg {\n fill: {{!!button-color}};\n height: {{!!button-size}};\n width: {{!!button-size}};\n}\n\n.tc-topbar button:hover svg {\n fill:{{!!button-hover-color}};\n}\n\n/* SPACE BETWEEN TOOLBAR BUTTONS */\n.tc-topbar button {\n padding: 4px {{!!toolbar-button-spacing}};\n}\n\n/* TOP BAR LEFT/TOP */\n.tc-topbar-left {\n left: {{!!toolbar-left}};\n top: {{!!toolbar-top}};\n}\n\n/* TOP BAR RIGHT/TOP */\n.tc-topbar-right {\n top: {{!!toolbar-top}};\n}\n\n/* STYLES USED IN DROPDOWN SEARCH & CALENDAR */\n.tgc-dropdown {\n display: inline-block;\n}\n\n.tgc-dropdown-content {\n display: none;\n position: absolute;\n padding: 10px;\n background-color: {{$:/plugins/tongerner/layout_adjustment/styles!!topbar-background-color}};\n}\n\n.tgc-dropdown:hover .tgc-dropdown-content {\n display: block;\n}\n\n/* TIDDLER */\n/* TOP PADDING TIDDLER */\nhtml .tc-tiddler-frame {\n padding-top: {{!!tiddler-padding-top}};\n}\n\n/* SIZE OF TIDDLER CONTROL BUTTONS */\nhtml .tc-tiddler-controls button svg {\n height: {{!!tiddler-button-size}};\n}\n\n/* SPACE BETWEEN TIDDLER CONTROL BUTTONS */\nhtml .tc-tiddler-controls button {\n margin-left: {{!!tiddler-button-spacing}};\n}\n\n/* SCROLL HEIGHT MENUS IN TOOLBAR */\n.tgc-scrollable-list {\n padding: 0em;\n height: {{!!menus-scroll-height}};\n position: relative;\n}\n\n/* FONT SIZE MENUS */\n.tgc-font-size-menus {\n font-size: {{!!menus-font-size}};\n}\n\n/* DUMMY BUTTON STYLE */\n.dummybutton {\n padding: 1px 8px 1px 8px;\n background-color: #DCDAD5;\n border-width: 2px;\n border-style: solid;\n border-color: white gray gray white;\n}\n\n/* STYLES BELOW USED IN: */\n/* $:/plugins/tongerner/toolbar/templates/expandable-tiddler */\n/* $:/plugins/tongerner/toolbar/tag-search-menu */\n\n/* THREE COLUMN MODE */\n.tgc-3columns { \n display:block; \n -moz-column-count:3; \n -moz-column-gap:1em; \n -webkit-column-count: 3;\n -webkit-column-gap:1em; \n}\n\n/* CUT LONG STRINGS */\n.tgc-trim-string {\n white-space: nowrap; \n overflow: hidden; \n text-overflow: ellipsis;\n}\n\n.tgc-trim-string:hover {\n white-space: normal;\n overflow: inherit; \n}\n\n.tgc-message-box {\n border: 1px solid <<color message-border>>;\n background: <<color code-background>>;\n padding: 10px 21px 10px 21px;\n margin: -10px -21px -10px -21px;\n}\n\n.tgc-font-bold {\n font-weight: bold;\n}\n\n.tgc-underlined {\n text-decoration: underline;\n}\n\n/* Font-size More menu */\n.tgc-more {\n font-size: 1em;\n}\n</pre>",
"toolbar-top": "10px",
"toolbar-left": "40px",
"toolbar-button-spacing": "5px",
"title": "$:/plugins/tongerner/toolbar/styles",
"tags": "$:/tags/Stylesheet",
"modifier": "TonGerner",
"modified": "20180226085941817",
"menus-scroll-height": "400px",
"menus-font-size": "1em",
"list-after": "$:/themes/tiddlywiki/vanilla/base",
"creator": "TonGerner",
"button-size": "1.4em",
"button-hover-color": "#131313",
"button-color": "#AAAAAA"
},
"$:/plugins/tongerner/toolbar/rename-tags": {
"text": "Thanks to [[Alberto Molina|https://groups.google.com/forum/#!topic/tiddlywiki/OCntQ79DuwM]].\n\n''Search tag:''\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/renametags/search\" tag=\"input\" default=\"\" placeholder=\"Enter tag to be replaced\" size=20/>\n<$reveal state=\"$:/temp/renametags/search\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/renametags/search\" text=\"\" />\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n''Replace by:''\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/renametags/replace\" tag=\"input\" default=\"\" placeholder=\"Enter tag to replace\" size=20/>\n<$reveal state=\"$:/temp/renametags/replace\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/renametags/replace\" text=\"\" />\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n''First step''<br>\nApply the tag ''//{{$:/temp/renametags/replace}}//'' to the following tiddlers:\n<$list filter=\"[all[shadows+tiddlers]!has[draft.of]tag{$:/temp/renametags/search}!tag{$:/temp/renametags/replace}sort[created]]\">\n\n<$checkbox tag={{$:/temp/renametags/replace}}>\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</$checkbox>\n</$list>\n\n''Second step''<br>\nRemove the tag ''//{{$:/temp/renametags/search}}//'' from the following tiddlers:\n<$list filter=\"[all[shadows+tiddlers]!has[draft.of]tag{$:/temp/renametags/search}tag{$:/temp/renametags/replace}sort[created]]\">\n\n<$checkbox tag={{$:/temp/renametags/search}}>\n~~<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>~~\n</$checkbox>\n</$list>",
"created": "20150730072835309",
"creator": "TonGerner",
"modified": "20160811070327525",
"modifier": "TonGerner",
"tags": "",
"title": "$:/plugins/tongerner/toolbar/rename-tags"
},
"$:/tags/TopLeftBar": {
"text": "The buttons in the toolbar can be switched on/off in ''~ControlPanel > Appearance > Toolbars > Top toolbar''. Drag and drop the buttons to change the ordering.\n\nAdditional buttons can become available when my 'tiddlersbar' or 'tabstory' plugin is used:\n\n\"\"\"\n$:/plugins/tongerner/tiddlersbar/button\n$:/plugins/tongerner/tabstory/button\n\"\"\"\n\n<<<\n''Note:''<br>To let $:/plugins/tongerner/tiddlersbar/button and/or $:/plugins/tongerner/tabstory/button show up in in ''ControlPanel > Appearance > Toolbars > Top toolbar'', you need to add a tag $:/tags/TopLeftBar to these buttons!\n<<<\n",
"title": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180226091348209",
"list": "$:/plugins/tongerner/toolbar/buttons/toggle-sidebar $:/plugins/tongerner/toolbar/buttons/home $:/plugins/tongerner/toolbar/buttons/search $:/plugins/tongerner/toolbar/buttons/advanced-search $:/plugins/tongerner/toolbar/buttons/full-screen $:/plugins/tongerner/toolbar/buttons/refresh $:/plugins/tongerner/toolbar/buttons/close-all $:/plugins/tongerner/toolbar/buttons/controlpanel $:/plugins/tongerner/toolbar/buttons/sidebar $:/plugins/tongerner/toolbar/buttons/import $:/plugins/tongerner/toolbar/buttons/export-page $:/plugins/tongerner/toolbar/buttons/list-all $:/plugins/tongerner/toolbar/buttons/list-recent $:/plugins/tongerner/toolbar/buttons/list-open-tiddlers $:/plugins/tongerner/toolbar/buttons/list-untagged-tiddlers $:/plugins/tongerner/toolbar/buttons/new-journal $:/plugins/tongerner/toolbar/buttons/new-template-tiddler $:/plugins/tongerner/toolbar/buttons/new-tiddler $:/plugins/tongerner/toolbar/buttons/theme $:/plugins/tongerner/toolbar/buttons/palette $:/plugins/tongerner/toolbar/buttons/storyview $:/plugins/tongerner/toolbar/buttons/toggle-sticky $:/plugins/tongerner/toolbar/buttons/toggle-editortoolbar $:/plugins/tongerner/toolbar/buttons/toggle-preview $:/plugins/tongerner/toolbar/buttons/fold-all $:/plugins/tongerner/toolbar/buttons/unfold-all $:/plugins/tongerner/toolbar/buttons/permaview $:/plugins/tongerner/toolbar/buttons/save-wiki $:/plugins/tongerner/toolbar/buttons/toggle-tagging $:/plugins/tongerner/toolbar/buttons/tiddler-manager $:/plugins/tongerner/toolbar/buttons/tag-handling $:/plugins/tongerner/toolbar/buttons/rename-tags $:/plugins/tongerner/toolbar/buttons/corrupt-tiddler $:/plugins/tongerner/toolbar/buttons/search-delete-tiddlers $:/plugins/tongerner/toolbar/buttons/calendar $:/plugins/tongerner/toolbar/buttons/more-toolbar-actions",
"creator": "TonGerner",
"created": "20150810081323549"
},
"$:/plugins/tongerner/toolbar/tagging": {
"text": "\\define lingo-base() $:/language/EditTemplate/\n\n\\define tag-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-body-inner(colour,fallbackTarget,colourA,colourB)\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\" />\n<$button message=\"tm-remove-tag\" param={{!!title}} class=\"tc-btn-invisible tc-remove-tag-button\">×</$button>\n</span>\n</$vars>\n\\end\n\n\\define tag-body(colour,palette)\n<$macrocall $name=\"tag-body-inner\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}}/>\n\\end\n\n\\define tag-picker-actions()\n<$action-listops\n\t$tiddler=<<currentTiddler>>\n\t$field=\"tags\"\n\t$subfilter=\"[<tag>] [all[current]tags[]]\"\n/>\n\\end\n\n<div class=\"tc-edit-tags\">\n<$fieldmangler>\n<$list filter=\"[all[current]tags[]sort[title]]\" storyview=\"pop\">\n<$macrocall $name=\"tag-body\" colour={{!!color}} palette={{$:/palette}}/>\n</$list>\n</$fieldmangler>\n<$macrocall $name=\"tag-picker\" actions=<<tag-picker-actions>>/>\n</div>\n",
"title": "$:/plugins/tongerner/toolbar/tagging",
"modifier": "TonGerner",
"modified": "20170531074051877",
"list-after": "$:/core/ui/ViewTemplate/tags",
"creator": "TonGerner",
"created": "20160111124429261"
},
"$:/plugins/tongerner/toolbar/corrupt-tiddler-input": {
"text": "<$edit-text class=\"tc-edit-texteditor\" default=\"After entering the title of the tiddler (above), the source of the 'corrupt' tiddler will be displayed here and can be edited.\" tiddler={{$:/temp/corrupt-tiddler}}/>\n\n",
"created": "20160810185025269",
"creator": "TonGerner",
"modified": "20160810190314153",
"modifier": "TonGerner",
"tags": "",
"title": "$:/plugins/tongerner/toolbar/corrupt-tiddler-input"
},
"$:/plugins/tongerner/toolbar/images/corrupt-tiddler": {
"text": "<svg class=\"tgc-image-corrupt-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 521.000000 521.000000\"\n preserveAspectRatio=\"xMidYMid meet\">\n<g transform=\"translate(0.000000,521.000000) scale(0.100000,-0.100000)\">\n<path d=\"M1350 5032 c-668 -74 -1216 -136 -1217 -136 -3 -2 554 -4867 558\n-4871 2 -2 549 64 1214 146 666 82 1226 149 1245 150 19 1 360 -17 758 -41\n398 -23 725 -40 727 -38 5 4 405 4739 405 4789 l0 34 -812 43 c-447 24 -827\n43 -844 42 -31 0 -31 -1 -418 -782 l-388 -783 17 -55 c9 -30 109 -341 222\n-690 113 -349 206 -639 207 -645 0 -5 -20 -12 -47 -15 -36 -5 -51 -2 -61 10\n-20 24 -738 1259 -743 1279 -2 10 97 395 221 855 124 460 226 839 226 841 0 9\n-37 5 -1270 -133z m2839 -408 l333 -18 -5 -45 c-3 -25 -77 -890 -163 -1921\n-86 -1031 -160 -1878 -163 -1882 -6 -7 -681 27 -686 34 -9 13 -387 910 -384\n912 2 1 125 14 273 29 148 14 271 28 273 31 3 2 -124 404 -282 892 l-287 888\n274 554 273 554 105 -6 c58 -2 255 -13 439 -22z m-2223 -31 c-3 -10 -76 -279\n-162 -598 -126 -465 -155 -585 -147 -605 5 -14 168 -297 362 -630 194 -332\n353 -608 354 -613 1 -5 -128 -44 -288 -87 -159 -43 -289 -82 -288 -88 1 -6\n196 -282 434 -614 387 -542 428 -603 407 -607 -13 -2 -360 -45 -772 -95 -493\n-61 -749 -89 -751 -82 -6 18 -446 3888 -443 3891 3 2 1247 142 1281 144 13 1\n17 -4 13 -16z\"/>\n</g>\n</svg>",
"created": "20160213194442669",
"creator": "TonGerner",
"modified": "20160804194258428",
"modifier": "TonGerner",
"tags": "",
"title": "$:/plugins/tongerner/toolbar/images/corrupt-tiddler"
},
"$:/plugins/tongerner/toolbar/tag-handling": {
"text": "<<tabs \"[[$:/plugins/tongerner/toolbar/list-standard-tags]] [[$:/plugins/tongerner/toolbar/list-systemtags]] [[$:/plugins/tongerner/toolbar/tag-search-menu]]\" \"[[$:/plugins/tongerner/toolbar/list-standard-tags]]\">>",
"title": "$:/plugins/tongerner/toolbar/tag-handling",
"tags": "",
"modifier": "TonGerner",
"modified": "20170313194020172",
"creator": "TonGerner",
"created": "20160731104557811"
},
"$:/plugins/tongerner/toolbar/tag-search-menu": {
"text": "\\define bcolor(color)\nbackground-color:$color$;\n\\end\n\n\\define ShowTagMenuSubList(title)\n<$list filter=\"[tag[$title$]!prefix[$]!is[tag]sort[title]]\"><dd><div class=\"trim-string\"><$link to={{!!title}}><$view field=\"title\"/></$link> <$list filter=\"[all[current]tags[]!prefix[$]sort[title]] -[[$title$]]\" template=\"$:/core/ui/TagTemplate\"/></div></dd></$list>\n\\end\n\n\\define ShowTagMenuItem()\n<dt><$button set=<<qualify \"$:/state/TagMenuOpenL1\">> setTo=\"$(tmi-title)$\" style=<<bcolor \"$(tmi-color)$\">> class=\"tc-btn-invisible tc-tag-label\"><$transclude tiddler=\"$(tmi-icon)$\"/> <<tmi-title>></$button> <small class=\"tc-menu-list-count\"><$count filter=\"[[$(tmi-title)$]tagging[]!prefix[$]]\"/></small> <$link to=\"$(tmi-title)$\">↗</$link></dt>\n<$reveal type=\"match\" state=<<qualify \"$:/state/TagMenuOpenL1\">> text=\"$(tmi-title)$\">\n<<ShowTagMenuSubMenu \"$(tmi-title)$\">>\n<<ShowTagMenuSubList \"$(tmi-title)$\">>\n</$reveal>\n\\end\n\n\\define ShowTagMenuItem2()\n<dd><$button set=<<qualify \"$:/state/TagMenuOpenL2\">> setTo=\"$(tmi-title2)$\" style=<<bcolor \"$(tmi-color2)$\">> class=\"tc-btn-invisible tc-tag-label\"><$transclude tiddler=\"$(tmi-icon2)$\"/> <<tmi-title2>></$button> <small class=\"tc-menu-list-count\"><$count filter=\"[[$(tmi-title2)$]tagging[]!prefix[$]]\"/></small> <$link to=\"$(tmi-title2)$\">↗</$link>\n<$reveal type=\"match\" state=<<qualify \"$:/state/TagMenuOpenL2\">> text=\"$(tmi-title2)$\">\n<<ShowTagMenuSubMenu2 \"$(tmi-title2)$\">>\n<<ShowTagMenuSubList \"$(tmi-title2)$\">>\n</$reveal>\n</dd>\n\\end\n\n\\define ShowTagMenuItem3()\n<dd><$button set=<<qualify \"$:/state/TagMenuOpenL3\">> setTo=\"$(tmi-title3)$\" style=<<bcolor \"$(tmi-color3)$\">> class=\"tc-btn-invisible tc-tag-label\"><$transclude tiddler=\"$(tmi-icon3)$\"/> <<tmi-title3>></$button> <small class=\"tc-menu-list-count\"><$count filter=\"[[$(tmi-title3)$]tagging[]!prefix[$]]\"/></small> <$link to=\"$(tmi-title3)$\">↗</$link>\n<$reveal type=\"match\" state=<<qualify \"$:/state/TagMenuOpenL3\">> text=\"$(tmi-title3)$\">\n<<ShowTagMenuSubMenu3 \"$(tmi-title3)$\">>\n<<ShowTagMenuSubList \"$(tmi-title3)$\">>\n</$reveal>\n</dd>\n\\end\n\n\\define ShowTagMenuSubMenu(title)\n<$list filter=\"[tag[$title$]!prefix[$]is[tag]sort[title]]\"><$set name=\"tmi-title2\" value={{!!title}}><$set name=\"tmi-color2\" value={{!!color}}><$set name=\"tmi-icon2\" value={{!!icon}}><<ShowTagMenuItem2>></$set></$set></$set></$list>\n\\end\n\n\\define ShowTagMenuSubMenu2(title)\n<$list filter=\"[tag[$title$]!prefix[$]is[tag]sort[title]]\"><$set name=\"tmi-title3\" value={{!!title}}><$set name=\"tmi-color3\" value={{!!color}}><$set name=\"tmi-icon3\" value={{!!icon}}><<ShowTagMenuItem3>></$set></$set></$set></$list>\n\\end\n\n\\define ShowTagMenuSubMenu3(title)\n<$list filter=\"[tag[$title$]!prefix[$]is[tag]sort[title]]\"><dd><$transclude tiddler=\"$:/core/ui/TagTemplate\"/> <small class=\"tw-menu-list-count\"><$count filter=\"[all[current]tagging[]]\"/></small></dd>\n\\end\n\nThanks to [[aSpex Daemon|https://groups.google.com/d/msg/tiddlywiki/XxLT8zJqHYM/5dKWcxFFAAAJ]]\n\nClick <$button class=\"tc-btn-invisible tc-tag-label\" style=<<bcolor \"grey\">>> tag pill</$button> to expand.<br>\nClick <$button set=<<qualify \"$:/state/TagMenuOpenL1\">> class=\"tc-btn-invisible tc-tag-label\" style=<<bcolor \"grey\">>> close all</$button> to close list.\n\n<dt><$button set=<<qualify \"$:/state/TagMenuOpenL1\">> class=\"tc-btn-invisible tc-tag-label\" style=<<bcolor \"grey\">>> close all</$button></dt>\n<$list filter=\"[tags[]!is[system]sort[title]]\"><$set name=\"tmi-title\" value={{!!title}}><$set name=\"tmi-color\" value={{!!color}}><$set name=\"tmi-icon\" value={{!!icon}}><<ShowTagMenuItem>></$set></$set></$set></$list>\n<dt><$button set=<<qualify \"$:/state/TagMenuOpenL1\">> class=\"tc-btn-invisible tc-tag-label\" style=<<bcolor \"grey\">>> close all</$button></dt>\n",
"title": "$:/plugins/tongerner/toolbar/tag-search-menu",
"tags": "",
"modifier": "TonGerner",
"modified": "20170628193212970",
"creator": "TonGerner",
"created": "20160613121702886",
"caption": "Tag menu"
},
"$:/plugins/tongerner/toolbar/search-delete-tiddlers": {
"created": "20160729193254618",
"text": "Thanks to [[Stephan Hradek/Matabele|https://groups.google.com/d/msg/tiddlywiki/U9GYlwVXp6M/lubA413asP4J]]/[[Tobias Beer|https://tobibeer.github.io/tb5/#Deleting%20Tiddlers%20Via%20Lists]]\n\nEnter filter expression<br>(e.g. `[prefix[$:/state/]]`, `[tag[mytag]]` or more specific `[tag[mytag]search[mytext]]`):\n\n<$linkcatcher to=\"$:/temp/delete-filter\">\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/delete-filter\" tag=\"input\" placeholder=\"enter a filter expression\" default=\"\" size=50/>\n<$reveal state=\"$:/temp/delete-filter\" type=\"nomatch\" text=\"\">\n<$link to=\"\" class=\"tc-btn-invisible\">\n{{$:/core/images/close-button}}\n</$link>\n</$reveal>\n</div>\n</$linkcatcher>\n\nClick @@.tgc-underlined link@@ to expand tiddler, click ''↗'' to open tiddler, click {{$:/core/images/delete-button}} to delete tiddler.<br>Click @@.dummybutton Delete all: ''[filter]'' tiddlers@@ to delete ''all'' filtered tiddlers.\n\n<$list filter={{$:/temp/delete-filter}} template=\"$:/plugins/tongerner/toolbar/templates/expandable-tiddler\"/>\n\n<$button>\n<$set name=\"myVariable\" value={{$:/temp/delete-filter}}>\n<$action-deletetiddler $filter=<<myVariable>> />\nDelete all: ''{{$:/temp/delete-filter}}'' tiddlers\n</$set>\n</$button>\n",
"title": "$:/plugins/tongerner/toolbar/search-delete-tiddlers",
"modifier": "TonGerner",
"modified": "20180217155555342",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/disable-toolbar": {
"created": "20160815143553927",
"text": "<svg class=\"tgc-disable-toolbar tc-image-button\" xmlns=\"http://www.w3.org/2000/svg\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 8 8\">\n <path d=\"M4 0c-2.21 0-4 1.79-4 4s1.79 4 4 4 4-1.79 4-4-1.79-4-4-4zm0 1v2h3v2h-3v2l-3-3 3-3z\" />\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/disable-toolbar",
"tags": "",
"modifier": "TonGerner",
"modified": "20170726092813189",
"list-after": "",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/enable-toolbar": {
"created": "20160815143621896",
"text": "<svg class=\"tgc-enable-toolbar tc-image-button\" xmlns=\"http://www.w3.org/2000/svg\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 8 8\">\n <path d=\"M4 0c-2.21 0-4 1.79-4 4s1.79 4 4 4 4-1.79 4-4-1.79-4-4-4zm0 1l3 3-3 3v-2h-3v-2h3v-2z\" />\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/enable-toolbar",
"tags": "",
"modifier": "TonGerner",
"modified": "20170726092839252",
"creator": "TonGerner"
},
"$:/plugins/danielo/tagSearch/css": {
"created": "20140924100100430",
"modified": "20170313155351224",
"tags": "$:/tags/Stylesheet",
"title": "$:/plugins/danielo/tagSearch/css",
"type": "text/css",
"text": ".tc-advanced-search .tc-radio input { width: auto; }",
"modifier": "TonGerner"
},
"$:/plugins/danielo/tagSearch/macros/extractTagsAsFilter.js": {
"created": "20140110061443770",
"text": "/*\\\ncreated: 20140110061443770\ncreator: Danielo Rodriguez\nmodified: 20140415014136920\nmodifier: Danielo\ntags: macros\ntitle: $:/plugins/danielo/tagSearch/macros/extractTagsAsFilter.js\ntype: application/javascript\nmodule-type: macro\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\nThis is developed to .\n*/\n\nexports.name = \"extractTagsAsFilter\";\n\nexports.params = [\n\t{ name: \"tiddlerName\" }\n];\n\n/*\nRun the macro\n*/\nexports.run = function(tiddlerName) {\n var tiddler=$tw.wiki.getTiddler(tiddlerName);\n var result=\"\";\n if(tiddler && tiddler.fields.tags)\n {\n var tags=tiddler.fields.tags;\n for(var i=0; i<tags.length; i++)\n result+=\"tag[\"+tags[i]+\"]\";\n }\n\nreturn result;\n}\n\n})();",
"creator": "Danielo Rodriguez",
"modified": "20170313155325139",
"modifier": "TonGerner",
"tags": "macros",
"title": "$:/plugins/danielo/tagSearch/macros/extractTagsAsFilter.js",
"type": "application/javascript",
"module-type": "macro"
},
"$:/plugins/danielo/tagSearch/readme": {
"text": "This plugin allows you to refine searches using tags. It's possible to search without any tag and the opposite, using just tags as filter.\n\n!! How to use\nAfter installing it you will have a new tab in \"Advanced search\" tiddler. You can also transclude this search whatever you want just transcluding it\n\n```\n{{TagsSearch}}\n```\n\n\n",
"type": "text/vnd.tiddlywiki",
"title": "$:/plugins/danielo/tagSearch/readme",
"modified": "20170313170222220",
"created": "20140927204347971"
},
"$:/plugins/danielo/tagSearch/SearchFilter": {
"created": "20140414232747021",
"modified": "20170313155436114",
"module-type": "macro",
"tags": "macros",
"title": "$:/plugins/danielo/tagSearch/SearchFilter",
"type": "application/javascript",
"text": "/*\\\ntitle: $:/macros/danielo/SearchFilter.js\ntype: application/javascript\nmodule-type: macro\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\nThis returns an empty search string if tiddlerName is empty. This avoids all tiddlers as result when using an empty as search string. \n*/\n\nexports.name = \"search-filter\";\n\nexports.params = [\n\t{ name: \"tiddlerName\" }\n];\n\n/*\nRun the macro\n*/\nexports.run = function(tiddlerName) {\n var tiddler=$tw.wiki.getTiddler(tiddlerName);\n var result=\"search{}\"; //by default,empty search\n if(tiddler && tiddler.fields.text.trim().length>0 || tiddler.fields.tags)\n {\n result=\"search{\"+tiddlerName+\"}\";\n }\n\nreturn result;\n}\n\n})();",
"modifier": "TonGerner"
},
"$:/plugins/tongerner/toolbar/tagssearch": {
"text": "\\define lingo-base() $:/language/EditTemplate/\n\n\\define tag-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-body-inner(colour,fallbackTarget,colourA,colourB)\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\" />\n<$button message=\"tm-remove-tag\" param={{!!title}} class=\"tc-btn-invisible tc-remove-tag-button\">×</$button>\n</span>\n</$vars>\n\\end\n\n\\define tag-body(colour,palette)\n<$macrocall $name=\"tag-body-inner\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}}/>\n\\end\n\n\\define tag-filter() [![$:/temp/search]!has[draft.of]!is[current]$(systemFilter)$$(shadowFilter)$$(searchFilter)$$(tagNames)$sort[title]]\n\n\\define show-system()\n<div>\nSystem tiddlers:\n<$radio field=\"systemfilter\" value=\"\"> Show </$radio> <$radio field=\"systemfilter\" value=\"!is[system]\"> Hide </$radio>\n</div>\n\\end\n\n\\define show-shadow()\n<small><div> <em> Shadow:</em> <$radio field=\"shadowfilter\" value=\"is[shadow]\"> Show </$radio> <$radio field=\"shadowfilter\" value=\"!is[shadow]\"> Hide </$radio></div></small>\n\\end\n\nThanks to [[Danielo|http://braintest.tiddlyspot.com/#TagsSearch-Plugin]]\n\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/search\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"$:/temp/search\" type=\"nomatch\" text=\"\">\n<$linkcatcher to=\"$:/temp/search\">\n<$link to=\"\" class=\"tc-btn-invisible\">{{$:/core/images/close-button}}</$link>\n</$linkcatcher>\n</$reveal>\n</div>\n<$fieldmangler tiddler=\"$:/temp/search\">\n\n<div class=\"tc-edit-add-tag\">\n<span class=\"tc-add-tag-name\">\n<$edit-text tiddler=\"$:/temp/NewTagName\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Tags/Add/Placeholder}} focusPopup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-edit-texteditor tc-popup-handle\"/>\n</span>\n<$button popup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-btn-invisible tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Tags/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Tags/Dropdown/Caption}}>{{$:/core/images/down-arrow}}\n</$button>\n<span class=\"tc-add-tag-button\">\n<$button message=\"tm-add-tag\" param={{$:/temp/NewTagName}} set=\"$:/temp/NewTagName\" setTo=\"\" tooltip=\"Add tag\">''+''</$button>\n</span>\n</div>\n\n<div class=\"tc-block-dropdown-wrapper\">\n<$reveal state=<<qualify \"$:/state/popup/tags-auto-complete\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown\">\n<$linkcatcher set=\"$:/temp/NewTagName\" setTo=\"\" message=\"tm-add-tag\">\n<$list filter=\"[tags[]!is[system]search:title{$:/temp/NewTagName}sort[]]\">\n{{||$:/core/ui/Components/tag-link}}\n</$list>\n<hr>\n<$list filter=\"[tags[]is[system]search:title{$:/temp/NewTagName}sort[]]\">\n{{||$:/core/ui/Components/tag-link}}\n</$list>\n</$linkcatcher>\n</div>\n</$reveal>\n</div>\n<$list filter=\"[[$:/temp/search]tags[]sort[title]]\" storyview=\"pop\"><$set name=\"backgroundColor\" value={{!!color}}><span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\" />\n<$button message=\"tm-remove-tag\" param={{!!title}} class=\"tc-btn-invisible tc-remove-tag-button\">×</$button></span>\n</$set>\n</$list>\n</$fieldmangler>\n<div style=\"display:inline;\"><<show-system>></div>\n<$set name=\"searchFilter\" value=<<search-filter \"$:/temp/search\">> >\n<$set name=\"tagNames\" value=<<extractTagsAsFilter \"$:/temp/search\">> >\n<$set name=\"systemFilter\" value={{!!systemfilter}}>\n<br>\n<$list filter=<<tag-filter>> template=\"$:/plugins/tongerner/toolbar/templates/expandable-tiddler\">\n\n</$list>\n</$set></$set></$set>",
"title": "$:/plugins/tongerner/toolbar/tagssearch",
"tags": "$:/tags/AdvancedSearch",
"systemfilter": "!is[system]",
"modifier": "TonGerner",
"modified": "20180301183332215",
"creator": "TonGerner",
"created": "20161215195311696",
"caption": "Tags search"
},
"$:/language/ControlPanel/Toolbars/TopLeftBar/Hint": {
"created": "20170504074539994",
"text": "Choose which tiddlers are displayed on the top left toolbar. Drag and drop to change the ordering",
"title": "$:/language/ControlPanel/Toolbars/TopLeftBar/Hint",
"tags": "",
"modified": "20170504081701002"
},
"$:/plugins/tongerner/toolbar/license": {
"text": "[[Top toolbar plugin|http://tongerner.tiddlyspot.com/#Top%20toolbar%20plugin]] © Ton Gerner — 2018\n\nMIT License: https://opensource.org/licenses/MIT",
"title": "$:/plugins/tongerner/toolbar/license",
"tags": "",
"modified": "20180129133210163",
"created": "20180125143639052"
},
"$:/plugins/tongerner/toolbar/buttons/advanced-search": {
"text": "{{$:/core/ui/Buttons/advanced-search}}",
"title": "$:/plugins/tongerner/toolbar/buttons/advanced-search",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215175019299",
"description": "{{$:/language/Buttons/AdvancedSearch/Hint}}",
"creator": "TonGerner",
"created": "20150727113655362",
"caption": "{{$:/core/images/advanced-search-button}} {{$:/language/Buttons/AdvancedSearch/Caption}}"
},
"$:/plugins/tongerner/toolbar/buttons/close-all": {
"text": "{{$:/core/ui/Buttons/close-all}}",
"title": "$:/plugins/tongerner/toolbar/buttons/close-all",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215175049583",
"description": "{{$:/language/Buttons/CloseAll/Hint}}",
"creator": "TonGerner",
"created": "20150727113504402",
"caption": "{{$:/core/images/close-all-button}} {{$:/language/Buttons/CloseAll/Caption}}"
},
"$:/plugins/tongerner/toolbar/buttons/controlpanel": {
"text": "{{$:/core/ui/Buttons/control-panel}}",
"title": "$:/plugins/tongerner/toolbar/buttons/controlpanel",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215175127917",
"description": "{{$:/language/Buttons/ControlPanel/Hint}}",
"creator": "TonGerner",
"created": "20150727111608178",
"caption": "{{$:/core/images/options-button}} {{$:/language/Buttons/ControlPanel/Caption}}"
},
"$:/plugins/tongerner/toolbar/buttons/corrupt-tiddler": {
"created": "20160212212247535",
"text": "\\define corrupt-tiddler-button(class)\n<$button to=\"$:/plugins/tongerner/toolbar/templates/corrupt-tiddler\" tooltip=\"Manage a corrupt tiddler\" class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/corrupt-tiddler}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"manage corrupt tiddler\"/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/plugins/tongerner/toolbar/buttons/corrupt-tiddler]]\" emptyMessage=<<corrupt-tiddler-button>>>\n<<corrupt-tiddler-button \"tc-selected\">>\n</$list>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/corrupt-tiddler",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180217154624589",
"description": "Manage a corrupt tiddler",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/corrupt-tiddler}} manage corrupt tiddler"
},
"$:/plugins/tongerner/toolbar/buttons/export-page": {
"created": "20150727114546367",
"text": "{{$:/core/ui/Buttons/export-page}}",
"caption": "{{$:/core/images/export-button}} {{$:/language/Buttons/ExportPage/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/ExportPage/Hint}}",
"modified": "20180215175416816",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/export-page"
},
"$:/plugins/tongerner/toolbar/buttons/fold-all": {
"created": "20160111133242734",
"creator": "TonGerner",
"text": "{{$:/core/ui/Buttons/fold-all}}",
"title": "$:/plugins/tongerner/toolbar/buttons/fold-all",
"tags": "$:/tags/TopLeftBar",
"modified": "20180215190259954",
"modifier": "TonGerner",
"caption": "{{$:/core/images/fold-all-button}} {{$:/language/Buttons/FoldAll/Caption}}",
"description": "{{$:/language/Buttons/FoldAll/Hint}}"
},
"$:/plugins/tongerner/toolbar/buttons/full-screen": {
"created": "20150727085351568",
"text": "{{$:/core/ui/Buttons/full-screen}}",
"caption": "{{$:/core/images/full-screen-button}} {{$:/language/Buttons/FullScreen/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/FullScreen/Hint}}",
"modified": "20180215175619952",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/full-screen"
},
"$:/plugins/tongerner/toolbar/buttons/home": {
"created": "20150727085124647",
"text": "{{$:/core/ui/Buttons/home}}",
"caption": "{{$:/core/images/home-button}} {{$:/language/Buttons/Home/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/Home/Hint}}",
"modified": "20180215175651708",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/home"
},
"$:/plugins/tongerner/toolbar/buttons/import": {
"created": "20150810090131830",
"text": "{{$:/core/ui/Buttons/import}}",
"caption": "{{$:/core/images/import-button}} {{$:/language/Buttons/Import/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/Import/Hint}}",
"modified": "20180215181147876",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/import"
},
"$:/plugins/tongerner/toolbar/buttons/list-all": {
"created": "20150728202153771",
"text": "<$button tooltip=\"List all tiddlers\" popup=\"$:/state/popup/all\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/list-all}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"list all\"/></span>\n</$list>\n</$button>\n<$reveal type=\"popup\" state=\"$:/state/popup/all\">\n<div class=\"tc-drop-down tgc-font-size-menus\">\n\n:''All tiddlers''\n<$scrollable class='tgc-scrollable-list'>\n{{$:/core/ui/MoreSideBar/All}}\n</$scrollable>\n</div>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/list-all",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215181257672",
"description": "List all tiddlers",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/list-all}} list all"
},
"$:/plugins/tongerner/toolbar/buttons/list-open-tiddlers": {
"created": "20150728143158416",
"text": "<$button tooltip=\"List open tiddlers\" popup=\"$:/state/popup/open\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/list-open}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"list open\"/></span>\n</$list>\n</$button>\n<$reveal type=\"popup\" state=\"$:/state/popup/open\">\n<div class=\"tc-drop-down tgc-font-size-menus\">\n\n:''Open tiddlers''\n<$scrollable class='tgc-scrollable-list'>\n<$list filter=\"[list[$:/StoryList]]+[sort[]]\"/>\n</$scrollable>\n</div>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/list-open-tiddlers",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215181413172",
"description": "List open tiddlers",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/list-open}} list open"
},
"$:/plugins/tongerner/toolbar/buttons/list-recent": {
"created": "20150728201622065",
"text": "<$button tooltip=\"List recent tiddlers\" popup=\"$:/state/popup/recent\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/list-recent}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"list recent\"/></span>\n</$list>\n</$button>\n<$reveal type=\"popup\" state=\"$:/state/popup/recent\">\n<div class=\"tc-drop-down tgc-font-size-menus\">\n\n:''Recent tiddlers''\n<$scrollable class='tgc-scrollable-list'>\n{{$:/core/ui/MoreSideBar/Recent}}\n</$scrollable>\n</div>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/list-recent",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215181603818",
"description": "List recent tiddlers",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/list-recent}} list recent"
},
"$:/plugins/tongerner/toolbar/buttons/list-untagged-tiddlers": {
"created": "20150728165824527",
"text": "<$button tooltip=\"List untagged tiddlers\" popup=\"$:/state/popup/untagged\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/list-untagged}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"list untagged\"/></span>\n</$list>\n</$button>\n<$reveal type=\"popup\" state=\"$:/state/popup/untagged\">\n<div class=\"tc-drop-down tgc-font-size-menus\">\n\n:''Untagged tiddlers''\n<$scrollable class='tgc-scrollable-list'>\n<$list filter=\"[untagged[]!is[system]] -[tags[]] +[sort[title]]\"/>\n</$scrollable>\n</div>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/list-untagged-tiddlers",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215181647137",
"description": "List untagged tiddlers",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/list-untagged}} list untagged"
},
"$:/plugins/tongerner/toolbar/buttons/more-toolbar-actions": {
"text": "\\define config-title()\n$:/config/TopLeftBarButtons/Visibility/$(listItem)$\n\\end\n<$button popup=<<qualify \"$:/state/popup/more\">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/down-arrow}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/More/Caption}}/></span>\n</$list>\n</$button><$reveal state=<<qualify \"$:/state/popup/more\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tgc-more tc-drop-down\">\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n<$set name=\"tv-config-toolbar-class\" value=\"tc-btn-invisible\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopLeftBar]!has[draft.of]]\" variable=\"listItem\">\n<$reveal type=\"match\" state=<<config-title>> text=\"hide\">\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n</$reveal>\n</$list>\n</$set>\n</$set>\n</$set>\n</div>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/more-toolbar-actions",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215205049411",
"description": "{{$:/language/Buttons/More/Hint}}",
"creator": "TonGerner",
"created": "20161010113847343",
"caption": "{{$:/core/images/down-arrow}} {{$:/language/Buttons/More/Caption}}"
},
"$:/plugins/tongerner/toolbar/buttons/new-journal": {
"created": "20150727085921741",
"text": "{{$:/core/ui/Buttons/new-journal}}",
"caption": "{{$:/core/images/new-journal-button}} {{$:/language/Buttons/NewJournal/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/NewJournal/Hint}}",
"modified": "20180215181827909",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/new-journal"
},
"$:/plugins/tongerner/toolbar/buttons/new-template-tiddler": {
"created": "20131214181113770",
"text": "<$button message=\"tm-new-tiddler\" tooltip=\"New template tiddler\" class=<<tv-config-toolbar-class>> param=\"$:/plugins/tongerner/toolbar/templates/new-tiddler\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/new-template-tiddler}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"new template tiddler\"/>\n</$list>\n</$button>",
"caption": "{{$:/plugins/tongerner/toolbar/images/new-template-tiddler}} new template tiddler",
"creator": "TonGerner",
"description": "Create a new tiddler based on a template",
"modified": "20180217155939484",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/new-template-tiddler"
},
"$:/plugins/tongerner/toolbar/buttons/new-tiddler": {
"created": "20150727085549203",
"text": "{{$:/core/ui/Buttons/new-tiddler}}",
"caption": "{{$:/core/images/new-button}} {{$:/language/Buttons/NewTiddler/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/NewTiddler/Hint}}",
"modified": "20180215182023684",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/new-tiddler"
},
"$:/plugins/tongerner/toolbar/buttons/palette": {
"created": "20150727114333302",
"text": "{{$:/core/ui/Buttons/palette}}",
"caption": "{{$:/core/images/palette}} {{$:/language/Buttons/Palette/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/Palette/Hint}}",
"modified": "20180215182057143",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/palette"
},
"$:/plugins/tongerner/toolbar/buttons/permaview": {
"created": "20150728085559554",
"creator": "TonGerner",
"text": "{{$:/core/ui/Buttons/permaview}}",
"caption": "{{$:/core/images/permaview-button}} {{$:/language/Buttons/Permaview/Caption}}",
"description": "{{$:/language/Buttons/Permaview/Hint}}",
"modified": "20180215182120876",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/permaview"
},
"$:/plugins/tongerner/toolbar/buttons/refresh": {
"created": "20150728084910677",
"text": "{{$:/core/ui/Buttons/refresh}}",
"caption": "{{$:/core/images/refresh-button}} {{$:/language/Buttons/Refresh/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/Refresh/Hint}}",
"modified": "20180215182144610",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/refresh"
},
"$:/plugins/tongerner/toolbar/buttons/rename-tags": {
"created": "20150730073458066",
"text": "<$button to=\"$:/plugins/tongerner/toolbar/rename-tags\" tooltip=\"Rename tags\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/rename-tags}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"rename tags\"/>\n</$list>\n</$button>",
"caption": "{{$:/plugins/tongerner/toolbar/images/rename-tags}} rename tags",
"creator": "TonGerner",
"description": "Rename tags",
"modified": "20180215182222001",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/rename-tags"
},
"$:/plugins/tongerner/toolbar/buttons/save-wiki": {
"created": "20150727113226924",
"text": "{{$:/core/ui/Buttons/save-wiki}}",
"caption": "{{$:/core/images/save-button}} {{$:/language/Buttons/SaveWiki/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/SaveWiki/Hint}}",
"modified": "20180215182245468",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/save-wiki"
},
"$:/plugins/tongerner/toolbar/buttons/search": {
"created": "20180215094132405",
"text": "<div class=\"tgc-dropdown\">\n<$button tooltip=\"Search\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/search}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"search\"/></span>\n</$list>\n</$button>\n<div class=\"tgc-dropdown-content\">{{$:/plugins/tongerner/toolbar/search}}</div>\n</div>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/search",
"tags": "$:/tags/TopLeftBar",
"modified": "20180216144809004",
"description": "Search",
"caption": "{{$:/plugins/tongerner/toolbar/images/search}} search"
},
"$:/plugins/tongerner/toolbar/buttons/search-delete-tiddlers": {
"created": "20160803185834570",
"creator": "TonGerner",
"text": "<$button to=\"$:/plugins/tongerner/toolbar/search-delete-tiddlers\" tooltip=\"Search & delete tiddlers\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/core/images/delete-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"search & delete tiddlers\"/>\n</$list>\n</$button>",
"title": "$:/plugins/tongerner/toolbar/buttons/search-delete-tiddlers",
"tags": "$:/tags/TopLeftBar",
"modified": "20180215182311414",
"modifier": "TonGerner",
"type": "",
"caption": "{{$:/core/images/delete-button}} search & delete",
"description": "Search & delete tiddlers"
},
"$:/plugins/tongerner/toolbar/buttons/sidebar": {
"created": "20131123133349221",
"text": "<$button to=\"$:/core/ui/SideBarLists\" tooltip=\"Open the Sidebar tabs\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/sidebar}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"sidebar\"/>\n</$list>\n</$button>",
"caption": "{{$:/plugins/tongerner/toolbar/images/sidebar}} sidebar",
"creator": "TonGerner",
"description": "Shows the Sidebar",
"modified": "20180215182440999",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/sidebar"
},
"$:/plugins/tongerner/toolbar/buttons/storyview": {
"created": "20150727113916016",
"text": "{{$:/core/ui/Buttons/storyview}}",
"caption": "{{$:/core/images/storyview-classic}} {{$:/language/Buttons/StoryView/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/StoryView/Hint}}",
"modified": "20180215182537201",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/storyview"
},
"$:/plugins/tongerner/toolbar/buttons/tag-handling": {
"created": "20150728085245822",
"text": "<$button to=\"$:/plugins/tongerner/toolbar/tag-handling\" tooltip=\"List & search via tags\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/tag-handling}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"list tags\"/>\n</$list>\n</$button>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/tag-handling",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215182610497",
"description": "List and expand tags",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/tag-handling}} tag handling"
},
"$:/plugins/tongerner/toolbar/buttons/theme": {
"created": "20150727114037029",
"text": "{{$:/core/ui/Buttons/theme}}",
"caption": "{{$:/core/images/theme-button}} {{$:/language/Buttons/Theme/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/Theme/Hint}}",
"modified": "20180215182639590",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/theme"
},
"$:/plugins/tongerner/toolbar/buttons/tiddler-manager": {
"created": "20170503094941294",
"text": "{{$:/core/ui/Buttons/manager}}",
"title": "$:/plugins/tongerner/toolbar/buttons/tiddler-manager",
"tags": "$:/tags/TopLeftBar",
"modified": "20180215182713526",
"description": "Manage tiddlers",
"caption": "{{$:/core/images/list}} manage tiddlers"
},
"$:/plugins/tongerner/toolbar/buttons/toggle-editortoolbar": {
"created": "20160727112924760",
"text": "<$reveal state=\"$:/config/TextEditor/EnableToolbar\" type=\"nomatch\" text=\"no\">\n<$button set=\"$:/config/TextEditor/EnableToolbar\" setTo=\"no\" tooltip=\"Hide editor toolbar\" class=\"tgc-active-indicator tc-btn-invisible\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/edit-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"non-sticky behaviour\"/></span>\n</$list>\n</$button>\n\n</$reveal>\n<$reveal state=\"$:/config/TextEditor/EnableToolbar\" type=\"match\" text=\"no\">\n<$button set=\"$:/config/TextEditor/EnableToolbar\" setTo=\"yes\" tooltip=\"Show editor toolbar\" class=\"tc-btn-invisible\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/edit-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"sticky behaviour\"/></span>\n</$list>\n</$button>\n</$reveal>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/toggle-editortoolbar",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215182746136",
"description": "Toggle editor toolbar",
"creator": "TonGerner",
"caption": "{{$:/core/images/edit-button}} toggle editor toolbar"
},
"$:/plugins/tongerner/toolbar/buttons/toggle-preview": {
"created": "20161225111254821",
"text": "<$reveal state=\"$:/state/showeditpreview\" type=\"nomatch\" text=\"no\">\n<$button set=\"$:/state/showeditpreview\" setTo=\"no\" tooltip=\"Hide preview\" class=\"tgc-active-indicator tc-btn-invisible\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/preview-open}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"non-sticky behaviour\"/></span>\n</$list>\n</$button>\n\n</$reveal>\n<$reveal state=\"$:/state/showeditpreview\" type=\"match\" text=\"no\">\n<$button set=\"$:/state/showeditpreview\" setTo=\"yes\" tooltip=\"Show preview\" class=\"tc-btn-invisible\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/core/images/preview-closed}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"sticky behaviour\"/></span>\n</$list>\n</$button>\n</$reveal>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/toggle-preview",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215182824526",
"description": "Toggle preview",
"creator": "TonGerner",
"caption": "{{$:/core/images/preview-open}} toggle preview"
},
"$:/plugins/tongerner/toolbar/buttons/toggle-sidebar": {
"created": "20150810175051794",
"text": "{{$:/core/ui/TopBar/menu}}",
"caption": "{{$:/core/images/chevron-right}} {{$:/language/Buttons/HideSideBar/Caption}}",
"creator": "TonGerner",
"description": "{{$:/language/Buttons/HideSideBar/Hint}}",
"modified": "20180215182849666",
"modifier": "TonGerner",
"tags": "$:/tags/TopLeftBar",
"title": "$:/plugins/tongerner/toolbar/buttons/toggle-sidebar"
},
"$:/plugins/tongerner/toolbar/buttons/toggle-sticky": {
"created": "20170131182427858",
"text": "<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"nomatch\" text=\"no\">\n<$button set=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" setTo=\"no\" tooltip=\"No sticky titles\" class=\"tgc-active-indicator tc-btn-invisible\">\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/plugins/tongerner/toolbar/images/sticky}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"non-sticky behaviour\"/></span>\n</$list>\n</$button>\n\n</$reveal>\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"match\" text=\"no\">\n<$button set=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" setTo=\"yes\" tooltip=\"Sticky titles\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\" variable=\"listItem\">\n{{$:/plugins/tongerner/toolbar/images/sticky}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"sticky behaviour\"/></span>\n</$list>\n</$button>\n</$reveal>",
"title": "$:/plugins/tongerner/toolbar/buttons/toggle-sticky",
"tags": "$:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215182956437",
"description": "Toggle sticky behaviour",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/sticky}} toggle sticky behaviour"
},
"$:/plugins/tongerner/toolbar/buttons/toggle-tagging": {
"created": "20160111131840237",
"text": "<$fieldmangler tiddler=\"$:/plugins/tongerner/toolbar/tagging\">\n<$list filter=\"[[$:/plugins/tongerner/toolbar/tagging]tag[$:/tags/ViewTemplate]]\">\n<$button message=\"tm-remove-tag\" tooltip=\"Hide tagging in view mode\" param=\"$:/tags/ViewTemplate\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n<span class=\"tgc-active-indicator\">\n{{$:/plugins/tongerner/toolbar/images/tagging}}\n</span>\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"tagging\"/>\n</$list>\n</$button>\n</$list>\n\n<$list filter=\"[[$:/plugins/tongerner/toolbar/tagging]!tag[$:/tags/ViewTemplate]]\">\n<$button message=\"tm-add-tag\" tooltip=\"Tagging in view mode\" param=\"$:/tags/ViewTemplate\" class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/tagging}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<$text text=\"tagging\"/>\n</$list>\n</$button>\n</$list>\n</$fieldmangler>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/toggle-tagging",
"tags": "$:/tags/PageControls $:/tags/ViewToolbar $:/tags/TopLeftBar",
"modifier": "TonGerner",
"modified": "20180215183039929",
"description": "Toggle tagging in view mode",
"creator": "TonGerner",
"caption": "{{$:/plugins/tongerner/toolbar/images/tagging}} tagging"
},
"$:/plugins/tongerner/toolbar/buttons/unfold-all": {
"created": "20160111133543876",
"creator": "TonGerner",
"text": "{{$:/core/ui/Buttons/unfold-all}}",
"title": "$:/plugins/tongerner/toolbar/buttons/unfold-all",
"tags": "$:/tags/TopLeftBar",
"modified": "20180215183205537",
"modifier": "TonGerner",
"caption": "{{$:/core/images/unfold-all-button}} {{$:/language/Buttons/UnfoldAll/Caption}}",
"description": "{{$:/language/Buttons/UnfoldAll/Hint}}"
},
"$:/plugins/tongerner/toolbar/images/list-all": {
"created": "20131030210000000",
"text": "<svg class= \"tgc-list-all-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\">\n <g>\n <g stroke=\"null\" id=\"svg_16\">\n <g stroke=\"null\" id=\"svg_1\">\n <rect stroke=\"null\" id=\"svg_2\" height=\"2.1868\" width=\"16.21141\" y=\"19.6257\" x=\"5.66359\"/>\n <rect stroke=\"null\" id=\"svg_3\" height=\"2.1868\" width=\"3.03625\" y=\"19.6257\" x=\"0.1875\"/>\n </g>\n <g stroke=\"null\" id=\"svg_4\">\n <rect stroke=\"null\" id=\"svg_5\" height=\"2.1868\" width=\"16.21141\" y=\"14.76615\" x=\"5.66359\"/>\n <rect stroke=\"null\" id=\"svg_6\" height=\"2.1868\" width=\"3.03625\" y=\"14.76615\" x=\"0.1875\"/>\n </g>\n <g stroke=\"null\" id=\"svg_7\">\n <rect stroke=\"null\" id=\"svg_8\" height=\"2.1868\" width=\"3.03625\" y=\"9.9066\" x=\"0.1875\"/>\n <rect stroke=\"null\" id=\"svg_9\" height=\"2.1868\" width=\"16.21141\" y=\"9.9066\" x=\"5.66359\"/>\n </g>\n <g stroke=\"null\" id=\"svg_10\">\n <rect stroke=\"null\" id=\"svg_11\" height=\"2.1868\" width=\"3.03625\" y=\"5.04705\" x=\"0.1875\"/>\n <rect stroke=\"null\" id=\"svg_12\" height=\"2.1868\" width=\"16.21141\" y=\"5.04705\" x=\"5.66359\"/>\n </g>\n <g stroke=\"null\" id=\"svg_13\">\n <rect stroke=\"null\" id=\"svg_14\" height=\"2.1868\" width=\"3.03625\" y=\"0.1875\" x=\"0.1875\"/>\n <rect stroke=\"null\" id=\"svg_15\" height=\"2.1868\" width=\"16.21141\" y=\"0.1875\" x=\"5.66359\"/>\n </g>\n </g>\n </g>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/list-all",
"modifier": "TonGerner",
"modified": "20180215175731888",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/list-open": {
"created": "20131030210000000",
"text": "<svg class= \"tgc-list-open-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\" xmlns=\"http://www.w3.org/2000/svg\" stroke=\"null\" style=\"vector-effect: non-scaling-stroke;\" preserveAspectRatio=\"xMidYMid meet\">\n <metadata stroke=\"null\" style=\"vector-effect: non-scaling-stroke;\">Created by potrace 1.12, written by Peter Selinger 2001-2015</metadata>\n <g stroke=\"null\">\n <title stroke=\"null\">Layer 1</title>\n <g id=\"svg_1\" transform=\"translate(0,512) scale(0.10000000149011612,-0.10000000149011612) \">\n <path stroke=\"null\" id=\"svg_2\" d=\"m63.99242,5116.30566c-1.18196,-0.64453 -5.36082,-5.67871 -16.16689,-19.44336c-8.06235,-10.23926 -14.7739,-18.54102 -14.98498,-18.49902c-0.21106,0.08691 -4.64323,3.01221 -9.87743,6.58252c-5.23418,3.52783 -10.00404,6.58203 -10.59499,6.71094c-2.11056,0.55957 -5.52967,-0.04248 -7.26033,-1.24707c-4.22112,-3.01123 -4.64324,-9.98047 -0.84423,-13.46484c1.39297,-1.29053 24.69356,-17.07764 26.93075,-18.23975c2.36383,-1.24805 5.86734,-1.07568 8.18896,0.38721c1.05531,0.6875 7.21814,8.17334 18.36192,22.36914c9.202,11.74365 17.17991,22.06787 17.72863,22.97168c2.32163,3.87158 0.71762,9.63623 -3.29241,11.78613c-2.40608,1.2915 -5.90961,1.33447 -8.18899,0.08643z\"/>\n <path stroke=\"null\" id=\"svg_3\" d=\"m101.26492,5083.22559l0,-8.604l58.25144,0l58.25145,0l0,8.604l0,8.60352l-58.25145,0l-58.25144,0l0,-8.60352z\"/>\n <path stroke=\"null\" id=\"svg_4\" d=\"m63.78136,5039.69092c-1.77287,-1.1626 -4.72768,-4.64648 -16.16689,-19.27246c-7.68245,-9.80811 -14.09854,-17.98145 -14.26736,-18.15332c-0.12666,-0.17188 -4.38999,2.45215 -9.41314,5.89307c-5.02313,3.39844 -9.83518,6.49609 -10.67943,6.8833c-3.46131,1.46289 -7.59801,0.25781 -10.08848,-2.9248c-1.05527,-1.33398 -1.30854,-2.1084 -1.43516,-4.34521c-0.21106,-3.0542 0.63317,-5.20508 2.74372,-7.18408c2.49047,-2.4082 26.67745,-18.4126 28.19708,-18.71338c2.6593,-0.5166 5.52967,0.04297 7.21812,1.3335c1.64625,1.29102 34.69761,43.14697 35.87951,45.42725c1.05525,2.10791 0.92864,5.89453 -0.25325,8.04492c-1.47741,2.6665 -3.63017,4.00098 -6.8382,4.2583c-2.44828,0.17236 -2.8282,0.08594 -4.89652,-1.24707z\"/>\n <path stroke=\"null\" id=\"svg_5\" d=\"m101.26492,5007.08301l0,-8.60352l58.25144,0l58.25145,0l0,8.60352l0,8.60352l-58.25145,0l-58.25144,0l0,-8.60352z\"/>\n <path stroke=\"null\" id=\"svg_6\" d=\"m65.25877,4964.2793c-0.92868,-0.30078 -2.11058,-1.03174 -2.70156,-1.59131c-0.59095,-0.51514 -7.17588,-8.73242 -14.60505,-18.1958c-7.42916,-9.46436 -13.80305,-17.55225 -14.22516,-17.98096c-0.71761,-0.77588 -1.0975,-0.56006 -10.25733,5.67773c-10.93271,7.39941 -12.49453,8.04346 -15.95583,6.88232c-3.63016,-1.24805 -5.82515,-4.3877 -5.86734,-8.34619c0,-2.36523 1.1397,-5.03223 2.6593,-6.36621c1.98392,-1.67773 25.91768,-17.80957 27.09958,-18.23877c3.50354,-1.33447 6.88042,-0.81836 9.24424,1.46143c2.06834,1.93701 34.65537,43.70703 35.24635,45.16895c1.39298,3.52832 0.16883,8.38916 -2.65933,10.36768c-2.61708,1.80713 -5.19193,2.19385 -7.97787,1.16113z\"/>\n <path stroke=\"null\" id=\"svg_7\" d=\"m101.26492,4930.94092l0,-8.60352l58.25144,0l58.25145,0l0,8.60352l0,8.60352l-58.25145,0l-58.25144,0l0,-8.60352z\"/>\n </g>\n </g>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/list-open",
"modifier": "TonGerner",
"modified": "20180215181521558",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/list-recent": {
"created": "20131120132127875",
"text": "<svg class=\"tgc-list-recent-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\"> <path d=\"m7.05874,13.26509c0.22436,0.38865 0.72531,0.52252 1.11366,0.29777l2.69645,-1.36067c0.04406,0.00649 0.08811,0.0146 0.13462,0.0146c0.67554,0 1.2238,-0.54443 1.2238,-1.21706l-0.40793,-6.39036c0,-0.44788 -0.36551,-0.81137 -0.81587,-0.81137c-0.45036,0 -0.81587,0.36349 -0.81587,0.81137l-0.38019,5.9579l-2.45087,1.59029c-0.38999,0.22475 -0.52379,0.72131 -0.29779,1.10752zm1.13895,-7.09707c0.3908,-0.22394 0.52379,-0.7205 0.29861,-1.10833c-0.22518,-0.38784 -0.72449,-0.5209 -1.11448,-0.29696s-0.52379,0.7205 -0.29861,1.10833c0.226,0.38865 0.72449,0.52171 1.11448,0.29696zm-3.16802,7.32425c-0.38999,0.22475 -0.52379,0.72131 -0.29779,1.10914c0.22436,0.38865 0.72531,0.52009 1.11366,0.29615c0.3908,-0.22313 0.5246,-0.7205 0.29942,-1.10915c-0.22518,-0.38621 -0.72449,-0.51928 -1.11529,-0.29615zm0.81669,-6.39036c-0.3908,-0.22394 -0.8893,-0.09087 -1.11448,0.29696s-0.09056,0.8844 0.29779,1.10833c0.3908,0.22394 0.8893,0.09168 1.11529,-0.29696c0.22436,-0.38784 0.09056,-0.88358 -0.29861,-1.10833zm-2.08536,3.89783c0,0.44869 0.36633,0.81137 0.81587,0.81137c0.45036,0 0.81587,-0.36268 0.81668,-0.81137c-0.00082,-0.44788 -0.36551,-0.81056 -0.81668,-0.81137c-0.45118,0 -0.81587,0.36349 -0.81587,0.81137zm6.4266,6.39117c0,0.44869 0.36551,0.81137 0.81587,0.81137c0.45036,0 0.81587,-0.36268 0.81587,-0.81137s-0.36551,-0.81137 -0.81587,-0.81137c-0.45036,0 -0.81587,0.36268 -0.81587,0.81137zm-3.10438,-1.26168c-0.22436,0.38865 -0.09056,0.8844 0.29861,1.10752c0.38999,0.22394 0.89011,0.08925 1.11366,-0.29615c0.226,-0.38865 0.09219,-0.88439 -0.29779,-1.10915c-0.38999,-0.22313 -0.88848,-0.09006 -1.11448,0.29777zm9.07736,-9.02732c-0.3908,0.22556 -0.5246,0.72212 -0.29861,1.10914s0.72449,0.5209 1.11448,0.29615c0.38998,-0.22313 0.5246,-0.7205 0.29779,-1.10833c-0.22355,-0.38784 -0.72531,-0.5209 -1.11366,-0.29696zm-0.29861,6.68732c-0.22355,0.38865 -0.09138,0.88602 0.29942,1.10915c0.38917,0.22232 0.88848,0.09087 1.11285,-0.29615c0.22518,-0.38865 0.09138,-0.88602 -0.29698,-1.10915c-0.39162,-0.22394 -0.89174,-0.09087 -1.11529,0.29615zm2.38397,-2.7895c0,-0.44707 -0.36551,-0.81137 -0.81587,-0.81137c-0.45199,0.00162 -0.81668,0.36512 -0.81668,0.81137c0.00082,0.44788 0.36551,0.81137 0.81668,0.81137c0.45036,0 0.81587,-0.36268 0.81587,-0.81137zm-4.73612,5.94086c0.22355,0.38703 0.72449,0.52171 1.11448,0.29615c0.38999,-0.22313 0.52379,-0.72212 0.29779,-1.10752c-0.226,-0.38865 -0.72449,-0.52171 -1.11366,-0.29777c-0.3908,0.22475 -0.5246,0.72131 -0.29861,1.10915zm0.29861,-10.77177c0.38917,0.22394 0.88767,0.09087 1.11366,-0.29696c0.22518,-0.38784 0.09219,-0.88521 -0.29943,-1.10915c-0.38917,-0.22394 -0.8893,-0.09006 -1.11284,0.29696c-0.22436,0.38946 -0.09056,0.88521 0.29861,1.10915zm7.96614,3.90919c-0.51318,-5.91652 -5.75106,-10.29955 -11.69874,-9.79244c-2.65484,0.228 -4.99148,1.40043 -6.73092,3.14569l-1.44653,-1.20976l-1.06797,5.97494l5.73637,-2.06737l-1.33476,-1.11726c1.32742,-1.27142 3.07338,-2.12661 5.05349,-2.29862c4.6015,-0.38702 8.64413,2.99721 9.04717,7.57415c0.38917,4.57614 -3.013,8.59648 -7.6145,8.99811c-4.60395,0.38621 -8.64739,-2.99721 -9.04962,-7.57253c-0.08322,-0.97689 0.0155,-1.92538 0.25292,-2.82195l-2.66789,0.96229c-0.07261,0.67506 -0.08648,1.36635 -0.02529,2.069c0.51318,5.9149 5.75024,10.29712 11.70037,9.79001c5.9485,-0.51035 10.35582,-5.71854 9.8459,-11.63426z\"/></svg>",
"creator": "TonGerner",
"modified": "20180215175834114",
"modifier": "TonGerner",
"title": "$:/plugins/tongerner/toolbar/images/list-recent"
},
"$:/plugins/tongerner/toolbar/images/list-untagged": {
"created": "20150807200200203",
"text": "<svg class= \"tgc-list-untagged-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\" xmlns=\"http://www.w3.org/2000/svg\" stroke=\"null\" style=\"vector-effect: non-scaling-stroke;\" preserveAspectRatio=\"xMidYMid meet\">\n <metadata stroke=\"null\" style=\"vector-effect: non-scaling-stroke;\">Created by potrace 1.12, written by Peter Selinger 2001-2015</metadata>\n <g stroke=\"null\">\n <title stroke=\"null\">Layer 1</title>\n <g id=\"svg_1\" transform=\"translate(0,411) scale(0.10000000149011612,-0.10000000149011612) \">\n <path stroke=\"null\" id=\"svg_2\" d=\"m5.8654,4105.51318l-1.91815,-1.72705l-0.17437,-25.84082c-0.17437,-23.04248 -0.05813,-25.95972 0.75564,-27.62695c1.86,-3.51318 1.62751,-3.51318 28.77208,-3.51318c27.26083,0 27.08645,0 28.77208,3.57324c1.27876,2.85767 1.33688,50.66943 0,53.28906c-1.86001,3.69141 -0.98813,3.57227 -28.88833,3.57227l-25.45893,0l-1.86001,-1.72656zm43.71032,-28.3418l0,-16.96924l-16.27512,0l-16.27512,0l0,16.96924l0,16.96924l16.27512,0l16.27512,0l0,-16.96924z\"/>\n <path stroke=\"null\" id=\"svg_3\" d=\"m92.87917,4087.23413c-4.18505,-2.20313 -6.21943,-5.5376 -6.33569,-10.06274c-0.05811,-6.01367 4.59193,-10.95532 10.40446,-11.07446c1.56941,0 27.26084,-0.05957 57.02103,-0.05957c59.98544,0 55.74229,-0.29761 59.40417,3.92993c4.41756,5.17993 2.73192,13.81299 -3.37129,17.02856c-2.20872,1.19092 -3.48747,1.19092 -58.82289,1.19092c-50.10411,0 -56.73041,-0.1189 -58.29979,-0.95264z\"/>\n <path stroke=\"null\" id=\"svg_4\" d=\"m5.6329,4028.40723l-1.97628,-2.02466l0,-25.72168c0,-23.16162 0.11626,-25.90063 0.98814,-27.44873c2.03438,-3.45337 2.38314,-3.51245 28.65584,-3.51245c26.62145,0 26.97019,0.05908 28.71395,3.87012c1.33689,2.85791 1.395,50.66968 0.05812,53.28955c-1.86001,3.69141 -0.98813,3.57202 -28.94645,3.57202l-25.51707,0l-1.97626,-2.02417zm43.94282,-28.04419l0,-16.96924l-16.27512,0l-16.27512,0l0,16.96924l0,16.96948l16.27512,0l16.27512,0l0,-16.96948z\"/>\n <path stroke=\"null\" id=\"svg_5\" d=\"m92.87917,4010.36621c-4.24318,-2.26294 -6.27758,-5.47778 -6.27758,-10.00317c-0.05811,-4.22729 1.80192,-7.56152 5.2313,-9.64526l2.79003,-1.66748l56.67228,0c63.18234,0 58.24168,-0.29761 62.07794,4.1084c4.53378,5.29932 2.61566,14.1709 -3.60373,17.08838c-2.26692,1.07178 -4.9407,1.13135 -58.76482,1.07178c-50.2785,0 -56.55605,-0.1189 -58.12543,-0.95264z\"/>\n <path stroke=\"null\" id=\"svg_6\" d=\"m7.43478,3953.08716c-0.63938,-0.29736 -1.68565,-1.13135 -2.32502,-1.8457c-1.10438,-1.25049 -1.16252,-2.2627 -1.33689,-27.15088c-0.17437,-28.6394 -0.17437,-28.40112 3.60377,-30.24683c1.74378,-0.8335 4.94067,-0.95264 25.92395,-0.95264c20.5764,0 24.23829,0.11914 25.86581,0.95264c3.72003,1.78638 3.77814,2.08374 3.77814,29.83032c0,23.81641 -0.05811,24.94775 -1.16251,26.85303c-0.63937,1.07178 -1.86,2.20288 -2.67378,2.50098c-1.80187,0.71411 -49.98785,0.71411 -51.67348,0.05908zm42.14093,-29.53247l0,-16.96924l-16.27512,0l-16.27512,0l0,16.96924l0,16.96973l16.27512,0l16.27512,0l0,-16.96973z\"/>\n <path stroke=\"null\" id=\"svg_7\" d=\"m93.16978,3933.61719c-4.30128,-1.90552 -6.56819,-5.35864 -6.56819,-10.0625c-0.05811,-5.41797 2.9644,-9.52661 8.02133,-10.95557c3.13876,-0.89307 110.08958,-0.89307 113.22834,0c2.49937,0.7146 5.87067,3.51294 7.14943,5.9541c1.22064,2.44116 1.04623,7.26416 -0.34879,10.06274c-0.63939,1.30981 -2.26688,3.0957 -3.60376,3.98926l-2.44125,1.66724l-56.6723,0.1189c-49.69724,0.11914 -56.96293,0 -58.76481,-0.77417z\"/>\n </g>\n </g>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/list-untagged",
"tags": "",
"modifier": "TonGerner",
"modified": "20180215175900403",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/new-template-tiddler": {
"created": "20131214180218851",
"text": "<svg class=\"tgc-new-template-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\" xmlns=\"http://www.w3.org/2000/svg\" preserveAspectRatio=\"xMidYMid meet\">\n <g>\n <title>Layer 1</title>\n <rect height=\"3.18427\" width=\"11.84691\" id=\"e1_rectangle\" y=\"17.06573\" x=\"10.02809\"/>\n <rect id=\"e2_rectangle\" height=\"3.18427\" width=\"11.82083\" y=\"1.625\" x=\"10\"/>\n <rect transform=\"matrix(0.03696432039320857,0,0,0.06008064622899197,61.76691469018027,72.69297278575596) \" id=\"e4_rectangle\" height=\"53\" width=\"264\" y=\"-1052.87631\" x=\"-1343.80504\"/>\n <rect id=\"e5_rectangle\" transform=\"matrix(0.03696432039320857,0,0,0.06008064622899197,61.76691469018027,72.69297278575596) \" height=\"53\" width=\"264\" y=\"-1053.87631\" x=\"-1663.22788\"/>\n <rect id=\"e6_rectangle\" transform=\"matrix(0.00003294807490963891,0.040680485400358,-0.0537343780992714,0.00005355276385491953,82.7917997491252,94.38851470356855) \" height=\"53\" width=\"264\" y=\"1414.59029\" x=\"-2185.64586\"/>\n </g>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/new-template-tiddler",
"modifier": "TonGerner",
"modified": "20180215175934043",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/rename-tags": {
"created": "20150730083614332",
"text": "<svg class=\"tgc-rename-tags-button tc-image-button\" height=\"22pt\" width=\"22pt\" viewBox=\"0 0 512 512\">\n <path d=\"m-883.429993,-366.779999l191.880005,0c-14.219971,0.479462 -28.530029,1.34848 -42.419983,4.604736c-19.570007,4.125305 -38.570007,12.355957 -53.75,25.540924c-6.230042,-5.463776 -13.170044,-10.078522 -20.52002,-13.904144c-23.119995,-11.95639 -49.450012,-15.652191 -75.190002,-16.241516z\" id=\"svg_3\"/>\n <path d=\"m242.559998,-0.057619l2.299988,0c25.740021,0.589325 52.070007,4.285113 75.190002,16.241499c7.350006,3.825661 14.290009,8.440399 20.52002,13.904179c15.179993,-13.184996 34.179993,-21.415613 53.75,-25.54092c13.889984,-3.256287 28.199982,-4.125307 42.419983,-4.604757l2.279999,0c7.380005,0.299662 14.790009,2.966624 20.140015,8.160705c6.22998,5.74346 9.690002,14.313715 9.220001,22.764086c-0.369995,11.436954 -8.240021,22.104832 -18.959991,26.040356c-9.030029,3.206341 -18.790009,1.787941 -28.140015,3.006554c-13.700012,1.508289 -27.899994,4.434948 -39.290009,12.625637c-6.380005,4.724594 -12.130005,11.906418 -11.73999,20.246925c0.070007,14.95298 -0.01001,29.905952 0.040009,44.84893c-0.172668,321.623795 3.069977,292.776566 -0.01001,236.031235c-0.01001,15.592224 0,31.184448 -0.01001,46.776733c-0.109985,3.565948 1.190002,7.002045 3.089996,9.968628c4.550018,7.24176 12.230011,11.79657 20.02002,14.853088c13.309998,5.114166 27.699982,6.632446 41.869995,6.902161c4.440002,0.099884 8.970001,0.299652 13.169983,1.917816c10.150024,3.266235 18.070007,12.545715 19.590027,23.103699c1.509979,8.510254 -1.190002,17.550018 -6.890106,24.012634c-5.589905,6.57251 -14.160004,9.93869 -22.679993,10.158386l-0.900024,0c-25.829987,-0.439484 -52.289978,-3.835571 -75.639984,-15.522278c-7.660004,-3.755737 -14.820007,-8.510345 -21.330017,-14.014008c-15.299988,13.174927 -34.519989,21.145905 -54.179993,25.161285c-13.919983,3.186371 -28.23999,3.925568 -42.470001,4.375l-2.319977,0c-12.400009,-0.399506 -24.12001,-8.969757 -27.550018,-20.976105c-3.479996,-10.9375 0.279999,-23.712982 9.210007,-30.954742c5.73999,-5.124176 13.559998,-7.021973 21.089981,-7.201752c12.690002,-0.219788 25.480011,-1.338501 37.690002,-4.954376c7.809998,-2.427246 15.580017,-5.833374 21.529999,-11.586792c4.059998,-3.985504 7.370026,-9.26947 7.320007,-15.132782c0.02002,-109.575226 0,-219.140457 0.01001,-328.705658c0.049988,-7.141861 -4.550018,-13.334824 -9.76001,-17.779747c-11.75,-9.51915 -27.179993,-12.635632 -41.859985,-14.223816c-9.230011,-1.22858 -18.929993,0.449471 -27.76001,-3.076485c-9.630005,-3.615879 -16.990005,-12.705536 -18.389999,-22.893944c-1.75,-10.398161 2.800003,-21.545469 11.289993,-27.798328c5.130005,-4.075361 11.690002,-5.773439 18.140015,-6.133016l0.000092,-0.00003l0,-0.000001z\" id=\"svg_2\"/>\n <path d=\"m22.613998,146.095932c4.079998,-5.074203 10.760002,-7.111893 17.09,-7.031998c91.120007,0 182.249996,-0.009964 273.380016,0c0.009979,13.105072 0.009979,26.210175 0,39.315247c-85.330139,0.019958 -170.660141,0 -255.990013,0.009964l0,157.380814c85.329994,0.009979 170.659996,-0.01001 255.990013,0.009979c0.009979,13.105072 0.009979,26.210144 0,39.315216c-91.770126,0 -183.540131,0.029938 -275.310009,-0.019989c-10.630001,0.109863 -19.869999,-9.019684 -20.060001,-19.607666l0,-198.054459c0.75,-4.065353 2.020004,-8.210663 4.900002,-11.317108l-0.000008,0z\" id=\"svg_5\"/>\n <path d=\"m87.932999,214.897583c59.069992,-0.009995 118.139999,-0.009995 177.210007,-0.009995l0,78.670425c-59.069992,0 -118.139999,0 -177.220001,-0.01001c0,-26.220123 0,-52.430267 0.010002,-78.650421l-0.000008,0z\" id=\"svg_7\"/>\n <path d=\"m489.619995,146.095932c-4.079987,-5.074203 -10.76001,-7.111893 -17.089996,-7.031998c-91.119995,0 -182.25,-0.009964 -273.380005,0c-0.01001,13.105072 -0.01001,26.210175 0,39.315247c85.329987,0.019958 170.660004,0 255.98999,0.009964l0,157.380814c-85.329987,0.009979 -170.660004,-0.01001 -255.98999,0.009979c-0.01001,13.105072 -0.01001,26.210144 0,39.315216c91.769989,0 183.539978,0.029938 275.309998,-0.019989c10.630005,0.109863 19.869995,-9.019684 20.059998,-19.607666l0,-198.054459c-0.75,-4.065353 -2.019989,-8.210663 -4.899994,-11.317108z\" id=\"path3380\"/>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/rename-tags",
"tags": "",
"modifier": "TonGerner",
"modified": "20180215180006134",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/search": {
"text": "<svg class=\"tc-image-search-button tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\">\n <path transform=\"rotate(90 64,64) \" id=\"svg_2\" d=\"m76.14718,89.25047c-7.607,5.06405 -16.74144,8.01516 -26.56515,8.01516c-26.50967,0 -48,-21.49033 -48,-48c0,-26.50967 21.49033,-48 48,-48c26.50967,0 48,21.49033 48,48c0,9.85414 -2.96942,19.01473 -8.06228,26.63579l34.3472,34.34719c3.69398,3.69398 3.68884,9.67068 -0.00065,13.36017c-3.69029,3.69029 -9.67103,3.68977 -13.36016,0.00064l-34.35896,-34.35895zm-26.56515,-7.98484c17.67311,0 32,-14.32689 32,-32c0,-17.67311 -14.32689,-32 -32,-32c-17.67311,0 -32,14.32689 -32,32c0,17.67311 14.32689,32 32,32z\"/>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/search",
"tags": "",
"modified": "20180215142535118",
"created": "20180215131546649"
},
"$:/plugins/tongerner/toolbar/images/sidebar": {
"created": "20131123133128497",
"text": "<svg class=\"tgc-sidebar-button tc-image-button\" viewBox=\"0 0 32 32\" width=\"22pt\" height=\"22pt\"><path d=\"M16,1.466C7.973,1.466,1.466,7.973,1.466,16c0,8.027,6.507,14.534,14.534,14.534c8.027,0,14.534-6.507,14.534-14.534C30.534,7.973,24.027,1.466,16,1.466zM24.386,14.968c-1.451,1.669-3.706,2.221-5.685,1.586l-7.188,8.266c-0.766,0.88-2.099,0.97-2.979,0.205s-0.973-2.099-0.208-2.979l7.198-8.275c-0.893-1.865-0.657-4.164,0.787-5.824c1.367-1.575,3.453-2.151,5.348-1.674l-2.754,3.212l0.901,2.621l2.722,0.529l2.761-3.22C26.037,11.229,25.762,13.387,24.386,14.968z\" id=\"path3032\" /></svg>\n",
"creator": "TonGerner",
"modified": "20180215180049276",
"modifier": "TonGerner",
"title": "$:/plugins/tongerner/toolbar/images/sidebar"
},
"$:/plugins/tongerner/toolbar/images/sticky": {
"created": "20170314123023395",
"text": "<svg class=\"tgc-sticky-button tc-image-button\" height=\"22pt\" width=\"22pt\" viewBox=\"0 0 290 290\">\n<path d=\"M284.779,92.635L197.365,5.222c-6.962-6.963-18.253-6.963-25.217,0l-0.818,0.818c-6.964,6.963-6.963,18.254,0,25.217\n\tl1.232,1.232L97.788,95.371L95.6,93.182c-2.412-2.412-5.691-3.688-9.484-3.688c-6.215,0-13.456,3.53-19.369,9.443l-5.059,5.059\n\tc-1.238,1.237-1.932,2.915-1.932,4.665c0,1.749,0.694,3.428,1.932,4.665l43.014,43.013L2.526,271.29\n\tc-3.52,3.959-3.34,9.977,0.408,13.72l2.07,2.066C6.949,289.019,9.507,290,12.071,290c2.369,0,4.743-0.838,6.642-2.526\n\tl114.946-102.175l43.015,43.015c1.288,1.288,2.976,1.932,4.665,1.932c1.688,0,3.376-0.644,4.665-1.932l5.06-5.06\n\tc9.864-9.865,12.338-22.27,5.754-28.855l-2.187-2.186l62.881-74.773l1.232,1.231c6.962,6.963,18.252,6.963,25.214-0.002l0.819-0.818\n\tC291.74,110.888,291.741,99.599,284.779,92.635z\"/>\n</svg>\n",
"title": "$:/plugins/tongerner/toolbar/images/sticky",
"tags": "",
"modified": "20180215180958263"
},
"$:/plugins/tongerner/toolbar/images/tag-handling": {
"created": "20131020115200000",
"text": "<svg class=\"tgc-tags-handling-button tc-image-button\" viewBox=\"0 0 22 22\" width=\"22pt\" height=\"22pt\"><path d=\"m0.1875,4.2932l0,4.1057l13.06098,13.02746c0.5684,0.56672 1.48974,0.56672 2.05813,0l6.17418,-6.15877c0.56818,-0.56672 0.56818,-1.4857 0,-2.05285l-13.06098,-13.02724l-4.11605,0l-4.11627,4.1057zm6.81947,2.62217c-0.5684,0.56694 -1.48974,0.56694 -2.05813,0c0.5684,-0.56694 -0.5684,-1.48591 0,-2.05285c0.56818,-0.56694 1.48952,-0.56694 2.05813,0c0.5684,0.56694 0.5684,1.48591 0,2.05285z\"/></svg>",
"title": "$:/plugins/tongerner/toolbar/images/tag-handling",
"modifier": "TonGerner",
"modified": "20180215181034862",
"creator": "TonGerner"
},
"$:/plugins/tongerner/toolbar/images/tagging": {
"created": "20150806203451280",
"text": "<svg class=\"tgc-tagging-button tc-image-button\" height=\"22pt\" width=\"22pt\" viewBox=\"0 0 22 22\">\n<path id=\"svg_1\" d=\"m7.4224,21.44207l13.97943,-13.96076c0.08521,-0.08543 0.15867,-0.1777 0.22215,-0.27477c0.17689,-0.22723 0.28806,-0.5095 0.28877,-0.82012l-0.00162,-4.94194c0.00091,-0.74124 -0.59945,-1.34073 -1.34167,-1.3403l-4.9476,-0.00043c-0.31232,0 -0.59452,0.11003 -0.82238,0.28763c-0.09682,0.06387 -0.18954,0.13724 -0.27474,0.22229l-13.97857,13.96114c-0.66794,0.66711 -0.6687,1.74766 0,2.41468l4.45844,4.45256c0.66695,0.66621 1.74972,0.66707 2.41779,0zm9.42597,-18.24285c0.54183,-0.54193 1.42166,-0.54193 1.9644,0c0.54268,0.54203 0.54268,1.42046 0,1.96245c-0.54269,0.54151 -1.42257,0.54151 -1.9644,0c-0.54263,-0.54203 -0.54263,-1.42046 0,-1.96245z\"/>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/tagging",
"tags": "",
"modifier": "TonGerner",
"modified": "20180215181115886",
"creator": "TonGerner"
},
"$:/core/ui/ControlPanel/Toolbars/TopToolbar": {
"created": "20150727082309628",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/TopLeftBarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/TopLeftBar/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/TopLeftBar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>\n",
"caption": "Top toolbar",
"creator": "TonGerner",
"modified": "20180215183112233",
"modifier": "TonGerner",
"tags": "$:/tags/ControlPanel/Toolbars",
"title": "$:/core/ui/ControlPanel/Toolbars/TopToolbar"
},
"$:/core/ui/PageTemplate/topleftbar": {
"text": "\\define config-title()\n$:/config/TopLeftBarButtons/Visibility/$(listItem)$\n\\end\n\n<$view field=\"title\"/>\n<span class=\"tc-topbar tc-topbar-left\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopLeftBar]!has[draft.of]]\" variable=\"listItem\">\n<$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\">\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n</$reveal>\n</$list>\n</span>\n",
"title": "$:/core/ui/PageTemplate/topleftbar",
"tags": "$:/tags/PageTemplate",
"modifier": "TonGerner",
"modified": "20180215164207696",
"creator": "TonGerner",
"created": "20160131125744806"
},
"$:/plugins/tongerner/toolbar/search": {
"created": "20170629084351675",
"text": "<$set name=\"searchTiddler\" value=\"$:/temp/search\">\n<$edit-text tiddler=\"$:/temp/search\" type=\"search\" tag=\"input\" focus={{$:/config/Search/AutoFocus}} focusPopup=<<qualify \"$:/state/popup/search-dropdown\">> class=\"tc-popup-handle\"/>\n<$reveal state=\"$:/temp/search\" type=\"nomatch\" text=\"\">\n<$button tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" text={{$:/temp/search}}/>\n<$action-setfield $tiddler=\"$:/temp/search\" text=\"\"/>\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n{{$:/core/images/advanced-search-button}}\n</$button>\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/search\" text=\"\" />\n{{$:/core/images/close-button}}\n</$button>\n<$button popup=<<qualify \"$:/state/popup/search-dropdown\">> class=\"tc-btn-invisible\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[!is[system]search{$(searchTiddler)$}]\"/>\"\"\">\n{{$:/core/images/down-arrow}} {{$:/language/Search/Matches}}\n</$set>\n</$button>\n</$reveal>\n<$reveal state=\"$:/temp/search\" type=\"match\" text=\"\">\n<$button to=\"$:/AdvancedSearch\" tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n{{$:/core/images/advanced-search-button}}\n</$button>\n</$reveal>\n<$reveal tag=\"div\" class=\"tc-block-dropdown-wrapper\" state=\"$:/temp/search\" type=\"nomatch\" text=\"\">\n<$reveal tag=\"div\" class=\"tc-block-dropdown tc-search-drop-down tc-popup-handle\" state=<<qualify \"$:/state/popup/search-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n{{$:/core/ui/SearchResults}}\n</$reveal>\n</$reveal>\n</$set>\n",
"title": "$:/plugins/tongerner/toolbar/search",
"modified": "20180215191414477",
"list-before": "$:/plugins/tongerner/layout_adjustment/configuration-button",
"description": "search box",
"caption": "{{$:/core/images/advanced-search-button}} Search box"
},
"$:/plugins/tongerner/toolbar/templates/corrupt-tiddler": {
"text": "Thanks to [[Alberto Molina|https://groups.google.com/d/msg/tiddlywiki/JRtIBfv2WO4/lmtywZIMQy8J]]\n\n''Enter title of 'corrupt' tiddler:''\n<$linkcatcher to=\"$:/temp/corrupt-tiddler\">\n<div class=\"tc-search\">\n<$edit-text tiddler=\"$:/temp/corrupt-tiddler\" type=\"search\" tag=\"input\" default=\"\" placeholder=\"Enter title of 'corrupt' tiddler\" size=50/>\n<$reveal state=\"$:/temp/corrupt-tiddler\" type=\"nomatch\" text=\"\">\n<$link to=\"\" class=\"tc-btn-invisible\">\n{{$:/core/images/close-button}}\n</$link>\n</$reveal>\n</div>\n</$linkcatcher>\n\n''Edit text of corrupt tiddler:''\n{{$:/plugins/tongerner/toolbar/corrupt-tiddler-input}}\n",
"title": "$:/plugins/tongerner/toolbar/templates/corrupt-tiddler",
"tags": "",
"modifier": "TonGerner",
"modified": "20180215175250127",
"creator": "TonGerner",
"created": "20160213131631987"
},
"$:/plugins/tongerner/toolbar/templates/expandable-tiddler": {
"text": "<div class=\"tgc-message-box\">\n<dt>\n<div class=\"tgc-trim-string tc-menu-list-item\">\n<abbr title=\"click to expand\">\n<$button popup=<<qualify \"$:/ExpState\">> class=\"tc-btn-invisible\" selectedClass=\"tgc-font-bold\">\n<$view field=\"title\"/>\n</$button>\n</abbr>\n<abbr title=\"click to open tiddler\">\n<$link>↗</$link>\n</abbr>\n<abbr title=\"click to delete\">\n<$button message=\"tm-delete-tiddler\" class=\"tc-btn-invisible\" param={{!!title}}>{{$:/core/images/delete-button}}</$button>\n</abbr>\n<$list filter=\"[all[current]tags[]!prefix[$]sort[title]]\" template=\"$:/core/ui/TagTemplate\"/>\n</div>\n</dt>\n<dt>\n^^''<$view field=\"created\" format=\"date\" template=\"YYYY-0MM-0DD 0hh:0mm:0ss\" />'' / <$view field=\"modified\" format=\"date\" template=\"YYYY-0MM-0DD 0hh:0mm:0ss\" />^^\n</dt>\n</div>\n\n<$reveal state=<<qualify \"$:/ExpState\">> type=\"nomatch\" text=\"\">\n<$transclude mode=\"block\"/>\n</$reveal>\n",
"title": "$:/plugins/tongerner/toolbar/templates/expandable-tiddler",
"tags": "",
"modifier": "TonGerner",
"modified": "20180215175325305",
"creator": "TonGerner",
"created": "20160612134937083"
},
"$:/plugins/tongerner/toolbar/templates/new-tiddler": {
"text": "This is a - demo - template [[$:/plugins/tongerner/toolbar/templates/new-tiddler]] tagged with `task` and `field` color = #04B.\n\nMake your own template - with content, tag(s), and field(s) to your liking:\n\n* by modifying [[$:/plugins/tongerner/toolbar/templates/new-tiddler]]\n\n:''or''\n\n* by making your own template (e.g. [[MyTemplate]]) and modifying [[$:/plugins/tongerner/toolbar/buttons/new-template-tiddler]]: replace 'param=\"$:/plugins/tongerner/toolbar/templates/new-tiddler\"' by 'param=\"MyTemplate\"'.",
"title": "$:/plugins/tongerner/toolbar/templates/new-tiddler",
"tags": "task",
"color": "#0044BB"
},
"$:/plugins/tongerner/toolbar/buttons/calendar": {
"created": "20180226090035324",
"text": "<div class=\"tgc-dropdown\">\n<$button class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>prefix[yes]]\">\n{{$:/plugins/tongerner/toolbar/images/calendar}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>prefix[yes]]\">\n<span class=\"tc-btn-text\"><$text text=\"search\"/></span>\n</$list>\n</$button>\n<div class=\"tgc-dropdown-content\">{{$:/plugins/tongerner/toolbar/images/calendar}}</div>\n</div>\n",
"title": "$:/plugins/tongerner/toolbar/buttons/calendar",
"tags": "$:/tags/TopLeftBar",
"modified": "20180226090856115",
"caption": "{{$:/plugins/tongerner/toolbar/images/calendar}} show date",
"description": "Show date"
},
"$:/plugins/tongerner/toolbar/images/calendar": {
"created": "20180226090143378",
"text": "<svg xmlns=\"http://www.w3.org/2000/svg\" aria-label=\"Calendar\" role=\"img\" width=\"64\" height=\"64\" viewBox=\"0 0 512 512\">\n<path d=\"M512 455c0 32-25 57-57 57H57c-32 0-57-25-57-57V128c0-31 25-57 57-57h398c32 0 57 26 57 57z\" fill=\"#e0e7ec\"/>\n<path d=\"M484 0h-47c2 4 4 9 4 14a28 28 0 1 1-53-14H124c3 4 4 9 4 14A28 28 0 1 1 75 0H28C13 0 0 13 0 28v157h512V28c0-15-13-28-28-28z\" fill=\"#dd2f45\"/>\n<g fill=\"#f3aab9\">\n <circle cx=\"470\" cy=\"142\" r=\"14\"/>\n <circle cx=\"470\" cy=\"100\" r=\"14\"/>\n <circle cx=\"427\" cy=\"142\" r=\"14\"/>\n <circle cx=\"427\" cy=\"100\" r=\"14\"/>\n <circle cx=\"384\" cy=\"142\" r=\"14\"/>\n <circle cx=\"384\" cy=\"100\" r=\"14\"/>\n</g>\n<text id=\"month\" x=\"32\" y=\"164\" fill=\"#fff\" font-family=\"monospace\" font-size=\"140px\" style=\"text-anchor: left; text-transform: uppercase;\"><<now mmm>></text>\n<text id=\"day\" x=\"256\" y=\"400\" fill=\"#66757f\" font-family=\"monospace\" font-size=\"256px\" style=\"text-anchor: middle\"><<now DD>></text>\n<text id=\"weekday\" x=\"256\" y=\"480\" fill=\"#66757f\" font-family=\"monospace\" font-size=\"64px\" style=\"text-anchor: middle\"><<now DDD>></text>\n</svg>",
"title": "$:/plugins/tongerner/toolbar/images/calendar",
"tags": "",
"modified": "20180226090231140"
}
}
}
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">{{$:/core/ui/Buttons/advanced-search}}
</$list>
@@.topbarbutton
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]"><$button class="tc-btn-invisible" tooltip="Analytics"}>
<$action-navigate $to="Analytics"/> <$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<i class="fas fa-chart-line"></i>
</$list></$button></$list>
@@
@@.topbarbutton
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]"><$button class="tc-btn-invisible" tooltip="Experimental tools"}>
<$action-navigate $to="Experimental tools"/> <$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<i class="fas fa-flask"></i>
</$list></$button></$list>
@@
@@.topbarbutton
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">
<div class="tc-file-input-wrapper">
<$button tooltip={{$:/language/Buttons/Import/Hint}} aria-label={{$:/language/Buttons/Import/Caption}} class=<<tv-config-toolbar-class>>>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<i class="fa fa-paperclip"></i>
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text"><$text text={{$:/language/Buttons/Import/Caption}}/></span>
</$list>
</$button>
<$browse tooltip={{$:/language/Buttons/Import/Hint}}/>
</div></$list>
@@
\define flag-title()
$(languagePluginTitle)$/icon
\end
<span class="tc-popup-keep ">
<$button popup=<<qualify "$:/state/popup/language">> tooltip={{$:/language/Buttons/Language/Hint}} aria-label={{$:/language/Buttons/Language/Caption}} class="tc-btn-invisible clickbutton buttonfield" selectedClass="tc-selected ">
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<span class="tc-image-button">
<$set name="languagePluginTitle" value={{$:/language}}>
<i class="fas fa-sort" style="padding-left:5px; padding-right:5px"></i>Preferred language (<$image source=<<flag-title>>/>)
</$set>
</span>
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text"><$text text={{$:/language/Buttons/Language/Caption}}/></span>
</$list>
</$button>
</span>
<$reveal state=<<qualify "$:/state/popup/language">> type="popup" position="below" animate="yes">
<div class="tc-drop-down">
{{$:/snippets/languageswitcher}}
</div>
</$reveal>
@@.topbarbutton
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]"><$button class="tc-btn-invisible" tooltip="Maps editor"}>
<$action-navigate $to="Maps editor"/> <$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
<i class="fa fa-sitemap"></i>
</$list></$button></$list>
@@
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">
{{$:/core/ui/Buttons/new-tiddler}}</$list>
@@.topbarbutton
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]"><$button class="tc-btn-invisible tc-tiddlylink" tooltip="Settings"}>
<$action-navigate $to="Settings"/> <$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/options-button}}
</$list></$button></$list>
@@
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">{{$:/core/ui/Buttons/save-wiki}}</$list>
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">
<br>
</$list>
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]]">
<br>
</$list>
!!Toolbar settings
<table class="tablestyle">
@@.brown ''Toolbar''@@
<<tableRow "Size 'Toolbar' buttons" "$:/plugins/tongerner/toolbar/styles" "button-size">>
<<tableRow "Color 'Toolbar' buttons" "$:/plugins/tongerner/toolbar/styles" "button-color">>
<<tableRow "Hover color 'Toolbar' buttons" "$:/plugins/tongerner/toolbar/styles" "button-hover-color">>
<<tableRow "Start 'Toolbar'" "$:/plugins/tongerner/toolbar/styles" "toolbar-left">>
<<tableRow "Top 'Toolbar'" "$:/plugins/tongerner/toolbar/styles" "toolbar-top">>
<<tableRow "Button spacing 'Toolbar'" "$:/plugins/tongerner/toolbar/styles" "toolbar-button-spacing">>
@@.brown ''Menus''@@
<<tableRow "Scroll height 'list' menus" "$:/plugins/tongerner/toolbar/styles" "menus-scroll-height">>
<<tableRow "Font size 'list' menus" "$:/plugins/tongerner/toolbar/styles" "menus-font-size">>
</table>
<$button tooltip="Help">
<$action-sendmessage $message="tm-open-window" $param="$:/plugins/tongerner/toolbar/settings-help" height="450px" width="700px"/>Help</$button>
<pre>/* TOOLBAR */
/* TOPBAR BUTTONS COLOR */
.tc-topbar button svg {
fill: {{!!button-color}};
height: {{!!button-size}};
width: {{!!button-size}};
}
.tc-topbar button:hover svg {
fill:{{!!button-hover-color}};
}
/* SPACE BETWEEN TOOLBAR BUTTONS */
.tc-topbar button {
padding: 4px {{!!toolbar-button-spacing}};
}
/* TOP BAR LEFT/TOP */
.tc-topbar-left {
left: {{!!toolbar-left}};
top: {{!!toolbar-top}};
}
/* TOP BAR RIGHT/TOP */
.tc-topbar-right {
top: {{!!toolbar-top}};
}
/* STYLES USED IN DROPDOWN SEARCH & CALENDAR */
.tgc-dropdown {
display: inline-block;
}
.tgc-dropdown-content {
display: none;
position: absolute;
padding: 10px;
background-color: {{$:/plugins/tongerner/layout_adjustment/styles!!topbar-background-color}};
}
.tgc-dropdown:hover .tgc-dropdown-content {
display: block;
}
/* TIDDLER */
/* TOP PADDING TIDDLER */
html .tc-tiddler-frame {
padding-top: {{!!tiddler-padding-top}};
}
/* SIZE OF TIDDLER CONTROL BUTTONS */
html .tc-tiddler-controls button svg {
height: {{!!tiddler-button-size}};
}
/* SPACE BETWEEN TIDDLER CONTROL BUTTONS */
html .tc-tiddler-controls button {
margin-left: {{!!tiddler-button-spacing}};
}
/* SCROLL HEIGHT MENUS IN TOOLBAR */
.tgc-scrollable-list {
padding: 0em;
height: {{!!menus-scroll-height}};
position: relative;
}
/* FONT SIZE MENUS */
.tgc-font-size-menus {
font-size: {{!!menus-font-size}};
}
/* DUMMY BUTTON STYLE */
.dummybutton {
padding: 1px 8px 1px 8px;
background-color: #DCDAD5;
border-width: 2px;
border-style: solid;
border-color: white gray gray white;
}
/* STYLES BELOW USED IN: */
/* $:/plugins/tongerner/toolbar/templates/expandable-tiddler */
/* $:/plugins/tongerner/toolbar/tag-search-menu */
/* THREE COLUMN MODE */
.tgc-3columns {
display:block;
-moz-column-count:3;
-moz-column-gap:1em;
-webkit-column-count: 3;
-webkit-column-gap:1em;
}
/* CUT LONG STRINGS */
.tgc-trim-string {
white-space: nowrap;
overflow: hidden;
text-overflow: ellipsis;
}
.tgc-trim-string:hover {
white-space: normal;
overflow: inherit;
}
.tgc-message-box {
border: 1px solid <<color message-border>>;
background: <<color code-background>>;
padding: 10px 21px 10px 21px;
margin: -10px -21px -10px -21px;
}
.tgc-font-bold {
font-weight: bold;
}
.tgc-underlined {
text-decoration: underline;
}
/* Font-size More menu */
.tgc-more {
font-size: 1em;
}
</pre>
\define lingo-base() $:/language/EditTemplate/
\define tag-styles()
background-color:$(backgroundColor)$;
fill:$(foregroundColor)$;
color:$(foregroundColor)$;
\end
\define tag-body-inner(colour,fallbackTarget,colourA,colourB)
<$vars foregroundColor=<<contrastcolour target:"""$colour$""" fallbackTarget:"""$fallbackTarget$""" colourA:"""$colourA$""" colourB:"""$colourB$""">> backgroundColor="""$colour$""">
<span style=<<tag-styles>> class="tc-tag-label">
<$view field="title" format="text" />
<$button message="tm-remove-tag" param={{!!title}} class="tc-btn-invisible tc-remove-tag-button">×</$button>
</span>
</$vars>
\end
\define tag-body(colour,palette)
<$macrocall $name="tag-body-inner" colour="""$colour$""" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}}/>
\end
\define tag-filter() [![$:/temp/search]!has[draft.of]!is[current]$(systemFilter)$$(shadowFilter)$$(searchFilter)$$(tagNames)$sort[title]]
\define show-system()
<div>
System tiddlers:
<$radio field="systemfilter" value=""> Show </$radio> <$radio field="systemfilter" value="!is[system]"> Hide </$radio>
</div>
\end
\define show-shadow()
<small><div> <em> Shadow:</em> <$radio field="shadowfilter" value="is[shadow]"> Show </$radio> <$radio field="shadowfilter" value="!is[shadow]"> Hide </$radio></div></small>
\end
<div class="tc-search">
<$edit-text tiddler="$:/temp/search" type="search" tag="input"/>
<$reveal state="$:/temp/search" type="nomatch" text="">
<$linkcatcher to="$:/temp/search">
<$link to="" class="tc-btn-invisible">{{$:/core/images/close-button}}</$link>
</$linkcatcher>
</$reveal>
</div>
<$fieldmangler tiddler="$:/temp/search">
<div class="tc-edit-add-tag">
<span class="tc-add-tag-name">
<$edit-text tiddler="$:/temp/NewTagName" tag="input" default="" placeholder={{$:/language/EditTemplate/Tags/Add/Placeholder}} focusPopup=<<qualify "$:/state/popup/tags-auto-complete">> class="tc-edit-texteditor tc-popup-handle"/>
</span>
<$button popup=<<qualify "$:/state/popup/tags-auto-complete">> class="tc-btn-invisible tc-btn-dropdown" tooltip={{$:/language/EditTemplate/Tags/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Tags/Dropdown/Caption}}>{{$:/core/images/down-arrow}}
</$button>
<span class="tc-add-tag-button">
<$button message="tm-add-tag" param={{$:/temp/NewTagName}} set="$:/temp/NewTagName" setTo="" tooltip="Add tag">''+''</$button>
</span>
</div>
<div class="tc-block-dropdown-wrapper">
<$reveal state=<<qualify "$:/state/popup/tags-auto-complete">> type="nomatch" text="" default="">
<div class="tc-block-dropdown">
<$linkcatcher set="$:/temp/NewTagName" setTo="" message="tm-add-tag">
<$list filter="[tags[]!is[system]search:title{$:/temp/NewTagName}sort[]]">
{{||$:/core/ui/Components/tag-link}}
</$list>
<hr>
<$list filter="[tags[]is[system]search:title{$:/temp/NewTagName}sort[]]">
{{||$:/core/ui/Components/tag-link}}
</$list>
</$linkcatcher>
</div>
</$reveal>
</div>
<$list filter="[[$:/temp/search]tags[]sort[title]]" storyview="pop"><$set name="backgroundColor" value={{!!color}}><span style=<<tag-styles>> class="tc-tag-label">
<$view field="title" format="text" />
<$button message="tm-remove-tag" param={{!!title}} class="tc-btn-invisible tc-remove-tag-button">×</$button></span>
</$set>
</$list>
</$fieldmangler>
<div style="display:inline;"><<show-system>></div>
<$set name="searchFilter" value=<<search-filter "$:/temp/search">> >
<$set name="tagNames" value=<<extractTagsAsFilter "$:/temp/search">> >
<$set name="systemFilter" value={{!!systemfilter}}>
<br>
<$list filter=<<tag-filter>> template="$:/plugins/tongerner/toolbar/templates/expandable-tiddler">
</$list>
</$set></$set></$set>
/*\
title: $:/scrollhack-pagescroller.js
type: application/javascript
module-type: macro
summary: overwrites $tw.pageScroller.scrollIntoView to introduce an offset [[$:/plugins/tongerner/layout_adjustment/offsetY]]
<<scrollhack>>
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
exports.name = "scrollhack";
exports.params = [{}];
/*
Run the macro
*/
exports.run = function() {
if(!this.hackOnce){
this.hackOnce = true;
//SCROLLHACK: get offsetY
var offsetY = parseInt($tw.wiki.getTiddlerText("$:/plugins/tongerner/layout_adjustment/offsetY"));
offsetY = isNaN(offsetY) ? 0 : offsetY;
/*
Handle a scroll event DIFFERENTLY hitting the page document
*/
$tw.pageScroller.scrollIntoView = function(element) {
var duration = $tw.utils.getAnimationDuration();
// Now get ready to scroll the body
this.cancelScroll();
this.startTime = Date.now();
var scrollPosition = $tw.utils.getScrollPosition();
// Get the client bounds of the element and adjust by the scroll position
var clientBounds = element.getBoundingClientRect(),
bounds = {
left: clientBounds.left + scrollPosition.x,
top: clientBounds.top + scrollPosition.y,
width: clientBounds.width,
height: clientBounds.height
};
// We'll consider the horizontal and vertical scroll directions separately via this function
var getEndPos = function(targetPos,targetSize,currentPos,currentSize) {
// If the target is above/left of the current view, then scroll to it's top/left
if(targetPos <= currentPos) {
return targetPos;
// If the target is smaller than the window and the scroll position is too far up, then scroll till the target is at the bottom of the window
} else if(targetSize < currentSize && currentPos < (targetPos + targetSize - currentSize)) {
return targetPos + targetSize - currentSize;
// If the target is big, then just scroll to the top
} else if(currentPos < targetPos) {
return targetPos;
// Otherwise, stay where we are
} else {
return currentPos;
}
},
endX = getEndPos(bounds.left,bounds.width,scrollPosition.x,window.innerWidth),
endY = getEndPos(bounds.top,bounds.height,scrollPosition.y,window.innerHeight);
// Only scroll if necessary
if(endX !== scrollPosition.x || endY !== scrollPosition.y) {
//HACK-142: fix endY via offsetY
endY = (endY - offsetY) || 0;
var self = this,
drawFrame;
drawFrame = function () {
var t;
if(duration <= 0) {
t = 1;
} else {
t = ((Date.now()) - self.startTime) / duration;
}
if(t >= 1) {
self.cancelScroll();
t = 1;
}
t = $tw.utils.slowInSlowOut(t);
window.scrollTo(
scrollPosition.x + (endX - scrollPosition.x) * t,
scrollPosition.y + (endY - scrollPosition.y) * t);
if(t < 1) {
self.idRequestFrame = self.requestAnimationFrame.call(window,drawFrame);
}
};
drawFrame();
}
};
};
return "";
}
})();
Interactive Historical Atlas of the Disciplines
<i class="fas fa-info-circle"></i> Intervals set to model 1 values!
<i class="fas fa-info-circle"></i> Intervals reset to default values!
$:/core/ui/EditTemplate/body/preview/output
$:/core/ui/ImportPreviews/Text
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/namespace
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/core/ui/TiddlerInfo/References
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/core/ui/ControlPanel/Toolbars
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/core/ui/AdvancedSearch/Standard
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/core/ui/TiddlerInfo/Fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/core/ui/TiddlerInfo/Advanced
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/ControlPanel/Palette
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/tongerner/toolbar/settings
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/core/ui/ControlPanel/Basics
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/editor
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/core/ui/ControlPanel/Settings/TiddlyWiki
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/ControlPanel/Plugins/Installed/Plugins
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/ControlPanel/EditorTypes
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/tongerner/toolbar/settings
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/editor
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/ControlPanel/Plugins/Add/Plugins
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/AdvancedSearch/Standard
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/verbosity
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/TiddlerInfo/Fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/core/ui/ControlPanel/Info
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/core/ui/ControlPanel/Basics
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/ControlPanel/Saving/General
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/interaction
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/editor
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/liveTab
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/original
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/default
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/TiddlerInfo/Fields
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/local
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/fields
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/editNode/default
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/default
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/verbosity
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/configureView/layout
$:/plugins/felixhayashi/tiddlymap/dialog/globalConfig/vis
$:/plugins/felixhayashi/tiddlymap/dialog/fullscreenTiddlerEditor/draft
$:/core/ui/MoreSideBar/All
$:/core/ui/ControlPanel/Toolbars/ViewToolbar
$:/core/ui/ControlPanel/Toolbars/TopToolbar
$:/core/ui/ControlPanel/Toolbars/TopToolbar
$:/plugins/felixhayashi/tiddlymap/dialog/MapElementTypeManager/styling
/*\
title: $:/substring_backimg
type: application/javascript
module-type: macro
A macro to extract characters from background images path (for tiddlymap).
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
/*
Information about this macro
*/
exports.name = "bckg_img_name";
exports.params = [
{name: "from",default:"0"},
{name: "to", default:"0"}
];
/*
Run the macro
*/
exports.run = function(from, to) {
var bimg = this.getVariable("bckg_img");
var prefm = this.getVariable("prefix_map");
if (prefm !== "") {
return prefm.concat('/', bimg.substring(from).split('/').pop());
} else {
return prefm.concat(bimg.substring(from).split('/').pop());
}
};
})();
The buttons in the toolbar can be switched on/off in ''~ControlPanel > Appearance > Toolbars > Top toolbar''. Drag and drop the buttons to change the ordering.
Additional buttons can become available when my 'tiddlersbar' or 'tabstory' plugin is used:
"""
$:/plugins/tongerner/tiddlersbar/button
$:/plugins/tongerner/tabstory/button
"""
<<<
''Note:''<br>To let $:/plugins/tongerner/tiddlersbar/button and/or $:/plugins/tongerner/tabstory/button show up in in ''ControlPanel > Appearance > Toolbars > Top toolbar'', you need to add a tag $:/tags/TopLeftBar to these buttons!
<<<
"I recognize two branches of science: Theoretical, whose purpose is simply and solely knowledge of God's truth; and Practical, for the uses of life" Peirce (1902), « A detailed classification of the sciences », §.239<br>"In the annotations, Peirce starts by referring to Coleridge’s definition of science in the Encyclopaedia Metropolitana as “systematised knowledge,” which he contrasts to the older meaning of episteme or scientia as knowledge by metaphysical principles." Ambrosio (2017), p. 23, §.51.<br>
Peirce's disciplinary system "delimits the aim of each science and states its authority over or subjection to the other sciences" (Atkins 2006, 497).<br>
"A science is defined by its problems (Peirce 1902, 1.127).<br>
"Division of science will be according to its fundamental purpose, making what I shall term branches of science. A modification of a general purpose may constitute a subbranch" (Peirce 1902, 1.238).
<div class="drop-down-pop grey1 nodragdrop" style="margin-top:8em; margin-bottom:-7em"><$image source={{!!image}}/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!source}}</div></div>
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - chart 1</$link></div><div style="margin-top:30px"><$tmap class="mapframe" height="calc(14vw + 40vh)" view="William Malisoff"></$tmap></div>
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - chart 1</$link></div><div style="margin-top:30px"><$tmap class="mapframe" height="calc(14vw + 40vh)" view="Milutin Milanković"></$tmap></div>
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - chart 1</$link></div><div style="margin-top:30px"><$tmap class="mapframe" height="calc(14vw + 40vh)" view="Jean Piaget"></$tmap></div>
{
"tiddlers": {
"$:/info/browser": {
"title": "$:/info/browser",
"text": "yes"
},
"$:/info/node": {
"title": "$:/info/node",
"text": "no"
},
"$:/info/url/full": {
"title": "$:/info/url/full",
"text": "file:///%23/Documents/Recherche/Projets%20de%20recherche/Interactive%20Historical%20Atlas%20of%20the%20Disciplines/Archived/IHAD_15.09.2020.html"
},
"$:/info/url/host": {
"title": "$:/info/url/host",
"text": ""
},
"$:/info/url/hostname": {
"title": "$:/info/url/hostname",
"text": ""
},
"$:/info/url/protocol": {
"title": "$:/info/url/protocol",
"text": "file:"
},
"$:/info/url/port": {
"title": "$:/info/url/port",
"text": ""
},
"$:/info/url/pathname": {
"title": "$:/info/url/pathname",
"text": "/%23/Documents/Recherche/Projets%20de%20recherche/Interactive%20Historical%20Atlas%20of%20the%20Disciplines/Archived/IHAD_15.09.2020.html"
},
"$:/info/url/search": {
"title": "$:/info/url/search",
"text": ""
},
"$:/info/url/origin": {
"title": "$:/info/url/origin",
"text": "null"
},
"$:/info/browser/screen/width": {
"title": "$:/info/browser/screen/width",
"text": "1184"
},
"$:/info/browser/screen/height": {
"title": "$:/info/browser/screen/height",
"text": "666"
}
}
}
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
*
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
*
\define containerClasses()
tc-page-container tc-page-view-$(themeTitle)$ tc-language-$(languageTitle)$
\end
\define tiddlerPrintTemplate()
<$importvariables filter="[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]">
<$set name="tv-config-toolbar-icons" value={{$:/config/Toolbar/Icons}}>
<$set name="tv-config-toolbar-text" value={{$:/config/Toolbar/Text}}>
<$set name="tv-config-toolbar-class" value={{$:/config/Toolbar/ButtonClass}}>
<$set name="themeTitle" value={{$:/view}}>
<$set name="currentTiddler" value={{$:/language}}>
<$set name="languageTitle" value={{!!name}}>
<$set name="currentTiddler" value="">
<!-- Print button -->
<div class="noprint center" style="position:fixed; width:100%; bottom:0; background:#8b8a8e; margin-bottom: -14px; margin-left:-15px; padding-left:10px; margin-right:-15px; padding-right:10px; padding-top:10px; padding-bottom:20px; z-index:10">
<$button class="clickbutton tc-btn-invisible buttonfield noprint" style="margin-top:-1px"><$action-sendmessage $message="tm-print"/> {{$:/core/images/print-button}} Print </$button>
</div>
<!-- Previewed tiddler -->
<div class="noprint"><!-- Hide on printed document -->
<div class=<<containerClasses>> style="background:white; margin-left:-15px; padding-left:10px;margin-right:-15px; padding-right:10px;margin-top:-30px; padding-top:30px; padding-bottom:60px">
<div style="padding-top:40px; margin-bottom:-60px"></div>
<!-- Title -->
<p class="tc-titlebar center" style="font-size:24px; padding-bottom:15px; margin-top:-35px"><<tiddlerTitle>></p>
{{||$(tiddlerTitle)$}}
<!-- Source -->
<$reveal type="match" state="$:/temp/print/preview!!display_source" text="yes"><!-- Show only if display_source="yes" -->
<p class="center" style="font-size:11px; margin-top:12px; margin-left:-10px; margin-bottom:-20px; line-height: 1.3em; transform:scale(0.8); text-decoration:none">———————————————————————————————————————<br>Source: Raphaël Sandoz, //Interactive Historical Atlas of the Disciplines//,<br>University of Geneva. URL=<{{$:/website_url}}> (accessed <<now 0DD.0MM.YYYY>>).</p>
</$reveal>
</div>
</div>
<!-- Printed tiddler -->
<div class="noscreen"><!-- Hide in preview window -->
<div class=<<containerClasses>> style="background:white; margin-left:-15px; padding-left:10px;margin-right:-15px; padding-right:10px;margin-top:-50px; padding-top:2px; padding-bottom:10px; transform:scale(0.82)">
<!-- Title -->
<p class="tc-titlebar center" style="font-size:28px; padding-bottom:15px; margin-top:-35px"><<tiddlerTitle>></p>
{{||$(tiddlerTitle)$}}
<!-- Source -->
<$reveal type="match" state="$:/temp/print/preview!!display_source" text="yes"><!-- Show only if display_source="yes" -->
<p class="center" style="font-size:11px; color:black; margin-top:12px; margin-left:-10px; margin-bottom:-40px; padding-bottom:1px; line-height: 1.3em; transform:scale(0.8)">———————————————————————————————————————<br>Source: Raphaël Sandoz, //Interactive Historical Atlas of the Disciplines//,<br>University of Geneva. URL=<{{$:/website_url}}> (accessed <<now 0DD.0MM.YYYY>>).</p>
</$reveal>
</div>
</div>
</$set>
</$set>
</$set>
</$set>
</$set>
</$set>
</$set>
</$importvariables>
\end
<$vars tiddlerTitle={{!!tiddler-title}}>
<<tiddlerPrintTemplate>>
</$vars>
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Print_preview&dt=Print_preview" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Print_preview"></iframe>
[is[tiddler]!is[tiddler]]
[all[]] -[[$:/HistoryList]] -[[$:/StoryList]] -[[$:/Import]] -[[$:/isEncrypted]] -[[$:/UploadName]] -[prefix[$:/state/]] -[prefix[$:/temp/]]
— Please select an item —
<!-- Last row of stats table (total) -->
<!-- Preset configuration -->
<$set name="start-p01" value={{$:/temp/stats_line01!!start_preset}}><$set name="start-p02" value={{$:/temp/stats_line02!!start_preset}}>
<$set name="start-p03" value={{$:/temp/stats_line03!!start_preset}}><$set name="start-p04" value={{$:/temp/stats_line04!!start_preset}}>
<$set name="start-p05" value={{$:/temp/stats_line05!!start_preset}}><$set name="start-p06" value={{$:/temp/stats_line06!!start_preset}}>
<$set name="start-p07" value={{$:/temp/stats_line07!!start_preset}}><$set name="start-p08" value={{$:/temp/stats_line08!!start_preset}}>
<$set name="start-p09" value={{$:/temp/stats_line09!!start_preset}}><$set name="start-p10" value={{$:/temp/stats_line10!!start_preset}}>
<$set name="start-p11" value={{$:/temp/stats_line11!!start_preset}}><$set name="start-p12" value={{$:/temp/stats_line12!!start_preset}}>
<$set name="end-p01" value={{$:/temp/stats_line01!!end_preset}}><$set name="end-p02" value={{$:/temp/stats_line02!!end_preset}}>
<$set name="end-p03" value={{$:/temp/stats_line03!!end_preset}}><$set name="end-p04" value={{$:/temp/stats_line04!!end_preset}}>
<$set name="end-p05" value={{$:/temp/stats_line05!!end_preset}}><$set name="end-p06" value={{$:/temp/stats_line06!!end_preset}}>
<$set name="end-p07" value={{$:/temp/stats_line07!!end_preset}}><$set name="end-p08" value={{$:/temp/stats_line08!!end_preset}}>
<$set name="end-p09" value={{$:/temp/stats_line09!!end_preset}}><$set name="end-p10" value={{$:/temp/stats_line10!!end_preset}}>
<$set name="end-p11" value={{$:/temp/stats_line11!!end_preset}}><$set name="end-p12" value={{$:/temp/stats_line12!!end_preset}}>
<$list filter="[title[$:/temp/stats_line01]!start<start-p01>][title[$:/temp/stats_line02]!start<start-p02>][title[$:/temp/stats_line03]!start<start-p03>][title[$:/temp/stats_line04]!start<start-p04>][title[$:/temp/stats_line05]!start<start-p05>][title[$:/temp/stats_line06]!start<start-p06>][title[$:/temp/stats_line07]!start<start-p07>][title[$:/temp/stats_line08]!start<start-p08>][title[$:/temp/stats_line09]!start<start-p09>][title[$:/temp/stats_line10]!start<start-p10>][title[$:/temp/stats_line11]!start<start-p11>][title[$:/temp/stats_line12]!start<start-p12>][title[$:/temp/stats_line01]!end<end-p01>][title[$:/temp/stats_line02]!end<end-p02>][title[$:/temp/stats_line03]!end<end-p03>][title[$:/temp/stats_line04]!end<end-p04>][title[$:/temp/stats_line05]!end<end-p05>][title[$:/temp/stats_line06]!end<end-p06>][title[$:/temp/stats_line07]!end<end-p07>][title[$:/temp/stats_line08]!end<end-p08>][title[$:/temp/stats_line09]!end<end-p09>][title[$:/temp/stats_line10]!end<end-p10>][title[$:/temp/stats_line11]!end<end-p11>][title[$:/temp/stats_line12]!end<end-p12>]+[insertbefore[Unchanged]]+[limit[1]]"><$list filter="[title{!!title}!prefix[$:/temp/stats]limit[1]]">
<tr height="30px" style="font-weight:bold"><td align="center">Total:</td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$count filter="[has[uploaded]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}!specialized_map{$:/temp/statdisc!!exclude_specialized}has[list_disciplines]greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></$list></td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$count filter="[has[list_disciplines]!specialized_map{$:/temp/statdisc!!exclude_specialized}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[map_date]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></$list></td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$calc>round(<$count filter="[has[list_disciplines]!specialized_map{$:/temp/statdisc!!exclude_specialized}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[map_date]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/> / <$count filter="[has[uploaded]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}!specialized_map{$:/temp/statdisc!!exclude_specialized}has[list_disciplines]greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/>*100)</$calc></$list>%</td></tr>
</$list></$list></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set>
<!-- Model 1 configuration -->
<$set name="start-m01" value={{$:/temp/stats_line01!!start_model1}}><$set name="start-m02" value={{$:/temp/stats_line02!!start_model1}}>
<$set name="start-m03" value={{$:/temp/stats_line03!!start_model1}}><$set name="start-m04" value={{$:/temp/stats_line04!!start_model1}}>
<$set name="start-m05" value={{$:/temp/stats_line05!!start_model1}}><$set name="start-m06" value={{$:/temp/stats_line06!!start_model1}}>
<$set name="start-m07" value={{$:/temp/stats_line07!!start_model1}}><$set name="start-m08" value={{$:/temp/stats_line08!!start_model1}}>
<$set name="start-m09" value={{$:/temp/stats_line09!!start_model1}}><$set name="start-m10" value={{$:/temp/stats_line10!!start_model1}}>
<$set name="start-m11" value={{$:/temp/stats_line11!!start_model1}}><$set name="start-m12" value={{$:/temp/stats_line12!!start_model1}}>
<$set name="end-m01" value={{$:/temp/stats_line01!!end_model1}}><$set name="end-m02" value={{$:/temp/stats_line02!!end_model1}}>
<$set name="end-m03" value={{$:/temp/stats_line03!!end_model1}}><$set name="end-m04" value={{$:/temp/stats_line04!!end_model1}}>
<$set name="end-m05" value={{$:/temp/stats_line05!!end_model1}}><$set name="end-m06" value={{$:/temp/stats_line06!!end_model1}}>
<$set name="end-m07" value={{$:/temp/stats_line07!!end_model1}}><$set name="end-m08" value={{$:/temp/stats_line08!!end_model1}}>
<$set name="end-m09" value={{$:/temp/stats_line09!!end_model1}}><$set name="end-m10" value={{$:/temp/stats_line10!!end_model1}}>
<$set name="end-m11" value={{$:/temp/stats_line11!!end_model1}}><$set name="end-m12" value={{$:/temp/stats_line12!!end_model1}}>
<$list filter="[title[$:/temp/stats_line01]!start<start-m01>][title[$:/temp/stats_line02]!start<start-m02>][title[$:/temp/stats_line03]!start<start-m03>][title[$:/temp/stats_line04]!start<start-m04>][title[$:/temp/stats_line05]!start<start-m05>][title[$:/temp/stats_line06]!start<start-m06>][title[$:/temp/stats_line07]!start<start-m07>][title[$:/temp/stats_line08]!start<start-m08>][title[$:/temp/stats_line09]!start<start-m09>][title[$:/temp/stats_line10]!start<start-m10>][title[$:/temp/stats_line11]!start<start-m11>][title[$:/temp/stats_line12]!start<start-m12>][title[$:/temp/stats_line01]!end<end-m01>][title[$:/temp/stats_line02]!end<end-m02>][title[$:/temp/stats_line03]!end<end-m03>][title[$:/temp/stats_line04]!end<end-m04>][title[$:/temp/stats_line05]!end<end-m05>][title[$:/temp/stats_line06]!end<end-m06>][title[$:/temp/stats_line07]!end<end-m07>][title[$:/temp/stats_line08]!end<end-m08>][title[$:/temp/stats_line09]!end<end-m09>][title[$:/temp/stats_line10]!end<end-m10>][title[$:/temp/stats_line11]!end<end-m11>][title[$:/temp/stats_line12]!end<end-m12>]+[insertbefore[Unchanged]]+[limit[1]]"><$list filter="[title{!!title}!prefix[$:/temp/stats]limit[1]]">
<tr height="30px" style="font-weight:bold"><td align="center">Total:</td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$count filter="[has[uploaded]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}!specialized_map{$:/temp/statdisc!!exclude_specialized}has[list_disciplines]greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></$list></td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$count filter="[has[list_disciplines]!specialized_map{$:/temp/statdisc!!exclude_specialized}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[map_date]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></$list></td><td align="center"><$list filter="[prefix[$:/temp/stats_line]limit[20]regexp:title{$:/temp/stats_nb_lines}]"><$calc>round(<$count filter="[has[list_disciplines]!specialized_map{$:/temp/statdisc!!exclude_specialized}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[map_date]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/> / <$count filter="[has[uploaded]greatereqthan:map_date{$:/temp/stats_line01!!start}lessthan:map_date{!!end}!specialized_map{$:/temp/statdisc!!exclude_specialized}has[list_disciplines]greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/>*100)</$calc></$list>%</td></tr>
</$list></$list></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set></$set>
Augustin Pyrame de Candolle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/plugins/felixhayashi/tiddlymap/graph/views/Aristotle
$:/themes/tiddlywiki/vanilla
{
"tiddlers": {
"$:/themes/tiddlywiki/readonly/styles.tid": {
"title": "$:/themes/tiddlywiki/readonly/styles.tid",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\define button-selector(title)\nbutton.$title$, .tc-drop-down button.$title$, div.$title$\n\\end\n\n\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fclone>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fdelete>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fedit>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fnew-here>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fnew-journal-here>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fimport>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fmanager>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fnew-image>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fnew-journal>>,\n<<button-selector tc-btn-\\%24\\%3A\\%2Fcore\\%2Fui\\%2FButtons\\%2Fnew-tiddler>> {\n\tdisplay: none;\n}"
}
}
}
{
"tiddlers": {
"$:/themes/tiddlywiki/snowwhite/base": {
"title": "$:/themes/tiddlywiki/snowwhite/base",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n.tc-sidebar-header {\n\ttext-shadow: 0 1px 0 <<colour sidebar-foreground-shadow>>;\n}\n\n.tc-tiddler-info {\n\t<<box-shadow \"inset 1px 2px 3px rgba(0,0,0,0.1)\">>\n}\n\n@media screen {\n\t.tc-tiddler-frame {\n\t\t<<box-shadow \"1px 1px 5px rgba(0, 0, 0, 0.3)\">>\n\t}\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\t.tc-tiddler-frame {\n\t\t<<box-shadow none>>\n\t}\n}\n\n.tc-page-controls button svg, .tc-tiddler-controls button svg, .tc-topbar button svg {\n\t<<transition \"fill 150ms ease-in-out\">>\n}\n\n.tc-tiddler-controls button.tc-selected,\n.tc-page-controls button.tc-selected {\n\t<<filter \"drop-shadow(0px -1px 2px rgba(0,0,0,0.25))\">>\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor {\n\t<<box-shadow \"inset 0 1px 8px rgba(0, 0, 0, 0.15)\">>\n}\n\n.tc-edit-tags {\n\t<<box-shadow \"inset 0 1px 8px rgba(0, 0, 0, 0.15)\">>\n}\n\n.tc-tiddler-frame .tc-edit-tags input.tc-edit-texteditor {\n\t<<box-shadow \"none\">>\n\tborder: none;\n\toutline: none;\n}\n\ntextarea.tc-edit-texteditor {\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/editorfontfamily}};\n}\n\ncanvas.tc-edit-bitmapeditor {\n\t<<box-shadow \"2px 2px 5px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-drop-down {\n\tborder-radius: 4px;\n\t<<box-shadow \"2px 2px 10px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-block-dropdown {\n\tborder-radius: 4px;\n\t<<box-shadow \"2px 2px 10px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-modal {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.3)\">>\n}\n\n.tc-modal-footer {\n\tborder-radius: 0 0 6px 6px;\n\t<<box-shadow \"inset 0 1px 0 #fff\">>;\n}\n\n\n.tc-alert {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.6)\">>\n}\n\n.tc-notification {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.3)\">>\n\ttext-shadow: 0 1px 0 rgba(255,255,255, 0.8);\n}\n\n.tc-sidebar-lists .tc-tab-set .tc-tab-divider {\n\tborder-top: none;\n\theight: 1px;\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.15) 0%, rgba(0,0,0,0.0) 100%\">>\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button {\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.01) 0%, rgba(0,0,0,0.1) 100%\">>\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button.tc-tab-selected {\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.05) 0%, rgba(255,255,255,0.05) 100%\">>\n}\n\n.tc-message-box img {\n\t<<box-shadow \"1px 1px 3px rgba(0,0,0,0.5)\">>\n}\n\n.tc-plugin-info {\n\t<<box-shadow \"1px 1px 3px rgba(0,0,0,0.5)\">>\n}\n"
}
}
}
{
"tiddlers": {
"$:/themes/tiddlywiki/vanilla/themetweaks": {
"title": "$:/themes/tiddlywiki/vanilla/themetweaks",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ThemeTweaks/ThemeTweaks}}",
"text": "\\define lingo-base() $:/language/ThemeTweaks/\n\n\\define replacement-text()\n[img[$(imageTitle)$]]\n\\end\n\n\\define backgroundimage-dropdown()\n<div class=\"tc-drop-down-wrapper\">\n<$button popup=<<qualify \"$:/state/popup/themetweaks/backgroundimage\">> class=\"tc-btn-invisible tc-btn-dropdown\">{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/themetweaks/backgroundimage\">> type=\"popup\" position=\"belowleft\" text=\"\" default=\"\">\n<div class=\"tc-drop-down\">\n<$macrocall $name=\"image-picker\" actions=\"\"\"\n\n<$action-setfield\n\t$tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\"\n\t$value=<<imageTitle>>\n/>\n\n\"\"\"/>\n</div>\n</$reveal>\n</div>\n\\end\n\n\\define backgroundimageattachment-dropdown()\n<$select tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment\" default=\"scroll\">\n<option value=\"scroll\"><<lingo Settings/BackgroundImageAttachment/Scroll>></option>\n<option value=\"fixed\"><<lingo Settings/BackgroundImageAttachment/Fixed>></option>\n</$select>\n\\end\n\n\\define backgroundimagesize-dropdown()\n<$select tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize\" default=\"scroll\">\n<option value=\"auto\"><<lingo Settings/BackgroundImageSize/Auto>></option>\n<option value=\"cover\"><<lingo Settings/BackgroundImageSize/Cover>></option>\n<option value=\"contain\"><<lingo Settings/BackgroundImageSize/Contain>></option>\n</$select>\n\\end\n\n<<lingo ThemeTweaks/Hint>>\n\n! <<lingo Options>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\"><<lingo Options/SidebarLayout>></$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\"><option value=\"fixed-fluid\"><<lingo Options/SidebarLayout/Fixed-Fluid>></option><option value=\"fluid-fixed\"><<lingo Options/SidebarLayout/Fluid-Fixed>></option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\"><<lingo Options/StickyTitles>></$link><br>//<<lingo Options/StickyTitles/Hint>>// |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\"><option value=\"no\">{{$:/language/No}}</option><option value=\"yes\">{{$:/language/Yes}}</option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><<lingo Options/CodeWrapping>></$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><option value=\"pre\">{{$:/language/No}}</option><option value=\"pre-wrap\">{{$:/language/Yes}}</option></$select> |\n\n! <<lingo Settings>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/fontfamily\"><<lingo Settings/FontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/fontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/codefontfamily\"><<lingo Settings/CodeFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/codefontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/editorfontfamily\"><<lingo Settings/EditorFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/editorfontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\"><<lingo Settings/BackgroundImage>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\" default=\"\" tag=\"input\"/> |<<backgroundimage-dropdown>> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment\"><<lingo Settings/BackgroundImageAttachment>></$link> |<<backgroundimageattachment-dropdown>> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize\"><<lingo Settings/BackgroundImageSize>></$link> |<<backgroundimagesize-dropdown>> | |\n\n! <<lingo Metrics>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\"><<lingo Metrics/FontSize>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\"><<lingo Metrics/LineHeight>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize\"><<lingo Metrics/BodyFontSize>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight\"><<lingo Metrics/BodyLineHeight>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\"><<lingo Metrics/StoryLeft>></$link><br>//<<lingo Metrics/StoryLeft/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\"><<lingo Metrics/StoryTop>></$link><br>//<<lingo Metrics/StoryTop/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\"><<lingo Metrics/StoryRight>></$link><br>//<<lingo Metrics/StoryRight/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\"><<lingo Metrics/StoryWidth>></$link><br>//<<lingo Metrics/StoryWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\"><<lingo Metrics/TiddlerWidth>></$link><br>//<<lingo Metrics/TiddlerWidth/Hint>>//<br> |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\"><<lingo Metrics/SidebarBreakpoint>></$link><br>//<<lingo Metrics/SidebarBreakpoint/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\"><<lingo Metrics/SidebarWidth>></$link><br>//<<lingo Metrics/SidebarWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\" default=\"\" tag=\"input\"/> |\n"
},
"$:/themes/tiddlywiki/vanilla/base": {
"title": "$:/themes/tiddlywiki/vanilla/base",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\define custom-background-datauri()\n<$set name=\"background\" value={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}>\n<$list filter=\"[<background>is[image]]\">\n`background: url(`\n<$list filter=\"[<background>!has[_canonical_uri]]\">\n`\"`<$macrocall $name=\"datauri\" title={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}/>`\"`\n</$list>\n<$list filter=\"[<background>has[_canonical_uri]]\">\n`\"`<$view tiddler={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}} field=\"_canonical_uri\"/>`\"`\n</$list>\n`) center center;`\n`background-attachment: `{{$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment}}`;\n-webkit-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\n-moz-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\n-o-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\nbackground-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;`\n</$list>\n</$set>\n\\end\n\n\\define if-fluid-fixed(text,hiddenSidebarText)\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\" type=\"match\" text=\"fluid-fixed\">\n$text$\n<$reveal state=\"$:/state/sidebar\" type=\"nomatch\" text=\"yes\" default=\"yes\">\n$hiddenSidebarText$\n</$reveal>\n</$reveal>\n\\end\n\n\\define if-editor-height-fixed(then,else)\n<$reveal state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"fixed\">\n$then$\n</$reveal>\n<$reveal state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"auto\">\n$else$\n</$reveal>\n\\end\n\n\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline macrocallblock\n\n/*\n** Start with the normalize CSS reset, and then belay some of its effects\n*/\n\n{{$:/themes/tiddlywiki/vanilla/reset}}\n\n*, input[type=\"search\"] {\n\tbox-sizing: border-box;\n\t-moz-box-sizing: border-box;\n\t-webkit-box-sizing: border-box;\n}\n\nhtml button {\n\tline-height: 1.2;\n\tcolor: <<colour button-foreground>>;\n\tbackground: <<colour button-background>>;\n\tborder-color: <<colour button-border>>;\n}\n\n/*\n** Basic element styles\n*/\n\nhtml {\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/fontfamily}};\n\ttext-rendering: optimizeLegibility; /* Enables kerning and ligatures etc. */\n\t-webkit-font-smoothing: antialiased;\n\t-moz-osx-font-smoothing: grayscale;\n}\n\nhtml:-webkit-full-screen {\n\tbackground-color: <<colour page-background>>;\n}\n\nbody.tc-body {\n\tfont-size: {{$:/themes/tiddlywiki/vanilla/metrics/fontsize}};\n\tline-height: {{$:/themes/tiddlywiki/vanilla/metrics/lineheight}};\n\tword-wrap: break-word;\n\t<<custom-background-datauri>>\n\tcolor: <<colour foreground>>;\n\tbackground-color: <<colour page-background>>;\n\tfill: <<colour foreground>>;\n}\n\n<<if-background-attachment \"\"\"\n\nbody.tc-body {\n background-color: transparent;\n}\n\n\"\"\">>\n\nh1, h2, h3, h4, h5, h6 {\n\tline-height: 1.2;\n\tfont-weight: 300;\n}\n\npre {\n\tdisplay: block;\n\tpadding: 14px;\n\tmargin-top: 1em;\n\tmargin-bottom: 1em;\n\tword-break: normal;\n\tword-wrap: break-word;\n\twhite-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};\n\tbackground-color: <<colour pre-background>>;\n\tborder: 1px solid <<colour pre-border>>;\n\tpadding: 0 3px 2px;\n\tborder-radius: 3px;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};\n}\n\ncode {\n\tcolor: <<colour code-foreground>>;\n\tbackground-color: <<colour code-background>>;\n\tborder: 1px solid <<colour code-border>>;\n\twhite-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};\n\tpadding: 0 3px 2px;\n\tborder-radius: 3px;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};\n}\n\nblockquote {\n\tborder-left: 5px solid <<colour blockquote-bar>>;\n\tmargin-left: 25px;\n\tpadding-left: 10px;\n\tquotes: \"\\201C\"\"\\201D\"\"\\2018\"\"\\2019\";\n}\n\nblockquote.tc-big-quote {\n\tfont-family: Georgia, serif;\n\tposition: relative;\n\tbackground: <<colour pre-background>>;\n\tborder-left: none;\n\tmargin-left: 50px;\n\tmargin-right: 50px;\n\tpadding: 10px;\n border-radius: 8px;\n}\n\nblockquote.tc-big-quote cite:before {\n\tcontent: \"\\2014 \\2009\";\n}\n\nblockquote.tc-big-quote:before {\n\tfont-family: Georgia, serif;\n\tcolor: <<colour blockquote-bar>>;\n\tcontent: open-quote;\n\tfont-size: 8em;\n\tline-height: 0.1em;\n\tmargin-right: 0.25em;\n\tvertical-align: -0.4em;\n\tposition: absolute;\n left: -50px;\n top: 42px;\n}\n\nblockquote.tc-big-quote:after {\n\tfont-family: Georgia, serif;\n\tcolor: <<colour blockquote-bar>>;\n\tcontent: close-quote;\n\tfont-size: 8em;\n\tline-height: 0.1em;\n\tmargin-right: 0.25em;\n\tvertical-align: -0.4em;\n\tposition: absolute;\n right: -80px;\n bottom: -20px;\n}\n\ndl dt {\n\tfont-weight: bold;\n\tmargin-top: 6px;\n}\n\ntextarea,\ninput[type=text],\ninput[type=search],\ninput[type=\"\"],\ninput:not([type]) {\n\tcolor: <<colour foreground>>;\n\tbackground: <<colour background>>;\n}\n\ninput[type=\"checkbox\"] {\n vertical-align: middle;\n}\n\n.tc-muted {\n\tcolor: <<colour muted-foreground>>;\n}\n\nsvg.tc-image-button {\n\tpadding: 0px 1px 1px 0px;\n}\n\n.tc-icon-wrapper > svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\nkbd {\n\tdisplay: inline-block;\n\tpadding: 3px 5px;\n\tfont-size: 0.8em;\n\tline-height: 1.2;\n\tcolor: <<colour foreground>>;\n\tvertical-align: middle;\n\tbackground-color: <<colour background>>;\n\tborder: solid 1px <<colour muted-foreground>>;\n\tborder-bottom-color: <<colour muted-foreground>>;\n\tborder-radius: 3px;\n\tbox-shadow: inset 0 -1px 0 <<colour muted-foreground>>;\n}\n\n/*\nMarkdown likes putting code elements inside pre elements\n*/\npre > code {\n\tpadding: 0;\n\tborder: none;\n\tbackground-color: inherit;\n\tcolor: inherit;\n}\n\ntable {\n\tborder: 1px solid <<colour table-border>>;\n\twidth: auto;\n\tmax-width: 100%;\n\tcaption-side: bottom;\n\tmargin-top: 1em;\n\tmargin-bottom: 1em;\n}\n\ntable th, table td {\n\tpadding: 0 7px 0 7px;\n\tborder-top: 1px solid <<colour table-border>>;\n\tborder-left: 1px solid <<colour table-border>>;\n}\n\ntable thead tr td, table th {\n\tbackground-color: <<colour table-header-background>>;\n\tfont-weight: bold;\n}\n\ntable tfoot tr td {\n\tbackground-color: <<colour table-footer-background>>;\n}\n\n.tc-csv-table {\n\twhite-space: nowrap;\n}\n\n.tc-tiddler-frame img,\n.tc-tiddler-frame svg,\n.tc-tiddler-frame canvas,\n.tc-tiddler-frame embed,\n.tc-tiddler-frame iframe {\n\tmax-width: 100%;\n}\n\n.tc-tiddler-body > embed,\n.tc-tiddler-body > iframe {\n\twidth: 100%;\n\theight: 600px;\n}\n\n/*\n** Links\n*/\n\nbutton.tc-tiddlylink,\na.tc-tiddlylink {\n\ttext-decoration: none;\n\tfont-weight: 500;\n\tcolor: <<colour tiddler-link-foreground>>;\n\t-webkit-user-select: inherit; /* Otherwise the draggable attribute makes links impossible to select */\n}\n\n.tc-sidebar-lists a.tc-tiddlylink {\n\tcolor: <<colour sidebar-tiddler-link-foreground>>;\n}\n\n.tc-sidebar-lists a.tc-tiddlylink:hover {\n\tcolor: <<colour sidebar-tiddler-link-foreground-hover>>;\n}\n\nbutton.tc-tiddlylink:hover,\na.tc-tiddlylink:hover {\n\ttext-decoration: underline;\n}\n\na.tc-tiddlylink-resolves {\n}\n\na.tc-tiddlylink-shadow {\n\tfont-weight: bold;\n}\n\na.tc-tiddlylink-shadow.tc-tiddlylink-resolves {\n\tfont-weight: normal;\n}\n\na.tc-tiddlylink-missing {\n\tfont-style: italic;\n}\n\na.tc-tiddlylink-external {\n\ttext-decoration: underline;\n\tcolor: <<colour external-link-foreground>>;\n\tbackground-color: <<colour external-link-background>>;\n}\n\na.tc-tiddlylink-external:visited {\n\tcolor: <<colour external-link-foreground-visited>>;\n\tbackground-color: <<colour external-link-background-visited>>;\n}\n\na.tc-tiddlylink-external:hover {\n\tcolor: <<colour external-link-foreground-hover>>;\n\tbackground-color: <<colour external-link-background-hover>>;\n}\n\n/*\n** Drag and drop styles\n*/\n\n.tc-tiddler-dragger {\n\tposition: relative;\n\tz-index: -10000;\n}\n\n.tc-tiddler-dragger-inner {\n\tposition: absolute;\n\ttop: -1000px;\n\tleft: -1000px;\n\tdisplay: inline-block;\n\tpadding: 8px 20px;\n\tfont-size: 16.9px;\n\tfont-weight: bold;\n\tline-height: 20px;\n\tcolor: <<colour dragger-foreground>>;\n\ttext-shadow: 0 1px 0 rgba(0, 0, 0, 1);\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n\tbackground-color: <<colour dragger-background>>;\n\tborder-radius: 20px;\n}\n\n.tc-tiddler-dragger-cover {\n\tposition: absolute;\n\tbackground-color: <<colour page-background>>;\n}\n\n.tc-dropzone {\n\tposition: relative;\n}\n\n.tc-dropzone.tc-dragover:before {\n\tz-index: 10000;\n\tdisplay: block;\n\tposition: fixed;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbackground: <<colour dropzone-background>>;\n\ttext-align: center;\n\tcontent: \"<<lingo DropMessage>>\";\n}\n\n.tc-droppable > .tc-droppable-placeholder {\n\tdisplay: none;\n}\n\n.tc-droppable.tc-dragover > .tc-droppable-placeholder {\n\tdisplay: block;\n\tborder: 2px dashed <<colour dropzone-background>>;\n}\n\n.tc-draggable {\n\tcursor: move;\n}\n\n/*\n** Plugin reload warning\n*/\n\n.tc-plugin-reload-warning {\n\tz-index: 1000;\n\tdisplay: block;\n\tposition: fixed;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbackground: <<colour alert-background>>;\n\ttext-align: center;\n}\n\n/*\n** Buttons\n*/\n\nbutton svg, button img, label svg, label img {\n\tvertical-align: middle;\n}\n\n.tc-btn-invisible {\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n cursor: pointer;\n}\n\n.tc-btn-boxed {\n\tfont-size: 0.6em;\n\tpadding: 0.2em;\n\tmargin: 1px;\n\tbackground: none;\n\tborder: 1px solid <<colour tiddler-controls-foreground>>;\n\tborder-radius: 0.25em;\n}\n\nhtml body.tc-body .tc-btn-boxed svg {\n\tfont-size: 1.6666em;\n}\n\n.tc-btn-boxed:hover {\n\tbackground: <<colour muted-foreground>>;\n\tcolor: <<colour background>>;\n}\n\nhtml body.tc-body .tc-btn-boxed:hover svg {\n\tfill: <<colour background>>;\n}\n\n.tc-btn-rounded {\n\tfont-size: 0.5em;\n\tline-height: 2;\n\tpadding: 0em 0.3em 0.2em 0.4em;\n\tmargin: 1px;\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground: <<colour muted-foreground>>;\n\tcolor: <<colour background>>;\n\tborder-radius: 2em;\n}\n\nhtml body.tc-body .tc-btn-rounded svg {\n\tfont-size: 1.6666em;\n\tfill: <<colour background>>;\n}\n\n.tc-btn-rounded:hover {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground: <<colour background>>;\n\tcolor: <<colour muted-foreground>>;\n}\n\nhtml body.tc-body .tc-btn-rounded:hover svg {\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-btn-icon svg {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-btn-text {\n\tpadding: 0;\n\tmargin: 0;\n}\n\n/* used for documentation \"fake\" buttons */\n.tc-btn-standard {\n\tline-height: 1.8;\n\tcolor: #667;\n\tbackground-color: #e0e0e0;\n\tborder: 1px solid #888;\n\tpadding: 2px 1px 2px 1px;\n\tmargin: 1px 4px 1px 4px;\n}\n\n.tc-btn-big-green {\n\tdisplay: inline-block;\n\tpadding: 8px;\n\tmargin: 4px 8px 4px 8px;\n\tbackground: <<colour download-background>>;\n\tcolor: <<colour download-foreground>>;\n\tfill: <<colour download-foreground>>;\n\tborder: none;\n\tfont-size: 1.2em;\n\tline-height: 1.4em;\n\ttext-decoration: none;\n}\n\n.tc-btn-big-green svg,\n.tc-btn-big-green img {\n\theight: 2em;\n\twidth: 2em;\n\tvertical-align: middle;\n\tfill: <<colour download-foreground>>;\n}\n\n.tc-sidebar-lists input {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-sidebar-lists button {\n\tcolor: <<colour sidebar-button-foreground>>;\n\tfill: <<colour sidebar-button-foreground>>;\n}\n\n.tc-sidebar-lists button.tc-btn-mini {\n\tcolor: <<colour sidebar-muted-foreground>>;\n}\n\n.tc-sidebar-lists button.tc-btn-mini:hover {\n\tcolor: <<colour sidebar-muted-foreground-hover>>;\n}\n\nbutton svg.tc-image-button, button .tc-image-button img {\n\theight: 1em;\n\twidth: 1em;\n}\n\n.tc-unfold-banner {\n\tposition: absolute;\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n\twidth: 100%;\n\twidth: calc(100% + 2px);\n\tmargin-left: -43px;\n\ttext-align: center;\n\tborder-top: 2px solid <<colour tiddler-info-background>>;\n\tmargin-top: 4px;\n}\n\n.tc-unfold-banner:hover {\n\tbackground: <<colour tiddler-info-background>>;\n\tborder-top: 2px solid <<colour tiddler-info-border>>;\n}\n\n.tc-unfold-banner svg, .tc-fold-banner svg {\n\theight: 0.75em;\n\tfill: <<colour tiddler-controls-foreground>>;\n}\n\n.tc-unfold-banner:hover svg, .tc-fold-banner:hover svg {\n\tfill: <<colour tiddler-controls-foreground-hover>>;\n}\n\n.tc-fold-banner {\n\tposition: absolute;\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n\twidth: 23px;\n\ttext-align: center;\n\tmargin-left: -35px;\n\ttop: 6px;\n\tbottom: 6px;\n}\n\n.tc-fold-banner:hover {\n\tbackground: <<colour tiddler-info-background>>;\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n\t.tc-unfold-banner {\n\t\tposition: static;\n\t\twidth: calc(100% + 59px);\n\t}\n\n\t.tc-fold-banner {\n\t\twidth: 16px;\n\t\tmargin-left: -16px;\n\t\tfont-size: 0.75em;\n\t}\n\n}\n\n/*\n** Tags and missing tiddlers\n*/\n\n.tc-tag-list-item {\n\tposition: relative;\n\tdisplay: inline-block;\n\tmargin-right: 7px;\n}\n\n.tc-tags-wrapper {\n\tmargin: 4px 0 14px 0;\n}\n\n.tc-missing-tiddler-label {\n\tfont-style: italic;\n\tfont-weight: normal;\n\tdisplay: inline-block;\n\tfont-size: 11.844px;\n\tline-height: 14px;\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n}\n\nbutton.tc-tag-label, span.tc-tag-label {\n\tdisplay: inline-block;\n\tpadding: 0.16em 0.7em;\n\tfont-size: 0.9em;\n\tfont-weight: 400;\n\tline-height: 1.2em;\n\tcolor: <<colour tag-foreground>>;\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n\tbackground-color: <<colour tag-background>>;\n\tborder-radius: 1em;\n}\n\n.tc-untagged-separator {\n\twidth: 10em;\n\tleft: 0;\n\tmargin-left: 0;\n\tborder: 0;\n\theight: 1px;\n\tbackground: <<colour tab-divider>>;\n}\n\nbutton.tc-untagged-label {\n\tbackground-color: <<colour untagged-background>>;\n}\n\n.tc-tag-label svg, .tc-tag-label img {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour tag-foreground>>;\n\tvertical-align: text-bottom;\n}\n\n.tc-tag-manager-table .tc-tag-label {\n\twhite-space: normal;\n}\n\n.tc-tag-manager-tag {\n\twidth: 100%;\n}\n\n/*\n** Page layout\n*/\n\n.tc-topbar {\n\tposition: fixed;\n\tz-index: 1200;\n}\n\n.tc-topbar-left {\n\tleft: 29px;\n\ttop: 5px;\n}\n\n.tc-topbar-right {\n\ttop: 5px;\n\tright: 29px;\n}\n\n.tc-topbar button {\n\tpadding: 8px;\n}\n\n.tc-topbar svg {\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-topbar button:hover svg {\n\tfill: <<colour foreground>>;\n}\n\n.tc-sidebar-header {\n\tcolor: <<colour sidebar-foreground>>;\n\tfill: <<colour sidebar-foreground>>;\n}\n\n.tc-sidebar-header .tc-title a.tc-tiddlylink-resolves {\n\tfont-weight: 300;\n}\n\n.tc-sidebar-header .tc-sidebar-lists p {\n\tmargin-top: 3px;\n\tmargin-bottom: 3px;\n}\n\n.tc-sidebar-header .tc-missing-tiddler-label {\n\tcolor: <<colour sidebar-foreground>>;\n}\n\n.tc-advanced-search input {\n\twidth: 60%;\n}\n\n.tc-search a svg {\n\twidth: 1.2em;\n\theight: 1.2em;\n\tvertical-align: middle;\n}\n\n.tc-page-controls {\n\tmargin-top: 14px;\n\tfont-size: 1.5em;\n}\n\n.tc-page-controls .tc-drop-down {\n font-size: 1rem;\n}\n\n.tc-page-controls button {\n\tmargin-right: 0.5em;\n}\n\n.tc-page-controls a.tc-tiddlylink:hover {\n\ttext-decoration: none;\n}\n\n.tc-page-controls img {\n\twidth: 1em;\n}\n\n.tc-page-controls svg {\n\tfill: <<colour sidebar-controls-foreground>>;\n}\n\n.tc-page-controls button:hover svg, .tc-page-controls a:hover svg {\n\tfill: <<colour sidebar-controls-foreground-hover>>;\n}\n\n.tc-menu-list-item {\n\twhite-space: nowrap;\n}\n\n.tc-menu-list-count {\n\tfont-weight: bold;\n}\n\n.tc-menu-list-subitem {\n\tpadding-left: 7px;\n}\n\n.tc-story-river {\n\tposition: relative;\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n\t.tc-sidebar-header {\n\t\tpadding: 14px;\n\t\tmin-height: 32px;\n\t\tmargin-top: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t}\n\n\t.tc-story-river {\n\t\tposition: relative;\n\t\tpadding: 0;\n\t}\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n\t.tc-message-box {\n\t\tmargin: 21px -21px 21px -21px;\n\t}\n\n\t.tc-sidebar-scrollable {\n\t\tposition: fixed;\n\t\ttop: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t\tleft: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};\n\t\tbottom: 0;\n\t\tright: 0;\n\t\toverflow-y: auto;\n\t\toverflow-x: auto;\n\t\t-webkit-overflow-scrolling: touch;\n\t\tmargin: 0 0 0 -42px;\n\t\tpadding: 71px 0 28px 42px;\n\t}\n\n\thtml[dir=\"rtl\"] .tc-sidebar-scrollable {\n\t\tleft: auto;\n\t\tright: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};\n\t}\n\n\t.tc-story-river {\n\t\tposition: relative;\n\t\tleft: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};\n\t\ttop: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/storywidth}};\n\t\tpadding: 42px 42px 42px 42px;\n\t}\n\n<<if-no-sidebar \"\n\n\t.tc-story-river {\n\t\twidth: calc(100% - {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}});\n\t}\n\n\">>\n\n}\n\n@media print {\n\n\tbody.tc-body {\n\t\tbackground-color: transparent;\n\t}\n\n\t.tc-sidebar-header, .tc-topbar {\n\t\tdisplay: none;\n\t}\n\n\t.tc-story-river {\n\t\tmargin: 0;\n\t\tpadding: 0;\n\t}\n\n\t.tc-story-river .tc-tiddler-frame {\n\t\tmargin: 0;\n\t\tborder: none;\n\t\tpadding: 0;\n\t}\n}\n\n/*\n** Tiddler styles\n*/\n\n.tc-tiddler-frame {\n\tposition: relative;\n\tmargin-bottom: 28px;\n\tbackground-color: <<colour tiddler-background>>;\n\tborder: 1px solid <<colour tiddler-border>>;\n}\n\n{{$:/themes/tiddlywiki/vanilla/sticky}}\n\n.tc-tiddler-info {\n\tpadding: 14px 42px 14px 42px;\n\tbackground-color: <<colour tiddler-info-background>>;\n\tborder-top: 1px solid <<colour tiddler-info-border>>;\n\tborder-bottom: 1px solid <<colour tiddler-info-border>>;\n}\n\n.tc-tiddler-info p {\n\tmargin-top: 3px;\n\tmargin-bottom: 3px;\n}\n\n.tc-tiddler-info .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour tiddler-info-tab-background>>;\n\tborder-bottom: 1px solid <<colour tiddler-info-tab-background>>;\n}\n\n.tc-view-field-table {\n\twidth: 100%;\n}\n\n.tc-view-field-name {\n\twidth: 1%; /* Makes this column be as narrow as possible */\n\ttext-align: right;\n\tfont-style: italic;\n\tfont-weight: 200;\n}\n\n.tc-view-field-value {\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\t.tc-tiddler-frame {\n\t\tpadding: 14px 14px 14px 14px;\n\t}\n\n\t.tc-tiddler-info {\n\t\tmargin: 0 -14px 0 -14px;\n\t}\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\t.tc-tiddler-frame {\n\t\tpadding: 28px 42px 42px 42px;\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth}};\n\t\tborder-radius: 2px;\n\t}\n\n<<if-no-sidebar \"\n\n\t.tc-tiddler-frame {\n\t\twidth: 100%;\n\t}\n\n\">>\n\n\t.tc-tiddler-info {\n\t\tmargin: 0 -42px 0 -42px;\n\t}\n}\n\n.tc-site-title,\n.tc-titlebar {\n\tfont-weight: 300;\n\tfont-size: 2.35em;\n\tline-height: 1.2em;\n\tcolor: <<colour tiddler-title-foreground>>;\n\tmargin: 0;\n}\n\n.tc-site-title {\n\tcolor: <<colour site-title-foreground>>;\n}\n\n.tc-tiddler-title-icon {\n\tvertical-align: middle;\n}\n\n.tc-system-title-prefix {\n\tcolor: <<colour muted-foreground>>;\n}\n\n.tc-titlebar h2 {\n\tfont-size: 1em;\n\tdisplay: inline;\n}\n\n.tc-titlebar img {\n\theight: 1em;\n}\n\n.tc-subtitle {\n\tfont-size: 0.9em;\n\tcolor: <<colour tiddler-subtitle-foreground>>;\n\tfont-weight: 300;\n}\n\n.tc-tiddler-missing .tc-title {\n font-style: italic;\n font-weight: normal;\n}\n\n.tc-tiddler-frame .tc-tiddler-controls {\n\tfloat: right;\n}\n\n.tc-tiddler-controls .tc-drop-down {\n\tfont-size: 0.6em;\n}\n\n.tc-tiddler-controls .tc-drop-down .tc-drop-down {\n\tfont-size: 1em;\n}\n\n.tc-tiddler-controls > span > button,\n.tc-tiddler-controls > span > span > button,\n.tc-tiddler-controls > span > span > span > button {\n\tvertical-align: baseline;\n\tmargin-left:5px;\n}\n\n.tc-tiddler-controls button svg, .tc-tiddler-controls button img,\n.tc-search button svg, .tc-search a svg {\n\tfill: <<colour tiddler-controls-foreground>>;\n}\n\n.tc-tiddler-controls button svg, .tc-tiddler-controls button img {\n\theight: 0.75em;\n}\n\n.tc-search button svg, .tc-search a svg {\n height: 1.2em;\n width: 1.2em;\n margin: 0 0.25em;\n}\n\n.tc-tiddler-controls button.tc-selected svg,\n.tc-page-controls button.tc-selected svg {\n\tfill: <<colour tiddler-controls-foreground-selected>>;\n}\n\n.tc-tiddler-controls button.tc-btn-invisible:hover svg,\n.tc-search button:hover svg, .tc-search a:hover svg {\n\tfill: <<colour tiddler-controls-foreground-hover>>;\n}\n\n@media print {\n\t.tc-tiddler-controls {\n\t\tdisplay: none;\n\t}\n}\n\n.tc-tiddler-help { /* Help prompts within tiddler template */\n\tcolor: <<colour muted-foreground>>;\n\tmargin-top: 14px;\n}\n\n.tc-tiddler-help a.tc-tiddlylink {\n\tcolor: <<colour very-muted-foreground>>;\n}\n\n.tc-tiddler-frame .tc-edit-texteditor {\n\twidth: 100%;\n\tmargin: 4px 0 4px 0;\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor,\n.tc-tiddler-frame textarea.tc-edit-texteditor,\n.tc-tiddler-frame iframe.tc-edit-texteditor {\n\tpadding: 3px 3px 3px 3px;\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tbackground-color: <<colour tiddler-editor-background>>;\n\tline-height: 1.3em;\n\t-webkit-appearance: none;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/editorfontfamily}};\n}\n\n.tc-tiddler-frame .tc-binary-warning {\n\twidth: 100%;\n\theight: 5em;\n\ttext-align: center;\n\tpadding: 3em 3em 6em 3em;\n\tbackground: <<colour alert-background>>;\n\tborder: 1px solid <<colour alert-border>>;\n}\n\ncanvas.tc-edit-bitmapeditor {\n\tborder: 6px solid <<colour tiddler-editor-border-image>>;\n\tcursor: crosshair;\n\t-moz-user-select: none;\n\t-webkit-user-select: none;\n\t-ms-user-select: none;\n\tmargin-top: 6px;\n\tmargin-bottom: 6px;\n}\n\n.tc-edit-bitmapeditor-width {\n\tdisplay: block;\n}\n\n.tc-edit-bitmapeditor-height {\n\tdisplay: block;\n}\n\n.tc-tiddler-body {\n\tclear: both;\n}\n\n.tc-tiddler-frame .tc-tiddler-body {\n\tfont-size: {{$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize}};\n\tline-height: {{$:/themes/tiddlywiki/vanilla/metrics/bodylineheight}};\n}\n\n.tc-titlebar, .tc-tiddler-edit-title {\n\toverflow: hidden; /* https://github.com/Jermolene/TiddlyWiki5/issues/282 */\n}\n\nhtml body.tc-body.tc-single-tiddler-window {\n\tmargin: 1em;\n\tbackground: <<colour tiddler-background>>;\n}\n\n.tc-single-tiddler-window img,\n.tc-single-tiddler-window svg,\n.tc-single-tiddler-window canvas,\n.tc-single-tiddler-window embed,\n.tc-single-tiddler-window iframe {\n\tmax-width: 100%;\n}\n\n/*\n** Editor\n*/\n\n.tc-editor-toolbar {\n\tmargin-top: 8px;\n}\n\n.tc-editor-toolbar button {\n\tvertical-align: middle;\n\tbackground-color: <<colour tiddler-controls-foreground>>;\n\tfill: <<colour tiddler-controls-foreground-selected>>;\n\tborder-radius: 4px;\n\tpadding: 3px;\n\tmargin: 2px 0 2px 4px;\n}\n\n.tc-editor-toolbar button.tc-text-editor-toolbar-item-adjunct {\n\tmargin-left: 1px;\n\twidth: 1em;\n\tborder-radius: 8px;\n}\n\n.tc-editor-toolbar button.tc-text-editor-toolbar-item-start-group {\n\tmargin-left: 11px;\n}\n\n.tc-editor-toolbar button.tc-selected {\n\tbackground-color: <<colour primary>>;\n}\n\n.tc-editor-toolbar button svg {\n\twidth: 1.6em;\n\theight: 1.2em;\n}\n\n.tc-editor-toolbar button:hover {\n\tbackground-color: <<colour tiddler-controls-foreground-selected>>;\n\tfill: <<colour background>>;\n}\n\n.tc-editor-toolbar .tc-text-editor-toolbar-more {\n\twhite-space: normal;\n}\n\n.tc-editor-toolbar .tc-text-editor-toolbar-more button {\n\tdisplay: inline-block;\n\tpadding: 3px;\n\twidth: auto;\n}\n\n.tc-editor-toolbar .tc-search-results {\n\tpadding: 0;\n}\n\n/*\n** Adjustments for fluid-fixed mode\n*/\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n<<if-fluid-fixed text:\"\"\"\n\n\t.tc-story-river {\n\t\tpadding-right: 0;\n\t\tposition: relative;\n\t\twidth: auto;\n\t\tleft: 0;\n\t\tmargin-left: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};\n\t\tmargin-right: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};\n\t}\n\n\t.tc-tiddler-frame {\n\t\twidth: 100%;\n\t}\n\n\t.tc-sidebar-scrollable {\n\t\tleft: auto;\n\t\tbottom: 0;\n\t\tright: 0;\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};\n\t}\n\n\tbody.tc-body .tc-storyview-zoomin-tiddler {\n\t\twidth: 100%;\n\t\twidth: calc(100% - 42px);\n\t}\n\n\"\"\" hiddenSidebarText:\"\"\"\n\n\t.tc-story-river {\n\t\tpadding-right: 3em;\n\t\tmargin-right: 0;\n\t}\n\n\tbody.tc-body .tc-storyview-zoomin-tiddler {\n\t\twidth: 100%;\n\t\twidth: calc(100% - 84px);\n\t}\n\n\"\"\">>\n\n}\n\n/*\n** Toolbar buttons\n*/\n\n.tc-page-controls svg.tc-image-new-button {\n fill: <<colour toolbar-new-button>>;\n}\n\n.tc-page-controls svg.tc-image-options-button {\n fill: <<colour toolbar-options-button>>;\n}\n\n.tc-page-controls svg.tc-image-save-button {\n fill: <<colour toolbar-save-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-info-button {\n fill: <<colour toolbar-info-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-edit-button {\n fill: <<colour toolbar-edit-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-close-button {\n fill: <<colour toolbar-close-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-delete-button {\n fill: <<colour toolbar-delete-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-cancel-button {\n fill: <<colour toolbar-cancel-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-done-button {\n fill: <<colour toolbar-done-button>>;\n}\n\n/*\n** Tiddler edit mode\n*/\n\n.tc-tiddler-edit-frame em.tc-edit {\n\tcolor: <<colour muted-foreground>>;\n\tfont-style: normal;\n}\n\n.tc-edit-type-dropdown a.tc-tiddlylink-missing {\n\tfont-style: normal;\n}\n\n.tc-edit-tags {\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tpadding: 4px 8px 4px 8px;\n}\n\n.tc-edit-add-tag {\n\tdisplay: inline-block;\n}\n\n.tc-edit-add-tag .tc-add-tag-name input {\n\twidth: 50%;\n}\n\n.tc-edit-add-tag .tc-keyboard {\n\tdisplay:inline;\n}\n\n.tc-edit-tags .tc-tag-label {\n\tdisplay: inline-block;\n}\n\n.tc-edit-tags-list {\n\tmargin: 14px 0 14px 0;\n}\n\n.tc-remove-tag-button {\n\tpadding-left: 4px;\n}\n\n.tc-tiddler-preview {\n\toverflow: auto;\n}\n\n.tc-tiddler-preview-preview {\n\tfloat: right;\n\twidth: 49%;\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tmargin: 4px 0 3px 3px;\n\tpadding: 3px 3px 3px 3px;\n}\n\n<<if-editor-height-fixed then:\"\"\"\n\n.tc-tiddler-preview-preview {\n\toverflow-y: scroll;\n\theight: {{$:/config/TextEditor/EditorHeight/Height}};\n}\n\n\"\"\">>\n\n.tc-tiddler-frame .tc-tiddler-preview .tc-edit-texteditor {\n\twidth: 49%;\n}\n\n.tc-tiddler-frame .tc-tiddler-preview canvas.tc-edit-bitmapeditor {\n\tmax-width: 49%;\n}\n\n.tc-edit-fields {\n\twidth: 100%;\n}\n\n\n.tc-edit-fields table, .tc-edit-fields tr, .tc-edit-fields td {\n\tborder: none;\n\tpadding: 4px;\n}\n\n.tc-edit-fields > tbody > .tc-edit-field:nth-child(odd) {\n\tbackground-color: <<colour tiddler-editor-fields-odd>>;\n}\n\n.tc-edit-fields > tbody > .tc-edit-field:nth-child(even) {\n\tbackground-color: <<colour tiddler-editor-fields-even>>;\n}\n\n.tc-edit-field-name {\n\ttext-align: right;\n}\n\n.tc-edit-field-value input {\n\twidth: 100%;\n}\n\n.tc-edit-field-remove {\n}\n\n.tc-edit-field-remove svg {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n\tvertical-align: middle;\n}\n\n.tc-edit-field-add-name {\n\tdisplay: inline-block;\n\twidth: 15%;\n}\n\n.tc-edit-field-add-value {\n\tdisplay: inline-block;\n\twidth: 40%;\n}\n\n.tc-edit-field-add-button {\n\tdisplay: inline-block;\n\twidth: 10%;\n}\n\n/*\n** Storyview Classes\n*/\n\n.tc-storyview-zoomin-tiddler {\n\tposition: absolute;\n\tdisplay: block;\n\twidth: 100%;\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n\t.tc-storyview-zoomin-tiddler {\n\t\twidth: calc(100% - 84px);\n\t}\n\n}\n\n/*\n** Dropdowns\n*/\n\n.tc-btn-dropdown {\n\ttext-align: left;\n}\n\n.tc-btn-dropdown svg, .tc-btn-dropdown img {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-drop-down-wrapper {\n\tposition: relative;\n}\n\n.tc-drop-down {\n\tmin-width: 380px;\n\tborder: 1px solid <<colour dropdown-border>>;\n\tbackground-color: <<colour dropdown-background>>;\n\tpadding: 7px 0 7px 0;\n\tmargin: 4px 0 0 0;\n\twhite-space: nowrap;\n\ttext-shadow: none;\n\tline-height: 1.4;\n}\n\n.tc-drop-down .tc-drop-down {\n\tmargin-left: 14px;\n}\n\n.tc-drop-down button svg, .tc-drop-down a svg {\n\tfill: <<colour foreground>>;\n}\n\n.tc-drop-down button.tc-btn-invisible:hover svg {\n\tfill: <<colour foreground>>;\n}\n\n.tc-drop-down p {\n\tpadding: 0 14px 0 14px;\n}\n\n.tc-drop-down svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-drop-down img {\n\twidth: 1em;\n}\n\n.tc-drop-down a, .tc-drop-down button {\n\tdisplay: block;\n\tpadding: 0 14px 0 14px;\n\twidth: 100%;\n\ttext-align: left;\n\tcolor: <<colour foreground>>;\n\tline-height: 1.4;\n}\n\n.tc-drop-down .tc-tab-set .tc-tab-buttons button {\n\tdisplay: inline-block;\n width: auto;\n margin-bottom: 0px;\n border-bottom-left-radius: 0;\n border-bottom-right-radius: 0;\n}\n\n.tc-drop-down .tc-prompt {\n\tpadding: 0 14px;\n}\n\n.tc-drop-down .tc-chooser {\n\tborder: none;\n}\n\n.tc-drop-down .tc-chooser .tc-swatches-horiz {\n\tfont-size: 0.4em;\n\tpadding-left: 1.2em;\n}\n\n.tc-drop-down .tc-file-input-wrapper {\n\twidth: 100%;\n}\n\n.tc-drop-down .tc-file-input-wrapper button {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-drop-down a:hover, .tc-drop-down button:hover, .tc-drop-down .tc-file-input-wrapper:hover button {\n\tcolor: <<colour tiddler-link-background>>;\n\tbackground-color: <<colour tiddler-link-foreground>>;\n\ttext-decoration: none;\n}\n\n.tc-drop-down .tc-tab-buttons button {\n\tbackground-color: <<colour dropdown-tab-background>>;\n}\n\n.tc-drop-down .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour dropdown-tab-background-selected>>;\n\tborder-bottom: 1px solid <<colour dropdown-tab-background-selected>>;\n}\n\n.tc-drop-down-bullet {\n\tdisplay: inline-block;\n\twidth: 0.5em;\n}\n\n.tc-drop-down .tc-tab-contents a {\n\tpadding: 0 0.5em 0 0.5em;\n}\n\n.tc-block-dropdown-wrapper {\n\tposition: relative;\n}\n\n.tc-block-dropdown {\n\tposition: absolute;\n\tmin-width: 220px;\n\tborder: 1px solid <<colour dropdown-border>>;\n\tbackground-color: <<colour dropdown-background>>;\n\tpadding: 7px 0;\n\tmargin: 4px 0 0 0;\n\twhite-space: nowrap;\n\tz-index: 1000;\n\ttext-shadow: none;\n}\n\n.tc-block-dropdown.tc-search-drop-down {\n\tmargin-left: -12px;\n}\n\n.tc-block-dropdown a {\n\tdisplay: block;\n\tpadding: 4px 14px 4px 14px;\n}\n\n.tc-block-dropdown.tc-search-drop-down a {\n\tdisplay: block;\n\tpadding: 0px 10px 0px 10px;\n}\n\n.tc-drop-down .tc-dropdown-item-plain,\n.tc-block-dropdown .tc-dropdown-item-plain {\n\tpadding: 4px 14px 4px 7px;\n}\n\n.tc-drop-down .tc-dropdown-item,\n.tc-block-dropdown .tc-dropdown-item {\n\tpadding: 4px 14px 4px 7px;\n\tcolor: <<colour muted-foreground>>;\n}\n\n.tc-block-dropdown a:hover {\n\tcolor: <<colour tiddler-link-background>>;\n\tbackground-color: <<colour tiddler-link-foreground>>;\n\ttext-decoration: none;\n}\n\n.tc-search-results {\n\tpadding: 0 7px 0 7px;\n}\n\n.tc-image-chooser, .tc-colour-chooser {\n\twhite-space: normal;\n}\n\n.tc-image-chooser a,\n.tc-colour-chooser a {\n\tdisplay: inline-block;\n\tvertical-align: top;\n\ttext-align: center;\n\tposition: relative;\n}\n\n.tc-image-chooser a {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tpadding: 2px;\n\tmargin: 2px;\n\twidth: 4em;\n\theight: 4em;\n}\n\n.tc-colour-chooser a {\n\tpadding: 3px;\n\twidth: 2em;\n\theight: 2em;\n\tvertical-align: middle;\n}\n\n.tc-image-chooser a:hover,\n.tc-colour-chooser a:hover {\n\tbackground: <<colour primary>>;\n\tpadding: 0px;\n\tborder: 3px solid <<colour primary>>;\n}\n\n.tc-image-chooser a svg,\n.tc-image-chooser a img {\n\tdisplay: inline-block;\n\twidth: auto;\n\theight: auto;\n\tmax-width: 3.5em;\n\tmax-height: 3.5em;\n\tposition: absolute;\n\ttop: 0;\n\tbottom: 0;\n\tleft: 0;\n\tright: 0;\n\tmargin: auto;\n}\n\n/*\n** Modals\n*/\n\n.tc-modal-wrapper {\n\tposition: fixed;\n\toverflow: auto;\n\toverflow-y: scroll;\n\ttop: 0;\n\tright: 0;\n\tbottom: 0;\n\tleft: 0;\n\tz-index: 900;\n}\n\n.tc-modal-backdrop {\n\tposition: fixed;\n\ttop: 0;\n\tright: 0;\n\tbottom: 0;\n\tleft: 0;\n\tz-index: 1000;\n\tbackground-color: <<colour modal-backdrop>>;\n}\n\n.tc-modal {\n\tz-index: 1100;\n\tbackground-color: <<colour modal-background>>;\n\tborder: 1px solid <<colour modal-border>>;\n}\n\n@media (max-width: 55em) {\n\t.tc-modal {\n\t\tposition: fixed;\n\t\ttop: 1em;\n\t\tleft: 1em;\n\t\tright: 1em;\n\t}\n\n\t.tc-modal-body {\n\t\toverflow-y: auto;\n\t\tmax-height: 400px;\n\t\tmax-height: 60vh;\n\t}\n}\n\n@media (min-width: 55em) {\n\t.tc-modal {\n\t\tposition: fixed;\n\t\ttop: 2em;\n\t\tleft: 25%;\n\t\twidth: 50%;\n\t}\n\n\t.tc-modal-body {\n\t\toverflow-y: auto;\n\t\tmax-height: 400px;\n\t\tmax-height: 60vh;\n\t}\n}\n\n.tc-modal-header {\n\tpadding: 9px 15px;\n\tborder-bottom: 1px solid <<colour modal-header-border>>;\n}\n\n.tc-modal-header h3 {\n\tmargin: 0;\n\tline-height: 30px;\n}\n\n.tc-modal-header img, .tc-modal-header svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-modal-body {\n\tpadding: 15px;\n}\n\n.tc-modal-footer {\n\tpadding: 14px 15px 15px;\n\tmargin-bottom: 0;\n\ttext-align: right;\n\tbackground-color: <<colour modal-footer-background>>;\n\tborder-top: 1px solid <<colour modal-footer-border>>;\n}\n\n/*\n** Notifications\n*/\n\n.tc-notification {\n\tposition: fixed;\n\ttop: 14px;\n\tright: 42px;\n\tz-index: 1300;\n\tmax-width: 280px;\n\tpadding: 0 14px 0 14px;\n\tbackground-color: <<colour notification-background>>;\n\tborder: 1px solid <<colour notification-border>>;\n}\n\n/*\n** Tabs\n*/\n\n.tc-tab-set.tc-vertical {\n\tdisplay: -webkit-flex;\n\tdisplay: flex;\n}\n\n.tc-tab-buttons {\n\tfont-size: 0.85em;\n\tpadding-top: 1em;\n\tmargin-bottom: -2px;\n}\n\n.tc-tab-buttons.tc-vertical {\n\tz-index: 100;\n\tdisplay: block;\n\tpadding-top: 14px;\n\tvertical-align: top;\n\ttext-align: right;\n\tmargin-bottom: inherit;\n\tmargin-right: -1px;\n\tmax-width: 33%;\n\t-webkit-flex: 0 0 auto;\n\tflex: 0 0 auto;\n}\n\n.tc-tab-buttons button.tc-tab-selected {\n\tcolor: <<colour tab-foreground-selected>>;\n\tbackground-color: <<colour tab-background-selected>>;\n\tborder-left: 1px solid <<colour tab-border-selected>>;\n\tborder-top: 1px solid <<colour tab-border-selected>>;\n\tborder-right: 1px solid <<colour tab-border-selected>>;\n}\n\n.tc-tab-buttons button {\n\tcolor: <<colour tab-foreground>>;\n\tpadding: 3px 5px 3px 5px;\n\tmargin-right: 0.3em;\n\tfont-weight: 300;\n\tborder: none;\n\tbackground: inherit;\n\tbackground-color: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-right: 1px solid <<colour tab-border>>;\n\tborder-top-left-radius: 2px;\n\tborder-top-right-radius: 2px;\n\tborder-bottom-left-radius: 0;\n\tborder-bottom-right-radius: 0;\n}\n\n.tc-tab-buttons.tc-vertical button {\n\tdisplay: block;\n\twidth: 100%;\n\tmargin-top: 3px;\n\tmargin-right: 0;\n\ttext-align: right;\n\tbackground-color: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tborder-right: none;\n\tborder-top-left-radius: 2px;\n\tborder-bottom-left-radius: 2px;\n\tborder-top-right-radius: 0;\n\tborder-bottom-right-radius: 0;\n}\n\n.tc-tab-buttons.tc-vertical button.tc-tab-selected {\n\tbackground-color: <<colour tab-background-selected>>;\n\tborder-right: 1px solid <<colour tab-background-selected>>;\n}\n\n.tc-tab-divider {\n\tborder-top: 1px solid <<colour tab-divider>>;\n}\n\n.tc-tab-divider.tc-vertical {\n\tdisplay: none;\n}\n\n.tc-tab-content {\n\tmargin-top: 14px;\n}\n\n.tc-tab-content.tc-vertical {\n word-break: break-word;\n\tdisplay: inline-block;\n\tvertical-align: top;\n\tpadding-top: 0;\n\tpadding-left: 14px;\n\tborder-left: 1px solid <<colour tab-border>>;\n\t-webkit-flex: 1 0 70%;\n\tflex: 1 0 70%;\n}\n\n.tc-sidebar-lists .tc-tab-buttons {\n\tmargin-bottom: -1px;\n}\n\n.tc-sidebar-lists .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour sidebar-tab-background-selected>>;\n\tcolor: <<colour sidebar-tab-foreground-selected>>;\n\tborder-left: 1px solid <<colour sidebar-tab-border-selected>>;\n\tborder-top: 1px solid <<colour sidebar-tab-border-selected>>;\n\tborder-right: 1px solid <<colour sidebar-tab-border-selected>>;\n}\n\n.tc-sidebar-lists .tc-tab-buttons button {\n\tbackground-color: <<colour sidebar-tab-background>>;\n\tcolor: <<colour sidebar-tab-foreground>>;\n\tborder-left: 1px solid <<colour sidebar-tab-border>>;\n\tborder-top: 1px solid <<colour sidebar-tab-border>>;\n\tborder-right: 1px solid <<colour sidebar-tab-border>>;\n}\n\n.tc-sidebar-lists .tc-tab-divider {\n\tborder-top: 1px solid <<colour sidebar-tab-divider>>;\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button {\n\tdisplay: block;\n\twidth: 100%;\n\tbackground-color: <<colour sidebar-tab-background>>;\n\tborder-top: none;\n\tborder-left: none;\n\tborder-bottom: none;\n\tborder-right: 1px solid #ccc;\n\tmargin-bottom: inherit;\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button.tc-tab-selected {\n\tbackground-color: <<colour sidebar-tab-background-selected>>;\n\tborder: none;\n}\n\n/*\n** Manager\n*/\n\n.tc-manager-wrapper {\n\t\n}\n\n.tc-manager-controls {\n\t\n}\n\n.tc-manager-control {\n\tmargin: 0.5em 0;\n}\n\n.tc-manager-list {\n\twidth: 100%;\n\tborder-top: 1px solid <<colour muted-foreground>>;\n\tborder-left: 1px solid <<colour muted-foreground>>;\n\tborder-right: 1px solid <<colour muted-foreground>>;\n}\n\n.tc-manager-list-item {\n\n}\n\n.tc-manager-list-item-heading {\n display: block;\n width: 100%;\n text-align: left;\t\n\tborder-bottom: 1px solid <<colour muted-foreground>>;\n\tpadding: 3px;\n}\n\n.tc-manager-list-item-heading-selected {\n\tfont-weight: bold;\n\tcolor: <<colour background>>;\n\tfill: <<colour background>>;\n\tbackground-color: <<colour foreground>>;\n}\n\n.tc-manager-list-item-heading:hover {\n\tbackground: <<colour primary>>;\n\tcolor: <<colour background>>;\n}\n\n.tc-manager-list-item-content {\n\tdisplay: flex;\n}\n\n.tc-manager-list-item-content-sidebar {\n flex: 1 0;\n background: <<colour tiddler-editor-background>>;\n border-right: 0.5em solid <<colour muted-foreground>>;\n border-bottom: 0.5em solid <<colour muted-foreground>>;\n white-space: nowrap;\n}\n\n.tc-manager-list-item-content-item-heading {\n\tdisplay: block;\n\twidth: 100%;\n\ttext-align: left;\n background: <<colour muted-foreground>>;\n\ttext-transform: uppercase;\n\tfont-size: 0.6em;\n\tfont-weight: bold;\n padding: 0.5em 0 0.5em 0;\n}\n\n.tc-manager-list-item-content-item-body {\n\tpadding: 0 0.5em 0 0.5em;\n}\n\n.tc-manager-list-item-content-item-body > pre {\n\tmargin: 0.5em 0 0.5em 0;\n\tborder: none;\n\tbackground: inherit;\n}\n\n.tc-manager-list-item-content-tiddler {\n flex: 3 1;\n border-left: 0.5em solid <<colour muted-foreground>>;\n border-right: 0.5em solid <<colour muted-foreground>>;\n border-bottom: 0.5em solid <<colour muted-foreground>>;\n}\n\n.tc-manager-list-item-content-item-body > table {\n\tborder: none;\n\tpadding: 0;\n\tmargin: 0;\n}\n\n.tc-manager-list-item-content-item-body > table td {\n\tborder: none;\n}\n\n.tc-manager-icon-editor > button {\n\twidth: 100%;\n}\n\n.tc-manager-icon-editor > button > svg,\n.tc-manager-icon-editor > button > button {\n\twidth: 100%;\n\theight: auto;\n}\n\n/*\n** Alerts\n*/\n\n.tc-alerts {\n\tposition: fixed;\n\ttop: 0;\n\tleft: 0;\n\tmax-width: 500px;\n\tz-index: 20000;\n}\n\n.tc-alert {\n\tposition: relative;\n\tmargin: 28px;\n\tpadding: 14px 14px 14px 14px;\n\tborder: 2px solid <<colour alert-border>>;\n\tbackground-color: <<colour alert-background>>;\n}\n\n.tc-alert-toolbar {\n\tposition: absolute;\n\ttop: 14px;\n\tright: 14px;\n}\n\n.tc-alert-toolbar svg {\n\tfill: <<colour alert-muted-foreground>>;\n}\n\n.tc-alert-subtitle {\n\tcolor: <<colour alert-muted-foreground>>;\n\tfont-weight: bold;\n}\n\n.tc-alert-highlight {\n\tcolor: <<colour alert-highlight>>;\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n\n\t.tc-static-alert {\n\t\tposition: relative;\n\t}\n\n\t.tc-static-alert-inner {\n\t\tposition: absolute;\n\t\tz-index: 100;\n\t}\n\n}\n\n.tc-static-alert-inner {\n\tpadding: 0 2px 2px 42px;\n\tcolor: <<colour static-alert-foreground>>;\n}\n\n/*\n** Control panel\n*/\n\n.tc-control-panel td {\n\tpadding: 4px;\n}\n\n.tc-control-panel table, .tc-control-panel table input, .tc-control-panel table textarea {\n\twidth: 100%;\n}\n\n.tc-plugin-info {\n\tdisplay: block;\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground-colour: <<colour background>>;\n\tmargin: 0.5em 0 0.5em 0;\n\tpadding: 4px;\n}\n\n.tc-plugin-info-disabled {\n\tbackground: -webkit-repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);\n\tbackground: repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);\n}\n\n.tc-plugin-info-disabled:hover {\n\tbackground: -webkit-repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);\n\tbackground: repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);\n}\n\na.tc-tiddlylink.tc-plugin-info:hover {\n\ttext-decoration: none;\n\tbackground-color: <<colour primary>>;\n\tcolor: <<colour background>>;\n\tfill: <<colour foreground>>;\n}\n\na.tc-tiddlylink.tc-plugin-info:hover .tc-plugin-info > .tc-plugin-info-chunk > svg {\n\tfill: <<colour foreground>>;\n}\n\n.tc-plugin-info-chunk {\n\tdisplay: inline-block;\n\tvertical-align: middle;\n}\n\n.tc-plugin-info-chunk h1 {\n\tfont-size: 1em;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info-chunk h2 {\n\tfont-size: 0.8em;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info-chunk div {\n\tfont-size: 0.7em;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info:hover > .tc-plugin-info-chunk > img, .tc-plugin-info:hover > .tc-plugin-info-chunk > svg {\n\twidth: 2em;\n\theight: 2em;\n\tfill: <<colour foreground>>;\n}\n\n.tc-plugin-info > .tc-plugin-info-chunk > img, .tc-plugin-info > .tc-plugin-info-chunk > svg {\n\twidth: 2em;\n\theight: 2em;\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-plugin-info.tc-small-icon > .tc-plugin-info-chunk > img, .tc-plugin-info.tc-small-icon > .tc-plugin-info-chunk > svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-plugin-info-dropdown {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tmargin-top: -8px;\n}\n\n.tc-plugin-info-dropdown-message {\n\tbackground: <<colour message-background>>;\n\tpadding: 0.5em 1em 0.5em 1em;\n\tfont-weight: bold;\n\tfont-size: 0.8em;\n}\n\n.tc-plugin-info-dropdown-body {\n\tpadding: 1em 1em 1em 1em;\n}\n\n.tc-check-list {\n\tline-height: 2em;\n}\n\n.tc-check-list .tc-image-button {\n\theight: 1.5em;\n}\n\n/*\n** Message boxes\n*/\n\n.tc-message-box {\n\tborder: 1px solid <<colour message-border>>;\n\tbackground: <<colour message-background>>;\n\tpadding: 0px 21px 0px 21px;\n\tfont-size: 12px;\n\tline-height: 18px;\n\tcolor: <<colour message-foreground>>;\n}\n\n.tc-message-box svg {\n\twidth: 1em;\n\theight: 1em;\n vertical-align: text-bottom;\n}\n\n/*\n** Pictures\n*/\n\n.tc-bordered-image {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tpadding: 5px;\n\tmargin: 5px;\n}\n\n/*\n** Floats\n*/\n\n.tc-float-right {\n\tfloat: right;\n}\n\n/*\n** Chooser\n*/\n\n.tc-chooser {\n\tborder-right: 1px solid <<colour table-header-background>>;\n\tborder-left: 1px solid <<colour table-header-background>>;\n}\n\n\n.tc-chooser-item {\n\tborder-bottom: 1px solid <<colour table-header-background>>;\n\tborder-top: 1px solid <<colour table-header-background>>;\n\tpadding: 2px 4px 2px 14px;\n}\n\n.tc-drop-down .tc-chooser-item {\n\tpadding: 2px;\n}\n\n.tc-chosen,\n.tc-chooser-item:hover {\n\tbackground-color: <<colour table-header-background>>;\n\tborder-color: <<colour table-footer-background>>;\n}\n\n.tc-chosen .tc-tiddlylink {\n\tcursor:default;\n}\n\n.tc-chooser-item .tc-tiddlylink {\n\tdisplay: block;\n\ttext-decoration: none;\n\tbackground-color: transparent;\n}\n\n.tc-chooser-item:hover .tc-tiddlylink:hover {\n\ttext-decoration: none;\n}\n\n.tc-drop-down .tc-chosen .tc-tiddlylink,\n.tc-drop-down .tc-chooser-item .tc-tiddlylink:hover {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-chosen > .tc-tiddlylink:before {\n\tmargin-left: -10px;\n\tposition: relative;\n\tcontent: \"» \";\n}\n\n.tc-chooser-item svg,\n.tc-chooser-item img{\n\twidth: 1em;\n\theight: 1em;\n\tvertical-align: middle;\n}\n\n.tc-language-chooser .tc-image-button img {\n\twidth: 2em;\n\tvertical-align: -0.15em;\n}\n\n/*\n** Palette swatches\n*/\n\n.tc-swatches-horiz {\n}\n\n.tc-swatches-horiz .tc-swatch {\n\tdisplay: inline-block;\n}\n\n.tc-swatch {\n\twidth: 2em;\n\theight: 2em;\n\tmargin: 0.4em;\n\tborder: 1px solid #888;\n}\n\n/*\n** Table of contents\n*/\n\n.tc-sidebar-lists .tc-table-of-contents {\n\twhite-space: nowrap;\n}\n\n.tc-table-of-contents button {\n\tcolor: <<colour sidebar-foreground>>;\n}\n\n.tc-table-of-contents svg {\n\twidth: 0.7em;\n\theight: 0.7em;\n\tvertical-align: middle;\n\tfill: <<colour sidebar-foreground>>;\n}\n\n.tc-table-of-contents ol {\n\tlist-style-type: none;\n\tpadding-left: 0;\n}\n\n.tc-table-of-contents ol ol {\n\tpadding-left: 1em;\n}\n\n.tc-table-of-contents li {\n\tfont-size: 1.0em;\n\tfont-weight: bold;\n}\n\n.tc-table-of-contents li a {\n\tfont-weight: bold;\n}\n\n.tc-table-of-contents li li {\n\tfont-size: 0.95em;\n\tfont-weight: normal;\n\tline-height: 1.4;\n}\n\n.tc-table-of-contents li li a {\n\tfont-weight: normal;\n}\n\n.tc-table-of-contents li li li {\n\tfont-size: 0.95em;\n\tfont-weight: 200;\n\tline-height: 1.5;\n}\n\n.tc-table-of-contents li li li li {\n\tfont-size: 0.95em;\n\tfont-weight: 200;\n}\n\n.tc-tabbed-table-of-contents {\n\tdisplay: -webkit-flex;\n\tdisplay: flex;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents {\n\tz-index: 100;\n\tdisplay: inline-block;\n\tpadding-left: 1em;\n\tmax-width: 50%;\n\t-webkit-flex: 0 0 auto;\n\tflex: 0 0 auto;\n\tbackground: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a,\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {\n\tdisplay: block;\n\tpadding: 0.12em 1em 0.12em 0.25em;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a {\n\tborder-top: 1px solid <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-background>>;\n\tborder-bottom: 1px solid <<colour tab-background>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a:hover {\n\ttext-decoration: none;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tbackground: <<colour tab-border>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tbackground: <<colour background>>;\n\tmargin-right: -1px;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a:hover {\n\ttext-decoration: none;\n}\n\n.tc-tabbed-table-of-contents .tc-tabbed-table-of-contents-content {\n\tdisplay: inline-block;\n\tvertical-align: top;\n\tpadding-left: 1.5em;\n\tpadding-right: 1.5em;\n\tborder: 1px solid <<colour tab-border>>;\n\t-webkit-flex: 1 0 50%;\n\tflex: 1 0 50%;\n}\n\n/*\n** Dirty indicator\n*/\n\nbody.tc-dirty span.tc-dirty-indicator, body.tc-dirty span.tc-dirty-indicator svg {\n\tfill: <<colour dirty-indicator>>;\n\tcolor: <<colour dirty-indicator>>;\n}\n\n/*\n** File inputs\n*/\n\n.tc-file-input-wrapper {\n\tposition: relative;\n\toverflow: hidden;\n\tdisplay: inline-block;\n\tvertical-align: middle;\n}\n\n.tc-file-input-wrapper input[type=file] {\n\tposition: absolute;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbottom: 0;\n\tfont-size: 999px;\n\tmax-width: 100%;\n\tmax-height: 100%;\n\tfilter: alpha(opacity=0);\n\topacity: 0;\n\toutline: none;\n\tbackground: white;\n\tcursor: pointer;\n\tdisplay: inline-block;\n}\n\n/*\n** Thumbnail macros\n*/\n\n.tc-thumbnail-wrapper {\n\tposition: relative;\n\tdisplay: inline-block;\n\tmargin: 6px;\n\tvertical-align: top;\n}\n\n.tc-thumbnail-right-wrapper {\n\tfloat:right;\n\tmargin: 0.5em 0 0.5em 0.5em;\n}\n\n.tc-thumbnail-image {\n\ttext-align: center;\n\toverflow: hidden;\n\tborder-radius: 3px;\n}\n\n.tc-thumbnail-image svg,\n.tc-thumbnail-image img {\n\tfilter: alpha(opacity=1);\n\topacity: 1;\n\tmin-width: 100%;\n\tmin-height: 100%;\n\tmax-width: 100%;\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-image svg,\n.tc-thumbnail-wrapper:hover .tc-thumbnail-image img {\n\tfilter: alpha(opacity=0.8);\n\topacity: 0.8;\n}\n\n.tc-thumbnail-background {\n\tposition: absolute;\n\tborder-radius: 3px;\n}\n\n.tc-thumbnail-icon svg,\n.tc-thumbnail-icon img {\n\twidth: 3em;\n\theight: 3em;\n\t<<filter \"drop-shadow(2px 2px 4px rgba(0,0,0,0.3))\">>\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-icon svg,\n.tc-thumbnail-wrapper:hover .tc-thumbnail-icon img {\n\tfill: #fff;\n\t<<filter \"drop-shadow(3px 3px 4px rgba(0,0,0,0.6))\">>\n}\n\n.tc-thumbnail-icon {\n\tposition: absolute;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbottom: 0;\n\tdisplay: -webkit-flex;\n\t-webkit-align-items: center;\n\t-webkit-justify-content: center;\n\tdisplay: flex;\n\talign-items: center;\n\tjustify-content: center;\n}\n\n.tc-thumbnail-caption {\n\tposition: absolute;\n\tbackground-color: #777;\n\tcolor: #fff;\n\ttext-align: center;\n\tbottom: 0;\n\twidth: 100%;\n\tfilter: alpha(opacity=0.9);\n\topacity: 0.9;\n\tline-height: 1.4;\n\tborder-bottom-left-radius: 3px;\n\tborder-bottom-right-radius: 3px;\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-caption {\n\tfilter: alpha(opacity=1);\n\topacity: 1;\n}\n\n/*\n** Diffs\n*/\n\n.tc-diff-equal {\n\tbackground-color: <<colour diff-equal-background>>;\n\tcolor: <<colour diff-equal-foreground>>;\n}\n\n.tc-diff-insert {\n\tbackground-color: <<colour diff-insert-background>>;\n\tcolor: <<colour diff-insert-foreground>>;\n}\n\n.tc-diff-delete {\n\tbackground-color: <<colour diff-delete-background>>;\n\tcolor: <<colour diff-delete-foreground>>;\n}\n\n.tc-diff-invisible {\n\tbackground-color: <<colour diff-invisible-background>>;\n\tcolor: <<colour diff-invisible-foreground>>;\n}\n\n.tc-diff-tiddlers th {\n\ttext-align: right;\n\tbackground: <<colour background>>;\n\tfont-weight: normal;\n\tfont-style: italic;\n}\n\n.tc-diff-tiddlers pre {\n margin: 0;\n padding: 0;\n border: none;\n background: none;\n}\n\n/*\n** Errors\n*/\n\n.tc-error {\n\tbackground: #f00;\n\tcolor: #fff;\n}\n\n/*\n** Tree macro\n*/\n\n.tc-tree div {\n \tpadding-left: 14px;\n}\n\n.tc-tree ol {\n \tlist-style-type: none;\n \tpadding-left: 0;\n \tmargin-top: 0;\n}\n\n.tc-tree ol ol {\n \tpadding-left: 1em; \n}\n\n.tc-tree button { \n \tcolor: #acacac;\n}\n\n.tc-tree svg {\n \tfill: #acacac;\n}\n\n.tc-tree span svg {\n \twidth: 1em;\n \theight: 1em;\n \tvertical-align: baseline;\n}\n\n.tc-tree li span {\n \tcolor: lightgray;\n}\n"
},
"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize",
"text": "15px"
},
"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/bodylineheight",
"text": "22px"
},
"$:/themes/tiddlywiki/vanilla/metrics/fontsize": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/fontsize",
"text": "14px"
},
"$:/themes/tiddlywiki/vanilla/metrics/lineheight": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/lineheight",
"text": "20px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storyleft": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storyleft",
"text": "0px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storytop": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storytop",
"text": "0px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storyright": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storyright",
"text": "770px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storywidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storywidth",
"text": "770px"
},
"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth",
"text": "686px"
},
"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint",
"text": "960px"
},
"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth",
"text": "350px"
},
"$:/themes/tiddlywiki/vanilla/options/stickytitles": {
"title": "$:/themes/tiddlywiki/vanilla/options/stickytitles",
"text": "no"
},
"$:/themes/tiddlywiki/vanilla/options/sidebarlayout": {
"title": "$:/themes/tiddlywiki/vanilla/options/sidebarlayout",
"text": "fixed-fluid"
},
"$:/themes/tiddlywiki/vanilla/options/codewrapping": {
"title": "$:/themes/tiddlywiki/vanilla/options/codewrapping",
"text": "pre-wrap"
},
"$:/themes/tiddlywiki/vanilla/reset": {
"title": "$:/themes/tiddlywiki/vanilla/reset",
"type": "text/plain",
"text": "/*! normalize.css v3.0.0 | MIT License | git.io/normalize */\n\n/**\n * 1. Set default font family to sans-serif.\n * 2. Prevent iOS text size adjust after orientation change, without disabling\n * user zoom.\n */\n\nhtml {\n font-family: sans-serif; /* 1 */\n -ms-text-size-adjust: 100%; /* 2 */\n -webkit-text-size-adjust: 100%; /* 2 */\n}\n\n/**\n * Remove default margin.\n */\n\nbody {\n margin: 0;\n}\n\n/* HTML5 display definitions\n ========================================================================== */\n\n/**\n * Correct `block` display not defined in IE 8/9.\n */\n\narticle,\naside,\ndetails,\nfigcaption,\nfigure,\nfooter,\nheader,\nhgroup,\nmain,\nnav,\nsection,\nsummary {\n display: block;\n}\n\n/**\n * 1. Correct `inline-block` display not defined in IE 8/9.\n * 2. Normalize vertical alignment of `progress` in Chrome, Firefox, and Opera.\n */\n\naudio,\ncanvas,\nprogress,\nvideo {\n display: inline-block; /* 1 */\n vertical-align: baseline; /* 2 */\n}\n\n/**\n * Prevent modern browsers from displaying `audio` without controls.\n * Remove excess height in iOS 5 devices.\n */\n\naudio:not([controls]) {\n display: none;\n height: 0;\n}\n\n/**\n * Address `[hidden]` styling not present in IE 8/9.\n * Hide the `template` element in IE, Safari, and Firefox < 22.\n */\n\n[hidden],\ntemplate {\n display: none;\n}\n\n/* Links\n ========================================================================== */\n\n/**\n * Remove the gray background color from active links in IE 10.\n */\n\na {\n background: transparent;\n}\n\n/**\n * Improve readability when focused and also mouse hovered in all browsers.\n */\n\na:active,\na:hover {\n outline: 0;\n}\n\n/* Text-level semantics\n ========================================================================== */\n\n/**\n * Address styling not present in IE 8/9, Safari 5, and Chrome.\n */\n\nabbr[title] {\n border-bottom: 1px dotted;\n}\n\n/**\n * Address style set to `bolder` in Firefox 4+, Safari 5, and Chrome.\n */\n\nb,\nstrong {\n font-weight: bold;\n}\n\n/**\n * Address styling not present in Safari 5 and Chrome.\n */\n\ndfn {\n font-style: italic;\n}\n\n/**\n * Address variable `h1` font-size and margin within `section` and `article`\n * contexts in Firefox 4+, Safari 5, and Chrome.\n */\n\nh1 {\n font-size: 2em;\n margin: 0.67em 0;\n}\n\n/**\n * Address styling not present in IE 8/9.\n */\n\nmark {\n background: #ff0;\n color: #000;\n}\n\n/**\n * Address inconsistent and variable font size in all browsers.\n */\n\nsmall {\n font-size: 80%;\n}\n\n/**\n * Prevent `sub` and `sup` affecting `line-height` in all browsers.\n */\n\nsub,\nsup {\n font-size: 75%;\n line-height: 0;\n position: relative;\n vertical-align: baseline;\n}\n\nsup {\n top: -0.5em;\n}\n\nsub {\n bottom: -0.25em;\n}\n\n/* Embedded content\n ========================================================================== */\n\n/**\n * Remove border when inside `a` element in IE 8/9.\n */\n\nimg {\n border: 0;\n}\n\n/**\n * Correct overflow displayed oddly in IE 9.\n */\n\nsvg:not(:root) {\n overflow: hidden;\n}\n\n/* Grouping content\n ========================================================================== */\n\n/**\n * Address margin not present in IE 8/9 and Safari 5.\n */\n\nfigure {\n margin: 1em 40px;\n}\n\n/**\n * Address differences between Firefox and other browsers.\n */\n\nhr {\n -moz-box-sizing: content-box;\n box-sizing: content-box;\n height: 0;\n}\n\n/**\n * Contain overflow in all browsers.\n */\n\npre {\n overflow: auto;\n}\n\n/**\n * Address odd `em`-unit font size rendering in all browsers.\n */\n\ncode,\nkbd,\npre,\nsamp {\n font-family: monospace, monospace;\n font-size: 1em;\n}\n\n/* Forms\n ========================================================================== */\n\n/**\n * Known limitation: by default, Chrome and Safari on OS X allow very limited\n * styling of `select`, unless a `border` property is set.\n */\n\n/**\n * 1. Correct color not being inherited.\n * Known issue: affects color of disabled elements.\n * 2. Correct font properties not being inherited.\n * 3. Address margins set differently in Firefox 4+, Safari 5, and Chrome.\n */\n\nbutton,\ninput,\noptgroup,\nselect,\ntextarea {\n color: inherit; /* 1 */\n font: inherit; /* 2 */\n margin: 0; /* 3 */\n}\n\n/**\n * Address `overflow` set to `hidden` in IE 8/9/10.\n */\n\nbutton {\n overflow: visible;\n}\n\n/**\n * Address inconsistent `text-transform` inheritance for `button` and `select`.\n * All other form control elements do not inherit `text-transform` values.\n * Correct `button` style inheritance in Firefox, IE 8+, and Opera\n * Correct `select` style inheritance in Firefox.\n */\n\nbutton,\nselect {\n text-transform: none;\n}\n\n/**\n * 1. Avoid the WebKit bug in Android 4.0.* where (2) destroys native `audio`\n * and `video` controls.\n * 2. Correct inability to style clickable `input` types in iOS.\n * 3. Improve usability and consistency of cursor style between image-type\n * `input` and others.\n */\n\nbutton,\nhtml input[type=\"button\"], /* 1 */\ninput[type=\"reset\"],\ninput[type=\"submit\"] {\n -webkit-appearance: button; /* 2 */\n cursor: pointer; /* 3 */\n}\n\n/**\n * Re-set default cursor for disabled elements.\n */\n\nbutton[disabled],\nhtml input[disabled] {\n cursor: default;\n}\n\n/**\n * Remove inner padding and border in Firefox 4+.\n */\n\nbutton::-moz-focus-inner,\ninput::-moz-focus-inner {\n border: 0;\n padding: 0;\n}\n\n/**\n * Address Firefox 4+ setting `line-height` on `input` using `!important` in\n * the UA stylesheet.\n */\n\ninput {\n line-height: normal;\n}\n\n/**\n * It's recommended that you don't attempt to style these elements.\n * Firefox's implementation doesn't respect box-sizing, padding, or width.\n *\n * 1. Address box sizing set to `content-box` in IE 8/9/10.\n * 2. Remove excess padding in IE 8/9/10.\n */\n\ninput[type=\"checkbox\"],\ninput[type=\"radio\"] {\n box-sizing: border-box; /* 1 */\n padding: 0; /* 2 */\n}\n\n/**\n * Fix the cursor style for Chrome's increment/decrement buttons. For certain\n * `font-size` values of the `input`, it causes the cursor style of the\n * decrement button to change from `default` to `text`.\n */\n\ninput[type=\"number\"]::-webkit-inner-spin-button,\ninput[type=\"number\"]::-webkit-outer-spin-button {\n height: auto;\n}\n\n/**\n * 1. Address `appearance` set to `searchfield` in Safari 5 and Chrome.\n * 2. Address `box-sizing` set to `border-box` in Safari 5 and Chrome\n * (include `-moz` to future-proof).\n */\n\ninput[type=\"search\"] {\n -webkit-appearance: textfield; /* 1 */\n -moz-box-sizing: content-box;\n -webkit-box-sizing: content-box; /* 2 */\n box-sizing: content-box;\n}\n\n/**\n * Remove inner padding and search cancel button in Safari and Chrome on OS X.\n * Safari (but not Chrome) clips the cancel button when the search input has\n * padding (and `textfield` appearance).\n */\n\ninput[type=\"search\"]::-webkit-search-cancel-button,\ninput[type=\"search\"]::-webkit-search-decoration {\n -webkit-appearance: none;\n}\n\n/**\n * Define consistent border, margin, and padding.\n */\n\nfieldset {\n border: 1px solid #c0c0c0;\n margin: 0 2px;\n padding: 0.35em 0.625em 0.75em;\n}\n\n/**\n * 1. Correct `color` not being inherited in IE 8/9.\n * 2. Remove padding so people aren't caught out if they zero out fieldsets.\n */\n\nlegend {\n border: 0; /* 1 */\n padding: 0; /* 2 */\n}\n\n/**\n * Remove default vertical scrollbar in IE 8/9.\n */\n\ntextarea {\n overflow: auto;\n}\n\n/**\n * Don't inherit the `font-weight` (applied by a rule above).\n * NOTE: the default cannot safely be changed in Chrome and Safari on OS X.\n */\n\noptgroup {\n font-weight: bold;\n}\n\n/* Tables\n ========================================================================== */\n\n/**\n * Remove most spacing between table cells.\n */\n\ntable {\n border-collapse: collapse;\n border-spacing: 0;\n}\n\ntd,\nth {\n padding: 0;\n}\n"
},
"$:/themes/tiddlywiki/vanilla/settings/fontfamily": {
"title": "$:/themes/tiddlywiki/vanilla/settings/fontfamily",
"text": "-apple-system, BlinkMacSystemFont, \"Segoe UI\", Helvetica, Arial, sans-serif, \"Apple Color Emoji\", \"Segoe UI Emoji\", \"Segoe UI Symbol\""
},
"$:/themes/tiddlywiki/vanilla/settings/codefontfamily": {
"title": "$:/themes/tiddlywiki/vanilla/settings/codefontfamily",
"text": "\"SFMono-Regular\",Consolas,\"Liberation Mono\",Menlo,Courier,monospace"
},
"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment": {
"title": "$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment",
"text": "fixed"
},
"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize": {
"title": "$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize",
"text": "auto"
},
"$:/themes/tiddlywiki/vanilla/sticky": {
"title": "$:/themes/tiddlywiki/vanilla/sticky",
"text": "<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"match\" text=\"yes\">\n``\n.tc-tiddler-title {\n\tposition: -webkit-sticky;\n\tposition: -moz-sticky;\n\tposition: -o-sticky;\n\tposition: -ms-sticky;\n\tposition: sticky;\n\ttop: 0px;\n\tbackground: ``<<colour tiddler-background>>``;\n\tz-index: 500;\n}\n``\n</$reveal>\n"
}
}
}
\define custom-background-datauri()
<$set name="background" value={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}>
<$list filter="[<background>is[image]]">
`background: url(`
<$list filter="[<background>!has[_canonical_uri]]">
`"`<$macrocall $name="datauri" title={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}/>`"`
</$list>
<$list filter="[<background>has[_canonical_uri]]">
`"`<$view tiddler={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}} field="_canonical_uri"/>`"`
</$list>
`) center center;`
`background-attachment: `{{$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment}}`;
-webkit-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;
-moz-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;
-o-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;
background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;`
</$list>
</$set>
\end
\define if-fluid-fixed(text,hiddenSidebarText)
<$reveal state="$:/themes/tiddlywiki/vanilla/options/sidebarlayout" type="match" text="fluid-fixed">
$text$
<$reveal state="$:/state/sidebar" type="nomatch" text="yes" default="yes">
$hiddenSidebarText$
</$reveal>
</$reveal>
\end
\define if-editor-height-fixed(then,else)
<$reveal state="$:/config/TextEditor/EditorHeight/Mode" type="match" text="fixed">
$then$
</$reveal>
<$reveal state="$:/config/TextEditor/EditorHeight/Mode" type="match" text="auto">
$else$
</$reveal>
\end
\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline macrocallblock
/*
** Start with the normalize CSS reset, and then belay some of its effects
*/
{{$:/themes/tiddlywiki/vanilla/reset}}
*, input[type="search"] {
box-sizing: border-box;
-moz-box-sizing: border-box;
-webkit-box-sizing: border-box;
}
html button {
line-height: 1.2;
color: <<colour button-foreground>>;
background: <<colour button-background>>;
border-color: <<colour button-border>>;
}
/*
** Basic element styles
*/
html {
font-family: {{$:/themes/tiddlywiki/vanilla/settings/fontfamily}};
text-rendering: optimizeLegibility; /* Enables kerning and ligatures etc. */
-webkit-font-smoothing: antialiased;
-moz-osx-font-smoothing: grayscale;
}
html:-webkit-full-screen {
background-color: <<colour page-background>>;
}
body.tc-body {
font-size: {{$:/themes/tiddlywiki/vanilla/metrics/fontsize}};
line-height: {{$:/themes/tiddlywiki/vanilla/metrics/lineheight}};
word-wrap: break-word;
<<custom-background-datauri>>
color: <<colour foreground>>;
background-color: <<colour page-background>>;
fill: <<colour foreground>>;
}
<<if-background-attachment """
body.tc-body {
background-color: transparent;
}
""">>
h1, h2, h3, h4, h5, h6 {
line-height: 1.2;
font-weight: 300;
}
pre {
display: block;
padding: 14px;
margin-top: 1em;
margin-bottom: 1em;
word-break: normal;
word-wrap: break-word;
white-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};
background-color: <<colour pre-background>>;
border: 1px solid <<colour pre-border>>;
padding: 0 3px 2px;
border-radius: 3px;
font-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};
}
code {
color: <<colour code-foreground>>;
background-color: <<colour code-background>>;
border: 1px solid <<colour code-border>>;
white-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};
padding: 0 3px 2px;
border-radius: 3px;
font-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};
}
blockquote {
border-left: 5px solid <<colour blockquote-bar>>;
margin-left: 25px;
padding-left: 10px;
quotes: "\201C""\201D""\2018""\2019";
}
blockquote.tc-big-quote {
font-family: Georgia, serif;
position: relative;
background: <<colour pre-background>>;
border-left: none;
margin-left: 50px;
margin-right: 50px;
padding: 10px;
border-radius: 8px;
}
blockquote.tc-big-quote cite:before {
content: "\2014 \2009";
}
blockquote.tc-big-quote:before {
font-family: Georgia, serif;
color: <<colour blockquote-bar>>;
content: open-quote;
font-size: 8em;
line-height: 0.1em;
margin-right: 0.25em;
vertical-align: -0.4em;
position: absolute;
left: -50px;
top: 42px;
}
blockquote.tc-big-quote:after {
font-family: Georgia, serif;
color: <<colour blockquote-bar>>;
content: close-quote;
font-size: 8em;
line-height: 0.1em;
margin-right: 0.25em;
vertical-align: -0.4em;
position: absolute;
right: -80px;
bottom: -20px;
}
dl dt {
font-weight: bold;
margin-top: 6px;
}
textarea,
input[type=text],
input[type=search],
input[type=""],
input:not([type]) {
color: <<colour foreground>>;
background: <<colour background>>;
}
input[type="checkbox"] {
vertical-align: middle;
}
.tc-muted {
color: <<colour muted-foreground>>;
}
svg.tc-image-button {
padding: 0px 1px 1px 0px;
}
.tc-icon-wrapper > svg {
width: 1em;
height: 1em;
}
kbd {
display: inline-block;
padding: 3px 5px;
font-size: 0.8em;
line-height: 1.2;
color: <<colour foreground>>;
vertical-align: middle;
background-color: <<colour background>>;
border: solid 1px <<colour muted-foreground>>;
border-bottom-color: <<colour muted-foreground>>;
border-radius: 3px;
box-shadow: inset 0 -1px 0 <<colour muted-foreground>>;
}
/*
Markdown likes putting code elements inside pre elements
*/
pre > code {
padding: 0;
border: none;
background-color: inherit;
color: inherit;
}
table {
border: 1px solid <<colour table-border>>;
width: auto;
max-width: 100%;
caption-side: bottom;
margin-top: 1em;
margin-bottom: 1em;
}
table th, table td {
padding: 0 7px 0 7px;
border-top: 1px solid <<colour table-border>>;
border-left: 1px solid <<colour table-border>>;
}
table thead tr td, table th {
background-color: <<colour table-header-background>>;
font-weight: bold;
}
table tfoot tr td {
background-color: <<colour table-footer-background>>;
}
.tc-csv-table {
white-space: nowrap;
}
.tc-tiddler-frame img,
.tc-tiddler-frame svg,
.tc-tiddler-frame canvas,
.tc-tiddler-frame embed,
.tc-tiddler-frame iframe {
max-width: 100%;
}
.tc-tiddler-body > embed,
.tc-tiddler-body > iframe {
width: 100%;
height: 600px;
}
/*
** Links
*/
button.tc-tiddlylink,
a.tc-tiddlylink {
text-decoration: none;
font-weight: 500;
color: <<colour tiddler-link-foreground>>;
-webkit-user-select: inherit; /* Otherwise the draggable attribute makes links impossible to select */
}
.tc-sidebar-lists a.tc-tiddlylink {
color: <<colour sidebar-tiddler-link-foreground>>;
}
.tc-sidebar-lists a.tc-tiddlylink:hover {
color: <<colour sidebar-tiddler-link-foreground-hover>>;
}
button.tc-tiddlylink:hover,
a.tc-tiddlylink:hover {
text-decoration: underline;
}
a.tc-tiddlylink-resolves {
}
a.tc-tiddlylink-shadow {
font-weight: bold;
}
a.tc-tiddlylink-shadow.tc-tiddlylink-resolves {
font-weight: normal;
}
a.tc-tiddlylink-missing {
font-style: italic;
}
a.tc-tiddlylink-external {
text-decoration: underline;
color: <<colour external-link-foreground>>;
background-color: <<colour external-link-background>>;
}
a.tc-tiddlylink-external:visited {
color: <<colour external-link-foreground-visited>>;
background-color: <<colour external-link-background-visited>>;
}
a.tc-tiddlylink-external:hover {
color: <<colour external-link-foreground-hover>>;
background-color: <<colour external-link-background-hover>>;
}
/*
** Drag and drop styles
*/
.tc-tiddler-dragger {
position: relative;
z-index: -10000;
}
.tc-tiddler-dragger-inner {
position: absolute;
top: -1000px;
left: -1000px;
display: inline-block;
padding: 8px 20px;
font-size: 16.9px;
font-weight: bold;
line-height: 20px;
color: <<colour dragger-foreground>>;
text-shadow: 0 1px 0 rgba(0, 0, 0, 1);
white-space: nowrap;
vertical-align: baseline;
background-color: <<colour dragger-background>>;
border-radius: 20px;
}
.tc-tiddler-dragger-cover {
position: absolute;
background-color: <<colour page-background>>;
}
.tc-dropzone {
position: relative;
}
.tc-dropzone.tc-dragover:before {
z-index: 10000;
display: block;
position: fixed;
top: 0;
left: 0;
right: 0;
background: <<colour dropzone-background>>;
text-align: center;
content: "<<lingo DropMessage>>";
}
.tc-droppable > .tc-droppable-placeholder {
display: none;
}
.tc-droppable.tc-dragover > .tc-droppable-placeholder {
display: block;
border: 2px dashed <<colour dropzone-background>>;
}
.tc-draggable {
cursor: move;
}
/*
** Plugin reload warning
*/
.tc-plugin-reload-warning {
z-index: 1000;
display: block;
position: fixed;
top: 0;
left: 0;
right: 0;
background: <<colour alert-background>>;
text-align: center;
}
/*
** Buttons
*/
button svg, button img, label svg, label img {
vertical-align: middle;
}
.tc-btn-invisible {
padding: 0;
margin: 0;
background: none;
border: none;
cursor: pointer;
}
.tc-btn-boxed {
font-size: 0.6em;
padding: 0.2em;
margin: 1px;
background: none;
border: 1px solid <<colour tiddler-controls-foreground>>;
border-radius: 0.25em;
}
html body.tc-body .tc-btn-boxed svg {
font-size: 1.6666em;
}
.tc-btn-boxed:hover {
background: <<colour muted-foreground>>;
color: <<colour background>>;
}
html body.tc-body .tc-btn-boxed:hover svg {
fill: <<colour background>>;
}
.tc-btn-rounded {
font-size: 0.5em;
line-height: 2;
padding: 0em 0.3em 0.2em 0.4em;
margin: 1px;
border: 1px solid <<colour muted-foreground>>;
background: <<colour muted-foreground>>;
color: <<colour background>>;
border-radius: 2em;
}
html body.tc-body .tc-btn-rounded svg {
font-size: 1.6666em;
fill: <<colour background>>;
}
.tc-btn-rounded:hover {
border: 1px solid <<colour muted-foreground>>;
background: <<colour background>>;
color: <<colour muted-foreground>>;
}
html body.tc-body .tc-btn-rounded:hover svg {
fill: <<colour muted-foreground>>;
}
.tc-btn-icon svg {
height: 1em;
width: 1em;
fill: <<colour muted-foreground>>;
}
.tc-btn-text {
padding: 0;
margin: 0;
}
/* used for documentation "fake" buttons */
.tc-btn-standard {
line-height: 1.8;
color: #667;
background-color: #e0e0e0;
border: 1px solid #888;
padding: 2px 1px 2px 1px;
margin: 1px 4px 1px 4px;
}
.tc-btn-big-green {
display: inline-block;
padding: 8px;
margin: 4px 8px 4px 8px;
background: <<colour download-background>>;
color: <<colour download-foreground>>;
fill: <<colour download-foreground>>;
border: none;
font-size: 1.2em;
line-height: 1.4em;
text-decoration: none;
}
.tc-btn-big-green svg,
.tc-btn-big-green img {
height: 2em;
width: 2em;
vertical-align: middle;
fill: <<colour download-foreground>>;
}
.tc-sidebar-lists input {
color: <<colour foreground>>;
}
.tc-sidebar-lists button {
color: <<colour sidebar-button-foreground>>;
fill: <<colour sidebar-button-foreground>>;
}
.tc-sidebar-lists button.tc-btn-mini {
color: <<colour sidebar-muted-foreground>>;
}
.tc-sidebar-lists button.tc-btn-mini:hover {
color: <<colour sidebar-muted-foreground-hover>>;
}
button svg.tc-image-button, button .tc-image-button img {
height: 1em;
width: 1em;
}
.tc-unfold-banner {
position: absolute;
padding: 0;
margin: 0;
background: none;
border: none;
width: 100%;
width: calc(100% + 2px);
margin-left: -43px;
text-align: center;
border-top: 2px solid <<colour tiddler-info-background>>;
margin-top: 4px;
}
.tc-unfold-banner:hover {
background: <<colour tiddler-info-background>>;
border-top: 2px solid <<colour tiddler-info-border>>;
}
.tc-unfold-banner svg, .tc-fold-banner svg {
height: 0.75em;
fill: <<colour tiddler-controls-foreground>>;
}
.tc-unfold-banner:hover svg, .tc-fold-banner:hover svg {
fill: <<colour tiddler-controls-foreground-hover>>;
}
.tc-fold-banner {
position: absolute;
padding: 0;
margin: 0;
background: none;
border: none;
width: 23px;
text-align: center;
margin-left: -35px;
top: 6px;
bottom: 6px;
}
.tc-fold-banner:hover {
background: <<colour tiddler-info-background>>;
}
@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-unfold-banner {
position: static;
width: calc(100% + 59px);
}
.tc-fold-banner {
width: 16px;
margin-left: -16px;
font-size: 0.75em;
}
}
/*
** Tags and missing tiddlers
*/
.tc-tag-list-item {
position: relative;
display: inline-block;
margin-right: 7px;
}
.tc-tags-wrapper {
margin: 4px 0 14px 0;
}
.tc-missing-tiddler-label {
font-style: italic;
font-weight: normal;
display: inline-block;
font-size: 11.844px;
line-height: 14px;
white-space: nowrap;
vertical-align: baseline;
}
button.tc-tag-label, span.tc-tag-label {
display: inline-block;
padding: 0.16em 0.7em;
font-size: 0.9em;
font-weight: 400;
line-height: 1.2em;
color: <<colour tag-foreground>>;
white-space: nowrap;
vertical-align: baseline;
background-color: <<colour tag-background>>;
border-radius: 1em;
}
.tc-untagged-separator {
width: 10em;
left: 0;
margin-left: 0;
border: 0;
height: 1px;
background: <<colour tab-divider>>;
}
button.tc-untagged-label {
background-color: <<colour untagged-background>>;
}
.tc-tag-label svg, .tc-tag-label img {
height: 1em;
width: 1em;
fill: <<colour tag-foreground>>;
vertical-align: text-bottom;
}
.tc-tag-manager-table .tc-tag-label {
white-space: normal;
}
.tc-tag-manager-tag {
width: 100%;
}
/*
** Page layout
*/
.tc-topbar {
position: fixed;
z-index: 1200;
}
.tc-topbar-left {
left: 29px;
top: 5px;
}
.tc-topbar-right {
top: 5px;
right: 29px;
}
.tc-topbar button {
padding: 8px;
}
.tc-topbar svg {
fill: <<colour muted-foreground>>;
}
.tc-topbar button:hover svg {
fill: <<colour foreground>>;
}
.tc-sidebar-header {
color: <<colour sidebar-foreground>>;
fill: <<colour sidebar-foreground>>;
}
.tc-sidebar-header .tc-title a.tc-tiddlylink-resolves {
font-weight: 300;
}
.tc-sidebar-header .tc-sidebar-lists p {
margin-top: 3px;
margin-bottom: 3px;
}
.tc-sidebar-header .tc-missing-tiddler-label {
color: <<colour sidebar-foreground>>;
}
.tc-advanced-search input {
width: 60%;
}
.tc-search a svg {
width: 1.2em;
height: 1.2em;
vertical-align: middle;
}
.tc-page-controls {
margin-top: 14px;
font-size: 1.5em;
}
.tc-page-controls .tc-drop-down {
font-size: 1rem;
}
.tc-page-controls button {
margin-right: 0.5em;
}
.tc-page-controls a.tc-tiddlylink:hover {
text-decoration: none;
}
.tc-page-controls img {
width: 1em;
}
.tc-page-controls svg {
fill: <<colour sidebar-controls-foreground>>;
}
.tc-page-controls button:hover svg, .tc-page-controls a:hover svg {
fill: <<colour sidebar-controls-foreground-hover>>;
}
.tc-menu-list-item {
white-space: nowrap;
}
.tc-menu-list-count {
font-weight: bold;
}
.tc-menu-list-subitem {
padding-left: 7px;
}
.tc-story-river {
position: relative;
}
@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-sidebar-header {
padding: 1px;
min-height: 6px;
margin-top: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};
}
.tc-story-river {
position: relative;
padding: 0;
}
}
@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-message-box {
margin: 21px -21px 21px -21px;
}
.tc-sidebar-scrollable {
position: fixed;
top: 40px;
left: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};
bottom: 0;
right: 0;
overflow-y: auto;
overflow-x: auto;
-webkit-overflow-scrolling: touch;
margin: 0 0 0 -42px;
padding: 71px 0 28px 42px;
}
html[dir="rtl"] .tc-sidebar-scrollable {
left: auto;
right: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};
}
.tc-story-river {
position: relative;
left: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};
top: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};
width: {{$:/themes/tiddlywiki/vanilla/metrics/storywidth}};
padding: 7px 36px 0px 36px;
}
<<if-no-sidebar "
.tc-story-river {
width: calc(100% - {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}});
}
">>
}
@media print {
body.tc-body {
background-color: transparent;
}
.tc-sidebar-header, .tc-topbar {
display: none;
}
.tc-story-river {
margin: 0;
padding: 0;
}
.tc-story-river .tc-tiddler-frame {
margin: 0;
border: none;
padding: 0;
}
}
/*
** Tiddler styles
*/
.tc-tiddler-frame {
position: relative;
margin-bottom: 22px;
background-color: <<colour tiddler-background>>;
border: 1px solid <<colour tiddler-border>>;
}
{{$:/themes/tiddlywiki/vanilla/sticky}}
.tc-tiddler-info {
padding: 14px 42px 14px 42px;
background-color: <<colour tiddler-info-background>>;
border-top: 1px solid <<colour tiddler-info-border>>;
border-bottom: 1px solid <<colour tiddler-info-border>>;
}
.tc-tiddler-info p {
margin-top: 3px;
margin-bottom: 3px;
}
.tc-tiddler-info .tc-tab-buttons button.tc-tab-selected {
background-color: <<colour tiddler-info-tab-background>>;
border-bottom: 1px solid <<colour tiddler-info-tab-background>>;
}
.tc-view-field-table {
width: 100%;
}
.tc-view-field-name {
width: 1%; /* Makes this column be as narrow as possible */
text-align: right;
font-style: italic;
font-weight: 200;
}
.tc-view-field-value {
}
@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-tiddler-frame {
padding: 14px 14px 14px 14px;
}
.tc-tiddler-info {
margin: 0 -14px 0 -14px;
}
}
@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-tiddler-frame {
padding: 28px 42px 42px 42px;
width: {{$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth}};
border-radius: 2px;
}
<<if-no-sidebar "
.tc-tiddler-frame {
width: 100%;
}
">>
.tc-tiddler-info {
margin: 0 -42px 0 -42px;
}
}
.tc-site-title,
.tc-titlebar {
font-weight: 300;
font-size: 2.35em;
line-height: 1.2em;
color: <<colour tiddler-title-foreground>>;
margin: 0;
}
.tc-site-title {
color: <<colour site-title-foreground>>;
}
.tc-tiddler-title-icon {
vertical-align: middle;
}
.tc-system-title-prefix {
color: <<colour muted-foreground>>;
}
.tc-titlebar h2 {
font-size: 1em;
display: inline;
}
.tc-titlebar img {
height: 1em;
}
.tc-subtitle {
font-size: 0.9em;
color: <<colour tiddler-subtitle-foreground>>;
font-weight: 300;
}
.tc-tiddler-missing .tc-title {
font-style: italic;
font-weight: normal;
}
.tc-tiddler-frame .tc-tiddler-controls {
float: right;
}
.tc-tiddler-controls .tc-drop-down {
font-size: 0.6em;
}
.tc-tiddler-controls .tc-drop-down .tc-drop-down {
font-size: 1em;
}
.tc-tiddler-controls > span > button,
.tc-tiddler-controls > span > span > button,
.tc-tiddler-controls > span > span > span > button {
vertical-align: baseline;
margin-left:5px;
}
.tc-tiddler-controls button svg, .tc-tiddler-controls button img,
.tc-search button svg, .tc-search a svg {
fill: <<colour tiddler-controls-foreground>>;
}
.tc-tiddler-controls button svg, .tc-tiddler-controls button img {
height: 0.75em;
}
.tc-search button svg, .tc-search a svg {
height: 1.2em;
width: 1.2em;
margin: 0 0.25em;
}
.tc-tiddler-controls button.tc-selected svg,
.tc-page-controls button.tc-selected svg {
fill: <<colour tiddler-controls-foreground-selected>>;
}
.tc-tiddler-controls button.tc-btn-invisible:hover svg,
.tc-search button:hover svg, .tc-search a:hover svg {
fill: <<colour tiddler-controls-foreground-hover>>;
}
@media print {
.tc-tiddler-controls {
display: none;
}
}
.tc-tiddler-help { /* Help prompts within tiddler template */
color: <<colour muted-foreground>>;
margin-top: 14px;
}
.tc-tiddler-help a.tc-tiddlylink {
color: <<colour very-muted-foreground>>;
}
.tc-tiddler-frame .tc-edit-texteditor {
width: 100%;
margin: 4px 0 4px 0;
}
.tc-tiddler-frame input.tc-edit-texteditor,
.tc-tiddler-frame textarea.tc-edit-texteditor,
.tc-tiddler-frame iframe.tc-edit-texteditor {
padding: 3px 3px 3px 3px;
border: 1px solid <<colour tiddler-editor-border>>;
background-color: <<colour tiddler-editor-background>>;
line-height: 1.3em;
-webkit-appearance: none;
font-family: {{$:/themes/tiddlywiki/vanilla/settings/editorfontfamily}};
}
.tc-tiddler-frame .tc-binary-warning {
width: 100%;
height: 5em;
text-align: center;
padding: 3em 3em 6em 3em;
background: <<colour alert-background>>;
border: 1px solid <<colour alert-border>>;
}
canvas.tc-edit-bitmapeditor {
border: 6px solid <<colour tiddler-editor-border-image>>;
cursor: crosshair;
-moz-user-select: none;
-webkit-user-select: none;
-ms-user-select: none;
margin-top: 6px;
margin-bottom: 6px;
}
.tc-edit-bitmapeditor-width {
display: block;
}
.tc-edit-bitmapeditor-height {
display: block;
}
.tc-tiddler-body {
clear: both;
}
.tc-tiddler-frame .tc-tiddler-body {
font-size: {{$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize}};
line-height: {{$:/themes/tiddlywiki/vanilla/metrics/bodylineheight}};
}
.tc-titlebar, .tc-tiddler-edit-title {
overflow: hidden; /* https://github.com/Jermolene/TiddlyWiki5/issues/282 */
}
html body.tc-body.tc-single-tiddler-window {
margin: 1em;
background: <<colour tiddler-background>>;
}
.tc-single-tiddler-window img,
.tc-single-tiddler-window svg,
.tc-single-tiddler-window canvas,
.tc-single-tiddler-window embed,
.tc-single-tiddler-window iframe {
max-width: 100%;
}
/*
** Editor
*/
.tc-editor-toolbar {
margin-top: 8px;
}
.tc-editor-toolbar button {
vertical-align: middle;
background-color: <<colour tiddler-controls-foreground>>;
fill: <<colour tiddler-controls-foreground-selected>>;
border-radius: 4px;
padding: 3px;
margin: 2px 0 2px 4px;
}
.tc-editor-toolbar button.tc-text-editor-toolbar-item-adjunct {
margin-left: 1px;
width: 1em;
border-radius: 8px;
}
.tc-editor-toolbar button.tc-text-editor-toolbar-item-start-group {
margin-left: 11px;
}
.tc-editor-toolbar button.tc-selected {
background-color: <<colour primary>>;
}
.tc-editor-toolbar button svg {
width: 1.6em;
height: 1.2em;
}
.tc-editor-toolbar button:hover {
background-color: <<colour tiddler-controls-foreground-selected>>;
fill: <<colour background>>;
}
.tc-editor-toolbar .tc-text-editor-toolbar-more {
white-space: normal;
}
.tc-editor-toolbar .tc-text-editor-toolbar-more button {
display: inline-block;
padding: 3px;
width: auto;
}
.tc-editor-toolbar .tc-search-results {
padding: 0;
}
/*
** Adjustments for fluid-fixed mode
*/
@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
<<if-fluid-fixed text:"""
.tc-story-river {
padding-right: 0;
position: relative;
width: auto;
left: 0;
margin-left: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};
margin-right: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};
}
.tc-tiddler-frame {
width: 100%;
}
.tc-sidebar-scrollable {
left: auto;
bottom: 0;
right: 0;
width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};
}
body.tc-body .tc-storyview-zoomin-tiddler {
width: 100%;
width: calc(100% - 42px);
}
""" hiddenSidebarText:"""
.tc-story-river {
padding-right: 3em;
margin-right: 0;
}
body.tc-body .tc-storyview-zoomin-tiddler {
width: 100%;
width: calc(100% - 84px);
}
""">>
}
/*
** Toolbar buttons
*/
.tc-page-controls svg.tc-image-new-button {
fill: <<colour toolbar-new-button>>;
}
.tc-page-controls svg.tc-image-options-button {
fill: <<colour toolbar-options-button>>;
}
.tc-page-controls svg.tc-image-save-button {
fill: <<colour toolbar-save-button>>;
}
.tc-tiddler-controls button svg.tc-image-info-button {
fill: <<colour toolbar-info-button>>;
}
.tc-tiddler-controls button svg.tc-image-edit-button {
fill: <<colour toolbar-edit-button>>;
}
.tc-tiddler-controls button svg.tc-image-close-button {
fill: <<colour toolbar-close-button>>;
}
.tc-tiddler-controls button svg.tc-image-delete-button {
fill: <<colour toolbar-delete-button>>;
}
.tc-tiddler-controls button svg.tc-image-cancel-button {
fill: <<colour toolbar-cancel-button>>;
}
.tc-tiddler-controls button svg.tc-image-done-button {
fill: <<colour toolbar-done-button>>;
}
/*
** Tiddler edit mode
*/
.tc-tiddler-edit-frame em.tc-edit {
color: <<colour muted-foreground>>;
font-style: normal;
}
.tc-edit-type-dropdown a.tc-tiddlylink-missing {
font-style: normal;
}
.tc-edit-tags {
border: 1px solid <<colour tiddler-editor-border>>;
padding: 4px 8px 4px 8px;
}
.tc-edit-add-tag {
display: inline-block;
}
.tc-edit-add-tag .tc-add-tag-name input {
width: 50%;
}
.tc-edit-add-tag .tc-keyboard {
display:inline;
}
.tc-edit-tags .tc-tag-label {
display: inline-block;
}
.tc-edit-tags-list {
margin: 14px 0 14px 0;
}
.tc-remove-tag-button {
padding-left: 4px;
}
.tc-tiddler-preview {
overflow: auto;
}
.tc-tiddler-preview-preview {
float: right;
width: 49%;
border: 1px solid <<colour tiddler-editor-border>>;
margin: 4px 0 3px 3px;
padding: 3px 3px 3px 3px;
}
<<if-editor-height-fixed then:"""
.tc-tiddler-preview-preview {
overflow-y: scroll;
height: {{$:/config/TextEditor/EditorHeight/Height}};
}
""">>
.tc-tiddler-frame .tc-tiddler-preview .tc-edit-texteditor {
width: 49%;
}
.tc-tiddler-frame .tc-tiddler-preview canvas.tc-edit-bitmapeditor {
max-width: 49%;
}
.tc-edit-fields {
width: 100%;
}
.tc-edit-fields table, .tc-edit-fields tr, .tc-edit-fields td {
border: none;
padding: 4px;
}
.tc-edit-fields > tbody > .tc-edit-field:nth-child(odd) {
background-color: <<colour tiddler-editor-fields-odd>>;
}
.tc-edit-fields > tbody > .tc-edit-field:nth-child(even) {
background-color: <<colour tiddler-editor-fields-even>>;
}
.tc-edit-field-name {
text-align: right;
}
.tc-edit-field-value input {
width: 100%;
}
.tc-edit-field-remove {
}
.tc-edit-field-remove svg {
height: 1em;
width: 1em;
fill: <<colour muted-foreground>>;
vertical-align: middle;
}
.tc-edit-field-add-name {
display: inline-block;
width: 15%;
}
.tc-edit-field-add-value {
display: inline-block;
width: 40%;
}
.tc-edit-field-add-button {
display: inline-block;
width: 10%;
}
/*
** Storyview Classes
*/
.tc-storyview-zoomin-tiddler {
position: absolute;
display: block;
width: 100%;
}
@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-storyview-zoomin-tiddler {
width: calc(100% - 84px);
}
}
/*
** Dropdowns
*/
.tc-btn-dropdown {
text-align: left;
}
.tc-btn-dropdown svg, .tc-btn-dropdown img {
height: 1em;
width: 1em;
fill: <<colour muted-foreground>>;
}
.tc-drop-down-wrapper {
position: relative;
}
.tc-drop-down {
min-width: 250px;
border: 1px solid <<colour dropdown-border>>;
background-color: <<colour dropdown-background>>;
padding: 7px 0 7px 0;
margin: 4px 0 0 0;
white-space: nowrap;
text-shadow: none;
line-height: 1.4;
}
.tc-drop-down .tc-drop-down {
margin-left: 14px;
}
.tc-drop-down button svg, .tc-drop-down a svg {
fill: <<colour foreground>>;
}
.tc-drop-down button.tc-btn-invisible:hover svg {
fill: <<colour foreground>>;
}
.tc-drop-down p {
padding: 0 14px 0 14px;
}
.tc-drop-down svg {
width: 1em;
height: 1em;
}
.tc-drop-down img {
width: 1em;
}
.tc-drop-down a, .tc-drop-down button {
display: block;
padding: 0 14px 0 14px;
width: 100%;
text-align: left;
color: <<colour foreground>>;
line-height: 1.4;
}
.tc-drop-down .tc-tab-set .tc-tab-buttons button {
display: inline-block;
width: auto;
margin-bottom: 0px;
border-bottom-left-radius: 0;
border-bottom-right-radius: 0;
}
.tc-drop-down .tc-prompt {
padding: 0 14px;
}
.tc-drop-down .tc-chooser {
border: none;
}
.tc-drop-down .tc-chooser .tc-swatches-horiz {
font-size: 0.4em;
padding-left: 1.2em;
}
.tc-drop-down .tc-file-input-wrapper {
width: 100%;
}
.tc-drop-down .tc-file-input-wrapper button {
color: <<colour foreground>>;
}
.tc-drop-down a:hover, .tc-drop-down button:hover, .tc-drop-down .tc-file-input-wrapper:hover button {
color: <<colour tiddler-link-background>>;
background-color: <<colour tiddler-link-foreground>>;
text-decoration: none;
}
.tc-drop-down .tc-tab-buttons button {
background-color: <<colour dropdown-tab-background>>;
}
.tc-drop-down .tc-tab-buttons button.tc-tab-selected {
background-color: <<colour dropdown-tab-background-selected>>;
border-bottom: 1px solid <<colour dropdown-tab-background-selected>>;
}
.tc-drop-down-bullet {
display: inline-block;
width: 0.5em;
}
.tc-drop-down .tc-tab-contents a {
padding: 0 0.5em 0 0.5em;
}
.tc-block-dropdown-wrapper {
position: relative;
}
.tc-block-dropdown {
position: absolute;
min-width: 220px;
border: 1px solid <<colour dropdown-border>>;
background-color: <<colour dropdown-background>>;
padding: 7px 0;
margin: 4px 0 0 0;
white-space: nowrap;
z-index: 1000;
text-shadow: none;
}
.tc-block-dropdown.tc-search-drop-down {
margin-left: -12px;
}
.tc-block-dropdown a {
display: block;
padding: 4px 14px 4px 14px;
}
.tc-block-dropdown.tc-search-drop-down a {
display: block;
padding: 0px 10px 0px 10px;
}
.tc-drop-down .tc-dropdown-item-plain,
.tc-block-dropdown .tc-dropdown-item-plain {
padding: 4px 14px 4px 7px;
}
.tc-drop-down .tc-dropdown-item,
.tc-block-dropdown .tc-dropdown-item {
padding: 4px 14px 4px 7px;
color: <<colour muted-foreground>>;
}
.tc-block-dropdown a:hover {
color: <<colour tiddler-link-background>>;
background-color: <<colour tiddler-link-foreground>>;
text-decoration: none;
}
.tc-search-results {
padding: 0 7px 0 7px;
}
.tc-image-chooser, .tc-colour-chooser {
white-space: normal;
}
.tc-image-chooser a,
.tc-colour-chooser a {
display: inline-block;
vertical-align: top;
text-align: center;
position: relative;
}
.tc-image-chooser a {
border: 1px solid <<colour muted-foreground>>;
padding: 2px;
margin: 2px;
width: 4em;
height: 4em;
}
.tc-colour-chooser a {
padding: 3px;
width: 2em;
height: 2em;
vertical-align: middle;
}
.tc-image-chooser a:hover,
.tc-colour-chooser a:hover {
background: <<colour primary>>;
padding: 0px;
border: 3px solid <<colour primary>>;
}
.tc-image-chooser a svg,
.tc-image-chooser a img {
display: inline-block;
width: auto;
height: auto;
max-width: 3.5em;
max-height: 3.5em;
position: absolute;
top: 0;
bottom: 0;
left: 0;
right: 0;
margin: auto;
}
/*
** Modals
*/
.tc-modal-wrapper {
position: fixed;
overflow: auto;
overflow-y: scroll;
top: 0;
right: 0;
bottom: 0;
left: 0;
z-index: 900;
}
.tc-modal-backdrop {
position: fixed;
top: 0;
right: 0;
bottom: 0;
left: 0;
z-index: 1000;
background-color: <<colour modal-backdrop>>;
}
.tc-modal {
z-index: 1100;
background-color: <<colour modal-background>>;
border: 1px solid <<colour modal-border>>;
}
@media (max-width: 55em) {
.tc-modal {
position: fixed;
top: 1em;
left: 1em;
right: 1em;
}
.tc-modal-body {
overflow-y: auto;
max-height: 400px;
max-height: 60vh;
}
}
@media (min-width: 55em) {
.tc-modal {
position: fixed;
top: 2em;
left: 25%;
width: 50%;
}
.tc-modal-body {
overflow-y: auto;
max-height: 400px;
max-height: 60vh;
}
}
.tc-modal-header {
padding: 9px 15px;
border-bottom: 1px solid <<colour modal-header-border>>;
}
.tc-modal-header h3 {
margin: 0;
line-height: 30px;
}
.tc-modal-header img, .tc-modal-header svg {
width: 1em;
height: 1em;
}
.tc-modal-body {
padding: 15px;
}
.tc-modal-footer {
padding: 14px 15px 15px;
margin-bottom: 0;
text-align: right;
background-color: <<colour modal-footer-background>>;
border-top: 1px solid <<colour modal-footer-border>>;
}
/*
** Notifications
*/
.tc-notification {
position: fixed;
top: 14px;
right: 42px;
z-index: 1300;
max-width: 280px;
padding: 0 14px 0 14px;
background-color: <<colour notification-background>>;
border: 1px solid <<colour notification-border>>;
}
/*
** Tabs
*/
.tc-tab-set.tc-vertical {
display: -webkit-flex;
display: flex;
}
.tc-tab-buttons {
font-size: 0.85em;
padding-top: 1em;
margin-bottom: -2px;
}
.tc-tab-buttons.tc-vertical {
z-index: 100;
display: block;
padding-top: 14px;
vertical-align: top;
text-align: right;
margin-bottom: inherit;
margin-right: -1px;
max-width: 33%;
-webkit-flex: 0 0 auto;
flex: 0 0 auto;
}
.tc-tab-buttons button.tc-tab-selected {
color: <<colour tab-foreground-selected>>;
background-color: <<colour tab-background-selected>>;
border-left: 1px solid <<colour tab-border-selected>>;
border-top: 1px solid <<colour tab-border-selected>>;
border-right: 1px solid <<colour tab-border-selected>>;
}
.tc-tab-buttons button {
color: <<colour tab-foreground>>;
padding: 3px 5px 3px 5px;
margin-right: 0.3em;
font-weight: 300;
border: none;
background: inherit;
background-color: <<colour tab-background>>;
border-left: 1px solid <<colour tab-border>>;
border-top: 1px solid <<colour tab-border>>;
border-right: 1px solid <<colour tab-border>>;
border-top-left-radius: 2px;
border-top-right-radius: 2px;
border-bottom-left-radius: 0;
border-bottom-right-radius: 0;
}
.tc-tab-buttons.tc-vertical button {
display: block;
width: 100%;
margin-top: 3px;
margin-right: 0;
text-align: right;
background-color: <<colour tab-background>>;
border-left: 1px solid <<colour tab-border>>;
border-bottom: 1px solid <<colour tab-border>>;
border-right: none;
border-top-left-radius: 2px;
border-bottom-left-radius: 2px;
border-top-right-radius: 0;
border-bottom-right-radius: 0;
}
.tc-tab-buttons.tc-vertical button.tc-tab-selected {
background-color: <<colour tab-background-selected>>;
border-right: 1px solid <<colour tab-background-selected>>;
}
.tc-tab-divider {
border-top: 1px solid <<colour tab-divider>>;
}
.tc-tab-divider.tc-vertical {
display: none;
}
.tc-tab-content {
margin-top: 14px;
}
.tc-tab-content.tc-vertical {
word-break: break-word;
display: inline-block;
vertical-align: top;
padding-top: 0;
padding-left: 14px;
border-left: 1px solid <<colour tab-border>>;
-webkit-flex: 1 0 70%;
flex: 1 0 70%;
}
.tc-sidebar-lists .tc-tab-buttons {
margin-bottom: -1px;
}
.tc-sidebar-lists .tc-tab-buttons button.tc-tab-selected {
background-color: <<colour sidebar-tab-background-selected>>;
color: <<colour sidebar-tab-foreground-selected>>;
border-left: 1px solid <<colour sidebar-tab-border-selected>>;
border-top: 1px solid <<colour sidebar-tab-border-selected>>;
border-right: 1px solid <<colour sidebar-tab-border-selected>>;
}
.tc-sidebar-lists .tc-tab-buttons button {
background-color: <<colour sidebar-tab-background>>;
color: <<colour sidebar-tab-foreground>>;
border-left: 1px solid <<colour sidebar-tab-border>>;
border-top: 1px solid <<colour sidebar-tab-border>>;
border-right: 1px solid <<colour sidebar-tab-border>>;
}
.tc-sidebar-lists .tc-tab-divider {
border-top: 1px solid <<colour sidebar-tab-divider>>;
}
.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button {
display: block;
width: 100%;
background-color: <<colour sidebar-tab-background>>;
border-top: none;
border-left: none;
border-bottom: none;
border-right: 1px solid #ccc;
margin-bottom: inherit;
}
.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button.tc-tab-selected {
background-color: <<colour sidebar-tab-background-selected>>;
border: none;
}
/*
** Manager
*/
.tc-manager-wrapper {
}
.tc-manager-controls {
}
.tc-manager-control {
margin: 0.5em 0;
}
.tc-manager-list {
width: 100%;
border-top: 1px solid <<colour muted-foreground>>;
border-left: 1px solid <<colour muted-foreground>>;
border-right: 1px solid <<colour muted-foreground>>;
}
.tc-manager-list-item {
}
.tc-manager-list-item-heading {
display: block;
width: 100%;
text-align: left;
border-bottom: 1px solid <<colour muted-foreground>>;
padding: 3px;
}
.tc-manager-list-item-heading-selected {
font-weight: bold;
color: <<colour background>>;
fill: <<colour background>>;
background-color: <<colour foreground>>;
}
.tc-manager-list-item-heading:hover {
background: <<colour primary>>;
color: <<colour background>>;
}
.tc-manager-list-item-content {
display: flex;
}
.tc-manager-list-item-content-sidebar {
flex: 1 0;
background: <<colour tiddler-editor-background>>;
border-right: 0.5em solid <<colour muted-foreground>>;
border-bottom: 0.5em solid <<colour muted-foreground>>;
white-space: nowrap;
}
.tc-manager-list-item-content-item-heading {
display: block;
width: 100%;
text-align: left;
background: <<colour muted-foreground>>;
text-transform: uppercase;
font-size: 0.6em;
font-weight: bold;
padding: 0.5em 0 0.5em 0;
}
.tc-manager-list-item-content-item-body {
padding: 0 0.5em 0 0.5em;
}
.tc-manager-list-item-content-item-body > pre {
margin: 0.5em 0 0.5em 0;
border: none;
background: inherit;
}
.tc-manager-list-item-content-tiddler {
flex: 3 1;
border-left: 0.5em solid <<colour muted-foreground>>;
border-right: 0.5em solid <<colour muted-foreground>>;
border-bottom: 0.5em solid <<colour muted-foreground>>;
}
.tc-manager-list-item-content-item-body > table {
border: none;
padding: 0;
margin: 0;
}
.tc-manager-list-item-content-item-body > table td {
border: none;
}
.tc-manager-icon-editor > button {
width: 100%;
}
.tc-manager-icon-editor > button > svg,
.tc-manager-icon-editor > button > button {
width: 100%;
height: auto;
}
/*
** Alerts
*/
.tc-alerts {
position: fixed;
top: 0;
left: 0;
max-width: 500px;
z-index: 20000;
}
.tc-alert {
position: relative;
margin: 28px;
padding: 14px 14px 14px 14px;
border: 2px solid <<colour alert-border>>;
background-color: <<colour alert-background>>;
}
.tc-alert-toolbar {
position: absolute;
top: 14px;
right: 14px;
}
.tc-alert-toolbar svg {
fill: <<colour alert-muted-foreground>>;
}
.tc-alert-subtitle {
color: <<colour alert-muted-foreground>>;
font-weight: bold;
}
.tc-alert-highlight {
color: <<colour alert-highlight>>;
}
@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {
.tc-static-alert {
position: relative;
}
.tc-static-alert-inner {
position: absolute;
z-index: 100;
}
}
.tc-static-alert-inner {
padding: 0 2px 2px 42px;
color: <<colour static-alert-foreground>>;
}
/*
** Control panel
*/
.tc-control-panel td {
padding: 4px;
}
.tc-control-panel table, .tc-control-panel table input, .tc-control-panel table textarea {
width: 100%;
}
.tc-plugin-info {
display: block;
border: 1px solid <<colour muted-foreground>>;
background-colour: <<colour background>>;
margin: 0.5em 0 0.5em 0;
padding: 4px;
}
.tc-plugin-info-disabled {
background: -webkit-repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);
background: repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);
}
.tc-plugin-info-disabled:hover {
background: -webkit-repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);
background: repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);
}
a.tc-tiddlylink.tc-plugin-info:hover {
text-decoration: none;
background-color: <<colour primary>>;
color: <<colour background>>;
fill: <<colour foreground>>;
}
a.tc-tiddlylink.tc-plugin-info:hover .tc-plugin-info > .tc-plugin-info-chunk > svg {
fill: <<colour foreground>>;
}
.tc-plugin-info-chunk {
display: inline-block;
vertical-align: middle;
}
.tc-plugin-info-chunk h1 {
font-size: 1em;
margin: 2px 0 2px 0;
}
.tc-plugin-info-chunk h2 {
font-size: 0.8em;
margin: 2px 0 2px 0;
}
.tc-plugin-info-chunk div {
font-size: 0.7em;
margin: 2px 0 2px 0;
}
.tc-plugin-info:hover > .tc-plugin-info-chunk > img, .tc-plugin-info:hover > .tc-plugin-info-chunk > svg {
width: 2em;
height: 2em;
fill: <<colour foreground>>;
}
.tc-plugin-info > .tc-plugin-info-chunk > img, .tc-plugin-info > .tc-plugin-info-chunk > svg {
width: 2em;
height: 2em;
fill: <<colour muted-foreground>>;
}
.tc-plugin-info.tc-small-icon > .tc-plugin-info-chunk > img, .tc-plugin-info.tc-small-icon > .tc-plugin-info-chunk > svg {
width: 1em;
height: 1em;
}
.tc-plugin-info-dropdown {
border: 1px solid <<colour muted-foreground>>;
margin-top: -8px;
}
.tc-plugin-info-dropdown-message {
background: <<colour message-background>>;
padding: 0.5em 1em 0.5em 1em;
font-weight: bold;
font-size: 0.8em;
}
.tc-plugin-info-dropdown-body {
padding: 1em 1em 1em 1em;
}
.tc-check-list {
line-height: 2em;
}
.tc-check-list .tc-image-button {
height: 1.5em;
}
/*
** Message boxes
*/
.tc-message-box {
border: 1px solid <<colour message-border>>;
background: <<colour message-background>>;
padding: 0px 21px 0px 21px;
font-size: 12px;
line-height: 18px;
color: <<colour message-foreground>>;
}
.tc-message-box svg {
width: 1em;
height: 1em;
vertical-align: text-bottom;
}
/*
** Pictures
*/
.tc-bordered-image {
border: 1px solid <<colour muted-foreground>>;
padding: 5px;
margin: 5px;
}
/*
** Floats
*/
.tc-float-right {
float: right;
}
/*
** Chooser
*/
.tc-chooser {
border-right: 1px solid <<colour table-header-background>>;
border-left: 1px solid <<colour table-header-background>>;
}
.tc-chooser-item {
border-bottom: 1px solid <<colour table-header-background>>;
border-top: 1px solid <<colour table-header-background>>;
padding: 2px 4px 2px 14px;
}
.tc-drop-down .tc-chooser-item {
padding: 2px;
}
.tc-chosen,
.tc-chooser-item:hover {
background-color: <<colour table-header-background>>;
border-color: <<colour table-footer-background>>;
}
.tc-chosen .tc-tiddlylink {
cursor:default;
}
.tc-chooser-item .tc-tiddlylink {
display: block;
text-decoration: none;
background-color: transparent;
}
.tc-chooser-item:hover .tc-tiddlylink:hover {
text-decoration: none;
}
.tc-drop-down .tc-chosen .tc-tiddlylink,
.tc-drop-down .tc-chooser-item .tc-tiddlylink:hover {
color: <<colour foreground>>;
}
.tc-chosen > .tc-tiddlylink:before {
margin-left: -10px;
position: relative;
content: "» ";
}
.tc-chooser-item svg,
.tc-chooser-item img{
width: 1em;
height: 1em;
vertical-align: middle;
}
.tc-language-chooser .tc-image-button img {
width: 2em;
vertical-align: -0.15em;
}
/*
** Palette swatches
*/
.tc-swatches-horiz {
}
.tc-swatches-horiz .tc-swatch {
display: inline-block;
}
.tc-swatch {
width: 2em;
height: 2em;
margin: 0.4em;
border: 1px solid #888;
}
/*
** Table of contents
*/
.tc-sidebar-lists .tc-table-of-contents {
white-space: nowrap;
}
.tc-table-of-contents button {
color: <<colour sidebar-foreground>>;
}
.tc-table-of-contents svg {
width: 0.7em;
height: 0.7em;
vertical-align: middle;
fill: <<colour sidebar-foreground>>;
}
.tc-table-of-contents ol {
list-style-type: none;
padding-left: 0;
}
.tc-table-of-contents ol ol {
padding-left: 1em;
}
.tc-table-of-contents li {
font-size: 1.0em;
font-weight: bold;
}
.tc-table-of-contents li a {
font-weight: bold;
}
.tc-table-of-contents li li {
font-size: 0.95em;
font-weight: normal;
line-height: 1.4;
}
.tc-table-of-contents li li a {
font-weight: normal;
}
.tc-table-of-contents li li li {
font-size: 0.95em;
font-weight: 200;
line-height: 1.5;
}
.tc-table-of-contents li li li li {
font-size: 0.95em;
font-weight: 200;
}
.tc-tabbed-table-of-contents {
display: -webkit-flex;
display: flex;
}
.tc-tabbed-table-of-contents .tc-table-of-contents {
z-index: 100;
display: inline-block;
padding-left: 1em;
max-width: 50%;
-webkit-flex: 0 0 auto;
flex: 0 0 auto;
background: <<colour tab-background>>;
border-left: 1px solid <<colour tab-border>>;
border-top: 1px solid <<colour tab-border>>;
border-bottom: 1px solid <<colour tab-border>>;
}
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a,
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {
display: block;
padding: 0.12em 1em 0.12em 0.25em;
}
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a {
border-top: 1px solid <<colour tab-background>>;
border-left: 1px solid <<colour tab-background>>;
border-bottom: 1px solid <<colour tab-background>>;
}
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a:hover {
text-decoration: none;
border-top: 1px solid <<colour tab-border>>;
border-left: 1px solid <<colour tab-border>>;
border-bottom: 1px solid <<colour tab-border>>;
background: <<colour tab-border>>;
}
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {
border-top: 1px solid <<colour tab-border>>;
border-left: 1px solid <<colour tab-border>>;
border-bottom: 1px solid <<colour tab-border>>;
background: <<colour background>>;
margin-right: -1px;
}
.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a:hover {
text-decoration: none;
}
.tc-tabbed-table-of-contents .tc-tabbed-table-of-contents-content {
display: inline-block;
vertical-align: top;
padding-left: 1.5em;
padding-right: 1.5em;
border: 1px solid <<colour tab-border>>;
-webkit-flex: 1 0 50%;
flex: 1 0 50%;
}
/*
** Dirty indicator
*/
body.tc-dirty span.tc-dirty-indicator, body.tc-dirty span.tc-dirty-indicator svg {
fill: <<colour dirty-indicator>>;
color: <<colour dirty-indicator>>;
}
/*
** File inputs
*/
.tc-file-input-wrapper {
position: relative;
overflow: hidden;
display: inline-block;
vertical-align: middle;
}
.tc-file-input-wrapper input[type=file] {
position: absolute;
top: 0;
left: 0;
right: 0;
bottom: 0;
font-size: 999px;
max-width: 100%;
max-height: 100%;
filter: alpha(opacity=0);
opacity: 0;
outline: none;
background: white;
cursor: pointer;
display: inline-block;
}
/*
** Thumbnail macros
*/
.tc-thumbnail-wrapper {
position: relative;
display: inline-block;
margin: 6px;
vertical-align: top;
}
.tc-thumbnail-right-wrapper {
float:right;
margin: 0.5em 0 0.5em 0.5em;
}
.tc-thumbnail-image {
text-align: center;
overflow: hidden;
border-radius: 3px;
}
.tc-thumbnail-image svg,
.tc-thumbnail-image img {
filter: alpha(opacity=1);
opacity: 1;
min-width: 100%;
min-height: 100%;
max-width: 100%;
}
.tc-thumbnail-wrapper:hover .tc-thumbnail-image svg,
.tc-thumbnail-wrapper:hover .tc-thumbnail-image img {
filter: alpha(opacity=0.8);
opacity: 0.8;
}
.tc-thumbnail-background {
position: absolute;
border-radius: 3px;
}
.tc-thumbnail-icon svg,
.tc-thumbnail-icon img {
width: 3em;
height: 3em;
<<filter "drop-shadow(2px 2px 4px rgba(0,0,0,0.3))">>
}
.tc-thumbnail-wrapper:hover .tc-thumbnail-icon svg,
.tc-thumbnail-wrapper:hover .tc-thumbnail-icon img {
fill: #fff;
<<filter "drop-shadow(3px 3px 4px rgba(0,0,0,0.6))">>
}
.tc-thumbnail-icon {
position: absolute;
top: 0;
left: 0;
right: 0;
bottom: 0;
display: -webkit-flex;
-webkit-align-items: center;
-webkit-justify-content: center;
display: flex;
align-items: center;
justify-content: center;
}
.tc-thumbnail-caption {
position: absolute;
background-color: #777;
color: #fff;
text-align: center;
bottom: 0;
width: 100%;
filter: alpha(opacity=0.9);
opacity: 0.9;
line-height: 1.4;
border-bottom-left-radius: 3px;
border-bottom-right-radius: 3px;
}
.tc-thumbnail-wrapper:hover .tc-thumbnail-caption {
filter: alpha(opacity=1);
opacity: 1;
}
/*
** Diffs
*/
.tc-diff-equal {
background-color: <<colour diff-equal-background>>;
color: <<colour diff-equal-foreground>>;
}
.tc-diff-insert {
background-color: <<colour diff-insert-background>>;
color: <<colour diff-insert-foreground>>;
}
.tc-diff-delete {
background-color: <<colour diff-delete-background>>;
color: <<colour diff-delete-foreground>>;
}
.tc-diff-invisible {
background-color: <<colour diff-invisible-background>>;
color: <<colour diff-invisible-foreground>>;
}
.tc-diff-tiddlers th {
text-align: right;
background: <<colour background>>;
font-weight: normal;
font-style: italic;
}
.tc-diff-tiddlers pre {
margin: 0;
padding: 0;
border: none;
background: none;
}
/*
** Errors
*/
.tc-error {
background: #f00;
color: #fff;
}
/*
** Tree macro
*/
.tc-tree div {
padding-left: 14px;
}
.tc-tree ol {
list-style-type: none;
padding-left: 0;
margin-top: 0;
}
.tc-tree ol ol {
padding-left: 1em;
}
.tc-tree button {
color: #acacac;
}
.tc-tree svg {
fill: #acacac;
}
.tc-tree span svg {
width: 1em;
height: 1em;
vertical-align: baseline;
}
.tc-tree li span {
color: lightgray;
}
\define width() calc(100% - 15px)
<div class="tmap-mobile-editor">
</div>
<div class="tmap-desktop-editor">
<$tiddlymap
class="tmap-sidebar-map-editor"
editor="advanced"
height="220px"
object-id="main_editor"
click-to-use="false">
</$tiddlymap>
</div>
https://atlas-disciplines.unige.ch
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
"Life-not-possessing-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
\define url() $(wurl)$$(cpath)$
!!<i class="fa fa-sitemap" style="padding-right:0.5em"></i>Description of the project
<table class="centertable borderless">
<tr><td style="text-align:justify; width: 68vw">The //Interactive Historical Atlas of the Disciplines// aims at collecting and mapping data related to the history of the disciplinary structure of science. Launched in 2018 at the University of Geneva, this website provides several [[tools|User manual]] to explore the various 'classifications of the sciences' put forward by numerous scholars over the centuries, and to visualize the evolution of disciplinary borders from Antiquity to our days.<br><div class="nodragdrop centero" style="width:28vw; min-width:160px; padding-top:1.4em; padding-bottom:0.8em">[img[Reisch_tree.jpg]]</div>The ultimate goal of this project is to reconstruct the genealogical tree of the sciences, namely, the "table of contents" of the history of human knowledge. As such, the present atlas should be of interest not only to historians, but also to philosophers, sociologists and anyone interested in the history of their discipline and its relations to others sciences.</td><td style="width: 30vw; padding-left:2vw">{{Authorship}}</td></tr>
</table>
!!<i class="fas fa-quote-right" style="padding-top:0.7em; padding-right:0.5em"></i>How to cite a map?
<table class="centertable borderless">
<tr><td style="text-align:justify">The quotation of a map contained in this website should include all the informations provided in the following example, possibly adapted to meet the style requirements of a publisher:</td></tr>
</table>
<<<
<!-- The URL path of the website is set in Settings → Parameters -->
"Aristotle", //Interactive Historical Atlas of the Disciplines//, Raphaël Sandoz (ed), University of Geneva. <br>URL=<$set name="wurl" value={{$:/website_url}}><$set name=cpath value="/#Aristotle"><$text text="<"/><<url>><$text text=">"/></$set></$set> (accessed <<now 0DD.0MM.YYYY>>).
<br>
<<<
<table class="borderless">
<tr><td style="text-align:justify">It is also possible to embed a map on a third-party website, with the following code (including a mention of the source):</td></tr>
</table>
<div style="text-align:justify; font-size: calc(8px + 0.6vw); padding-left:25px; padding-right:25px; padding-bottom:1px">
<div style="background-color:#F4F4F4; border-style:solid; border-width:thin; border-color:#cfcfcf; -webkit-border-radius:3px; border-radius:3px; padding-left:10px; padding-right:10px; padding-top:6px; padding-bottom:6px; width:100%; line-height: 1.5em; font-family:monospace">
<$text text="<iframe src="/>"<$set name="wurl" value={{$:/website_url}}><$set name=cpath value="/#Aristotle"><<url>></$set></$set>" width="100%"<br>align="center" height="500" frameborder="0" style="border:none;"<$text text="></iframe>"/>
</div>
</div>
!!<i class="fab fa-creative-commons" style="padding-top:0.7em; padding-right:0.5em"></i>Copyright notice
<table class="borderless">
<tr><td style="text-align:justify">Unless otherwise noted, the content of this website is published under the [[Creative Commons CC BY-NC-ND|http://creativecommons.org/licenses/by-nc-nd/4.0/]] license. Any use of the material contained in this website must include a reference to the project, in the way stated above.</td><td class="nodragdrop" style="width:15vw; min-width:95px; padding-left: 1.2em; padding-right: 0.5em">[img[CC_by-nc-nd_full.svg]]</td></tr>
</table>
!!<i class="fa fa-university" style="padding-top:0.7em; padding-right:0.5em"></i>Acknowledgments
<table class="centertable borderless">
<tr><td style="text-align:justify">The creation of this website was supported by the [[Swiss National Science Foundation|http://www.snf.ch]], through a grant for a postdoctoral fellowship at the University of Chicago.<br>[[http://p3.snf.ch/project-174428|http://p3.snf.ch/project-174428]]</td><td class="nodragdrop" style="width:25vw; min-width:140px; padding-left: 1.2em; padding-right: 0.5em">[img[Logo_FNS_light_eng.svg]]</td></tr>
</table>
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23About&dt=About" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=About"></iframe>
Cf. Pearson (1891), //Fragments of Cleanthes//, §.1.
Cf. Pearson (1891), //Fragments of Cleanthes//, §.1.
"The former branch is termed //Logic//, and discusses the general laws by which we identify and discriminate things, or what are frequently termed the laws of thought." Pearson (1892), chap. 10, p. 454.
"That which treats of the forms in which phenomena are known to us" (Spencer 1864, p. 6)
"That which treats of the phenomena themselves, in their elements" (Spencer 1864, p. 6)
"These Abstract-Concrete Sciences have for their object, analytical interpretation. In every case it is the aim to decompose the phenomenon, and formulate its components apart from one another; or some two or three apart from the rest. Wherever, throughout these Sciences, synthesis is employed, it is for the verification of analysis." (Spencer 1864, p. 16).
"From a Greek word, which signifies to //hear//. To this head belongs the property, which, by its motion, //air// has, of producing in the correspondent organs of man and other animals, the perception of //sound//, in the infinitely diversified modifications, of which it is susceptible.", Bentham (1816), //Chrestomathia//, pp. 27–28.
"The science of hearing, or of sound in general." Hooper (1906), p. 178.
"Parmi toutes les branches principales de la physique, l'acoustique est, sans doute, après la barologie, celle qui, par sa nature, comporte le plus directement, et de la manière la plus satisfaisante, une large application des doctrines et des méthodes mathématiques. Considérés, en effet, sous le point de vue le plus général, les phénomènes sonores se rattachent évidemment à la théorie fondamentale des oscillations très petites d'un système quelconque de molécules autour d'une situation d'équilibre stable." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 600.
"La quantité considérées dans le son, dans sa véhemence, son mouvement, ses degrés, ses réflexions, sa vitesse, donne l'Acoustique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Science des actions vibratoires", Bourdeau (1882), vol. II, p. 51.
"Tous les travaux humains sont ou de spéculation ou d'action. Ainsi la division la plus générale de nos connaissances réelles consiste à les distinguer en théoriques et pratiques", //Cours de philosophie positive// (1830), Tome 1, p. 50.
<!-- Select map -->
<table class="centertable borderless">
<tr><td align="center"><span class="darkgreyfont">//Map to which data should be added://</span><br><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/selmap"><$list filter="[has[pselect]][has[gbselect]][has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
</table>
<!-- Enter data -->
<form method="post" action="./Technical/Send_reference.php" target="_self">
<table class="centertable borderless">
<input type="hidden" name="map_id" value={{$:/temp/selmap}}/>
<tr><td align="center" height="32"><span class="darkgreyfont">// Please enter here the bibliographic data you would like to add://</span></td></tr>
<tr><td align="center">
<textarea class="frmfield" name="bib_entry" rows="3" maxlength="1000" style="width:70vw; height:20vh; min-height:60px" required></textarea>
</td></tr><br>
<tr><td align="center"><$list filter="[title[$:/temp/selmap]!text[— Please select an item —]]"><button class="clickbutton tc-btn-invisible buttonfield"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list><$list filter="[title[$:/temp/selmap]text[— Please select an item —]]"><button class="tc-btn-invisible buttonfield nodragdrop lightgreyfont"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list></td></tr>
</table>
</form>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Add_reference&dt=Add_reference" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Add_reference"></iframe>
<!-- Select map -->
<table class="centertable borderless">
<tr><td align="center"><span class="darkgreyfont">//Map to which data should be added://</span><br><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/selmap"><$list filter="[has[pselect]][has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
</table>
<!-- Enter data -->
<form method="post" action="./Technical/Send_data_map.php" target="_self">
<table class="centertable borderless">
<input type="hidden" name="map_id" value={{$:/temp/selmap}}/>
<tr><td align="left" height="32"><span class="darkgreyfont">// Name of the discipline://</span></td></tr>
<tr><td align="center">
<textarea class="frmfield" name="discipline" rows="1" maxlength="500" style="width:60vw; height:8vh; min-height:30px; max-height:60px" required></textarea>
</td></tr><br>
<tr><td align="left" height="32"><span class="darkgreyfont">// Definition of the discipline (quotation from primary sources)://</span></td></tr>
<tr><td align="center">
<textarea class="frmfield" name="definition" rows="5" maxlength="2000" style="width:60vw; height:30vh; min-height:50px" required></textarea>
</td></tr><br>
<tr><td align="left" height="32"><span class="darkgreyfont">// Source of the quotation://</span></td></tr>
<tr><td align="center">
<textarea class="frmfield" name="source" rows="2" maxlength="1000" style="width:60vw; height:16vh; min-height:50px" required></textarea>
</td></tr><br>
<tr><td align="center"><$list filter="[title[$:/temp/selmap]!text[— Please select an item —]]"><button class="clickbutton tc-btn-invisible buttonfield"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list><$list filter="[title[$:/temp/selmap]text[— Please select an item —]]"><button class="tc-btn-invisible buttonfield nodragdrop lightgreyfont"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list></td></tr>
</table>
</form>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Add_definition&dt=Add_definition" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Add_definition"></iframe>
<p style="text-align:justify">If you know of any missing scholar that should be added to the list of maps, you are especially welcome to provide us with any relevant information in this respect (bibliographic references, scanned picture of a table or a disciplinary tree, etc.). If you are ready to build a new map for the project, you can use the open source software 'vym' for that purpose (downloadable at: http://sourceforge.net/projects/vym).<br>
Please email any material as attached files to: raphael.sandoz<i class="fa fa-at" style="font-size: calc(6px + 0.4vw)"></i>unige.ch
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Add_scholar&dt=Add_scholar" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Add_scholar"></iframe>
"Government-otherwise-than-by-legislation-regarding; viz. the Art and Science concerning Administration", Bentham (1816), Appendix IV, Section VIII, p. 178.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Reinhard, Adolf Friedrich (1770), "Kurzer Entwurf zur Formirung eines richtig bestimmten Begriffes von der Weltweisheit", in //Sammlung vermischter kleiner schriften//, Wismar, IV Stück, pp. 15–35.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 275.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Naville, Adrien (1888), //De la classification des sciences: étude logique//, Genève: H. Georg.
* Naville, Adrien (1901), //Nouvelle classification des sciences : étude philosophique//, Paris: F. Alcan.
* Naville, Adrien (1920), //Classification des sciences: les idées maîtresses des sciences et leurs rapports//, Paris: F. Alcan.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Busino, Giovanni (2005), "Recherches préparatoires à une histoire de l’épistémologie des sciences humaines", //Revue européenne des sciences sociales// 43(132), p. 127.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 283.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 2, pp. 42–48.
"The //mechanical// is divided into fabric making, armament, commerce, agriculture, hunting, medicine, and theatrics.", Hugh of St.-Victor (1130), //Didascalicon// III.1, p. 83.
Cf. also //Didascalicon// II.20.
\define disc1() {{$:/temp/advancedfilters!!exact_match1}}{{$:/temp/advancedfilters!!disc_name_1}}(?i)
\define disc2() {{$:/temp/advancedfilters!!exact_match2}}{{$:/temp/advancedfilters!!disc_name_2}}(?i)
\define country_src() {{$:/temp/advancedfilters!!filter_country}}(?i)
<!-- Search fields -->
<div style="overflow:auto; padding:5px; background-color:#F0F0F1; border:1px solid #DEDEE0; -webkit-border-radius: 8px; border-radius: 8px">
<table class="centertable borderless">
<tr class="darkgreyfont" style="font-size: calc(10px + 0.4vw); line-height: 1.3em"><td class="center">//Discipline 1://</td><td></td><td class="center">//Discipline 2://</td></tr>
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14em; line-height: 1.6em" title="Enter the name of the first discipline here"><$edit-text class="noborderfield rcfield" tiddler="$:/temp/advancedfilters" field="disc_name_1" type="search" tag="input"/><$list filter="[title[$:/temp/advancedfilters]!disc_name_1[]]"><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/advancedfilters" $field="disc_name_1" $value=""/><i class="fas fa-times-circle"></i></$button></$list></div></td><td><div class="selfield center" style="line-height: 1.6em; width:105px; padding-left:8px"><$select class="clickbutton buttonfield" tiddler="$:/temp/advancedfilters" field="logical_operator"><option value='and'>and</option><option value='or'>or</option><option value='without'>without</option></$select></div></td><td><div class="rcfield darkgreyfont clickbutton" style="width:14em; line-height: 1.6em" title="Enter the name of the second discipline here"><$edit-text class="noborderfield rcfield" tiddler="$:/temp/advancedfilters" field="disc_name_2" type="search" tag="input"/><$list filter="[title[$:/temp/advancedfilters]!disc_name_2[]]"><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/advancedfilters" $field="disc_name_2" $value=""/><i class="fas fa-times-circle"></i></$button></$list></div></td></tr>
<tr><td><!-- Exact match 1 --><span class="center" style="padding-left: 5px; padding-right: 5px; margin-top:-4px; margin-bottom:14px; font-size: calc(10px + 0.4vw)" title="If checked, substrings matches are included in the search results (e.g. 'biochemistry' contains an occurrence of 'chemistry')."><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/temp/advancedfilters" field="exact_match1" checked="" unchecked="(\n|^)"> Include substrings </$checkbox></span></td><td></td><td><!-- Exact match 2 --><span class="center" style="padding-left: 5px; padding-right: 5px; margin-top:-4px; margin-bottom:14px; font-size: calc(10px + 0.4vw)" title="If checked, substrings matches are included in the search results (e.g. 'biochemistry' contains an occurrence of 'chemistry')."><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/temp/advancedfilters" field="exact_match2" checked="" unchecked="(\n|^)"> Include substrings </$checkbox></span></td></tr>
</table>
<table class="centertable borderless" style="margin-top:-10px">
<tr class="darkgreyfont" style="font-size: calc(10px + 0.4vw); line-height: 1.3em"><td><div class="center">//Time interval://</div></td><td></td><td><div class="center">//Country://</div></td><td></td><td><div class="center">//Number of disciplines://</div></td></tr>
<tr><td><span style="display: flex; flex-direction: row; align-items: center" class="box"><div><$edit-text class="rcfield dateeditfield clickbutton" style="width:14.6em; line-height: 1.6em" tiddler="$:/temp/advancedfilters" field="interval_start" type="search" tag="input"/></div> – <div><$edit-text class="rcfield dateeditfield clickbutton" style="width:14.6em; line-height: 1.6em" tiddler="$:/temp/advancedfilters" field="interval_end" type="search" tag="input"/></div></span></td><td></td><td><div class="rcfield darkgreyfont clickbutton" style="width:11.2em; line-height: 1.6em" title="Enter the name of the country here"><$edit-text class="noborderfield editfield" tiddler="$:/temp/advancedfilters" field="filter_country" type="search" tag="input"/><$list filter="[title[$:/temp/advancedfilters]!filter_country[]]"><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/advancedfilters" $field="filter_country" $value=""/><i class="fas fa-times-circle"></i></$button></$list></div></td><td> </td><td><span style="display: flex; flex-direction: row; align-items: center" class="box"><div class="selfield" style="line-height: 1.6em; width:125px; background:none"><$select class="clickbutton buttonfield" tiddler="$:/temp/advancedfilters" field="minmax_disc"><option value='more_than'>More than</option><option value='less_than'>Less than</option></$select></div> <div title="Number of disciplines per map"><$edit-text class="rcfield smallnumbereditfield clickbutton" style="line-height: 1.6em" tiddler="$:/temp/advancedfilters" field="limit_disc_nb" type="search" tag="input"/></div></span></td></tr>
</table>
<!-- Sort selection -->
<table class="centertable borderless" style="margin-top:20px">
<tr><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler='$:/temp/advancedfilters' field="sortby"><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option><option value='country'>Sort by country</option><option value='number_disciplines'>Sort by # disciplines</option></$select></div></td></tr>
</table>
</div>
<!-- List of results -->
<!-- More than --><$list filter="[title[$:/temp/advancedfilters]minmax_disc[more_than]]">
<$wikify name="disc_1" text=<<disc1>> ><$wikify name="disc_2" text=<<disc2>> ><$wikify name="country-search" text=<<country_src>> >
<$list filter="[title[$:/temp/advancedfilters]logical_operator[and]]"><!-- Results 'and' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_1[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
<$list filter="[title[$:/temp/advancedfilters]logical_operator[or]]"><!-- Results 'or' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_1[]!disc_name_2[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>]+[limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>]+[sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
<$list filter="[title[$:/temp/advancedfilters]logical_operator[without]]"><!-- Results 'without' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_2[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>greaterthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
</$wikify></$wikify></$wikify>
</$list>
<!-- Less than --><$list filter="[title[$:/temp/advancedfilters]minmax_disc[less_than]]">
<$wikify name="disc_1" text=<<disc1>> ><$wikify name="disc_2" text=<<disc2>> ><$wikify name="country-search" text=<<country_src>> >
<$list filter="[title[$:/temp/advancedfilters]logical_operator[and]]"><!-- Results 'and' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_1[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>regexp:list_disciplines<disc_2>sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
<$list filter="[title[$:/temp/advancedfilters]logical_operator[or]]"><!-- Results 'or' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_1[]!disc_name_2[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>]+[limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>][has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_2>sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
<$list filter="[title[$:/temp/advancedfilters]logical_operator[without]]"><!-- Results 'without' -->
<div style="line-height:1.6em" class="darkgreyfont"><$list filter="[title[$:/temp/advancedfilters]!disc_name_2[]]">
<!-- Counter --><div class="center" style="margin-top:20px">//Number of entries found: <$count filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>]"/>//</div>
<table class="centertable" style="margin-top:5px; width: calc(200px + 55vw)">
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>limit[1]]">
<tr><th>Scholar</th><th>Country</th><th>Map date</th><th># disciplines</th></tr></$list>
<$list filter="[has[uploaded]has[map_id]has[list_disciplines]regexp:country<country-search>lessthan:number_disciplines{$:/temp/advancedfilters!!limit_disc_nb}greatereqthan:map_date{$:/temp/advancedfilters!!interval_start}lessthan:map_date{$:/temp/advancedfilters!!interval_end}regexp:list_disciplines<disc_1>!regexp:list_disciplines<disc_2>sortan[last_name]]+[sortan{$:/temp/advancedfilters!!sortby}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></td><td><div class="center">{{!!country}}</div></td><td><div class="center"><$calc>{{!!map_date}}</$calc></div></td><td><div class="center">{{!!number_disciplines}}</div></td></tr>
</$list></table>
</$list></div></$list>
</$wikify></$wikify></$wikify>
</$list>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Filters&dt=Filters" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Filters"></iframe>
"L'Aërologie, traité ou raisonnement sur l'âme, ses propriétés, et ses bonnes ou mauvaises qualités." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Offrant la description et l'histoire de l'air" (Lancelin 1803, p. 133).
"The science of air and of the substances which are found mixed with pure air in the earth's atmosphere." Hooper (1906), p. 151.
"To this head belong those mechanical properties, as they are termed, which, in whatsoever different degrees, are possessed in common, by all such portions of matter as, at the time in questioned, are in the //aerial// or //gasseous// state.", Bentham (1816), //Chrestomathia//, p. 27.
"The science of sensations, as such. Sensations are the immediate appearances in consciousness due to stimuli which affect the //æstheta//, or sense-centres of the brain."
Hooper (1906), p. 180.
"No-work-producing; or say Aplopathoscopic (mere-sensation-regarding) in which is included Æsthetics: the Science of what regards Taste", Bentham (1816), Appendix IV, Section VIII, p. 178.
"The science of æsthetic culture. It is, no doubt, more usual to speak of æsthetics as the 'philosophy of the beautiful', but the beautiful is practically included within the sphere of æsthetic culture." Hooper (1906), pp. 202–203.
"La botanique est [...] distinguée de l'agriculture, qui se rapporte comme elle aux végétaux, en ce que, dans la botanique, ils sont considérés sous le point de vue de la simple connaissance, et dans l'agriculture, sous celui de leur utilité et des procédés que nous employons pour les multiplier et en retirer les substances dont nous avons besoin." Ampère (1834), Tome 1, p. 6.
"In the course of nature the art of agriculture is prior, and next come those arts which extract the products of the earth, mining and the like", //Economics// 1343a25.
"AGRICULTURE, or the Tillage and improvement of SOILS, Clay, Sand, Earth, &c. by the operations of Ploughing, Fallowing, Burning, Sembradore, Semination, Manuring, &c. To produce Corn, Hemp, Flax, Liquorice, Saffron, &c. For Malt, Farina, &c. Granary, Threshing, &c. The culture of Trees, Timber, &c. by Planting, Shrowding, Barking, &c. For Coppice, Park, Paddock, Hedge, Pasture, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"L'agricultura, é, subalternata a, la naturale a, lá Astrologia, & á, lá Economica, per la natura de lé cose che in essa se trattano & adoperano, come piante e, animali per li tempi, ne li quali sé hanno tal cose ad operare, per la conservatione, e dispensatione de lé cose che da essa se acquistano é, ricoglino." Collenuccio (1535), p. 17.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Al-Fārābī, Ms. 646 (dated 1316), Biblioteca de S. Lorenzo del Escorial, f. 38a-38b.
* Al-Fārābī, Ms. 1604, Köprülü Kütüphanesi, Istanbul: Fazıl Ahmed Paşa, f. 24a-25a.
* Al-Fārābī, //Kitāb marātib al-'ulūm//, French transl. by Amor Cherni, //Le recensement des sciences//, Paris: Albouraq, 2015.
* Al-Fārābī, //Über die Wissenschaften. De Scientiis. Nach der lateinischen Übersetzung Gerhards von Cremona//, ed. and transl. by F. Schupp, Hambourg : Meiner, 2005.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Al Najjar, Abdul Majid (1996), "Classification of Sciences in Islamic Thought: Between Imitation and Originality", //American Journal of Islamic Social Sciences// 13(1), pp. 59–87.
* Bakar, Osman (1998), //Classification of Knowledge in Islam//, Cambridge: Islamic Texts Society.
* Galonnier, Alain (2016), //Le "De scientiis Alfarabii" de Gérard de Crémone. Contributions aux problèmes de l’acculturation au XIIᵉ siècle//, Brepols Publishers.
* Germann, Nadja (2015), "Logic as the Path to Happiness: Al-Fārābī and the Divisions of the Sciences", //Quaestio// 15, pp. 15–30.
* González Marrero, José Antonio (2018), "Organizing the Knowledge in the 13th century: From al-Fārābī’s //Kitāb marātib al-’ulūm// to Gundissalinus and Cremona’s //De ortu scientiarum//", in A. Kukutka-Wojtasik & E. Stachurski (ed.), //Translatio and History of Ideas//, pp. 197–206.
* Mahdi, Muhsin (1975), "Science, Philosophy, and Religion in Alfarabi’s //Enumeration of the Sciences//", In J.E. Murdoch et E. D. Sylla (ed.), //The Cultural Context of Medieval Learning//, Dordrecht: Reidel, pp. 113–147.
* Zonta, Mauro (1995), "The Reception of Al-Fārābī’s and Ibn Sīnā’s Classifications of the Mathematical and Natural Sciences in the Hebrew Medieval Philosophical Literature", //Medieval Encounters// 1(3), pp. 358–382.
* Zonta, Mauro (2001), "La //Divisio scientiarum// presso Al-Farabi: Dalla "Introduzione alla filosofia" tardoantica all'enciclopedismo medievale", in G. d’Onofrio (ed.), //La divisione della filosofia e le sue ragioni//, Salerno: Avagliano, pp. 65–77.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Al-Ghazālī (~1094), //Maqāṣid al-falāsifah// ["The Aims of the Philosophers"].
* Al-Ghazālī (11th century), //Iḥyā′ 'Ulūm al-Dīn// ["Revival of the Religious Sciences"].
* Al-Ghazālī (11th century), //Mīzān al-‘amal// ["Scale of actions"].
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bakar, Osman (1998), //Classification of knowledge in Islam//, Cambridge, Islamic Texts Society.
* Treiger, Alexander (2011), "Al-Ghazālī’s Classifications of the Sciences and Descriptions of the Highest Theoretical Science", //Dîvân: Disipli nlerarası Çalışmalar Dergisi// 16.1(30), pp. 1–32.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
Cf. //Opus tertium//, where Bacon mentions a tract entitled //De enigmatibus alkimiae//.
"L'alchimie est la chimie la plus subtile par laquelle on fait des opérations de Chimie extraordinaires qui exécutent plus promptement les mêmes choses que la nature est longtemps à produire." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Alcuin of York (~795), //Dialogus de dialectica//, PL 101:952A-D
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in S. Ebbesen & R. L. Friedman (eds), //Medieval Analyses in Language and Cognition//, Copenhagen: Kgl. Danske Videnskabernes Selskab, pp. 165–190.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bain, Alexander (1849), "Logic", in //Chambers’s Information for the People//, vol. II, pp. 353–368.
* Bain, Alexander (1870), //Logic: Deductive and Inductive//, New York: American Book Company, 1887.
* Bain, Alexander (1888), "Definition and Demarcation of the Subject-Sciences", //Mind// 13(52), pp. 527–548.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 239.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 215–218.
* Shearer, Ned A. (1974), "Alexander Bain and the classification of knowledge", //Journal of the History of the Behavioral Sciences// 10(1), pp. 56–73.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Baumgarten, Alexander Gottlieb (1768), //Sciagraphia encyclopaedica philosophicae//, Halle.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
"The vast mechanism of Algebra rests upon the fundamental axioms of all Mathamatics. It is a great extension of the compass of Arithmetic depending upon using //symbols of numbers//, and //signs of operation//, for actual numbers and actual operations." Bain (1870), p. 444.
"Signs-of-unknown-quantity-regarding; viz. Algebra", Bentham (1816), Appendix IV, Section VIII, p. 178.
"ALGEBRA, or the doctrine of EQUATIONS; Simple, Quadratic, Cubic, &c. Operations thereof; as Reduction, Construction. Objects thereof; Problems, Resolution, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Generalising arithmetical science: the science of number and magnitudes which can be compared as consisting in relative numbers of equal parts; number being here either abstract or concrete." Hooper (1906), p. 164.
"Science des fonctions des grandeurs", Bourdeau (1882), vol. I, p. 295.
"Expression analytique et générale des quantités, et de toutes leurs combinaisons possibles" (Lancelin 1803, p. 133).
"L'algèbre, ou Arithmétique universelle, n'est autre chose que la calcul des grandeurs en général. C'est la méthode de faire en général le calcul de toutes sortes des quantités en les représentant par des signes très universaux." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Form-not-regarding", Bentham (1816), Appendix IV, Section VIII p. 178.
"//Poesie Allusive//, or //Parabolical//, excels the rest, and seemeth to be a sacred and venerable thing; especially seeing Religion itself hath allowed it in a work of that nature, and by it, traficks divine commodities with men. But even this also hath been contaminate by the levity and indulgence of mens wits about //Allegories//. And it is of ambiguous use, and applied to contrary ends. For it serves for //Obscuration//; and it serveth also for //Illustration//: in this it seems, there was sought a way how to teach; in that an Art how to conceal." Bacon (1623), Book II, p. 68.
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
\define concatenate() {{$:/temp/frdef_searchindex!!text}}(?i)
<!-- Search box and sort options -->
<table class="centertable borderless">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i> <$edit-text class="noborderfield searchfield" tiddler="$:/temp/frdef_searchindex" type="search" tag="input"/><$reveal state="$:/temp/frdef_searchindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/frdef_searchindex" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td colspan="3"></td><td>
<div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/fr_sortby'><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr>
</table><br>
<!-- List of scholars -->
<div class="justify" style="overflow: auto; width:100%; height:100%; min-height:100px; max-height:500px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#F0F0F1;line-height:1.2em; margin-top:-30px; padding-top:10px">
<$wikify name="dsearch-entry" text=<<concatenate>> >
<$list filter="[has[map_id]regexp:title<dsearch-entry>sort{$:/temp/fr_sortby}]">
<div style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;"><div class="greyfont"> //<$link to={{!!title}}>{{!!title}}</$link>//: <$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></div>
<table class="centertable borderless">
<tr><!-- Classificatory paradigms --><td style="padding-left:0.5em"><div class="greyfont center" style="padding-bottom:6px">//Classificatory paradigm(s)//:</div><$select class="mselfield" tiddler=<<current>> multiple field="paradigm" default=""><option value='Ontological'>Ontological</option><option value='Epistemological'>Epistemological    </option><option value='Methodological'>Methodological</option><option value='Teleological'>Teleological</option></$select></td>
<!-- System type --><td style="padding-left:1em; padding-right:1em"><div class="greyfont center" style="padding-bottom:6px">//System type(s)//:</div><$select class="mselfield" tiddler=<<current>> multiple field="system_type" default=""><option value='Linear'>Linear (serial)</option><option value='Ramified'>Ramified (dichotomic)</option><option value='Tabular'>Tabular</option><option value='Circular'>Circular</option><option value='Dynamic'>Dynamic</option></$select></td><td><!-- Comments --><div class="greyfont center" style="padding-bottom:6px">//Additional information//:</div><div class="listfield center" style="max-height:6.3em; width:35em; overflow-y:scroll; line-height:1.2em"><$edit-text class="borderless editbox_list" tiddler=<<current>> field="comments" tag="textarea"/></div></td></tr>
<!-- <tr><td colspan="4"><$tmap class="mapframe" view={{!!title}} ></$tmap></td></tr> -->
</table>
</div><br>
</$list></$wikify></div>
\define url() $(wurl)$$(cpath)$
<$set name="wurl" value={{$:/website_url}}><!-- The URL path of the website is set in Settings → Parameters -->
<!-- Refresh state 1 --><$reveal type="match" state="$:/temp/analytics!!refresh" text="1">
<!-- PHP counters -->
<table class="centertable" style="background-color: #ededed">
<tr><th></th><th>Sessions <!-- Link to 'Logs_sessions.txt' --><$set name="cpath" value="/Technical/Counter/Logs_sessions.txt"><a class="clickbutton tc-btn-invisible" title="View 'Logs_sessions.txt'" style="text-decoration: none" href=<<url>> target="_blank"><i class="fas fa-chart-line" style="transform: scale(0.9) translate(0px, 1px)"></i></a></$set></th><th>Pageviews <!-- Link to 'Logs_pageviews.txt' --><$set name="cpath" value="/Technical/Counter/Logs_pageviews.txt"><a class="clickbutton tc-btn-invisible" title="View 'Logs_pageviews.txt'" style="text-decoration: none" href=<<url>> target="_blank"><i class="fas fa-chart-line" style="transform: scale(0.9) translate(0px, 1px)"></i></a></$set></th></tr><tr><th>All times</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr><tr><th>Yesterday</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions_yesterday.html"><object data=<<url>> type="text/plain"width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews_yesterday.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr><tr><th>Today</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions_today.html"><object data=<<url>> type="text/plain"width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews_today.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr></table>
<!-- Logs -->
<div class="center" style="margin-top:30px; font-weight:bold">Last 100 connections <!-- Refresh --><$reveal type="match" state="$:/temp/analytics!!refresh" text="1"><$button class="clickbutton tc-btn-invisible" tooltip="Refresh analytics"><$action-setfield $tiddler="$:/temp/analytics" $field="refresh" $value="2"/> <i class="fas fa-sync-alt" style="transform: scale(0.8) translate(0px, 2px)"></i> </$button></$reveal><$reveal type="nomatch" state="$:/temp/analytics!!refresh" text="1"><$button class="clickbutton tc-btn-invisible" tooltip="Refresh analytics"><$action-setfield $tiddler="$:/temp/analytics" $field="refresh" $value="1"/> <i class="fas fa-sync-alt" style="transform: scale(0.8) translate(0px, 2px)"></i> </$button></$reveal></div>
<$set name="cpath" value="/Technical/Counter/Logs.html"><iframe height="420px" width=100% style="border:none" src=<<url>> ></iframe></$set>
</$reveal>
<!-- Refresh state 2 --><$reveal type="nomatch" state="$:/temp/analytics!!refresh" text="1">
<!-- PHP counters -->
<table class="centertable" style="background-color: #ededed">
<tr><th></th><th>Sessions <!-- Link to 'Logs_sessions.txt' --><$set name="cpath" value="/Technical/Counter/Logs_sessions.txt"><a class="clickbutton tc-btn-invisible" title="View 'Logs_sessions.txt'" style="text-decoration: none" href=<<url>> target="_blank"><i class="fas fa-chart-line" style="transform: scale(0.9) translate(0px, 1px)"></i></a></$set></th><th>Pageviews <!-- Link to 'Logs_pageviews.txt' --><$set name="cpath" value="/Technical/Counter/Logs_pageviews.txt"><a class="clickbutton tc-btn-invisible" title="View 'Logs_pageviews.txt'" style="text-decoration: none" href=<<url>> target="_blank"><i class="fas fa-chart-line" style="transform: scale(0.9) translate(0px, 1px)"></i></a></$set></th></tr><tr><th>All times</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr><tr><th>Yesterday</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions_yesterday.html"><object data=<<url>> type="text/plain"width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews_yesterday.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr><tr><th>Today</th><td><center><$set name="cpath" value="/Technical/Counter/Counter_sessions_today.html"><object data=<<url>> type="text/plain"width="80" style="height: 23px"></object></$set></center></td><td><center><$set name="cpath" value="/Technical/Counter/Counter_pageviews_today.html"><object data=<<url>> type="text/plain" width="80" style="height: 23px"></object></$set></center></td></tr></table>
<!-- Logs -->
<div class="center" style="margin-top:30px; font-weight:bold">Last 100 connections <!-- Refresh --><$reveal type="match" state="$:/temp/analytics!!refresh" text="1"><$button class="clickbutton tc-btn-invisible" tooltip="Refresh analytics"><$action-setfield $tiddler="$:/temp/analytics" $field="refresh" $value="0"/> <i class="fas fa-sync-alt" style="transform: scale(0.8) translate(0px, 2px)"></i> </$button></$reveal><$reveal type="nomatch" state="$:/temp/analytics!!refresh" text="1"><$button class="clickbutton tc-btn-invisible" tooltip="Refresh analytics"><$action-setfield $tiddler="$:/temp/analytics" $field="refresh" $value="1"/> <i class="fas fa-sync-alt" style="transform: scale(0.8) translate(0px, 2px)"></i> </$button></$reveal></div>
<$set name="cpath" value="/Technical/Counter/Logs.html"><iframe height="420px" width=100% style="border:none" src=<<url>> ></iframe></$set>
</$reveal>
<!-- Link to 'Logs.csv' -->
<center><div style="margin-top:-8px"><$set name="cpath" value="/Technical/Counter/Logs.csv"><a class="clickbutton tc-btn-invisible" style="text-decoration: none" href=<<url>> target="_blank"><i class="fas fa-file-alt"></i> Logs.csv</a></$set></div></center>
</$set>
<!-- Link to Google Analytics -->
<center><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Google Analytics"><$action-navigate $to="Google Analytics"/> <i class="fab fa-google"></i> Google Analytics </$button></center>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Analytics"></iframe>
"ANALYTICS, or the resolution of PROBLEMS by Species, or symbolical expressions: Rules or operations hereof; as Addition, Substraction, Multiplication, &c. Application thereof, in Combination, Permutations, Magic Squares, Chances, Gaming, &c. Series, Progressions, &c. Methods de Maximis, Fluxions, Exponentials, Tangents, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"From a Greek word, which signifies //experimental//, //empiric//." Jeremy Bentham (1816), //Chrestomathia//, p. 193.
"L'anatomie a commencé par celle de l'individu, et cette partie concrète, pratiquée sur l'homme, le singe, a été qualifiée d'//humaine//, de //chirurgicale//, et de //zoologique//, quand elle a été faite sur les animaux d'une manière isolée ainsi que Daubenton a procédé dans les //anatomies// de l'//Histoire naturelle de Buffon//." (Chevreul 1866, p. 556).
"La connaissance que l'on a de l'organisation des êtres vivants, du nombre, de la forme, de la structure de leurs parties et de leurs organes, de la manière dont s'exercent leurs actions ou leurs mouvements, des usages de ces parties, des fonctions de ces organes, porte le nom d'//anatomie//." Condorcet (1793), p. 769.
"Offrant le tableau de toutes les parties solides et liquides d'où résulte la construction et le jeu des machines vivantes" (Lancelin 1803, p. 133).
"L'anatomie est l'art de disséquer adroitement les parties solides des animaux pour en connaître la situation, la figure, les connexions." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"From a Greek word, which signifies //dissection//, cutting up. The parts of the body, to which it can apply, are of course no other than those which it finds in a //solid// state.", Bentham (1816), //Chrestomathia//, p. 47.
"ANATOMY, or the Analysis of ANIMAL BODIES, and their Parts, viz. Bones; as Cranium, Rib, Vertebra, Radius, Femur, Tibia. Sacrum, Pubis, Patella, &c. Their Articulation, Apophyses, &c. Muscles; Abductor, Adductor, Erector, Depressor, Deltoides, Sartorious, Cucullaris, Orbicularis, Sphincter, &c. Their Tendons, Fibres, &c. Vessels; as Artery, Aorta, Aspera, Trachea, Pulmonary, &c. Veins; as Cava, Porta, Jugular, Carotid, &c. Glands; as Pancreas, Parotides, Prostates, &c. Nerves; Optic, Olfactory, Auditory, &c. Lymphatic, Lacteal, Mesaraic, Mucilaginous, &c. Their Valves, Tunics, Anastomases, &c. Their Humours; as Chyle, Blood, Spirit, Seed, Gall, Urine, Milk, Sweat, Marrow, &c. Membranes; Pannicle, Cutis, Cuticula, Papilla, &c. Venters, Head, Meninges, Brain, &c. Eye, Ear, Pupil, Tympanum. Tongue, Tooth, Palate, Larynx, Glottis, Oesophagus, &c. Viscera, Stomach, Lungs, Heart, &c. Liver, Spleen, Kidney, Intestines, Bladder, &c. Functions or operations hereof; Respiration, Deglutition, Digestion, Chylification, Sanguification, Circulation, Systole, Nutrition. Secretion, Excretion, Perspiration, Vomiting, &c. Genitals; Penis, Testicles, Clitoris, Matrix, Nympha, Hymen. Embryo, Zoophyte, Mole, &c. Erection, Generation, Conception, Gestation, Delivery, Lochia, Menses, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
ⓘ //Anatomy// is not included in Dove's disciplinary map. He explains this absence in the following passage:<br>
"//Anatomy// is not a //science//, it is a mere classification forming a portion of physiology." Dove (1851), p. 202.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Ampère, André-Marie (1834),// Essai sur la philosophie des sciences: ou, Exposition analytique d’une classification naturelle de toutes les connaissances humaines//, Paris: Bachelier, 1856.
* Ampère's manuscripts regarding the "Tableaux synoptiques", available on the CNRS website: <a style="text-decoration: none;" href="http://www.ampere.cnrs.fr/ice-manuscrits/ice_book_detail.php?lang=fr&type=img&bdd=koyre_ampere&table=ampere_mats&bookId=483">cart. 36, ch. 483.</a>
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Braverman, Charles (2016), "Pourquoi classer les facultés de l’esprit? André-Marie Ampère: de la science à la philosophie et vice versa", //Cahiers François Viète// 3(1), pp. 35–60.
* Braverman, Charles (2015), "La classification scientifique chez Ampère: entre Bacon et les naturalistes", //Revue philosophique de la France et de l’étranger// 140(3), pp. 307–324.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 154–163.
* Machlup, Fritz ed. (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, vol. II, Princeton University Press, p. 63.
* Merleau Ponty, Jacques (1977), "Essai sur la philosophie des sciences d’Ampère", //Revue d’histoire des sciences// 30(2), pp. 113–118.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Poliziano, Angelo (1491), //Praelectio cui titulus Panepistemon//, Florence: A. Micominus, 1491.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 97.
* Maïer, Ida (1960), "Un inédit de Politien: la classification des «arts»", //Bibliothèque d’Humanisme et Renaissance// 22(2), pp. 338–355.
* Mandosio, Jean-Marc (1997), "Classification des sciences à la Renaissance", in Danielle Jacquart (ed), //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, p. 333.
* Mandosio, Jean-Marc (2002), "Méthodes et fonctions de la classification des sciences et des arts (XVe-XVIIe siècles)", //Nouvelle revue du XVIᵉ siècle// 20(1), pp. 19–30.
"Applied to //vegetable// or //animal// bodies, its powers are confined to //decomposition//: neither to //composition// or //recomposition// do they extend. Of these //organized// bodies, the formation is a process by much ioo secret and refined, to be copied by human art.", Jeremy Bentham (1816), //Chrestomathia//, p. 30.
"Which describeth the Number, Measure, Waight, Figure, Situation, and colour of every divers thing contained in the perfecte body of MAN: and geveth certaine knowledge of the Figure, Symmetrie, Waight, Characterization, & due Locall motion of any percell of the said body assigned: and of numbers to the said percell appertaining." John Dee (1570), //The Mathematicall Preface//.
"L'Anthropologie enseigne les propriétés de l'homme.", Christofle de Savigny (1587), plate B.
"The science of man. It is only in modern times that the possibility of a strictly scientific study of mankind has begun to be realised. The place of the science has long been, and still is largely, occupied by a mass of undigested erudi- tion, of classical and ecclesiastical lore, concerned especially with the Greek, Latin, and Hebrew languages, literatures, laws, and traditions, and with their modern survivals in academic philosophy and Christian dogma." Hooper (1906), p. 137.
"//Antiquities//, or the //Remains of Histories//, are as we said, //tanquans Tabula Naufragii//; when industrious and understanding persons (the memory of Things being decayed, and almost overwhelmed with oblivion) by a constant and scrupulous diligence, out of Genealogies, Calendars, Inscriptions, Monuments, Coyns, Proper Names and Styles; Etymologies of words, Proverbs, Traditions, Archives and Instruments, as well publick as private; Fragments of stories, scattered passages of Books that concern not History; out of all these, I say, or some of them; they recover and save somewhat from the Deluge of Time." Bacon (1623), Book II, p. 59.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Charma, Antoine (1859), //Une nouvelle classification des sciences//, Paris: L. Hachette.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Destutt de Tracy, Antoine-Louis-Claude (1797), "Sur un système méthodique de bibliographie", //Gazette nationale ou le Moniteur universel// 19(38), 1797.
* Destutt de Tracy, Antoine-Louis-Claude (1815), //Eléments d’idéologie//, Paris: Courcier.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 160.
* Schandeler, Jean-Pierre (1998), "Les lieux de la rationalité de D’Alembert à Destutt de Tracy", //Recherches sur Diderot et sur l’Encyclopédie// 25, pp. 101–114.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Cournot, Antoine-Augustin (1851), //Essai sur les fondements de nos connaissances et sur les caractères de la critique philosophique//, Paris: Hachette.
* Cournot, Antoine-Augustin (1861), //Traité de l’enchaînement des idées fondamentales dans les sciences et dans l’histoire//, Paris: Hachette.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Audierne, R. (1905), "Note sur la classification des connaissances humaines dans Comte et dans Cournot", //Revue de Métaphysique et de Morale// 13(3), pp. 509–519.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 213.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 166–175.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Vol. II, Princeton University Press, p. 69.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Zara, Antonio (1615), //Anatomia ingeniorum et scientiarum//, Venetiis: Ambrosij Dei.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* García, Emilio & Alonso, Aurora Miguel (2004), "El “Examen de Ingenios” de Huarte en Italia. La “Anatomia Ingeniorum” de Antonio Zara", //Revista de Historia de la Psicología// 25(4), pp. 83–94.
* Olivieri Tonelli, Grazia (1991), "Galen and Francis Bacon: Faculties of the Soul and the Classification of Knowledge", in //The Shapes of Knowledge from the Renaissance to the Enlightenment//, Dordrecht: Springer, pp. 61–81.
"Not-state-regarding; viz. Private Ethics", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Measuring how farre, from the Measurer, any thing is: of him sene, on Land or Water: called Apomecometrie." John Dee (1570), //The Mathematicall Preface//.
"Natural history itself becomes a rule for dependent pursuits, such as those of //Medicine// (under which are //Pharmacy,// and the //Materia Medica//), and //Surgery//." Coleridge (1818), p. 40.
"In speaking of the mixed and applied sciences, we must be permitted, however, to remark that the word science, is evidently used in a looser and more popular form, than when we denominate mathematics, or metaphysics, as a science. [...] Of these, then (be they studies or sciences), we call those mixed in which certain ideas of the mind, are applied to the general properties of bodies." Coleridge (1818), p. 38.
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
"//Archaeology//, i.e. //account of antiquities//: an account of the state of persons and things, in anterior, i.e.former and earlier, so preposterously termed antient times.", Jeremy Bentham (1816), //Chrestomathia//, p. 36.
//Archelogia// is about principles in general, that are common to all disciplines. Cf. Saviani (2009), p. 221.
"Which teacheth to bring to actuall experience sensible, all worthy conclusions, by all the Artes Mathematicall purposed: and by true Naturall philosophie, concluded: And both addeth to them a farder Scope, in the termes of the same Artes: and also, by his proper Method, and in peculiar termes, procedeth, with helpe of the forsayd Artes, to the performance of complete Experiences: which, of no particular Arte, are hable (Formally) to be challenged." John Dee (1570), //The Mathematicall Preface//.
"Toutes les vérités qui concernent les monuments de tout genre que nous ont laissés les hommes qui ne sont plus [forment] une science du premier ordre connue sous le nom d'//Archéologie//, que je lui conserverai. Ainsi que toutes les autres sciences du premier ordre, elle se divisera en deux sciences du second: la //Mnémiologie// et l'//Archéologie comparée//." Ampère (1834), Tome 2, p. 94.
"ARCHITECTURE, including the construction of BUILDINGS; as House, Temple, Church, Hall, Palace, Theatre, &c. Ship, Gally, Galleon, Ark, Bucentaur, Boat, &c. Pyramid, Mausoleum, Pantheon, &c. Capitol, Seraglio, Escurial, &c. Arch, Vault, Bridge, Monument, Tomb, &c. Forms thereof; Rotundo, Platform, Pinnacle, &c. Plans; Design, Ichnography, Profile, &c. Parts; as Foundation, Wall, Roof, &c. Door, Window, Stairs, Chimney, &c. Orders; as Tuscan, Doric, Corinthian, &c. Caryatides, Rustic, Gothic, &c. Column; Pilaster, Attic, &c. Parts thereof; Entablature, Capital, Pedestal, &c. Cornice, Freeze, Base, &c. Volute, Pediment, Modillion, Console, &c. Mouldings; Ogee, Tore, Astragal, Scotia, Abacus, Ovolo, &c. Materials; as Brick, Stone, Tyle, Slate, Shingle, &c. Timber, Wainscot, Glass, Lead, Plaster, &c. Beam, Rafter, Mortar, Nail, Hinge, Key, Lock, &c. Quarry, Masonry, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"L'architetura, depende parte da la naturale, parte da l'arithmetica, geometria & ethica per il situare de li edificij, per el calculare de lopre é, spese per condurli á, lá debita proportione si che possino servire a, lá comodita del edificio insieme con la belleza e, per la magnificentia de lopra." Collenuccio (1535), p. 17.
"Which is a Science garnished with many doctrines, and divers Instructions: by whose judgement, all workes by other workmen finished, are judged." John Dee (1570), //The Mathematicall Preface//.
"L'architecture est l'art de construire. [...] L'architecte n'imite la Nature qu'imparfaitement par la symétrie de ses ouvrages." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Barnes, Jonathan, ed. (2014), //The Complete Works of Aristotle: The Revised Oxford Translation//, Princeton University Press.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Cleary, John J. (1994), "Emending Aristotle’s Division of Theoretical Sciences", //The Review of Metaphysics// 48(1), pp. 33–70.
* Distelzweig, Peter M. (2013), "The Intersection of the Mathematical and Natural Sciences: The Subordinate Sciences in Aristotle", //Apeiron// 46(2), pp. 85–105.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, pp. 77–86.
* Labarrière, Jean-Louis (1997), "The articulation and hierarchy of knowledge in Aristotle’s thought", //Diogenes// 45(178), pp. 23–31.
* McKirahan, Richard D. (1978), "Aristotle’s Subordinate Sciences", //The British Journal for the History of Science// 11(3), pp. 197–220.
* Merlan, Philip (1953): "The Subdivisons of Theoretical Philosophy", in //From Platonism to Neoplatonism//, The Hague: M. Nijhoff, pp. 59–87.
* Nemetz, Anthony A. (1956), "Logic and the division of the sciences in Aristotle and St. Thomas Aquinas", //The Modern Schoolman// 33(2), pp. 91–109.
* Vega González, Camilo (2011), "Aristotle’s Division of Theoretical Sciences", //Deutscher Kongress für Philosophie, Philosophie der Antike//, XXII.
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
"//Arithmetick// [handles] Quantity //dissevered//." Bacon (1623), Book III, p. 110.
"The foundations of Arithmetic are the two proper Axioms of all Mathematics, the Definitions of the fundamental operations—Addition, etc, and the Definitions of the Numbers." Bain (1870), p. 442.
"Signs-of-known-quantity-regarding; viz. Arithmetic", Bentham (1816), Appendix IV, Section VIII, p. 178.
Cf. Martianus Capella, //Satyricon//, Liber VII.
"ARITHMETIC, including the doctrine of DISCRETE or Discontinuous QUANTITY, viz. Number, Ratio, Propostion, &c. Kinds; as Integer, Fraction, Decimal, Surd, &c. Relations; as Root, Power, Square, Cube, &c. Rules or operations thereof; as Notation, Numeration, Addition, Substraction, &c. Reduction, Practice, Position, &c. Extraction, Approximation, &c. Instruments subservient thereto; as Logarithms, Neper's Bones, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Arithmetica, in segna a, demonstra le proprieta passione è, proporcione de le quantita discrete é di numeri." Collenuccio (1535), p. 11.
"Doesn’t arithmetic or the arithmetician teach us everything that pertains to number?" //Gorgias// 453e.
"Arithmetic is about units", //Posterior Analytics// 76b4.
"The science of number. Number is the most universal of all attributes. As unity, it belongs logically to every object-matter; as duality and plurality it belongs logically to every two of more object-matters considered together." Hooper (1906), p. 163.
"Mixt, Which with aide of Geometrie principall, demonstrateth some Arithmeticall Conclusion, or Purpose." John Dee (1570), //The Mathematicall Preface//.
"Simple, Which dealeth with Numbers onely: and demonstrateth all their properties and appertenances: where, an Unit, is Indivisible." John Dee (1570), //The Mathematicall Preface//.
"Arithmetike of most usuall whole numbers: And of Fractions to them appertaining.
Arithmetike of Proportions. Arithmetike Circular. Arithmetike of Radicall Numbers: Simple, Compound, Mixt: And of their Fractions. Arithmetike of Cossike Numbers: with their Fractions: And the great Arte of Algiebar." John Dee (1570), //The Mathematicall Preface//.
"Science de la formation des nombres", Bourdeau (1882), vol. I, p. 214.
"La quantité est ou de nombre, envers lequel est occupée l'Arithmétique, ou de magnitude & mesure, de quoy traite la Géométrie", Christofle de Savigny (1587), plate B.
L'Arithmétique est métaphysiquement la plus simplifiée de toutes les sciences. Guye (1919), p. 248.
"Science des nombres" (Lancelin 1803, p. 133).
"C'est l'art de nombrer. Cette partie des mathématiques considère les propriétés des nombres. Elle a pour objet la quantité abstraite, nombrable. L'arithmétique telle qu'elle est aujourd'hui se divise en théorique, pratique, instrumentale, spécieuse, documentale, tétractique, duo-décimale, sexagésimale." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"L'ensemble de nos connaissances relativement à [...] la mesure des grandeurs en général [...] constituera une science du premier ordre, à laquelle je donnerai le nom d'Arithmologie. [...] L'arithmologie se divisera naturellement en deux sciences du second ordre, dont la première, sous le nom d'Arithmologie élémentaire, comprendra l'arithmographie et l'analyse mathématique. Quant à la seconde, formée par la réunion de la théorie des fonctions et de celle des probabilités [...] je me suis arrêté à la dénomination de Mégéthologie." Ampère (1834), Tome 1, pp. 40–41.
"Lois des nombres et des relations numériques possibles", Naville (1888), p. 29.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Arnoul De Provence (1250), "Divisio scientiarum", in Claude Lafleur, //Quatre introductions à la philosophie au XIIIᵉ siècle: textes critiques et étude historique//, Institut d’études médiévales, Université de Montréal, 1988, pp. 295–355.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Gioia, Flavia (2016), "La filosofía en la Facultad de Artes de París. La Divisio scientiarum de Arnulfo de Provenza", //Cuadernos de filosofía//, 66, pp. 73–93.
* Lafleur, Claude (2006), "Dieu et l’idéal théologico-métaphysique de la première philosophie universitaire parisienne: le cas de la Divisio scientiarum (vers 1250) de maître Arnoul de Provence", in //Les philosophes et la question de Dieu//, Paris: Presses Universitaires de France, pp. 73–86.
* Lafleur, Claude & Carrier, Joanne (2017), "Logique et (triple) logos dans la Divisio scientiarum d’Arnoul de Provence: La lettre, le sens et le contexte d’une citation de l’al-Fārābī latin", //Laval théologique et philosophique// 73(3), pp. 415–436.
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
"The many didactic treatises in the humanist tradition of the //ars apodemica//—a genre that grew rapidly in popularity in the late sixteenth century—all lay emphasis on travel's educative function, and on how knowledge gained by it should be put towards the good of the common weal." (Williamson 2016, p. 543)
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
*
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
*
"La quantité considérée dans la possibilité des événements donne l'Art de conjecturer." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"L'art de l'éducation, c'est-à-dire de favoriser l'acquisition des connaissances et de diriger le développement des facultés humaines, d'en augmenter la force, l'étendue" Condorcet (1793), p. 770.
"L'art de penser a autant de branches que l'entendement a d'opérations principales. Mais on distingue dans l'entendement quatre opérations principales, l'appéhension, le jugement, le raisonnement et la méthode. La pensée n'est autre chose qu'un espèce de discours intérieur et mental, dans lequel l'esprit converse avec lui-même." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Le retenir est la faculté par laquelle [l'entendement] conserve les idées qu'il a reçus précédemment, ou par les sens ou par la réflexion." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"L'Art de communiquer ses pensées et de la transmettre se distribue en Science de l'instrument du discours, qui s'appelle Grammaire, et en science des qualits du discours, qui s'appelle Réthorique. C'est aussi à cet art qu'il faut rapporter la Critique, la Philologie et la Pédagogique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
Main source: Freig (1582). Supplemented with data from Freig's "Catalogus locum communium", prefixed to his //Ciceronianus// (1575).
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Schopenhauer, Arthur (1819), //Die Welt als Wille und Vorstellung//, Leipzig: F. A. Brockhaus, 1859.<br>Cf. Especially vol. 2, chap. 12.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 197.
* Segala, Marco (2012), "Philosophie de la nature et sciences chez Schopenhauer", //Les études philosophiques// 3, pp. 389–408.
"The chief arts which men have devised for controlling nature to serve their purposes", Arnott (1861), p. 48.
"Entre les savants proprement dits et les producteurs effectifs, il commence à se former une classe spéciale, celle des ingénieurs, dont la destination spéciale est d'organiser les relations de la théorie et de la pratique", Comte (1830), //Cours de philosophie positive//, Tome 1, p. 55.
"Chaque art dépend non-seulement d'une certaine science correspondante, mais à la fois de plusieurs, tellement que les arts les plus importants empruntent des secours directs à presque toutes les diverses sciences principales. C'est ainsi que la véritable théorie de l'agriculture, pour me borner au cas le plus essentiel, exige une intime combinaison de connaissances physiologiques, chimiques, physiques et même astronomiques et mathématiques: il en est de même des beaux-arts." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 69.
"On the one hand, the practical or life-sustaining and life-assisting arts, and, on the other hand, the athletic and recreative arts." Hooper (1906), p. 192.
Source: Klainer, Georg (1610), //Disputatio philosophica de artibus generatim, et arte artium speciatim//.
"Théories des moyens", Naville (1901), p. 183.
"Les //arts// peuvent se ranger dans trois divisions principales selon qu’ils s’appliquent, soit directement à l’homme, soit à divers objets matériels, soit à des relations sociales." Omalius d'Halloy (1834), p. 6.
Source: Quintilian (~95), cf. II.18 [vol. 1, p. 159] & XII.2 [vol. 2, p. 405].
Source: Vives (1531). See especially chapter 5, entitled "Divisions of knowledge".
"Théorie des arts où l'on se propose de produire l'utilité", Naville (1888), p. 38.
Main source: Condorcet (1793).
"Ils sont appelés généraux & communs, par ce qu'ils appartiennent à toutes choses.", Christofle de Savigny (1587), plate B.
"Les arts libéraux se peuvent à bon droit attribuer à la philosophie, qui est l'étude de la science, c'est-à-dire la connaissance & science des choses humaines & divines, aussi des causes, par lesquelles elles sont contenues." Christofle de Savigny (1587), plate B.
"In the last place we come to //Arts of Pleasure//: They, as the senses to which they refer are of two kinds, //Painting// delights the eye, especially, with an infinite number of such Arts appertaining to Magnificence about Buildings, Gardens, Garments, Vessels, Cups, Gemms, and the like." Bacon (1623), Book IV, p. 130.
"Sciences pragmatiques résultantes de l'application de la physique et du calcul aux arts usuels" (Lancelin 1803, p. 133).
"Les arts spéciaux (qui sont aussi appelés les parties de Philosophie) se distinguent & cougnoit on leur difference par leurs sujets à savoir touchant la nature des choses, ou la vie et les mœurs.", Christofle de Savigny (1587), plate B.
"Événements matériels effectifs des objets et des êtres réels. Histoire des corps non vivants", Naville (1888), p. 28.
"Touchant les ciels et les étoiles", Christofle de Savigny (1587), plate B
"Which reasonably demonstrateth the operations and effectes of the naturall beames of light, and secrete Influence of the Planets, and fixed Starres, in every Element and Elementall body: at all times, in any Horizon assigned." John Dee (1570), //The Mathematicall Preface//.
"L'Astrologie est la science de l'influence des astres." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La Astrologia, descrive la forma, li siti é, li movimenti de le sphere é, circuli celesti de li corpi stellari che in quelle se considerano, intendo pero de quella parte de astrologia chiamata Theorica o, ver motiva, non di quella che e chiamata iuditiale per che quella communamente come falace pare de li sautj assai reprobata." Collenuccio (1535), p. 12.
Mentioned in Freig (1575).
"Consequences from the influence of the stars", //Leviathan// (1651), chap. 9, p. 52.
"Les vérités relatives aux procédés par lesquels on donne aux observations astronomiques toute la perfection dont elles sont susceptibles, et on corrige les erreurs des instruments, forment une science du troisième ordre, qui est l'astronomie proprement dite, et que je désignerai simplement sous le nom d'//astronomie//." Ampère (1834), Tome 1, pp. 58–59.
"La science qui s'occupe des corps inorganiques, considérés comme partie du monde, est l'//Astronomie//." De Candolle (1813), p. 8.
"Si elles considèrent les corps célestes, elles prennent le nom d'//astronomie//." Condorcet (1793), p. 769.
"Which demonstrateth the Distances, Magnitudes, and all Naturall motions, Apparences, and Passions, proper to the Planets and fixed Starres: for any time, past, present, and to come: in respecte of a certaine Horizon, or without respecte of any Horizon." John Dee (1570), //The Mathematicall Preface//.
"L'astronomie en général est la connaissance du Ciel et des Phénomènes célestes. Prise dans un sens beaucoup plus étendu, l'astronomie est la connaissance de l'univers et des lois primitives de la nature." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Offrant l'analyse des forces agissantes sur notre système planétaire, et l'explication des mouvements réels ou apparents qui en résultent" (Lancelin 1803, p. 133).
"En astronomie, la division principale de ses phénomènes en géométriques et mécaniques, et la subordination nécessaire de ceux-ci aux premiers, sont trop naturelles et trop évidentes pour être jamais le sujet d'aucune controverse importante." Comte (1838), //Cours de philosophie positive//, Tome 3, pp. 71-72.
"L'astronomie géométrique a pour objet la quantité considérée dans les mouvements des corps célestes." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"En astronomie, la division principale de ses phénomènes en géométriques et mécaniques, et la subordination nécessaire de ceux-ci aux premiers, sont trop naturelles et trop évidentes pour être jamais le sujet d'aucune controverse importante." Comte (1838), //Cours de philosophie positive//, Tome 3, pp. 71-72.
"Astronomy [...] speculates about substance which is perceptible but eternal, but the other mathematical sciences, i.e. arithmetic and geometry, treat of no substance." //Metaphysics// 1073b5.
"//Astronomy//, such as now is made, may well be counted in the number of //Mathematical Arts//, not without great diminution of the Dignity thereof; seeing it ought rather (if it would maintain its own right) be constitue a branch, and not that most principal, of Natural Philosophy." Bacon (1623), Book III, p. 93.
"Heavens-regarding; viz. Astronomy", Bentham (1816), Appendix IV, Section VIII, p. 178.
Cf. Martianus Capella, //Satyricon//, Liber VIII.
"ASTRONOMY, or the doctrine of the HEAVENS; their Circles, Ecliptic, Zodiac, Meridian, Equator, Vertical, Azimuth. Galaxy, &c. Points; as Pole, Zenith, Nadir, &c. Celestial bodies, viz. Stars, Sun, &c. Assemblage thereof; into Sign, Constellation, &c. Their Precession, Culmination, Refraction. Declination, Ascension, Longitude, Latitude: Altitude, Amplitude, Azimuth. Planets; as Saturn, Venus, Earth. Moon, Satellite, Comet, &c. Their Places, Aspects, Syzygy, Conjunction, Quadrature. Diameter, Distance, Period, Revolution, Orbit, Node, &c. Their Station, Retrogradation, Equation, &c. Their Phases, Eclipse, Penumbra, Occultation, Parallax, Crepusculum, Maculae, &c. Observations thereof; taken with the Quadrant, Gnomon, Micrometer, Reticula, &c. Collected in Catalogue, Tables, &c. Hypotheses, or Systems thereof; Copernican, Tychonic, Ptolemaic, &c. Exhibited in Sphere, Globe, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
ⓘ //Astronomy// is not included in Dove's disciplinary map. He explains this absence in the following passage:<br>
"In the foregoing table of the sciences, neither astronomy nor geology appears. Astronomy is not in itself a science, but a real illustration or example of the science of mechanics." Dove (1851), p. 522.
"The science of celestial objects and their movements, including, as one of its principal object-matters, the solar system, of which the earth is a single member." Hooper (1906), p. 142.
"“What are the speeches of astronomy about,Socrates?” I’d say that they’re about the motions of the stars, the sun and the moon, and their relative velocities." //Gorgias// 451c.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Kircher Athanasius (1669), //Ars magna sciendi sive combinatoria//, Amsterdam: Johannes Janssonius a Waesberge.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Breidbach, Olaf (2005), "On the representation of knowledge in Athanasius Kircher", in H. Schramm //et al//. (eds), //Collection, Laboratory, Theater: Scenes of Knowledge in the 17th Century//, Walter de Gruyter, vol. 1, pp. 63–76.
"Let us proceed to //Athletick//, which we take in a somewhat more large sence than usually it is. For to this we refer any point of //Ability//, whereunto the body of man may be brought, or any aptitude thereto, whether it be of //Activity//, or of //Patience//." Bacon (1623), Book IV, p. 130.
"Pour connaître à fond l'objet des sciences qui nous occupent, il reste à découvrir les causes des phénomènes, et, quand on les connaît, à en conclure ce qui doit arriver dans les cas qui n'ont pas encore été observés. Or, ces causes résident dans les forces que les molécules de la matière exercent les unes sur les autres; c'est pourquoi j'ai donné à cette science le nom d'atomologie." Ampère (1834), Tome 1, p. 76.
{{||map}}{{maptips}}
{{||image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Stadler, August (1896), "Zur Klassifikation der Wissenschaften", //Archiv für systematische Philosophie// 2(1).
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 315.
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""><!-- Hide tiddlymaps when printed -->
<table class="centertable borderless">
<div id="scale_big"><tr><td style="width: 49vw"><$tmap class="mapframe" height="calc(10vw + 40vh)" view={{!!title}}></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="calc(10vw + 40vh)" view="Auguste Comte (encyclopedic scale)"></$tmap></td></tr></div>
<div id="scale_small"><tr><td style="width: 49vw"><$tmap class="mapframe" height="350px" view={{!!title}}></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="350px" view="Auguste Comte (encyclopedic scale)"></$tmap></td></tr></div>
</table>
</$reveal>
{{||image1-image2s}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Comte, Auguste (1822), "Plan des travaux scientifiques nécessaires pour réorganiser la société", in //Système de Politique positive//, Paris: Carilian-Goeury & Dalmont, 1854, t. IV, pp. 47–136.
* Comte, Auguste (1825), "Considérations philosophiques sur les sciences et les savants", //Le Producteur// 1(8), pp. 289–305, 348–374 & 450–469.<br>http://gallica.bnf.fr/ark:/12148/bpt6k854645
* Comte, Auguste (1830-1842), //Cours de philosophie positive// (6 vols.), Paris: Bachelier.<br>http://gallica.bnf.fr/ark:/12148/bpt6k76267p
* Comte, Auguste (1844), //Discours sur l’esprit positif//, Paris: Carilian-Goeury & Dalmont.<br>http://gallica.bnf.fr/ark:/12148/bpt6k61282910
* Comte, Auguste (1852), //Catéchisme positiviste//, Paris: E. Leroux, 1874.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Audierne, R. (1905), "Note sur la classification des connaissances humaines dans Comte et dans Cournot", //Revue de Métaphysique et de Morale// 13(3), pp. 509–519.
* Bourdeau, Michel (2011), "L’idée de mathématiques appliquées chez Comte", //Mathématiques et Sciences Humaines//, 193(1), pp. 35–44.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 151–154.
* Macherey, Pierre (1989), //Comte, la philosophie et les sciences//, Paris: PUF.
* Machlup, Fritz ed. (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Vol. II, Princeton University Press, p. 65.
* Petit, Annie (2016), //Le Système d’Auguste Comte: De la science à la religion par la philosophie//, Paris: Vrin.
* Petit, Annie (1994), "Genèse de la classification des sciences d’Auguste Comte", //Revue de synthèse// 115(1-2), pp. 71–102.
* Sandoz, Raphaël (2017), "La 'hiérarchie des sciences' comtienne revisitée par Edmond Goblot", //Revue philosophique de la France et de l’étranger// 142(3), pp. 303–324.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* De Candolle, Augustin Pyrame (1813), //Théorie élémentaire de la botanique//, Paris: Déterville.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Drouin, Jean-Marc (1994), "Classification des sciences et classification des plantes chez Augustin-Pyramus de Candolle", //Revue de synthèse// 115(1-2), pp. 149–165.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Cassiodorus, Aurelius (~583), //De artibus et disciplinis liberalium litterarum//.
* Cassiodorus, Aurelius (~562), //Institutiones divinarum et saecularium litterarum//.
* Mynors, R. A. ed. (1937), //Cassiodori Senatoris Institutiones Edited from the Manuscripts//, Oxford.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 89.
* Giacone, Roberto (1974), "Arti liberali e classificazione delle scienze: L’esempio di Boezio e Cassiodoro", //Aevum// 48(1/2), pp. 58–72.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in //Medieval Analyses in Language and Cognition//, pp. 165–190.
* Weisheipl, James A. (1965), "Classification of the Sciences in Medieval Thought", //Mediaeval Studies// 27, p. 62.
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
<$select tiddler="$:/temp/country_list">
<$list filter="[field:country[Ancient Greece]]">
<option value=<<currentTiddler>>><<currentTiddler>></option>
</$list>
</$select>
{{$:/temp/country_list}}
<table class="centertable borderless infobg">
<tr><td><div class="cell center" style="background-color: #CCCCCE">//''Creator of the project''//</div></td></tr>
<tr><td><center><div class="nodragdrop" style="max-width:calc(60px + 18vw); text-align:center; padding-top:1.2em; padding-bottom:0.8em">[img[Sandoz.png]]</div></center></td></tr>
<tr><td style="font-size: calc(8px + 0.6vw)"><div style="padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw)">Raphaël Sandoz, PhD<br>Postdoctoral researcher<br> History and Philosophy of Science<br>University of Geneva<br><i class="fas fa-envelope" style="transform: scale(0.94) translate(0px, 1px); padding-right:0.4em"></i>raphael.sandoz<i class="fa fa-at" style="transform: scale(0.68) translate(0px, 1.5px)"></i>unige.ch</div></td></tr>
<br>
<tr><td style="font-size: calc(8px + 0.6vw)"><div style="padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw); padding-bottom:8px">//Webpages//:</div><div style="padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw)">[[Page at the University of Geneva|https://www.unige.ch/gsi/fr/presentation/enseignants/cer/raphael-sandoz]]<br><!-- [[University of Geneva (HPS)|https://www.unige.ch/hps/collaborateurs/sandoz]]-->[[Page on Academia.edu|https://unige.academia.edu/Raphaël_Sandoz]]</div></td></tr>
<br>
</table>
"Science des fonctions de croissance", Bourdeau (1882), vol. II, p. 470.
"Medicine and farming and other crafts of this kind are auxiliary and bring aid to things that come about by nature, so as to be in conformity with nature", Plotinus (~270), 4.4.31.
{{||map}}{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Avicenna, "Fi aqsām al-‘olūm al-‘aqliyya", French transl. G. C. Anawati, "Les divisions des sciences intellectuelles d'Avicenne", //Mélanges de l'Institut dominicain d'études orientales// 13, Le Caire, 1977.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Hugonnard-Roche, Henri (1984), "La Classification des sciences de Gundissalinus et l’influence d’Avicenne", in Jean Jolivet, //Études sur Avicenne//, Paris, Les Belles Lettres, pp. 40–75.
* Marmura, Michael E. (1980), "Avicenna on the Division of the Sciences in the //Isagoge// of His Shifa", //Journal for the History of Arabic Science// 4(2), pp. 239–251.
* Maróth, Miklós (1980), "Das System der Wissenschaften bei Ibn Sina", in B. Brentjes (ed), //Avicenna/Ibn Sīnā, 980-1036: Wissenschaftsgeschichte//, Halle: Martin-Luther-Universität, pp. 27–32.
* Weber, Edouard (1984), "La classification des sciences selon Avicenne à Paris vers 1250", in Jean Jolivet, //Études sur Avicenne//, Paris, Les Belles Lettres, pp. 77–101.
* Zonta, Mauro (1995), "The Reception of Al-Fārābī’s and Ibn Sīnā’s Classifications of the Mathematical and Natural Sciences in the Hebrew Medieval Philosophical Literature", //Medieval Encounters// 1(3), pp. 358–382.
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
"Considérées au point de vue de l'abstrait, les réalités //azontologiques// donnent lieu pour nous à trois ordres de notions, constituant trois sciences, la //physique//, la //chimie//, les //mathématiques pures// ou //mixtes//." Charma (1859), p. 18.
"Nous admettons dans l'univers, au point de vue du //concret//, quatre classes d'êtres qui ne vivent pas, et par conséquent quatre sciences azontologiques: une science des corps stellaires, planétaires, qui se meuvent dans l'immensité de l'espace, l'//astronomie//; une science de l'une des parties que nous détacherions de ce vaste système, et qui doit plus que toutes les autres attirer spécialement notre attention, de la terre, la //géologie//; [...] la science des êtres qui nous offrent quelque faux semblant de vie, mais qui ne vivent pas, c'est-à-dire des végétaux, ou la //botanique//; et ensuite, la science des corps chez lesquels on ne peut reconnaître ni la vie elle-même, ni le moindre vestige des symptômes qui la manifestent, c'est-à-dire des minéraux ou la minéralogie." Charma (1859), pp. 17–18.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Labanca, Baldassare (1875), //Della dialettica libri quattro//, Firenze: M.Cellini.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 247.
"Science des résultantes cosmiques de l'équilibre", Bourdeau (1882), vol. I, p. 442.
"Pour effectuer nettement l'examen philosophique de la barologie, il esgt indispensable de la diviser suivant qu'elle envisage les effets statiques ou les effets dynamiques produits par la gravité. Chacune de ces deux sections principales doit ensuite être subdivisée en trois portions, d'après les modifications importantes que présente le phénomène, statique ou dynamique, selon l'état solide, liquide, ou gazeux du corps considéré." Comte (1835), //Cours de philosophie positive//, Tome 2, pp. 466-467.
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
"//Biographical//, from two Greek words; one of which signifies life, the other a delineation or //description//, as above.", Jeremy Bentham (1816), //Chrestomathia//, p. 20.
"Its branches are frequently summed up as the Biological Sciences, although the term Biology itself usually applied to a subdivision." Pearson (1892), chap. 10, p. 465.
ⓘ Biology is not included in Bourdeau's table of disciplines, but he explained the reason of this absence:
"Le terme de biologie ne s'applique en effet qu'à l'étude des êtres vivants. On l'emploie même de préférence pour désigner celle des animaux. En outre, il confond dans son objet les faits de structure et les faits de fonction. Il a donc le double inconvénient de ne pas comprendre la classe entière des phénomènes plastiques et d'admettre un sujet étranger. [...] Le terme de biologie conduit à concevoir la vie comme un principe particulier d'action et consacre ainsi une erreur." Bourdeau (1882), vol. II, pp. 309–310.
Après la psychologie expérimentale vient la Biologie, que l'on pourrait appeler aussi bien Physiologie si l'on en exclut de propos délibéré, toute considération psychique. Guye (1919), p. 246.
"J'entends par biologie la science des lois de la vie corporelle", Naville (1901), p. 81.
"Biology enters upon an entirely new field of phenomena, the phenomena of Life, or of Living Bodies, involving an organised structure, with perpetual evolution and reproduction. This science is posterior to the foregoing, inasmuch as living bodies come under all the laws of Mechanical and of Molecular Physics, in addition to their own specific laws as living bodies. Biology is divided into Vegetable and Animal Biology; the one exhausting the structure, classification, and description of Plants, the other referring to Animals. Botany, Zoology, Human anatomy and Physiology, are the concrete department of Biology, and its leading divisions for study. There can scarcely be such a science as Abstract biology; the laws of life cannot be given in separation from living vegetables and animals. the nearest approach to a division into Abstract and Concrete, is the distinction between Physiology—Vegetable and Animal—on the one hand, and the classification and detailed description of Plants and of animals on the other." Bain (1870), p. 27.
"The science of living organisms. By living organisms one of course means organisms viewed in the mode of life—not simply those which happen to be living at the present moment. Fossils and defunct organisms are object-matters of biology precisely and solely in so far as we reconstruct in imagination the animated beings from which they are derived."Hooper (1906), p. 135.
"The most complicated state in which matter exists, is where, under the influence of life, it forms bodies with a curious internal structure of tubes and cavities, in which fluids are moving, and producing incessant internal change. These are called //Organized Bodies//, because of the various distinct parts or //organs// which the contain; and they form two remarkable classes, the individuals of one of which are fixed to the soil, and are called //Vegetables//; and of the other, are endowed with the power of locomotion, and are called //Animals//. The phenomena of growth, decay, death, sensation, self-motion, and many others, belong to life, but from occurring in material structures which subsist in obedience to the laws of Physics and Chemistry, the life is a superstructure on the other two, and cannot be studied independently of them. Indeed the greater part of the phenomena of organic life are merely chemical and physical phenomena, modified by an additional principle. The science of //Life// is divided into //animal// and //vegetable Physiology//.", Arnott (1828), p. x.
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
iVBORw0KGgoAAAANSUhEUgAAABYAAAAUCAYAAACJfM0wAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4gkbAhYxU5LDRQAAABl0RVh0Q29tbWVudABDcmVhdGVkIHdpdGggR0lNUFeBDhcAAAMkSURBVDjLrZW7b1xFFId/58zcxz5s727sXYGTFVYQLzkRUBDxKNKEIqJDooAG0dIgBH9ACkpE5RZR8jcEISGhCFAqSMCJEdiC2I69m914vbt37tyZORQr47WUwln4pJFGp/hmzsyZM4Qp9vsZmvUSAGBrd4Aff9vHy88sRSKSDk0RRID5csRMZG7efVC8vtpEu1XFT+v7uPR8c1oFOprsdEd4crECAOgPLW3tDmq28BcAuqw1vWldMACQaJUWPlyH4LskVrdffPpM78ix1xuj1Sgfi//aO0S7NQcA6DzMkvu97PLQFJ8y4Y1Eq+R4+QlBAFv4HMAPRPTxC+3aL3OV2E9vkKbT3+mOyt0D836WuzWtFUqxAgGQk95/Y5n1cD6gkuoPW7XSV0v10vjoSPlIutcb686B+WCc+7VyGqGaKuAR0ulYNdVIIsbIuLXd/vid/sAoALh5tzNJ8u/dQ/TH9rXM+hvlRCHSjBBwKhQTRrmDsX5TK7r6ynPNOwDA3QODsfMN68I1rQixVqeWAoAPgnKskUS8EgI++nWrXykKB34wyMl5WQ0iryZawQfB4xJEkGgFAFcLF57a2B6AN+4dIMvdcqSoQkSYFSKCVnQus649GBXEF1fqWjG9BAF4di+YJsP5ULUugLXiRCu+FPDfEQDei3c+gINIEYLcpv9BTJMqIa0YfJgV1ge5QTR5UbMSBCACKqmWakmDCZAk5jvWSVdkdrMXQV6EzTTW67VKIvzsuXko5g3FdN0UHrNUhlIEW3jEWn2vFG2eX54HMys80agO01h/7oOIsQ6KH0PKhJFxCCKGmT6rlxYMADAALFQ1zi6Wf04i9ZaxHtYFnGbjRIS88DDWI4nU2xdX6r83Fwm3/uyd7G6DkVV/7AyumMJ/HSleSGOFSBMkHF8sE0AMFE6QWY8QpJdE/G67Wf22MZ+6E41+Wn6/N9b7/WzVOv8JBO9ZL4g1QyuCyKQ3WBcQKwIIX8aR+qJVK623GmU/7XrkD9J9mNG9zkgrTctMdMXk/sLQuBwA5kpRksbqVpDwjffYPrtUcWcWUgGA7c4Qy0tVAMA/30eI5Bd1/usAAAAASUVORK5CYII=
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Boethius (~509), //In Isagogen Porphyrii Commenta//, S. Brandt (ed.), Leipzig: 1906.
* Boethius (~520), //De Trinitate//, trans. E. C. Kenyon: "On the Holy Trinity", 2004.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* D'Onofrio, Giulio (2001), "La scala Ricamata - La //Philosophiae divisio// di Severino Boezio, tra essere e conoscere", in //La divisione della filosofia e le sue ragioni//, Salerno: Avagliano, pp. 11–63.
* Giacone, Roberto (1974), "Arti liberali e classificazione delle scienze: L’esempio di Boezio e Cassiodoro", //Aevum// 48(1/2), pp. 58–72.
* Lindberg, David C. (1980), //Science in the Middle Ages//, University of Chicago Press, p. 469.
* Weisheipl, James A. (1965), "Classification of the Sciences in Medieval Thought", //Mediaeval Studies// 27, pp. 58.
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
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""><!-- Hide tiddlymaps when printed -->
<table class="centertable borderless">
<tr id="scale_big"><td style="width: 49vw"><$tmap class="mapframe" height="calc(12vw + 40vh)" view="Bonifatij Mihajlovič Kedrov (triangle)"></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="calc(12vw + 40vh)" view="Bonifatij Mihajlovič Kedrov"></$tmap></td></tr>
<tr id="scale_small"><td style="width: 49vw"><$tmap class="mapframe" height="350px" view="Bonifatij Mihajlovič Kedrov (triangle)"></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="350px" view="Bonifatij Mihajlovič Kedrov"></$tmap></td></tr>
</table>
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div><br>
</$reveal>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 2, pp. 488–506.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Braun, Claude M. J. & Baribeau, Jacinthe M. C. (1984), "The Classification of Psychology among the Sciences from Francis Bacon to Boniface Kedrov", //The Journal of Mind and Behavior// 5(3), pp. 245–259.
* Piaget, Jean (1967), "Le système et la classification des sciences", in //Logique et connaissance scientifique//, Paris: Gallimard, p. 1166.
"La botanique est suffisamment définie quand on a dit que c'est la science qui a pour objet la connaissance des végétaux." Ampère (1834), Tome 1, p. 119.
"La Botanique est l'histoire naturelle du règne végétal" De Candolle (1813), p. 18.
"La botanique est représentée dans le tableau, par la coïncidence d'une ligne rouge partant de la //plante-individu// et d'une ligne verte partant de la //classification des plantes-espèces//, en genre, etc. On voit ainsi la botanique composée d'une //partie concrète// et d'une //partie abstraite//." (Chevreul 1866, p. 554).
"Offrant la description et l'histoire des végétaux" (Lancelin 1803, p. 133).
"La botanique est la science qui traite de tous les végétaux et de tous ce qui a un rapport immédiat avec les végétaux. De l'histoire des plantes prise par les sens, la réflexion a passé à la recherche de leur œconomie, propagation, culture, végétation etc, et a engendré la botanique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Histoire des corps vivants. Classification, description, anatomie, physiologie. Histoire des espèces.", Naville (1888), p. 28.
"Animal-life-not-possessing-regarding, viz. Botany", Bentham (1816), Appendix IV, Section VIII, p. 178.
"The science of plants." Hooper (1906), p. 154.
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
\define concatenate() {{$:/temp/searchimgindex!!displayed}}(?i)
\define av_maps() {{Maps_displayed!!text}}
<!-- Search & toolbar -->
<table class="centertable borderless">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value={{$:/temp/searchimgindex}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/searchimgindex" type="search" tag="input"/></$keyboard><$reveal state="$:/temp/searchimgindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton" tooltip="Click here to display back the complete list"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/><i class="fas fa-times-circle"></i></$button></$reveal></div></td><td><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to filter images in the database"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value={{$:/temp/searchimgindex}}/> <i class="fas fa-arrow-right"></i> </$button></td>
<!-- Sorting criterion --><td style="padding-left:40px" id="hsmall"><div class="selfield" style="padding-left:0.2vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/images_sortby' ><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td>
<!-- Diagrammatic type --><td></td><td id="hsmall"><div class="selfield" style="line-height: 1.6em" title="Filter by diagrammatic type"><$select class="clickbutton buttonfield" tiddler="$:/temp/searchimgtype"><option value=''>– Any geometry –</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option></$select></div></td></tr>
<!-- Counter --><td align="center" style="color: #cc0000; font-size: calc(8px + 0.4vw); line-height:16px"><$list filter="[title[$:/temp/searchimgindex]has[displayed]]"><$wikify name="search-entry" text=<<concatenate>> ><$calc><$count filter="[!img_1[]regexp:title<search-entry>][!img_1[]regexp:country<search-entry>]+[regexp:diag1_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_2[]regexp:title<search-entry>][!img_2[]regexp:country<search-entry>]+[regexp:diag2_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_3[]regexp:title<search-entry>][!img_3[]regexp:country<search-entry>]+[regexp:diag3_type{$:/temp/searchimgtype!!text}]"/></$calc></$wikify> <$list filter="[title[$:/temp/searchimgtype]!text[]]">//{{$:/temp/searchimgtype}}//</$list> image<!-- Plural s --><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$list filter="[has<av-maps>regexp:country<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:country<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:country<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:title<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:title<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:title<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:aka<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:aka<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:aka<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]]+[insertbefore[New]move:-1[New]allbefore[New]limit[1]]">s</$list></$wikify></$wikify> for '{{$:/temp/searchimgindex!!displayed}}'<br><$button class="tc-btn-invisible"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/>(click on <i class="fa fa-times-circle"></i> to restore the full list)</$button></$list></td><td></td><td></td><td></td><td id="hsmall"><!-- Counter for diagramatic type --><$list filter="[title[$:/temp/searchimgindex]!has[displayed]]"><$list filter="[title[$:/temp/searchimgtype]!text[]]"><div align="center" style="color: #cc0000; font-size: calc(8px + 0.4vw); line-height:16px; margin-left: -8px"><$wikify name="search-entry" text=<<concatenate>> ><$calc><$count filter="[!img_1[]regexp:title<search-entry>][!img_1[]regexp:country<search-entry>]+[regexp:diag1_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_2[]regexp:title<search-entry>][!img_2[]regexp:country<search-entry>]+[regexp:diag2_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_3[]regexp:title<search-entry>][!img_3[]regexp:country<search-entry>]+[regexp:diag3_type{$:/temp/searchimgtype!!text}]"/></$calc></$wikify> //{{$:/temp/searchimgtype}}//<!-- Plural s --><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$list filter="[has<av-maps>regexp:country<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:country<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:country<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:title<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:title<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:title<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:aka<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:aka<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:aka<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]]+[insertbefore[New]move:-1[New]allbefore[New]limit[1]]">//s//</$list> found</$wikify></$wikify></div></$list></$list></td>
<!-- Small screen toolbar --><tr><!-- Sort criterion --><td style="padding-left:0.7em" id="hbig"><div class="selfield" style="line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/images_sortby' ><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr><!-- Diagrammatic type --><tr><td style="padding-left:0.7em" id="hbig"><div class="selfield" style="line-height: 1.6em" title="Filter by diagrammatic type"><$select class="clickbutton buttonfield" tiddler="$:/temp/searchimgtype"><option value=''>– Any geometry –</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option></$select></div></td></tr>
</table>
<!-- List of images -->
<div style="position: relative; display: flex; flex-direction: column; width:100%; height:99%; max-height: 600px; margin-top:25px;">
<div style="position:absolute; width:100%; z-index:2; margin-bottom:0px; background:none; border-style:solid; border-width:thin; border-color:#cfcfcf"><table class="centertable borderless" style="position: relative; background-color:#EDEDED; border-bottom-color:#cfcfcf; width:100%; font-size: calc(9px + 0.5vw); z-index:3; margin-top:0px; margin-bottom:0px">
<tr id="hsmall" style="font-weight: bold"><td align="center" width="25%">Scholars</td><td align="center" width="40%">Images</td><td align="center">Sources</td></tr>
<tr id="hbig" style="font-weight: bold"><td align="center">Scholars</td><td align="center" width="60%">Images</td><td align="center" width="25%" id="hmed">Sources</td></tr>
</table></div>
<div style="position:relative; z-index:1; overflow: auto; width:100%; max-height:160vh; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#F3F1F1">
<table class="centertable darkgreyfont" style="font-size: calc(9px + 0.5vw); width:100%; margin-top:-1px; margin-bottom:-2px">
<tr id="hsmall" style="visibility: hidden"><td align="center" width="25%" style="font-weight: bold">Scholars</td><td align="center" width="40%">Images</td><td align="center">Sources</td></tr>
<tr id="hbig" style="visibility: hidden"><th align="center">Scholars</th><th align="center" width="60%">Images</th><th align="center" width="25%" id="hmed">Sources</th></tr>
<$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> >
<$list filter="[title[$:/temp/images_sortby]]+[field:text[last_name]]"> <!-- Sort alphabetically -->
<$list filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/images_sortby!!text}]+[!img_1[]]">
<!-- Image 1 -->
<$list filter="[title{!!title}!img_1[]regexp:diag1_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position: relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image1}}</div></td><td id="hmed">{{!!src_img_1}}</td></tr></$list>
<!-- Image 2 -->
<$list filter="[title{!!title}!img_2[]regexp:diag2_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image2}}</div></td><td id="hmed">{{!!src_img_2}}</td></tr></$list>
<!-- Image 3 -->
<$list filter="[title{!!title}!img_3[]regexp:diag3_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image3}}</div></td><td id="hmed">{{!!src_img_3}}</td></tr></$list>
</$list></$list>
<$list filter="[title[$:/temp/images_sortby]]+[!field:text[last_name]]"> <!-- Sort chronologically -->
<$list filter="[!img_1[]has<av-maps>regexp:title<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/images_sortby!!text}]+[!img_1[]]">
<!-- Image 1 -->
<$list filter="[title{!!title}!img_1[]regexp:diag1_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image1}}</div></td><td id="hmed">{{!!src_img_1}}</td></tr></$list>
<!-- Image 2 -->
<$list filter="[title{!!title}!img_2[]regexp:diag2_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image2}}</div></td><td id="hmed">{{!!src_img_2}}</td></tr></$list>
<!-- Image 3 -->
<$list filter="[title{!!title}!img_3[]regexp:diag3_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<br>({{!!dates}})<br></$link><br><i>{{!!country}}</i></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">{{||ico_image3}}</div></td><td id="hmed">{{!!src_img_3}}</td></tr></$list>
</$list></$list>
</$wikify></$wikify>
</table>
</div></div>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Iconography&dt=Iconography" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Iconography"></iframe>
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
J. G. Sulzer (1758), p. 26.
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
"Ce calcul sur lequel se fondent l'//art de conjecturer// et l'//analyse des hasards//, se propose en général d'assigner le rapport du nombre des combinaisons existantes ou des faits connus, au nombre total des combinaisons ou faits possibles." (Lancelin 1803, p. 133).
{{||image1-map-image2}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Trivero, Camillo (1899), //Classificazione delle scienze//, Milano: U. Hoepli.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Goblot, E. (1900), "La “Classificazione delle scienze” de Camillo Trivero", //Revue philosophique de la France et de l’Étranger// 49, pp. 542–544.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 320.
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
"Sciences des possibilités dont la réalisation serait bonne ou Sciences des règles idéales d'action", Naville (1901), p. 183.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hefter, Carl Christian (1806), //Philosophische Darstellung eines Systems aller Wissenschaften, oder einer allgemeinen Wissenschaftslehre//, Leipzig.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Richardson, Ernest Cushing (1901), //Classification, theoretical and practical//, New York: C. Scribner’s sons, p. 127.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
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
iVBORw0KGgoAAAANSUhEUgAABhcAAAHQCAYAAACiBTtbAAAABmJLR0QA/wD/AP+gvaeTAAAACXBIWXMAABP+AAAT/gEHlDmEAAAAB3RJTUUH4wkaDg8Der92eQAAIABJREFUeNrs3XdcFMf/P/DX0Y7eUUEQwYZibIi9IogmYmwoEXusIbGnaiwR60cT9RNb9GOMaNSIklhBBQmKYrBgb9hQEQUFqYLA/P7wd/vlvAM5QKPyej4ePB7czuzs3uzc3cy+d3dkQggBIiIiIiIiIiIiIiKiUtJiFRARERERERERERERkSYYXCAiIiIiIiIiIiIiIo0wuEBERERERERERERERBphcIGIiIiIiIiIiIiIiDTC4AIREREREREREREREWmEwQUiIiIiIiIiIiIiItIIgwtERERERERERERERKQRBheIiIiIiIiIiIiIiEgjDC4QEREREREREREREZFGGFwgIiIiIiIiIiIiIiKNMLhAREREREREREREREQaYXCBiIiIiIiIiIiIiIg0wuACERERERERERERERFphMEFIiIiIiIiIiIiIiLSCIMLRERERERERERERESkEQYXiIiIiIiIiIiIiIhIIwwuEBERERERERERERGRRhhcICIiIiIiIiIiIiIijTC4QEREREREREREREREGmFwgYiIKtygQYMgk8mkPy0tLVhZWaFVq1aYM2cOnjx5Uuy6rVq1Qp06dZCRkVGmbZd3fSIiIiIiIiIiejUdVgEREb0uTZo0QdWqVVFQUIBHjx7h5MmTOHHiBJYsWYJt27bB29tbKX9eXh5Onz6N58+f49GjRzAxMdFoe+Vdn4iIiIiIiIiISofBBSIiem2mTZuGfv36Sa9TUlIQGBiIZcuWwcfHB9HR0XB3d5fS9fT0sHfvXmRkZKBWrVoab6+86xMRERERERERUekwuEBERG+MtbU1li5dCiMjI8ybNw+jR4/GmTNnlPJ4eXmVaxvlXZ+IiIiIiIiIiF6Ncy4QEdEbN3PmTFhbWyMuLg7R0dFKadWqVYNMJkN+fj6SkpKgra0NBwcHCCFUysnMzIS+vj4sLCyQl5ensr7C6tWrIZPJEBUVhZCQELRu3RqGhoYwNTWFp6cnjh8/rnY/MzIy8M0338DJyQlyuRyOjo6YPHkyUlNTeRCJiIiIiIiIqFJjcIGIiN44PT099OzZEwAQHh5ebL5q1aqhXbt2uHfvHk6ePKmSvm/fPuTm5uLjjz+Gnp7eK7c7bdo0DB48GO7u7pg3bx769OmDiIgIeHh44Nq1a0p5s7Oz0alTJyxcuBCmpqbo2bMn9PX18dNPP6Fdu3acMJqIiIiIiIiIKjUGF4iI6F/RpEkTAMClS5dKzOfr6wsACAkJUUlTLCs6r0NJjh49iv3792P58uWYOHEiNmzYgClTpuDZs2f45ZdflPLOnTsXp0+fxqxZs3D27Fls374dly5dgp+fHy5duoQFCxbwIBIRERERERFRpcXgAhER/SusrKwA4JWPGOrTpw9kMplKcCEvLw/79u2DmZkZunbtWqpttmrVCu3bt1da5uPjAwA4d+6ctEwIgbVr18LS0hLTp0+Xlmtra2Pq1KkAgODgYB5EIiIiIiIiIqq0OKEzERH9KwoKCgAAurq6Jeazs7ND27ZtcfToUVy5cgUuLi4AXjxOKT09HYMHDy7VI5GA/7tboigbGxsAUHrM0dWrV5GcnIyqVasiICBAKX9OTg4A4ObNmzyIRERERERERFRpMbhARET/ikePHgH4vzsYSuLr64ujR48iJCQE3377LQDNH4kEABYWFirLZDIZAChNGH3//n0AwMOHD7FmzRq1ZeXn5yM/Px86OvwpJSIiIiIiIqLKh49FIiKif0VsbCwAoHHjxq/M27dvX6VHIwkhsGvXLpiamsLb27v0P3papfvZUwQavL29IYQo9o+BBSIiIiIiIiKqrHhWhIiI3rjU1FTs3r0bAODl5fXK/NWrV0fr1q1x/PhxJCUl4d69e3j48CH8/f0hl8srfP9sbW0BALdv3+bBIiIiIiIiIiJSg3cuEBHRGyWEQEBAALKzs9G5c2d88MEHpVrP19cXQggcPHgQoaGhADR7JJIm6tevDysrK1y9ehXXrl3jQSMiIiIiIiIiegmDC0RE9MZcuXIFvXr1wpYtW2BsbIzVq1eXel3Fo5EiIiIQHh4OY2NjdOvW7fX8OGpp4bPPPgMAfPrpp3j8+LFS+tWrVxEdHc0DSkRERERERESVFh+LREREr83cuXOxbt06PHv2DAkJCbh16xaAF485CgkJQd26dUtdloODA1q2bInw8HCkpKSgV69e0NfXf237Pm3aNBw5cgSRkZGoUaMG3NzcoKOjg4SEBNy4cQNz5sxB27ZteZCJiIiIiIiIqFJicIGIiF6buLg4AICuri6sra3h7e0NHx8fjBgxAgYGBhqX5+vriylTpgB4fY9EUpDL5QgLC8OKFSsQFBSEkydPoqCgAA4ODhg9ejT69+/PA0xERERERERElZZMCCFYDUREREREREREREREVFqcc4GIiIiIiIiIiIiIiDTC4AIREREREREREREREWmEwQUiIiIiIiIiIiIiItIIgwtERERERERERERERKQRBheIiIiIiIiIiIiIiEgjDC4QEREREREREREREZFGGFwgIiIiIiIiIiIiIiKNMLhAREREREREREREREQaYXCBiIjKJC8vj5VARERERERERFRJ6bAKiIhIU9evX8eePXuwc+dO1KxZkxVShKOjIwIDA1kRRERERERERPReY3CBiIg0EhMTgx49eiAvLw9NmzaFt7c3K6UIS0tLVgIRERERERERvfdkQgjBaiAiotJISUlB27ZtsXDhQvTq1YsVQkRERERERERUSXHOBSIiKrXg4GDUrFmTgQUiIiIiIiIiokqOdy4QEVGpPH/+HLa2trh69SqsrKxYIURERERERPTOO3rhHoYv3oPq1iawNjOAU1VztKxvh/o1rGFnZQwzQzm0tGSsKCI1GFwgIqJXunPnDho1aoQFCxZg3LhxrBAiIiIiIiJ6LwghIARQUFiI/IJCPC8oROLjTFy//wQnriQi8mwC5Lra+LRbY3RsVAO2VsbQkjHYQAQwuEBERKUQEBAAV1dXfPbZZ6wMIiIiIiIiqlTy8gtwJeExdhy9gpDoaxj7UVMM7OIKM0N9MM5AlRmDC0REVKLMzEzY2toiIyODlUFERERERESVmhACF++kYO7v0XiUlo3lAV3RoIYVZIwyUCXE4AIREZVoypQpOHfuHA4ePMjKICIiIiIiIvr/MnPyMH3D33iYmoWV47vBwliflUKVihargIiISnLp0iW0a9eOFUEV4syZMzAzM8PmzZtfmXfBggWQyWSIj49/69/XwIED0aBBA1T0NRsxMTGQyWRYt24dGw8RERER0VvG2EAPS8d5YcmYLugfGIJfw86C13FTZcLgAhERlejq1asYMmQIK4IkS5YsgUwmw8qVKzVeNz8/Hzk5OcjNzVVavnHjRty8efOtf+8JCQlYv369yvKcnBzk5ORwIEFEREREVAnZWZngwHw/ZOfmY9RP+1FQyHEBVQ4MLhARUbGio6NhZ2cHJycnVgZJgoKCIJPJsHHjRo3XdXd3R05ODkaMGCEte/78Ob744ot3IriwZcsWtcGFkJAQ3LhxA1pa7FoREREREVVGMpkMAT3d0K+DCyasOMgLj6hS4AiYiIiKtXbtWgwePJgVQZLz58/j7Nmz6Nu3L06cOIHr169rXIa2trbS67179yI9Pf2tf+95eXnYsWNH8Z0qBhaIiIiIiCo9bzdnVLU0wsHTt1kZ9N7jKJiIiNQKCwvDH3/8weACKQkKCoKenh4WLlwILS0ttXcvLFiwAPb29sjNzcXIkSNhamqK9u3bA1CdQyAwMBB9+/YFAHh5eUEmk6FHjx5K5SUnJ6Nfv34wNTVF1apVMWDAACQmJirl6datG/r3748jR46gefPm0NfXh4ODA2bPno2CggLMnTsXTk5OMDQ0hJubGw4dOqSy34cPH0br1q1hYGCAatWqYeLEicjOzgYA3Lt3Dw0aNEBsbCyio6Mhk8kgk8lw8uRJafv29vZK5WVlZWHq1KmoWbMm5HI5HBwcMGbMGCk9NjYWAwcORNWqVaGrqws7Ozt89913Ko+MIiIiIiKid4dMBkwb2AZfrAjj3Qv03tNhFRARkTp79+7FwoULYWhoyMogAEBhYSE2b94MLy8vODs7o3379ti0aRN++OEHyGQypbwPHz5EQEAAzpw5g0mTJqF+/fpqy+zTpw8KCgowa9Ys/PTTT2jevDksLS2V8gwaNAgtW7bEkiVLcPnyZSxfvhx3797FsWPHlPIdO3YM586dw4IFC1C1alUsXrwYs2bNwr59+6Cjo4MVK1bAwMAAkydPRo8ePXD9+nU4ODgAAKKiouDt7Y0OHTpg2bJluHr1KpYvX47r169j7969MDc3x4YNG+Dv7w8zMzNpvgkXF5di66pHjx6IjIxEv3790LRpUyQmJirdtXH8+HHcunULn3/+Oezt7XHw4EHMnz8fcrkcM2fOZIMjIiIiInpHaclk8GrqjLSsXFgY67NC6L3F4AIREam1Z88ehIeHsyJIEh4ejsTERAQGBgIA+vfvj4CAABw5cgQdOnRQypufn48rV64gJiYGurq6xZbZoEED1KtXDwDQsGFDtGvXTiVP27Ztle6QSE5OxqZNm/Do0SNUqVJFWn7//n2EhobC29sbALBmzRrs3LkT586dw71792BlZQXgxZ0V3bp1w8GDB6W5H7788kvUrVsXYWFhUgBAX18f8+bNwz///IMWLVqgXbt2MDAwgKmpqdr9LGrXrl2IjIzE7NmzMWPGDLV5xo8fj/Hjx0uvhw0bhtOnT+OPP/5gcIGIiIiI6B3Xzd0ZNxNT4VbXlpVB7y0+FomIiFRcvnwZ+vr6nMiZlAQFBUFHRwcff/wxAKBv377Q0tJCUFCQ2vyTJ08uMbBQWv7+/kqvmzZtCuDF3RFF6erqwtPTU3ptbW0NMzMzNGvWTAosAECtWrWU1n/06BH++ecfdO3aFRkZGUhLS0NaWhrc3NwAAEePHtV4n/fv3w8AGDduXKnXkclkqF+/Pp48ecLGRkRERET0jtPR0UJefiErgt5rDC4QEZGKvXv34qOPPmJFkCQrKws7d+6Em5sbnjx5gvj4eGRkZKBJkybYvn07nj17prKO4o6E8qpevbrSaz09PQBAQUGB0nILCwuVyaJ1dHSUAguKZUXXv3XrFgDgp59+goWFhfSnmAsiNTVV431OSEiAkZERbGxsis1z8+ZNDB8+HHXq1IG5uTmMjY2xe/duPpeViIiIiIiI3gl8LBIREan47bff8Mknn7AiSLJz505kZWXhxIkTqFOnjkr6rl270L9/f6Vlcrm8Qratr1+6Z5QWd5eEllbJ11IoTuaPHTsWAwYMUEl3dHTUeJ+FECW+/9TUVLRp0wbAi0mtW7VqBX19fYwePRqXLl1igyMiIiIiIqK3HoMLRESkJD8/HwUFBWjdujUrgyRBQUEwMDDAhg0blE7W5+fnY9iwYdi4caNKcOFdUTR40KlTpwop097eHgcOHMDTp09hZmamkr5//348fPgQ69evx/Dhw6XlWVlZbGxERERERET0TuBjkYiISImOjg6uXLlSYSdZ6d2XmJiI8PBw9OvXD/3790e/fv2kPz8/P/Ts2RNhYWF49OhRmco3NDQEAKSlpf0r78/W1hbNmzfHtm3bkJycrJQmhFB6TJGhoWGp9rN79+4QQmD9+vVq0xVlmpubS8tu376Nc+fOscERERERVSKFhYXYtm0bfH194ejoCAMDA1hYWKBhw4YYPHgw9uzZo/I4UCKitwXvXCAiIiVRUVFo3749ZDIZK4MAAL///jsKCwsxcuRItekjRozA9u3bsWXLFkyYMEHj8t3c3KCnp4eFCxciNzcXenp68PX1faPvcenSpejSpQuaNWuGQYMGoWrVqrh79y727NmD6OhoWFtbAwBat26NlStXYu7cubC3t0f79u3h7OysUl6vXr3Qpk0bTJkyBadOnULjxo3x8OFD3L59G8HBwWjdujX09PQwffp0aRLppUuXom7duioTVRMRERHR++nOnTvo3bs3zpw5A+DFRS8NGzZEdnY2rl+/josXL+LIkSO4ceMGK4uI3kq8c4GIiJRERkbyrgVSEhQUhLp166JDhw5q07t27QoHBwds3LixTOVXr14dK1asQGJiIoYPH44dO3a88ffYtm1bREVFwdXVFStWrMDUqVMRHBwMDw8PmJiYSPlmzJgBLy8vBAYGYvLkySp3Oihoa2sjNDQUn3/+OSIjI/Htt99i06ZN0iTXzs7O2Lx5M/Lz8zFq1Cj8/PPPCAwMxFdffcUGR0RERFQJpKSkoF27djhz5gw6dOiAU6dOITExEbGxsbh48SLS09Oxb98+rFixAtra2qwwInoryUTRe/2JiKjS8/DwwPfff4/OnTuzMoiIiIiIiF6DIUOGICgoCB4eHti/fz/09PRYKe+Z0JM3YWYoR+sG1VkZ9N7inQtERCTJzs7mnQtERERERESvUWJiIrZs2QItLS2sXbtWo8DClStXIJPJsHjxYmRlZWHs2LGwsrKCjo4O4uPjAQC5ubnYtGkTPD09YWlpCV1dXdja2sLPzw+3bt1SKbNatWrw9PREZmYmJk6cCDs7O+jr66NBgwZYvnw5hBCIj4/HgAEDYG1tDblcjkaNGmHz5s0qZfn5+UFfXx/5+fn4+eef4eLiArlcDnt7e0yYMAGZmZlIT0/HlClT4OjoCD09PTg6OmL69OnIz89XKe/w4cPw8/ND9erVoaurC3Nzc3Tp0gURERFqt21sbAwAOHjwIJo2bQodHR0MHTqUjY7oNeGcC0REJPnqq6/g6urK+RaIiIiIiIhek4MHDyI/Px8eHh5q5+8qjcTERPj6+iI8PBxNmjRBXl4eHB0dAQBxcXEYPHgwTE1N0bZtW5iamiI2Nhbbtm3DsWPHcOXKFRgaGiqVd+nSJfTu3RuFhYWYOnUqkpOTsWLFCkyYMAF3797F+vXr4e7ujmnTpuHBgwdYsWIFBg0aBBMTE/Ts2VOprNzcXHz66aeIiYnB4MGDoaWlhQ0bNmD58uVISEhAYmIisrKyMGbMGBQWFuJ///sf5s6di+zsbPz4449SOdnZ2fD19UV6ejpatWqFjh074saNG4iIiMCRI0cQExODZs2aKW07KysLe/fuRe/evWFra4sWLVqgadOmbHRErwmDC0REJLl37x4CAwNZEURERERERK9JXFwcAKBly5ZlLmPDhg2ws7NDfHw8HBwclNJatmyJnTt3wtvbWwoi5OXloX379vjnn3/w119/4ZNPPlFa58GDB2jZsiVCQkKkZe7u7ujbty8WL16MIUOG4LfffpPSGjZsiKFDh2LVqlUqwQXgxVx+58+fh6mpKQBg+PDhcHJywp9//gkXFxecOnVK2reBAweibt26WLt2LRYtWgQdnRenKw0NDbF9+3a4uLjA1tZWKnvSpElYunQp1qxZgzVr1qhse/DgwVi4cCEmTZrExkb0mvGxSEREJImJiUGrVq1YEURERERERK9JSkoKAKBq1aoqaV999RU6deqk9Ld3716VfKmpqfj5559VAgsKvXv3Vro7QU9PD/379wcAXL16Ve0648ePV3rdvXt36f8JEyYopX344YcAgAsXLqgta9iwYVJgAQBsbW3RpEkTAMCoUaOU9s3Z2RkuLi7IzMzEnTt3lMrp3LmzUmABAAYNGlTi+2jUqBEDC0RvCO9cICIiAMDt27dhYGCgtoNLREREREREFUMIAQBqH0d77tw5/P3330rLFCfTi7K2ti7VXHlCCGRlZSE/Px8mJiYAgGfPnqnN27BhQ6XXBgYGMDIyQlZWFmrVqqWyfQDIzMwsVVlF13m5rNKUB7x4TFJeXh4MDAxKfB++vr5sZERvCO9cICIiAMCJEyfKdVsuERERERERvZqlpSUA4NGjRyppoaGhEEJACKFyt0BRTk5OxaYVFBTgv//9L9zc3CCXy2FiYgILCwuMGTOmxP0yMzNTu1xLS6vYNEWgpLRlFX3/pSlv//798PLygomJCYyMjGBhYQFXV9cS30dJdUNEFYt3LhAREQA+EomIiIiIiOhN+OCDDwAAZ86cKXMZenp6xaaNGzcOa9euRb169bBw4ULUr18f+vr62Lt3LxYvXlzselpa6q9BVneHxasUV5Ym5W3evBmDBg2Cubk5Jk6cCHd3d5iamuL+/ftq7+YoTd0QUcVicIGIiAC8CC4MGDCAFUFERERERPQade7cGQBw6NAhJCUloVq1ahVWdmJiItatWwdTU1NERUWhSpUqUppiIul3xZw5cwAAW7duhbe39zv7PojeZwwuEBERgBfBBRsbG1ZEJXXnzh0EBwdDV1cXwcHBcHR0ZKW8AxwdHREYGMiKICIiInqH1K5dG56enjh06BACAgKwffv2Eq/018Tt27chhICLi4tSYAF4907K37x5EwDQoUOHd/p9EL3PGFwgIiKkpaVBS0uLz6aspFauXInZs2dDCAFXV1fUqVNHupqK3m4lPa+WiIiIiN5ey5cvR7NmzbBz5074+Phg4cKFKpMgFxQUaFyuIqAQHx+PjIwMaRLnqKgobN68+Z2qoypVquD+/fs4c+YM2rRpA+DFnRk//PADGxDRW4LBBSIiQkJCAho2bFhhV8vQu+PXX3/Fr7/+imPHjqFWrVqsECIiIiKiN6B+/frYu3cv+vXrh3379mHfvn2ws7NDtWrVoKOjg4cPH+LOnTsal1u7dm107doVBw4cQNOmTdGxY0fcvXsX4eHh+Pzzz7F8+fJ3po4CAgLw3Xff4cMPP0TPnj2RlZWFQ4cOoWfPnnj69CkbEdFbgGeRiIgIZ86cQdOmTVkRlUxmZiZWrlyJqVOnMrBARERERPSGeXh44Nq1a5g+fTqaNm2KrKwsxMXF4eLFi9DV1YWPjw8WLVqEHj16aFTu5s2bMXToUGRkZGDLli14/Pgxtm3bhh9//BFGRkbvTP18+eWX+OGHH2BhYYE//vgDcXFx+PLLL7Fhwwa0aNGCDYjoLSATQghWAxFR5TZx4kQ4OTlhwoQJrIxK5H//+x/Cw8Px+++/szKIiIiIiIgqUOjJmzAzlKN1g+qsDHpv8bFIRESEuLg49O7dmxVRiezbtw9TpkxBWloaK4OIiIiIiIiINMbHIhERER+LVAmNHj0aMTExrAgiIiIiIiIiKhMGF4iIKrmbN2/C2toapqamrIxKYv78+Rg4cCBcXFxYGURERERERERUJnwsEhFRJRcWFoZ69eqxIiqJYcOGISQkBE+fPmVlEBEREREREVGZ8c4FIqJKbu3atQwuVBJJSUkICwt7pwMLeXl5cHJywtixYyu87JiYGMhkMqxbt46NhYiIiIiIiOgVGFwgIqrknj59ii+++IIVUQnMmTMH33//fZnWjYyMhEwmg0wmw+bNm4vNN2jQIMhkMujr67+W9yCEQE5ODnJycnhAiYiIiIiIiP5FDC4QEVViOTk5ePDgAZydnVkZ77nHjx9jw4YNqFu3brnKMTIywtatW4ttT3/99ReMjIxe2/uQy+W4f/8+fvvtNx5UIiIiIiIion8RgwtERJXYhQsX0LBhQ1ZEJXD37l1oa2vD09OzXOV06dIFBw4cQGpqqkranj17kJOTU+5tvIq2tjYPKBEREREREdG/jMEFIqJKjMGFyiM0NBQBAQHlLufDDz9EXl4edu7cqZK2ZcsWdOnSBcbGxippzZs3R/PmzVWWd+rUCbVr11Za9vvvv6Np06YwNjZGlSpV4OHhgb1790rp+vr6GDRokNI6WVlZmDp1KmrWrAm5XA4HBweMGTNGSt++fTs8PT1hZmYGPT091K9fn3c/EBEREREREZWDDquAiKjyYnCh8li5ciWio6PLXU7dunXh4uKCrVu34tNPP5WWp6enY//+/Vi1ahX2799f5vIPHToEf39/DBgwABMmTEBycjIOHjyIjIyMYtcpLCxEjx49EBkZiX79+qFp06ZITExUusMhODgYpqammDFjBszMzLB27VoMGzYMjo6O6NSpExsIEREREVWYixcv4u7duzh37hx2796NmjVrslLeQo6OjggMDGRFEJUDgwtERJXYhQsX4O3tzYp4z82ePRva2tpwcHAod1mFhYUYMGAAAgMD8ejRI1SpUgUA8Oeff0IIgd69e2PPnj1lLj8qKgoAsGHDBmlS6C+//LLEdXbt2oXIyEjMnj0bM2bMUJtn27ZtSq8//PBDVK9eHdu3b2dwgYiIiIgqTNeuXZGXl4fjx4+jT58+cHNzU3sHL/37LC0tWQlE5cTgAhFRJcY7FyqHY8eOYdGiRRVSlhACfn5+mD17NrZv3y49amnLli3o3r07zMzMylW+oj1OmzYNgYGBMDAweOU6ijslxo0bV+rt2NnZwdzcHE+ePGEDISIiIqJyy8rKgoWFBYYNGwYvLy9ERkayUojovcc5F4iIKqnU1FTk5OTAzs6OlfEeS09PR0xMDHx9fSusTBcXFzRu3Bhbt24FADx+/BiHDh2Cn59fucvu378/vvzySyxduhQ1atTAjBkzXhkASEhIgJGREWxsbIrNc/jwYfj4+MDBwQGmpqYwNjZGWloahBBsJERERERUbocPH8ZHH32EX375pUL73kREbzMGF4iIKqkTJ07wxGolEBQUhMGDB1d4uX5+foiOjsa9e/cQHBwMuVwOHx8fjcvJyclRWbZo0SJcu3YNffv2xcKFC+Hq6orz588XW4YQAnK5vNj0I0eOwMvLCw8ePMDKlSsRGxuLuLg4GBoasoEQERERUbmtWLECw4cPR0hICCuDiCoVBheIiCqpgoIC1K5dmxXxHnv69CmWLVv22oILQghs27YNW7Zswccff1ziyXptbW3k5eWpLL97967a/LVq1cLq1atx+vRpZGdn4/vvvy+2bHt7e6SmpuLp06dq04OCglBQUIDt27fDx8cH9eo1MffUAAAgAElEQVTVg52dndrABhERERGRJu7evYuvv/4aycnJrAwiqnQYXCAiqqSSkpLQuHFjVsR77D//+Q9sbGzQsmXLCi+7Zs2aaNmyJVavXo0jR4688pFIVapUwc2bN5GRkSEti4yMxIMHD5TyvXw3jaurK2rXrq2Sr6ju3btDCIH169erTVeUaW5uLi3btWsX79whIiIionKrVasWLl68yIogokqJEzoTEVVSV69eRb169VgR77FDhw5h2bJlr618Pz8/TJo0CRYWFvD29i4xr7+/P/bs2QMfHx/4+/sjMTERK1asgLOzs1K+oUOHQl9fHy1btoRcLsfRo0dx+vRpLF68uNiye/XqhTZt2mDKlCk4deoUGjdujIcPH+L27dsIDg5Gx44dsW7dOgwbNgx+fn64fPkyNmzYAAcHBzYSIiIiIiozX19f/Pzzz3B0dGRlEFGlxOACEVEldeXKFbRv354V8Z568OABEhISXstdCwr9+/fHlClT0KdPH+jp6ZWYd8CAAUhISMCaNWvwxRdfoFatWlizZg1iY2Pxxx9/SPk8PT2xZMkSbNy4Edra2nBycsJPP/2EiRMnFlu2trY2QkNDMW3aNOzcuRNbt26FtbU1Pv30UwDAoEGDcPXqVaxbtw5hYWFo1qwZ/vzzT6xatUrpTgoiIiIiotL6/vvvkZ6ejtGjR7MyiKjSkgk+E4AA1K5dGzdu3MDatWsxcuRIVkgJ+vXrh/DwcGzbtg1du3Z9o9u+d++edKXt9evXK+R5+UuXLsX06dPx9ddfl/hMcyrekydP0KZNG+Tl5SE6Ohq2trbvxH7Xq1cPu3fvRt26dXkQ30MrV67ExYsXsWLFClYGERFRJRozaOro0aP4+OOP4e7ujtDQUB6898zbNN57n847zJkzByEhIThy5AiMjIzY0Eit0JM3YWYoR+sG1VkZ9N7inAvvEZlMptEfgwiaE0Lgzz//RFpaGvbu3ftO7XtWVhbq168PmUymtO+7du1CVlYWgoODeYDL6MKFC7h69Spu3bqFmJiYN7rt2NhYaGtrw9bWFo8ePdKoLV+/fv21BRYGDRqk9H2jpaUFKysrtGrVCnPmzMGTJ0/eueMcEhICExMTLF++/I1u18/PDzKZDIcOHdJovQ0bNqBLly78gBIRVXKTJk2CTCaDra0tCgoKKl0fzdDQEKampipz9zx+/Bjff/89mjZtCmNjY+jq6sLGxgbt2rXD9OnTcenSpUozZoiIiMCTJ08QFhaG1NTUf3Vf8vPzsWbNGnTq1AmWlpbQ0dGBmZkZmjRpgnHjxiE8PJxzJmmI4z1gyZIlkMlk8PT0RGFhYbnLy83Nxdy5c3H69GkGFoio0mNwgegl69atg0wmQ0pKikqaTCbDhAkT0LhxY/j7+79T7+vLL7/ElStX4OPjg48++khaPnLkSLi6uuLzzz/nwS/BoEGD0LBhQ7Vpbm5u8Pb2RufOndGpU6c3ul/u7u4YOXIkkpKSNLod903Nt9CkSRN4e3ujS5cusLe3x8mTJzFjxgw4OzsjLCzsjR/H8PBwpUfwaOLs2bPIzMxEbGzsW99e09PTcerUKfTp04cfXiKiSiw/Px+///47ACApKQkHDhx4I33mt+W9Dxw4EDk5OZg1a5bSnaVXrlxBw4YNERgYiLi4OGRlZSE/Px8pKSmIjo7G3Llz8d1335V522/bmEEIgRo1ahTb3+/duzeaNWuG0aNHw8LC4l/bz6ysLHTq1Aljx47F33//jdTUVBQUFCA9PR1nz57F6tWr4e/vj7y8PH64NcDxHjBhwgS4uroiPDy8Qi4UGjx4MKZOnVrucuzt7ZUuyNLR0UG1atXQvXt3/O9//8Pz58/VrlcRFz1duXIFMpkM7dq1eyvOVVhYWODEiRPvXdszNdSDtraMX0T0XuOcC+8Rdc+NdnNzw7Vr1zB79mxMnjxZKU1XV5eVpsaWLVtKTF+yZMk7957Onz+PNWvWQFtbG0uXLlVKGzhwIAYOHMgDX4KcnBz89ddfxU7SZWRk9K/eQj5//nxs3boVf/31Fw4dOgRPT89SdSZdXFxe+75NmzYN/fr1k16npKQgMDAQy5Ytg4+PD6Kjo+Hu7v7G6urrr79GzZo10b9//zINSmxsbNCrV6+3vs0ePnwYPj4+/PASEVVyoaGhePToEezt7XHv3j1s3LgR3bt3fyN95n/bL7/8gvPnz6NOnToYP368UtqwYcOQlJSEKlWqIDAwEJ06dYK5uTkePHiAmJgY7Ny5E5MmTSrX9t+mMcPRo0dx9+7dYtM/+OADnDp16l/fzzlz5iA6Ohra2tr45ptvMGDAAFSrVg1paWk4e/Ys/vrrLzRq1AhyuZwfbg1wvAfo6Ohg6dKl8PLywqxZszB48GBYWVmVqayEhAT89ddfyM3NrbD98/DwgK6uLvLy8pCQkIDQ0FCEhobixx9/xO7du+Hs7KyU/1266EkhPz8f8+bNw9ixY1GlShWltNjYWKSlpeHChQuvdb64f4OJgRxnbiShRT279+Y9ZT17jiFz90NLJsPWmR9BW1vrja5Pb+F3LKvg/WFsbKyyTCZ7ESHV09NTm07Kbty4gb///vu9e1/Lli1DYWEhevbsqdIxoVfbtm0bMjMz39r9s7S0xMCBA7F69WrMmTOnVMGFN3Xnwsusra2xdOlSGBkZYd68eRg9ejTOnDnzRrZ99uxZnDp1CjVr1izT+hYWFggICHgn2uy+ffvw4Ycf8sNLRFTJbdy4EQAwY8YMjB8/Hn/++SfS09Nhamr6XveZhRDSBTXjxo2Djs7/DXvv3LkjXR0bFBSkNB+CjY0NGjVq9F5NziqEwPr169+ZPjcAfPXVVwgMDFQ6LnXq1FG6YIVIU56ennBxccGVK1ewfPlyzJ49u0zlrF69GrNmzarwtm9tbS29vnz5MiZMmICDBw+iS5cuiIuLg5mZmZT+Ll30pBAaGoqZM2eiT58+KsGFVatWISoqCoMGDXrv2l2d6hb4dv1hDO7SUDo/929yH725VPlif3n1XXcyGVCeh9SVd316ezA8REq0tbVx+/ZtDBkyBNWqVYOenh4cHR3x2Weflfg896ysLPzwww9o0KABDAwMYGlpiY8++uiVzwg/deoU/P39YW9vD7lcjmrVqmHAgAHFRuDv3bsHmUyGtm3bAnhxgrRHjx4wMzODjo4OoqKiVDrTv/32G9q2bQsTExMYGxujVatWWLNmjdIzZ3NzcxEQEABXV1dpuY2NjXR7YtHObe3atSGTybBu3Tq1+3jnzh2MHz8eLi4uMDAwgIWFBZo0aYKAgAAkJiYq5Q0PD4e/vz8cHR0hl8thZGSERo0aYebMmcjOzq6QY5qamirdDq9uoDRy5EjIZDKVH3JFXTdv3hwAEBkZCW9vb5ibm8PAwAANGzbE/PnzkZ+fr3a7586dg7+/P1xcXGBoaAhjY2M0btwY33zzDS5fvqx2H3bv3o0nT55g/PjxcHJygr6+PmrUqIGAgIAS219pj7Omx+rWrVvo0aMHhg8fDgC4ePGi0m2rR48eVaormUyG+Ph4AMBnn30mPdezOHfv3pXWK3qCvSztYtSoUQCAqKgolfpVJyIiAtWr/3uTSs2cORPW1taIi4tDdHS02mPz6aefwt7eHnp6enBwcMDYsWNVPkNF/frrr0ptwNXVFRMnTgQATJw4UboKZseOHVK9jx07FsD/3Ra8ePFiZGVlYezYsbCysoKOjo50TFevXg2ZTIbp06crbbdatWrw8PBASkoKPvvsM1SvXh16enpwcnLCd999p/bW/cOHD8PPzw/Vq1eHrq4uzM3N0aVLF0RERFRI/e7fv7/CrkwlIqJ3U1paGnbv3g25XI7+/fujW7duePbsWYmPB9y0aRNkMhmmTZsm/Z64u7tDLpfD0NAQmZmZpe4zl7WPpkkfsjhhYWG4fv065HI5hg4dqpSWlJQk/d+hQweN6/XYsWPw8/OTxi+2trZo27YtZs+erfSeSjNmGD16NBwcHCCXy1G9enUMHz4c165dU5tfUV5mZmapx2vh4eFo1KgRNmzYAABYsWKF0uNXXj7u9vb2KtvNzc2V7nipUqUK9PT0UK1aNfTq1QvHjx8H8OKK5MWLF6Nhw4YwMDCAjY0NfH19cfr0aY3qVnFsOnbsqPFx0XQ8qhh/HDx4EEII/Pjjj6hTpw60tLTg5eWlkm/Pnj1IT0/HpEmTUKNGDcjlctjb22P48OG4f/8+AODBgwcICAhAzZo1pbYxZMgQJCQklLjvwcHB6NatG6ytraGvr49atWph/PjxUrllHcu87vHe5cuXMXHiRLi6usLIyAj6+vpwdnbGqFGjcPv27TJ9b2n6uUhOTsaUKVPQpEkTWFhYSPU3fPhwREREqMzPoRgzrVu3rsxz0MyfPx/ffvvta/3+rl+/Pvbt24eOHTvi9u3bKsEMxUVP/+Z4ThNCCPz6668lvt8xY8a8l3cl6evpwKupE3YevfpW7I+/p4vSHwDoasvULi+Okb4udszpiT9m+0CnDHcdlHd9ejs/5PQeq1evngAg5s+fX2K+WrVqCQBizpw5wtzcXAAQFhYWQi6XC7wIJopatWqJp0+fqqyblJQkGjRoIOUzMzMTWlpa0uvitv3f//5XyGQyAUDo6OgIGxsboaurKwAImUwmli5dqrLO3bt3BQBRs2ZNER8fL2xsbKTtABC3bt2S8ubn54v+/ftLaYaGhkJPT096/dFHH4nnz58LIYR48uSJ8Pb2Fm3btpXSPTw8hLe3t/D29ha///67Sl2tXbtWZf/Cw8OFqamp0j4p/rS1tUVSUpLS+y+aZmNjo7R/rVu3Fvn5+WrfPwBx/fr1UrWBX375RQAQVlZWoqCgQCX9008/FQCEv7+/2m0ZGxuLTZs2CZlMJrS0tIS1tbXS8e3Xr59KmVFRUUJfX18AEFpaWsLGxkYYGBhI64wZM0btPkydOlWqX1NTU6ktAhCOjo7i3r17KtvS5DhreqwiIyOFt7e3qF+/vlQXijbh7e0tLly4UOxxiYiIkNr2kydP1B6bZcuWSZ+t8rQLBRcXFwFAzJw585XtwsjISJw4ceK1fff4+/sLAGL79u3F5hkxYoQAIGbPnq20/MKFC8LKykoAEK1atRKffPKJaNmypQAgqlWrprbtjxw5UgAQurq6okWLFqJDhw7CwsJCdOrUSapXRTtr2LChmD9/vpg/f74IDQ0VQghx+fJlAUBMmjRJdO/eXejp6YkWLVqIJk2aiLy8PCGEEKtWrRIAxLRp05S2XbVqVWFhYSFcXV1Fq1atxIIFC8ScOXNE7dq1BQAxYsQIpfxZWVnCyspK6Orqivbt24tPPvlEtGjRQtr/U6dOKeUfMGCAACAOHjxYqrq/cOGCcHV15Q8gEVElt3r1aqW+WnBwsAAg2rVrV+w6QUFBAoAYNmyYCAkJUerzOTo6atRnLksfTdM+ZHEGDhwoAIjevXurpN24cUMq7/LlyxrV6aJFi6Txy8t/L//2ljRmiI6OFiYmJtK6Rfu8RkZG4tChQ8WO14KCgko9Xlu+fLnw9vYWVatWFQBEjRo1pGP14Ycfqhz36tWrq2zX19dXKl9fX1/Y2NhI7UJHR0eEhYWJ7t27S3ksLCykMZ2urq7YsWNHqevXwcFBABCrVq3S6LiUZTyq6Bdu2LBBTJ48WelYDh06VCXfrFmzxAcffCCVX7Te7e3txcmTJ6X+q7GxsTA0NJTS7ezsRHJystpxzCeffKJUv9bW1lIbMzMzE1FRUWrfsybjztcx3jt//rzSNi0tLYWxsbH02traWty+fbvYdlwRn4vk5GTh6Oio1PbMzMyk1y4uLmrbiiL98OHDGn+vBgYGCrlcXmHf09WrVxcA1LYPIYQ4deqUdIxycnKk5cWNS1JSUsSCBQuEm5ubMDIyErq6usLZ2VlMmTJFZGZmKuVVjH/atm2rst309HTx9ddfi5o1awo9PT1Ro0YNMWnSpGLHtTdv3hQjR44UNWrUEHp6esLOzk54enqKI0eOiNjYWOHq6qq2rT548OCV452///5b+Pj4CGtrayGXy4WTk5MYMGCAuH//vpTn9OnTol+/fsLe3l7o6+sLZ2dn0adPH7F79+634ve4sLBQdJ66WZy7+eit6ys0H7VJdPxiKztNVC4MLjC4oPQjr62tLTp06CCuXr0qhBDi+fPnYsOGDVLnYuHChSrrKjqTnTt3FvHx8UIIITIzM8XMmTOlQMHRo0dVBg6KMgMDA0VGRoYQQojs7GyxbNkyoaWlJWQymUoHQtEBksvlon379qJBgwYiNDRUpKSkiNu3byudPF+4cKE0EDp8+LAoLCwUz58/F8HBwVLHZ968ecV2kor7gS+uQ/To0SOpQ9m8eXMRGRkpsrOzRVpamoiIiBD//e9/lfKnpaUJX19f8eeff4qsrCwhhBAFBQVSMEDdidmyBBeGDBkiAAgfHx+16a/qbCoGDgEBASIlJUU6OTpu3Dgp/eWT1O3btxcARP/+/aUOSEFBgTh58qSYOHGidFL+5X0AIGrXrq1U3rFjx4S9vb0AID7++GOV/S/Lcdb0WClO+Bd3wlbdccnPzxdVqlQRAMTGjRvVrtepUycBQHz99dflahcvn2Bv2bJliW0iLS1NmJmZvdbvntIEF5YvXy4AiAEDBigtd3d3V1tv69evFwBE+/btlZbv3r1bABDOzs7i5s2b0vKCggKpwyqEEAcPHhQARN++fVX2RdG5VgQJEhISVPKUFFwAILy8vJS+gx4+fCgMDQ2Fjo6OSE1NVVonIiJCJCYmKi2bOHGiACBGjx6ttFzT4MK3334r2rRpwx9AIqJKrk2bNgKA+PPPP4UQQjx79kyYm5sLmUwmbty4oXYdxUnmpk2bCisrK9GzZ09x6tQp8eTJE6WLPErTZy5LH03TPmRxatSoIQCIJUuWqE2vU6eOACC8vb3Fs2fPSlXmoUOHpJO+/v7+4uLFiyI3N1ckJiaK4OBgERISUqoxQ1pamrC1tRUAxBdffCHS0tKEEEIkJiYKHx8f6cTsyxd1KcrT0dHReLzWt29fAUAEBASUeNzVBRdiYmLE5MmTxenTp6V+TmZmpggICBAApIBRx44dpX6Yoj8LQJiYmIiHDx+Wqo5HjRolnay/e/duqdt6WcajivGHp6en0NLSEt98842Ij48XKSkp4tGjRyr5dHR0RJ06dcQ///wj9fVXrlypNF6ytbUVERERUrsNDg6WAi0vX0yjOFGtuKhqx44d0gVEDx8+FMOGDRMAhI2NjUr9aTqWeR3jPSGEGDdunFi1apVSfUVHR0v7pq69VeTn4vvvv5eCCEXHxrdu3RLz588XW7ZsUdteFBcAFR2DldbChQvF5MmTK+x7+lXBBSGEcHZ2FgDE33///cpxyYIFC6TPUJ8+fUSfPn2EhYWFACD69OmjdvzzcnAhKytLNGvWTAAQjRo1Ev369RN169YVAESDBg1Eenq6Uv5z585J27C3txceHh7C1dVVyGQyERkZKc6cOSPmz58vBYImTpwoXeilCHgUN9757bffpO+2Bg0aCA8PD+Hg4CCMjIyksfLFixeFiYmJ0NHREZ6ensLX11c0a9ZMaGlpqYyr/k25z/NFr5nBYlvkJVFYWPjW7FdxwYXmozaJwI3HRd7zfPGfLbGiy8Q/ROtxv4sb91OldK/JL8b6KWnZwn3UJtHv+13Fbqff97uE++hNIiUtW2X9ott8eZmC1+TtovmoTSr5Z66PFslpWeL7dUdFl4l/iDbjfhd+s/aI3dEvfgvuJ2eIaWuPCK9J20XrsZuF74xd4q8j1wUxuECvMbhgbm6uchJMCCH69OkjdcCL+ueff6Sr4tVFsRUnT/v376+0vHPnzipXhRQ1ZcoU6arl4jpAVatWLfZHOCcnR1haWqr8CCvMmjVLKqPoF3t5ggszZsyQylR0hsrK29tbABDjx49/5UnsV1F0noprA6XpbCqu/lb6cczNlTqAL5etuKOktCdDiwYXFB32okJDQ6WBQdGTx2U9zpoeq7IEF4QQYsyYMWo7ckK8uNJGW1tbABAnT54sV7tQUJx8l8vl0tX26pw8eVK4ubm91u+e0gQXNm/eLACIrl27SssUV+e4u7ur7/w0by4AiLNnz0rLPvroIwFAbNu2rcR9Kk1woaSrmF4VXFDcBaHuuy46OvqVdXby5ElpcF6UpsGFkSNHinHjxvEHkIioEouPj5euJs7NzZWWjx49usS7HBUnmdUFzYt6VZ+5rH00TfuQ6ty7d0/at+PHj6vNs3//fqGjoyNd2LJ+/Xqlq4LV6dChgwAgunXrVqr9KG7MsGjRIulE+MvS0tKkq7VXrlxZIeM1IcoXXCjO8+fPpZPI6gIIGRkZ0gnHRYsWlfrYKfpVZmZmYvbs2a8MTJR1PFp0/PHDDz+UapwSExNTbLsAIHbtUj2xpriL5uXjnZGRId158Ouvv6qsV1hYKF1w880335Rr3Pk6xnslmT9/vnRi+nV+LhQBrJf75q+iuPhO3bZexdnZudjgbFmUJrig+GwXvaOnuHFJWlqa2Lt3r9J39927d6U7Qope7V9ccOG7776T7tZRyM/PF35+fgKA+O6775Tyu7m5SZ+jot/niYmJSvuhuOPt/PnzKu9R3XhHcaGWXC4XYWFhKt8VCoo7j9avX6/SvtU9+eDfVFhYKJaFxIr+gSHiSUbOW7FPJQUXJi6PED9sOCZaj90sRv/ngBixIFRk5uRJ6UUDAaP/c0A0H7VJ3ExU/U66fveJaD5qkxi7+KBS+RURXOg/c7foM/0vMWDmbrFg8wkx5edI4T5qk2g+apP4bf8F4THhD9Fn+l9i/qYT4qtVf4sWo1+k7Yu5yc5iBeKEzqTEx8cH5ubmKstbtGiBnTt3qjz3MTg4GADg5eUFCwsLlfU8PDwQGRmpNBdCamoqIiMjAQDDhg1Tux8jRozAkiVLEBMTgzt37sDR0VElzxdffKE06VFRhw8fxpMnT1CtWjW1z1L18PDArFmz8PDhQ1y7dq1CJrbdtWsXAGDo0KFKky2V9RmLYWFhSElJKVc5OTk50vPiGzduXOZyBg8erLJMT08PjRs3xoMHD1Tahb29PZKTk/HHH3+gS5cupZ64yNnZGe7u7irLu3btChsbGyQnJ+PAgQMYM2ZMuY5zRR6rkvTr1w9r1qxBWFgYnj17Bn19fSlt9+7dKCgogJOTE9zc3CqkXTRp0kR6Nu6dO3dQu3Zttfni4+OLTXuTFM851dXVVXqOcUnPQO7cuTNOnjyJv//+G40aNQIAxMTESO2kvKytrdGpU6cyrauo/6JsbGwAABkZGcWul52djby8PBgYGAAAnj17Vq73kJiYqPHE048ePcKOHTtw4sQJ2NnZ4ciRI2We+Pp95ujoqPI8cSKit5FiImdfX1/o6ekp9el++eUXBAUFYebMmSX20WbOnAktrbI9C7msfbSy9iGLOn/+vPR/cf3fbt26Yc+ePRgxYgTi4+MxYsQITJkyBcOHD8ekSZNU5h5IS0vDkSNHAADjx48v17FRjJ8GDBigkmZmZoZmzZohIiICUVFRGDduXLnHa6+Ljo4OatSogcePH6Njx44qE7QaGxujRYsWCAsLQ3R0NL788stXllm9enUcPXoUgwYNwokTJzBz5kwEBgaiT58+mDJlitpxQlnGo0WZmJhgypQpr9y3GjVqSPN3FeXm5oaoqCiYmJjgww8/VJv++++/q8wbdujQIaSnp0NfX19tW5DJZBg2bBhiY2OxdetWzJ8//7WMO8s63nvVmAWARmPZsnwuFJ/TPXv24Ntvv4WRkVGp++wbN24sdh6H4mzfvh116tSBs7PzG/0+t7Kyks6jvIqZmZlKO7S3t0fHjh2xZ88eXLt2DXZ2dsWuL4TA2rVrYWlpqTTPnLa2NqZOnYqtW7ciODgYc+fOBQCcPHkSp06dQoMGDTB9+nSl72xbW9ty/45lZ2dj3LhxKuO8onNNKH6nio4pi7aPt4lMJsMXHzdHz9Z10Hf2Tng3d8KE3u7Q13s7T82euvoQZsZybP/BB9VtTErM69ncEaevPUL4qQSM7PGB8vfdqRfzznR1d6zwfbyZ+BQdG9tj0bj2UlvYfPAylm4/jf/ujEPHJvaYO6od5LraAIC9x29i1q/H8UfEVXRv6cQOY0X1CVgFVJSrq2uJP2gvn/Q6efIkACA0NFTtycr09HTpxJUQAjKZDHFxcdLESupOxgGAi4sL9PX18ezZM/zzzz9qgwslTfSl2K/U1FS1+/X8+XPp/6SkpHIHFwoLC6WBjLpOb0ni4uLwzz//ICkpCTk5ORBCSCdYyzrJlMLjx4+l/xUnOd9Eu5g1axY+/vhjrF27FleuXMHMmTPRpUuXV27HxcWl2B9hV1dXREZG4sKFC+U6zuU5Vprq1KkTrK2tkZKSggMHDqBnz55SWkhICIAXAYiKahdFg23JyclvfXBBMemgoh0pjhPwYpJkdRSdVMUAraCgAI8fP4aBgYHagbamnJzK3sFQN6BVdLDFS5PJ7d+/Hz/++CNiYmKQmZlZofUaERGBHTt2lCrvxYsXMWTIEFy6dAn16tWTJnds0KAB2rdvzx/Fl1haWrISiOitJ4RAUFAQAMDf318prW3btnBycsLNmzdx9OjRYr/r5XI5WrVqVeZ9KGtfvKx9yKIUJzSNjIykwL063t7eiI+Px2+//YaVK1fi/Pnz+PHHH7Fy5UrMmzcPkyZNkvKePXtW+i0vT/+xoKAAcXFxAIDZs2fjP//5j0qehw8fKvWJytsvr5CTNzdvYvv27Th79ixSUlKQl5cHALh+/ToA4MyZM2ovzlBMwK14T6VRu3ZtHD9+HHv37sXPP/+MAwcOYNu2bdi2bRuGDh2KVatWKR3XsoxHi2rRogUMDQ1fuV8NGjQosW/g7OwMbW3tYtNzc3OVlp85cwYAUK9evZY0ggwAACAASURBVGLbadOmTQEAt2/fRnJyMmxsbF7bWKas7SohIQFRUVG4c+cOsrKyUFhYiBs3bmg0li3r52LixIn49ddfcfbsWTRs2BCzZs2Cn5/fKycFVoyZNAl+JCUlYejQodi9e/cb/05Xd0FWaeTn5yM7OxuFhYXSOOVV3w9Xr15FcnIyqlatqnKxUk5OjvR9oKC4yMvLy6tMweCSlPYCsl69euHHH39EQEAAnj59ipEjR77VE0PLZEDNqmYIX/QJzt9KRrtJQWjX0B4TerujZlWzCq/H8sjJK8C3vZq8MrAAAB7NHPCfLbGIOK0aXAg/lQBtLRk6N3N4Lfs5sscHShdDeLdwxNLtpwEAn/duIgUWXqTVxOwNx3Ej8Sk7jBWIwQVS8qqTcy+fIFOcHExLS0NaWlqx6xUWFqKgoAA6OjrSCW9tbe1it6elpQVLS0skJiZK23jZy1fHqNuv3NxcqXNTnKKDm7JKS0uTfvRL2q+XO9tDhgyROsSvw5MnTyrkpJim7aJnz57YsWMHxo0bhyNHjsDT0xONGjXCN998Az8/v2J/MEvajmL/i16xUZbjXJZjVeYvWB0d9O7dG2vXrkVISIgUXMjKysLBgwcBqAYXytMuih7jooGll8XHx5cYnHtTYmNjAShfVVjcyfiX29rL+SqqE1b06k5Nlfbqzs2bN2PQoEEwNzf/f+zdd1gUV/s38O+ylAXpiHQRFBANIIJGxIKIisqjKNagaDTWYIklFnzECFgSjMbEgg2V+FiIosaCUlRUbEgTC4Jgo1lARJC68/7Bu/NjZYGlI9yf6+IPZs7OzN47LOfMOec+WLx4MXr16gVFRUWkpaVhypQp9a6E9+jRQ2iWjCiXL1/G1KlTwePxYGZmhvv379M/QEIIaSWuX7+O1NRUAFXPBATKR4VW1bmgqqoq8kGpuOpaF69rHVJU/Vecuq+srCzmzp2LuXPn4urVq1i9ejVu3bqFJUuWgMvlsrMUBPUqCQmJKmdOi9tmEDyYz8jIqFMbpbb18vrasGEDPD09UVpaWmWZtLS0ake217a9xeFw4OTkBCcnJyQlJcHLywsBAQE4dOgQPn78iFOnTtWrPSpum7I2cZeXl6/V5yK4p6q7nyrue/PmDdTV1RutLVPb+yovLw8//PADAgMD633P1fXvomPHjoiIiMC0adMQExOD6dOnY+XKlVi0aBEWLFhQ5UyGih0+nz59qvGzA4BDhw5h4cKFte7sbAiiBmRVJScnBxs2bMCpU6eQmppa689G8HeclZUFPz8/kWVKS0tRWloKSUlJttOnutkQdSXusW1tbXH06FHMmzcP7u7uWLduHRYsWIBFixY1apaC+uJwODA37IB7f03Hmw8F8Dsfg+NXH2HSoO4Y1acLunZUg4xU8z+yHdhDvBkgaoqysDLWQFRiFl6/yYNuh/IOiWdpH/A88yNsumtBWZ7XCFfIwEhXudK1AIAEB+ioIdwxIsmVgHI7GeR8KqIKYwOSoBCQimrbiBD8s1q7di2Y8jU8qvwRVORqenj45bGrakRU9yBP8NoBAwbUeF0ODg71jlvFURniNHo+fvwIBwcHREVFwcTEBCdOnEBmZiZKS0vBMEytU5pUpeLIhOpGbjX0fQEAY8eORWpqKrZv3w4DAwPEx8fju+++g6OjY5Ujtasb3SLqfqjL51zbz6q+BJ0HgjRIQPmo9cLCQujr66N3794Ndl9U/IwFI0tEaQkzF3JyctiRP0OGDGG3C6a4VtWwEGwXzGCQlJSEiooKCgoK2JFpLZ2XlxcA4NixY/Dy8sKoUaNgZ2dX5Yix2ggPD4e9vX21ZSIjI7Fo0SLMnj0boaGhOHfuHP3zI4SQVkSQEqkmgYGBVY5irWs6pIaoi9elDimq/lvbuq+dnR2bkgcon0UheKAuqMPVt+5Ysf0THh5ebVxu3LjRYPXyuvr333/h4eGB0tJSjB49GhEREcjNzQWfzwfDMGzdZcWKFdW+l/oMpjIyMsLhw4fZB51BQUGIjo6uV3u0Lvd6Q8ddnDZxxX2C8o3Vlqnt+5s8eTJOnDgBRUVFbNu2DSkpKSguLgbDMAgMDGyyvwvBIJmgoCDY2toiMzMTq1atQo8ePfDo0SOR56s4U6W6NlNFhw4dwrRp05r8+7zi309NaY6LioowcOBA+Pr6wtDQEPv370dISAiuXLki9jMPwWcxbNgwsf6WGnqgV22eB1U0YcIEPH/+HFu2bAGPx4OnpyfMzMyEsh60VBwOBxoq7bB2Sj/E75kFV/tuuJuYAdvFAfhm9l78vC8c/95OQnJ6Dt5//Iy8gmIUlZShjM9v9GtTkJOCHE/8GTMO1h0BAGHRL9ltofdflLf5GyElEgAoyEqDy5UQ+f2q2E5a9Hc8B6SBUecCqRfBaIra5GAUjLDg8/lCI+srqrivLul86nJd9aGiosJ+gYkzvfLYsWNIT0+HjIwMrl69ivHjx0NDQ4Ot1DXEbApAeARKdSPZG4ucnBwWLFiApKQk7NixA+3atcPly5exePFikeWruh8qxrVi6pm6fM61/azqy97eHmpqanj//j1u3boFAOzD3C9nLdT3vqg4q6O60XrN3bkg6CgpKCjAoEGDYGb2f9MmBTMqrl27JvK1gu39+vVjtwnSNoSFhVV7XkEluKH+vupKMJX4y9Gkgung9XH06NFqOxfu3r0LZ2dn7N+/H15eXjAyMqJ/ZIQQ0ooUFhayuctv3bqFnJwckT89evRAbm4uTp8+3WLaCPWpQ4qq/9al7ishIYGVK1ey9SpBTnZBvaqsrEysvOfVXZugXtdU7ZT62L9/P4Dy9DtBQUHo378/FBUV2bq0oE7VFO9l9uzZ7H0lSJfSHO2+hiJoE799+7bKMhX3CdrETd2WEeXp06c4f/48AODgwYNYtGgRDAwM2LQ9ta1r1/fvgsPhwNnZGTdu3EBoaCg6deqE5ORkuLi4iJxxU7HNKSqtqaj6s4KCAruWRFMKCQlBZmYmOnToUGPnQlBQEB48eIC+ffvi0qVL+P777+Hg4AA7Ozux16MQDOB6/vx5re7jmmac1OdvRNxjKygoYMmSJUhOTsbSpUvx6tUrzJo166v6XuBKcGCopYJZI3rg3l/TcfU3V5h36oCV+67AaPpuaEz4A4rOW8Ab+SskHTc3+vVIcmv3yNi+Z0dwOMCV6FfsttCol5DicmDXo34pkfh8poo2vkS13w2kaVDnAqmXnj17AkCVI2tEsbS0ZCsPFUedVPTw4UM2L6W4i92Kuq6UlJRa/aMTNSperC9dSUm2siFOehHBKApTU1ORueUFU9nrq+ID5uoqro3+T5LLxfz587Ft2zYA5Q/Rq/rcRSkrK2Nzi1Z8EF2Xz7m2n1XF+6IuU34lJSUxevRoAOW5YBmGwaVLlwBU7lyo731RsRFd1bTZ/Px85OXlVbmmQWN78uQJnJ2dcfToUcjLy2P37t1C+01NTTF48GBER0fD399faF9AQADu3buH3r17szloBY1NoHzU3MuXL4X+hiuOVhG854SEBPCbYKRHTRVlQa5doHwNifXr19fruJGRkcjMzBTZuVBUVISLFy/C1tYWcXFx6Nu3L/0DI4SQVuj06dPIzc1F165d0adPHygrK4v8GT9+PADxZznUts5c17p4XeuQouq/OTk5dVq/TFFRUagOCgDm5ubstvqkEpSUlGSPVZv2U33VtS4rqHv279+/0kOawsJCtt4lWBessQk+m4qfa13aoy2BYL2Ep0+fIj8/X2QZQVtZT0+P7VyoS1umoVWcETBo0KB6t2Ub8u9i8ODBbPrZJ0+eiBy8I2gzKSkpiZzN8qXff/+9xpSjjSE3N5dNzfbjjz/WOLtEMICpX79+QqO1GYZBfHy8WOc0NTWFmpoaEhMTxVrwWjDIKzw8XKzPGRC/80ncAWRfkpGRga+vLzQ1NXHv3r1qU7q1NGV8PpLSsvHHqXvoMn03JvqcRtr7PPw+1wHPDs1DzqklKDr/M0qDV4J/aWWLu34VBR6sTTTw8Pk7ZH8sxIvM3PKUSN9oQ0FOzPTDjKjnQXzkFRRTJa8Fo84FUi8TJkwAUL7wj7ipNeTl5TFy5EgAwIEDB0SWEWy3tLSEoaFhnSoVqqqqYBgGW7ZsEft1FfMt1na0kyCf/qFDh6qsIAoI8rqLmob54MEDXLlypUE+H1VVVXZK+IsXL5r9fhF8llX9g09PTxf53s+ePYsPHz6Aw+EILehU18+5Np9VxfuirrM/BA34y5cvIz4+HpmZmdDT08O3337boPdFxc+4qtyUTT1rwcfHB46OjrCzs4OhoSFMTU1x9uxZ6OjoIDw8HMbGxpVes3fvXujo6GDGjBno06cPXF1d0bdvX7i5uaF9+/aVOh2cnZ0xffp0JCUlwcjICLa2tujbty80NTWFOnBMTEzQtWtXpKSkwMbGBtOmTcPatWub/O9AkN5qxIgRcHNzg4uLC0xNTWFra1uvtVFu3LiBGTNmVGr8R0dHw9LSEmvWrEFJSQk7IokQQkjrc+jQIaE6ujh1k6oWDq5PnbmudbS61iEr0tUtzw/N5/Px6tWrWp9LkLZRTk4OnTt3BlA+alzwoGvnzp0N0n46evQo0tPTm+S+qGtdVpA+RtRaBtu2bWNTUKWkpDT6QrcPHz5kH1pXHGxUl/ZoSzBo0CB06NABRUVFOHLkSKX9DMPg4MGDAAAXF5d6tWUaWsU1yr5st3z+/Bl79+5t1r8LPT099kG2qO8MQZtJ3HUC+Hx+pc+gMTEMg7CwMNja2iIxMRHm5ub4+eefa3ydqAFMALB582axO3wkJCQwf/58AMDMmTMrfWckJibi5s2b7O+9e/dGjx49EBcXh82bNwt1YL5//17osxQM9BK3o0OwPtyePXsqtYOTkpLY75/w8PBKKf6eP3+O7OxsqKqqitWB1JwYhkFKRg7mbQ9G30UBuBL3Ev+xMcJT/zkI+/U7rJhog2HWhjDUUoaCnDSkpbjgSnBa7Kj8Idb6ADi4/TAdNx+kV9hWM1lpLj7kF6G0THggYOKrHPAZkBaMOhdIvfTp0wdjxowBALi6umLfvn3IyckBwzDIzs5GSEgIZs2aVWlU1C+//AIpKSkcPXoUnp6eyMvLA1A+Amb79u3Yvn07W64u2rVrB09PTwDAli1b2BHNDMOgoKAA9+7dw3//+1/89NNPlRojgsp3xQeYglkU1Vm0aBFUVFTw+vVrDB8+nO0l//DhA+7fvw8PDw926qpgpEpiYqJQZTI2NhaTJ09usJyeEhISbE7/ipWAxvTixQtMmzYNYWFhQv/kU1NT2c+kulHT06dPF7rWGzdusBWcsWPHQl9fv96fc20+KwDs6KCsrCxcvHiR3S5YeKwmgwcPhoqKCu7fv88uQOfi4lKpQlDf+0IQN01NzSoXeGvqzoXY2FhcunQJkZGRKCwsxLBhw/DXX38hKSmJfb9fMjAwwL179zBnzhy8fv0agYGBePnyJWbOnIn79++jW7dulV5z4MAB7NmzBxYWFoiNjUVUVBSUlZUxZ84ctgyHw0FgYCBsbW3x8OFDnDlzpllSJC1fvhzr16+HiooKTpw4gdjYWCxfvhwHDx4UWoOjtm7cuCGULkpQ2R49ejSWLl1KizYTQkgrl5mZyY7YralzwcjICBYWFigrKxP5YLMmNdWZ61JHq28dUsDS0hIyMjJV1n+3bduGiRMn4vDhw3j8+DHy8vJQWFiI5ORk+Pr6YunSpeyDtYq52QXXEBQUhDlz5iA1NRV8Ph+ZmZkICwtj99dk/vz56NSpEz5+/IjBgwfj0qVLKCwsRFlZGdLS0nD8+HGMGDFCrFHD4hLUZUNCQti0MyUlJTXO5LS1tQVQ/sD3/PnzKC4uxqtXr+Dj44N169bBxMSETVU1depUdsFlhmGQlZWFoKAgODs7szOQq3P37l3Y2dnhjz/+QFRUFHJyclBUVISMjAwcPXoUI0aMAMMwsLCwEEotWdf2aHOTkZFhB7ksXboUJ06cYB+Ev337FjNnzkR0dDSUlJSwZMmSerVlGlrPnj3ZkfHr169nrzsjIwNubm51mq1Ul78LNzc3HDt2TCjNUW5uLpYsWYLS0lLIy8sLzTr6ss1UU5ohgWvXrmHSpEmNej9MnDgRjo6OsLW1RYcOHeDg4ICHDx+iX79+CAkJEWvmhIuLCzQ0NBASEgJbW1vMmDEDVlZW8PHxwYwZM8S+Fg8PD3YNmo4dO2LAgAGwt7dHly5d0LVr10oP+v39/aGsrIyVK1fCwMAA9vb26N69O7S0tBAREcGWE8zmX7BgAVxdXTFmzJhqv+e0tLSwY8cOFBUVYfDgwbCwsGAHrBkbG7Odnj///DPU1dUxZMgQTJs2DaNGjcI333yD4uJisTplmgvDMLgW/xLdftiL7aejsGpSX9ze7obZI3rAUEsZXImv83HtoJ56kOAAtx9l4PajDMhIcdHfQrxFoTvrKINhgMt3n7Pbysr42HfuAUgLx5BWzcTEhAHAbNy4sdpynTt3ZgAwe/fuFbl/7969DACmc+fOlfbl5OQw/fv3Z1A+gUnkz65duyq97u+//2akpKQYAIykpCTToUMHRlpamn2Nl5dXpde8evWK3Z+UlFTj+1+4cGG11zVx4sRKr3F3d2f3q6urM2pqaoy7u7tYsbp06RLTrl27Ks/36tUrhmEYpri4mDEzM2O3a2hoMEpKSgwAxtjYmDly5IjI66vt+2cYhvHw8GAAMKampiL3z5w5kwHAuLq61vpcrq6uDABm5syZ7LakpCT2dZKSkoyuri6jpqbGblNSUmJiYmJEXsP48eMZHR0dBgCjoKDAKCsrs6/r1KkTk5aW1mCfs7ifFcMwDJ/PZ8zNzRkADIfDYXR0dBh5eXkmMDBQ7FhNnz6dAcDG4ubNm5XK1PW+EHBwcGAAMJMnT67yfnBzc2PMzMzoy7EVUlFRYbKzs9nfnz17xvB4PAoMIYS0Eb6+vgwAplu3bmKV9/b2ZgAw5ubm7LaAgAAGAKOjo1Pj62uqM9e2jlaXOmRVbG1tGQDMvHnzKu3buHFjtdcEgHF0dGQKCgqqjJmony/bSdW1GeLj4xltbe1qr+Hx48cN1l57+fIlIycnxwBgpKSkGD09PUZaWpp5+/ZttZ97Wloao66uLvL6tLW1mQcPHjBFRUXMiBEjqn0v4nxut27dqvFzMTQ0ZJKTkxukPVpVG0jctpKAl5cXA4CxtbUVud/f358BwOjr61fax+fzmfnz57PXyOPxGHV1dUZCQoIBwMjJyTGXLl0SedzatGUaur3HMAwzZ84c9rWKioqMpqYme82hoaEMl8tlNDQ0avXcobZ/F4J2I4fDYTp06MBoaWkxXC6X3bZ//36R7S1ZWVkGAOPn51fjfXn16lVm4MCBjfa9LXgPgh9ZWVmmU6dOzMSJE5nTp08zfD5f5Ot27drFAGA8PDyEtsfGxjKDBw9mFBUVGUVFRWbo0KFMXFwcc/v2bQYAc/HiRbbs48ePq7x3i4qKmN9//52xtLRkZGVlGWlpaaZz587M7NmzmcTExErlk5OTGTc3N0ZbW5vhcrmMsrIyM2rUKObp06dC5dasWcPo6uoyUlJSjLGxMZOSksIwDMNMnDiRAcCEhIRUOnZ4eDjj6OjIKCsrM1wul9HS0mJmz57NfkefPHmSsbe3Z1RUVBhJSUlGU1OTGTBgAPP333+3yP/VfD6fiU95w1jO288cCI5liopLm/V6rGf9zQxccEzk9iFLAqt9XVX7f/w9lHFc9g/T3/0Ys2LXNbFffz7yGWM962+m9+y/mRW7rjEb/77DjPvvWWbsmjOMy5ozjPWsv8W+hur2DVkSWOlYpH5o5gKpN2VlZYSHh2PPnj3o378/lJSUwOVyoaKiAltbW/z6668iR0+5uroiJiYGU6dORYcOHZCTkwMVFRW4uLjg+vXrWLNmTb2v7Y8//sDly5fh7OzMLozbrl07mJmZYenSpSJznP/2229YuHAh9PX1kZOTA2lpaXzzzTdinW/o0KGIjY3F7NmzYWhoCGlpafB4PHTr1g2enp7sgmNSUlIICwvD999/z753JSUluLu74/bt2xg+fHiDTXMbPnw4gPKck4I8jI2pY8eO2L9/P+zt7aGsrIzMzEyUlZXBzMwM8+bNQ2xsLHr06FHlvRQdHQ13d3eoqqqioKAAurq6mD9/Pu7evVvl1NW6fM7iflZA+Yj3f//9F87OzlBXV8ebN2+gra0NPT3xFyUSpB94//49dHR0YGNjU6lMfe6LDx8+sKNwBKNCRDE2NhZKLUVah0ePHkFLS0toUbrevXtj2rRpFJwm8t1336Fbt251WpulJjweD1OmTKEgE0KqJRiZXdOshS/rJvHx8SLzktdEnDpzbepo9alDVlX/vXjxYqXv5SlTpmDNmjUYMGAADAwMIC8vD2lpaejo6GD06NEIDAzEhQsX2NSiFXl4eODKlStwdnaGpqYmJCUloaCgAFtb21qlWjQzM0NCQgL++9//wszMDHJycpCUlISWlhZGjhyJw4cPsymZGoKenh7Onz8PW1tbyMrK4t27d+jRo0eNo6G1tbURGRmJCRMmQE1NDTIyMujcuTMWLVqEqKgofPPNN5CWlsa///4LPz8/9O3bl01Doq6ujqFDh2L//v0iZ51+ydzcHNu3b8eIESNgYmICFRUVSEpKQk1NDQMHDsTWrVsRHx8vMi51bY82Nw6Hgx07duDff//FsGHDICcnh48fP0JfXx/z5s3Do0ePqqy316Yt0xj++usvrF+/HoaGhvj8+TPKysowduxY3L17F4MHDxa7/Vyfv4sDBw5g/Pjx0NHRwYcPH5CbmwtDQ0OMGzcOERERIkfrX7lyBZ8/f4aEhAScnJxqvKYTJ0406r3z+vVrMAzD/hQUFCA1NRXHjh3D6NGjq2z7zZ07FwzDwNvbW2i7hYUFQkNDkZubi9zcXFy6dAnm5ub49ttvwTAMHB0d2bJdu3YFwzAi17mQlpbGTz/9hOjoaBQUFKCoqAjJycnw8/MTmda2c+fOOHToENLS0lBaWoqcnBycOXMGRkZGQuW8vLzw6tUrFBcXIzExEQYGBgDK19NhGAYODg6Vjj1o0CBcvHgROTk5KC0tRXp6Ovz8/Njv6LFjxyIsLAzZ2dkoKSlBRkYGrl27BldX1xb3N89nGKwLuA6/czG4sXUqvh9mAWkpLlobB2t9vMstxOeiUgzppS/260bYGGL1lN7oqKGIa3GvEXLvBUz1VbF7qQO6G7QHabk4TGO0ggkhLYqlpSViY2OxatUqbNiwocVd3w8//ID9+/djypQpCAgIoA+sDv78808sXLgQqqqqSEtLq7KxOHfuXFhaWgqlCyJfvz179uD+/fvw8/MDAFy6dAlTp07FgwcPoKGh0aDnKiwsxL59+xAYGIiEhAR8+vQJmpqa6N69OyZNmgQ3N7evPp4vX75EaGhoraaQjxkzBrGxsXj27JnQInoNgcfjYdy4cfj777/pZieEEDG8efMGenp6KC4uxqVLl2hgBSEEQHnqoFOnTmH48OG4cOFCjeW5XC6SkpLqtA4kIRV9LirFcI/jWPOdLQZb6rfYNRMIqQuauUBIG7Bw4UIA5fkQxVkIr7lQX2fd7dmzB0B5DsvqRqGlpqayI0RI63H06FGhz3X58uXw9vZu8I6Fly9fwtraGgsWLEBBQQFmzJgBDw8PDBs2DMnJyQgPD2818Txw4ECtXhMUFNQoHQuEEEJqr0OHDmyOdEEdiRDStmVmZuLs2bMAIFYu/mvXrqFfv37UsUDqjc9nMGBpAA4uGwmHnp2oY4G0OpIUAkJavylTpsDX1xePHj3C9u3bKy0KRr5u//vf/5CQkABtbW12EcKqpKSkUAW5FUpISICvry+A8g4mc3NzzJ49u0HPUVZWhjFjxuDJkyc4fPgwpk6dWqlMxQU4v1bFxcU4efIkpKWla/1a6lgghJCWY+3atThx4gSCgoIQFRUFa2trCgohbfw7obS0FE5OTrCzs6ux/NmzZ6tNN0uIuDYei8SGGXbopKlMwSCtErWCCWkDpKSk4O/vD2lpaaxbtw7p6ekUlFYiLy8Py5cvB4fDgZ+fHxQUFKotTzMXWp/s7Gzw+XxYWVnhyJEjCAsLa5T0Of/88w+io6OxdOlSkR0LAETOmtm5cydMTEwgIyMDY2Nj7Nixo1KZgoICrFixAvr6+pCRkYGRkRE2btyIsrIyoXKOjo6YMGECrl+/Dmtra/B4POjp6eGXX35BWVkZfHx8YGBgADk5OVhZWSE0NLTSua5cuQIbGxvIyspCU1MTixcvRkFBAYDyvLfdunXDvXv3cPPmTXA4HHA4HERFRbHvb9++fQgPD0f37t0hISGBsLAw9tp0dXUrnW/37t0wNzcHj8eDuro6HB0dkZWVBQC4d+8evvvuO2hoaEBKSgra2tpYvXo1ioqK6MYmhJB66ty5MzZu3Ag+n4/58+eDz+dTUAhpo6KiorB//36oqamJrIuKcubMGepcIPVWUFSCu4kZcLDsRMEgrRbNXCCkjejduzcuX76M1NRUZGdnV7k4Mvm6vH37Fj4+PtDQ0GAXL6zKy5cvoaOjAy6XS4FrRW7fvo0+ffrAw8MDFy9ebLTURKdPnwYAuLu7i/2aTZs2YfXq1Zg5cyaWLVuG4OBguLu7o6CgAMuXLwdQng5tzJgxuHLlCtzd3dGtWzdERUXBw8MDKSkp2Lt3r9AxIyMjER8fj02bNkFDQwO+vr5Yt24dLly4AElJSezYsQOysrJYsmQJnJyckJSUxC6+HhERgWHDhmHAgAH4448/kJiYiO3btyMpKQnnz5+HsrIyDh48CFdXVygpKWHnzp0Ayhe8E4iIiMCKFSvg4uKCyZMnV7tg4dq1a+Hl5QUbGxusWrUKhYWFiI6OZhdZvHXrFlJTU+Hu7g5dXV2EhIRg48aNkJGRgaenEXvqTQAAIABJREFUJ93chBBST4sXL4aWlhY+f/6M7OzsRl/klhDSMhUXF2P//v2wsLBAx44dayyfkJAAWVnZBl1YnbRNT19nY5JdN0qFRFo1WtCZENLsBAs6u7q60oKljejatWvw9PTE1atXKRitiKenJ7KzsxEWFoZHjx412nnMzc2RmZmJN2/esNvKysqQmpoqVK5jx46QlpZGdnY2dHR0MH36dOzatYvdb2tri4cPH+LNmzeQlpbG+fPn4eTkhO3bt2PBggVsuTVr1sDHxwfR0dGwtLQEUD474NKlSwgODsawYcMAAO/evYO6ujp4PB5ev34NNTU1AOWLWjs6OmL//v3swszffvst8vPzERcXx3ayeXh4YMOGDbhz5w569+4NoLwzoX379rhx44bQe+PxeCgqKsL58+cxYsQIoX2Ojo5ISEjA69evAQBZWVno2LEjBgwYgODgYLE69RiGgampKbhcLh4+fCh0XlrQmRBCCCGkaYwbNw4lJSU4c+YMBYPUS2j0c8jLSqGPqQ4Fg7RalBaJENLs9u3bB4Zh6MFZI6P1Flqn27dv49y5c0Kj6xvDx48fK6XdysjIgJGRkdDP06dPyyvSoaEoLCzEkCFD8OHDB/bn22+/RW5uLhISEgAA586dA1C+NkxFbm5uAP5vxoSAlJQUHBwc2N/bt28PJSUl9OzZk+1YAMCONBOkIHrz5g3u3r2LoUOHIi8vj70eKysrAKjUkVAVMzOzSh0LooSHh6O4uBizZ88We7YQh8OBqakpsrOz6cYmhBBCCGkmiYmJWLRoEQWC1Fspnw8a0k1aO0qLRAghbQStt9A6RUZGQl9fH6tWrWrU8ygoKFSapaCmpobAwEAA5Z0AR44cEbrfAMDFxUXk8XJycthy8vLyUFFREdovmLKekpIitF1FRaXSw3pJSUmhjgXBNgDsug2C69m6dSu2bt1a5fXUxMTERKxyL1++BIBq/+ZSUlLg5eWFGzdu4O3btygtLUVhYSGl7SCEEEIIaSY5OTlIS0uDvb09BYMQQsRAnQuEENJGpKSk1LguQ20wDEO5I5vZkydPoKCggG+//Ra9evVq1HOZmJggISEBGRkZ0NLSAgDIyspi3LhxAIDk5ORK9wdQvqCxqAfyFhYWAFDre0hKSkrkdgkJiRrvVwCYO3cuJk6cWGm/vr6+WOeXkZER+++juvI5OTno27cvAMDb2xt9+vQBj8fD7NmzGzW9FSGEEEIIqVpwcDAcHR0pEIQQIibqXCCEkDbiy5kLnz9/xr179/Dy5Uvk5+fj3r17kJGRQWxsLHJycqCoqIjExERISUmhqKgI+fn54PF4KCgoYB+ccrlcTJ48mYLbTE6fPo1Pnz7Bz8+v0c81bNgwnDx5Ev7+/li9enWN5QUP65WVlWFnZ1dlOUNDQwQHByMnJ0do9oJg5H+nTp0a5Pordh5Udz0NRVdXFwDw/PlzmJmZVdp/8eJFZGVl4cCBA/j+++/Z7fn5+XRjE0IIIYQ0k4sXL1Y7IKugoABpaWlsW6qkpARpaWl4+/Yt1NXV8fDhQ8jIyEBaWhqpqano2rUrHj58SOlpWxB9fX14e3tTIAhpINS58BXKzc2Fj48PMjIyKBiEELHw+XzcuXMH8+bNQ1paGnJycsDlciEjIwNdXV0oKioiLy8PAwYMgI2NDVRVVaGvr88uMKukpASgPCWNrKws5OTkkJOTg/Pnz1Nwm5GFhQVWrVqFZ8+eiZ2up65cXV3h4+MDb29vWFhYYOTIkdWWHzJkCHg8Hnbt2oVx48YJpTLi8/nsTANnZ2fs3LkThw8fFsptGxAQAAA1nkdcWlpasLa2xvHjx7F+/Xqoq6uz+wSdZYJZFHJycvjw4UO9zjd48GBISUnhwIEDcHJyqjRDQ3BOZWVldtvz588RHx/P/r0RQkh1srKyoKGhQYEghJAGUlxcjPPnz8Pa2hp79+7F6dOn8fHjR2RlZSE9PR3y8vJ49+4dZGVlISsri6KiIpiZmUFCQgLFxcXQ19fHp0+foKSkBDk5OSgoKEBTUxMKCgqwtLSkALcQqqqqFARCGhB1LnxlRo8ejeDgYLRv3x6bN2+mgBBCxFJSUoJ//vkHs2bNgrW1NTQ0NKCtrS12ihdRVFRUKi3CS5qer68vevbsCWNjY8TExDTaeeTk5PDPP//A0dERTk5OGDhwIGxsbKCkpIT8/HycPXtWqHz79u3h5eWF5cuXo2/fvnBycoKcnByePHmC5ORkXLlyBUB5J4SzszOWLVuG58+fo3v37rh//z78/PwwadIk9OnTp8Hew7Zt2zB48GD07NkTU6ZMgYaGBl69eoVz587h5s2b7FoHNjY22LlzJ3x8fKCrq4v+/fvXerSZlpYWlixZgs2bN2PQoEEYNmwYCgoKcP36dZw8eRI2NjaQlpbGmjVr2AWmt23bBmNjY3YRakIIqep7f8uWLejSpUuDze4ihBACxMTEICcnB1u2bIGenh6kpKQwevRoGBgYQFpaGqamptDT06tXG4oQQlob6lz4iujp6SE9PR06OjpsughCCBGXv78/LCwsGvRhLWl+AwcOhIuLC0pKSjB8+HBcvHix0c5lbW2NBw8e4Ndff8X58+exdetWlJaWQllZGSYmJli5ciX09PTY8suWLYOWlhZ+//13+Pj4AACMjIzwww8/CB33+PHj8PLyQkBAAHbs2AE9PT14enqKlX6pNmxtbREREYG1a9dix44dKCgogI6ODkaMGAEFBQW23Nq1a5GUlARvb2/IycnhwoULdZrKvnHjRmhpaWH37t3w9PSErKwsBg0aBDk5OaipqeHIkSPw8PDArFmz2OnZDMNg6dKldGMTQkQaMWIEQkNDYW5ujjlz5lBACCGkAQ0bNgwrVqxAaGgojIyMKCCEECIGDiOYl09aNDU1NTYH+v/+9z+MGDGCgkIIqZXvv/8eAwcOxPTp0ykYrciOHTvw+PFj/PXXX5g1axbi4uJw9+5dCgwhhLQylpaWSEhIQLt27RAREQFzc3MKCiGENDDB4Bga7EEaQnBUCpTkZGDTTYeCQVotCQpBy9e/f39kZ2eDYRhoa2tTxwIhpE46d+6MZ8+eUSBamQEDBiAiIgIAsHfvXvTr1w/u7u4UGEIIaUXGjRuHx48fo7S0FDY2NtSxQAghjWT06NE4c+YMBYIQQsREnQst3O7duxEXFwcA4HK5GDZsGAWFEFInhoaGSElJoUC0MmZmZnj+/DmSk5MBlM9QSU5OxuzZsyk4hBDSCpw+fRqhoaEoKiqClJQUbG1tKSiEENJI+vfvjwcPHuDDhw8UDEIIEQN1LrRgpaWl+PHHH5GXlwcAUFBQwIQJEygwhJA6oZkLrZetrS3WrFkDoLyzYcqUKYiKisKQIUMoOIQQ8pWbOXMmcnNzAQDt2rWj73ZCCGlkMjIy+OeffygQhBAiBlrQuQUbM2YMOBwO+3tZWRlsbGwoMISQOqHOhdbr119/haurK/v7lClToKqqipiYGNjZ2SE8PBwSEjSegBBCvjZeXl4oLCxkf8/Pz8e3335LgSGEkEbk4OCA9+/fUyAIIUQM9KShhYqLi8P169dRVlbGbnN0dKTAEELqrH379iguLsbHjx8pGK2MmZkZMjIy8O7dO3bbiBEjMH/+fCQlJWHkyJHw9/enQBFCyFekuLgY/v7+KCgoYLeNGTOGAkMIIY1sypQpuHr1KgWCEELEQJ0LLdTatWuFHgDyeDwMGDCAAkMIqRdad6H1Gjx4MMLCwoS2qaioIC0tDZqamjh27Bg0NTXZtRkIIYS0bNu3b0dmZub/NdwkJGBoaEiBIYSQRubo6IibN2+yKaoJIYRUjToXWqCsrCyEhISAYRh2m4yMDKVEIoTUG6VGar3s7e0RHh4ucp+/vz/++OMPLFq0CHZ2dvj1119RVFREQSOEkBZsy5Yt+Pz5M/u7vLw8rK2tKTCEENIEJk6ciOPHjzfqOXR1dcHhcNgfOTk5dOnSBdOnT0dsbGyl8rt37waHw2HXWmsNgoKCoKCggO3bt9NNR8hXijoXWqD169ejpKREaNunT59gZWVFwSGE1At1LrRednZ2CA0NrXJ/165dsWrVKly5cgW///47DAwM4ODggIMHD1LwCCGkhQkNDRXqWAAAhmFgYWFBwSGEkCZqN61evbpJzmVvb49hw4bBysoK7969w6FDh9CrVy+cOnWqyd93aWkp1q9fjzdv3jTJ+eLi4vDp0yfcu3ePbjpCvlK0oHMLtHPnzkrbunfvToEhhNTb58+fcfPmTQpEK2RsbIzXr1/j1q1b1c50MzIyQmZmJk6fPo2HDx9i/vz58PDwgL6+PkpLS2FiYoJnz56hc+fOFNSvgL6+Pry9vSkQhLQyGzduRG5urtC2wsJCdOnShYJDCCFNYOXKlfjtt9/w/v17qKmpNeq5jh8/jvbt2wMA8vPzsWrVKvz555+YN28eRo4cCRkZmSZ738HBwfD09MTYsWPRoUOHRj/fokWLoK6uDmdnZ7rpCPlKUedCC+Pn54d27dohPz+f3cbhcODi4kLBIYTUm5aWFh49ekSBaKVmzZqF6OhosdLoOTs7w9nZGR4eHoiIiMCdO3eQkJCATp06QUFBAX379qWAfgVUVVUpCIS0MhkZGYiLi6u0nToWCCGkabm5uSEgIACLFy9usnO2a9cOW7duxYULF/Ds2TPcuXOnydbfZBgG/v7+TRpjFRUV/Pjjj3SzEfIVo86FFmbLli1CHQtAeX5VGkFKCGkIffr0QUhICAWilRo+fDh27dpV6wr6gAEDmqzRQgghpHoBAQH49OlTpe29evWi4BBCSBNyc3PDzJkzm7RzAQC4XC7Mzc3x7NkzpKWlidx/7do1rFu3Dvfu3YOEhAR69+4NLy8vkYOM8vLy4OPjg+PHjyM9PR2amppwcXHBf//7X6ioqAAAoqKiMH36dDx8+BAAYGZmxr4+IyMDmpqaAMpTdv/22284ceIEUlNT0a5dO/Tr1w9r1qyp9H/qyZMnMDU1xW+//YZ58+Zh6dKlCAwMRG5uLp48eYIuXbpg9+7dmDdvHjw8PCrNxk1NTcWGDRtw+fJlZGZmon379ujWrRs8PT3Rr18/ukEJaSFozYUWJCkpCZmZmZU/JAkJfPPNNxQgQki9GRkZISkpiQLRSg0fPhwXL16kQBBCyFfs4MGDKCoqEtomKSkJU1NTCg4hhDQhS0tLpKenIzIyssnP/e7dOwDlMxm+FBUVBQcHB+Tn58PJyQkdO3ZEWFgY7O3t8fTpU6GyBQUFsLOzw+bNm6GoqIhRo0aBx+Nh69at6NevH/Ly8tj/M1OmTIG+vj4AYPHixdi4cSM2btwIBQUFAOUpmwYOHIj169ejtLQUY8aMgbW1NYKDg2Fra4ugoCCR7yU9PR3jx4+Hv78/unTpAjMzM/Y8VXnw4AGsrKywb98+8Pl89OvXDyoqKggLC0NZWRndnIS0INS50MIaEoWFhZW2f/z4UajXmBBC6kpXVxfv37+vtEgkaSX/1CUk4ODggMuXL1MwCCHkK5SZmYkXL15U2i4nJwdjY2MKECGENLHx48eLXBezMX348AGxsbGQlZUVORPhwoUL2LlzJ+7evYtjx44hPj4e48aNQ2FhIfbs2SNU1sfHB9HR0Vi3bh3i4uIQGBiIR48eYdKkSXj06BE2bdoEAOjRowdWrlwJXV1dAMDMmTOxcuVKrFy5ku3g+OWXXxAdHY1Zs2YhMTERR48exaVLl3D37l3weDx8//33yMnJqXS9Bw8exMuXL5GcnIw7d+4gJiYGUlJS1cZAcKz169fj5cuXCAsLQ0JCAtLS0tC/f3+6MQlpSc8hKAQtx4EDB1BSUlJpu7a2NiQk6KMihDQMmr3Qupmbm2Pfvn0UCEII+Qr9888/YBim0nYOh0NrLhBCSDPw9vbGuXPnmuRc+fn5uHr1KhwdHZGXl4fly5dDXV29UjlTU1PMmjWL/V1CQgJz5swBAMTHx7PbGYbB3r17oaqqijVr1rDbuVwuli1bxv7fEUdZWRn27t0LKSkp/Prrr0LPqCwsLDBnzhzk5uYiICCg0mtzcnLw119/QU9PT6xzRUVF4f79++jWrRvWrFkDDofD7tPS0qLnY4S0MLTmQgsRFRVV5UhimrVACGlIgs4Fc3NzCkYr9N1338He3p4CQQghXyF/f3+RbYL8/HwYGRlRgAghpIkpKSnB0dERx48fx8SJExvlHF92IMjKyuKXX37B2rVrRZa3trautE1bWxsA2DRHAJCYmIi3b99CQ0Oj0ppsgv81KSkpYl3j48eP8eHDB1hZWUFZWbnS/kGDBsHX1xfXrl3DwoULhfa1b98ednZ2Ysfj9u3bAIAhQ4YIdSwQQlom6lxoIfbt2yf0T0CAy+WiT58+FCBCSIMxNjaulIuTtB6WlpYwMDBATEwMLC0tKSCEEPKVyM3NRUJCgsh98vLykJWVpSARQkgzGD58ODw9PRutc2Ho0KGQkpLCixcvkJCQgLlz51bZsQAAHTp0qLRNMJq/4uw3wWLQWVlZ8PPzE3ms0tJSlJaWQlKy+seDgvVBBQs7f0lLSwtA+foKXzIwMKhVPLKysgD8X4cJIaRlo7lELcTNmzfB5/MrbVdWVkavXr0oQISQBkNpkVq/MWPGVLmgGiGEkJbpzJkzkJGREbmvpoUvCSGENJ5p06bh48ePuHfvXqMc/8iRIzh37hxCQ0OhpKSEv/76C48fP66yPI/HE+u4go6GYcOGgWGYKn9q6lgAwM4gEJW6r+J2UTMNpKWlaxWP6o5FCGl5qHOhBYiJicGrV69E7ispKUGnTp0oSISQBkOdC60fdS40j127dkFFRaVRZgbxeDxMmTKFgkxIK3bkyBGRM5kBwMrKigJECCHNaP369ZUWS25oGhoaWLduHUpKSjB//vx6H08wm+D58+f1PpaOjg4AICMjQ+R+wXbBOetDMDOjqnMRQloW6lxoAf79918UFBSI3Jefn0+LtxFCGhSlRWr9vvnmG2RnZ+PBgweNcvwtW7aAw+Fg586ddT5GREQEwsPDW1Xci4uLUVhYiNLSUroJCSG1duPGDZHbORwOdHV1KUCEENKMfvjhBwQGBiI3N7dRz+Pu7o5u3brh6tWrOHToUL2OZWpqCjU1NSQmJord/hPMYigpKRHabmJiAg0NDTx48ADZ2dmVXnft2jUAQL9+/eodA0Fq8NbWViCktaLOhRbgxIkTlb64BZSUlGo9hYwQQqrToUMHfPz4EYmJiRSMVqxXr17YuHFjoxw7ICAAHA4Hhw8frvMxNm7c2OoaDIsWLcKnT5/QrVs3ugEJIbUSHR0NKSkpkfvk5ORoJjMhhLQAVlZWcHZ2btRzSEpK4s8//wQALF++XOSDfHFJSEiwMyBmzpyJ9+/fC+1PTEzEzZs3hbYJ1lSIj48X2s7hcODu7o7S0lIsW7YMZWVl7L6EhAT4+flBXl6+QWba9u7dGz169EBcXBw2b94slIrp/fv3Itd1IIQ0H+pcaGZ5eXnVpieh/KqEkMZgYWGBkJAQCkQrtmnTJsTExDT4cR88eIC4uDi4uLjgzp07dUqxlZmZiStXrrTKuHO5XLr5CCG1dvnyZXz+/FnkPikpKXTs2JGCRAghzSwsLAxXr15t9PPY29tj3LhxePv2LVasWFGvY3l4eMDOzg43btxAx44dMWDAANjb26NLly7o2rVrpTr56NGjAQALFiyAq6srxowZw856+Pnnn2FnZwd/f3+YmJhg8uTJGD58OKytrZGfn4+9e/dCXV29QWLg7+8PZWVlrFy5EgYGBrC3t0f37t2hpaWFiIgIuhkJaUGoc6GZXbhwocqF2wDQ6EdCSKMYNWoU5bBs5bp27QpZWdkG72AICAiAtLQ0Nm/eDAkJCZGzFzIzMzFt2jRoa2tDTk4OxsbGcHd3x6dPnxAcHAwTExMUFRXBx8cHHA4H8vLy7GsLCgqwYsUK6OvrQ0ZGBkZGRti4caPQ6CgAcHR0hIuLCy5evAgrKyvweDx06tQJK1euRFFRUaVr2rt3L8zNzcHj8aCpqYm5c+dWGr3l6OiICRMm4Pr167C2tgaPx4Oenh5++eUXlJWVwcfHBwYGBpCTk4OVlRVCQ0OFXr9p0yZwOBwkJycLbQ8PD8egQYOgoKAARUVF9O7dG9evXwcAvH79GkuXLkXnzp0hLS0NFRUVfPfdd3j9+jXdxIS0IUFBQSguLha5r6ysjDoXCCGkhVi/fj3Wrl3b6OfZsmUL5OTksH//fkRGRtb5ODIyMrh06RJ+//13mJiYICoqip2tMHv2bEyYMEGo/OTJk7FmzRooKSkhMDAQjx49YmfWSUtLIzg4GD4+PpCSksKpU6dw9+5dODg4ICIiApMmTWqw99+jRw9ERUXBzc0NJSUliIiIQHp6OoYPH07rEBHSwnCYqpZ6J03CxcUFp06dErlPUlIS3t7e9e6pJoQQUQ8xAgICqvz+Ia2Dr68vsrKy8NtvvzXI8fh8PvT09GBpaYlz587Bzs4OL168QEpKCjgcDluuf//+SEpKws8//wxFRUV2tsOVK1eQnp6O+/fvY/To0XBzc8OsWbPA5XJhY2MDhmHg6OiIK1eusPlmo6KisGfPHsycORN79+5lz+Ho6Ig7d+5AVlYWv//+Ozp37oygoCBs3LgRq1atwoYNG9iyGzZsgIeHB1xcXDB06FCkpaVh27Zt0NfXZ48hOGZCQgLk5eWxadMmaGhowNfXF6dOnULv3r0hKSkJDw8PyMrKYsmSJXj8+DGSkpKgp6cHoLxzYdWqVUhKSmLXSwoJCcHw4cOhp6eH8ePHQ0FBAZGRkfD19UX37t0RFxeHsWPHYty4cTAxMUFycjJ8fX1ha2srNJKMx+Nh3Lhx+Pvvv+nGJqQV4nK54PP5Ve7Lz8+vdkASIYSQplFSUgIZGRkUFRVVmc6OEIHgqBQoycnAppsOBYO0WpIUguZ1+fLlKvfJycnByMiIgkQIaXCmpqZ4/PgxBaKVk5aWxr59+xqscyEsLAzp6enw9vYGAEyYMAE//vgjrl+/jgEDBrANrsjISCxfvhxLliypdAwdHR02dZCenp7Qom8XLlzA5cuXsX37dixYsABA+eJ57du3h4+PD+bPnw9LS0u2/IcPH3D48GH85z//AVC+zsTp06dx5swZtnPh/fv38PLygouLC/755x/2tf3798eQIUOwe/du/PTTT+z2tLQ0BAcHY9iwYQAAPz8/nDp1CvHx8Xj9+jXU1NQAlHckODo6IiQkBDNmzKgyZsuXL4eKigru378PVVXVSvstLCzw7NkzoW3v37/Hnj178Pbt2wabWk4IabmuXr0KRUVFfPjwoco2AXUsEEJIyyAlJQVvb28sWLAAu3fvpoAQQto8SovUjGJiYqpdrJnD4bAjHwkhpCF17doVT548oUC0chMnToSEhESVD6xqKyAgAJKSkmwuVhcXF0hISCAgIECowWViYoKjR48iKiqqVsc/d+4cAFRaCM7NzQ0AcPr0aaHtXC4Xw4cPF9pmbGyMzMxM9vfQ0FAUFhbC1dVVqJyDgwO0tLQqHVNKSgoODg7s7+3bt4eSkhJ69uzJdiwAQOfOnQEAWVlZVb6fjIwMxMXFYcKECSI7FqoiSIlYnwX8CCFfj+DgYOTl5VW5X7C4JiGEkJZh9erVCA8Px927dykYhJA2jzoXWnBDQlFRkToXCCGNhmYvtH4aGhro378/rl27Vu9j5efn49SpU7CyskJ2djaSk5ORl5eHHj16IDAwEIWFhWzZY8eOgcfjoVevXnBwcKi0NkFVUlNTIS8vDxUVFaHtglzjKSkpQttVVVUhKSk8CVNSUlJofYbU1FShY3x53C+PqaKiUmlRZklJSaGOBcE2AJXWgqjo5cuXAAADA4Mqy3z69Alr1qyBhYUF1NTUIC8vj59//hkAQJkrCWkb7t+/X+13iZmZGQWJEEJamIMHD2Ly5MlCaTsJIaQtos6FZnTu3DmUlJRUuT87OxtycnIUKEJIo6DOhbZhzpw58PPzq/dxTp06hfz8fNy5cwdGRkbsT3R0NHJzc3H27Fm2rLm5OR4+fIiAgABkZGRgyJAh+PHHH2s8R8V1G8RR3ey/uh6zqty5EhK1rzIJOgeqS2fi4uKCTZs2YezYsQgJCUFsbKzIdFKEkNarpg7YLzs3CSGENL++ffvi2bNn8PT0REZGBgWEENJmUedCM7p//361+zU0NChIhJBGQ50LbcPw4cNx7dq1eqfBCggIgKysLI4fP47AwED25+jRo5CRkcHhw4eFyktKSmLKlCmIj4/H7NmzsXPnTsTGxlZ7DkNDQ3z69Ak5OTlC2wUzADp16lTr6zY0NBQ6RkWvXr2q0zHFpaurCwB4/vy5yP3p6em4fPkyXF1d4enpiZ49e6JLly5VLupKCGl97ty5AyUlpSr3c7ncRv2eIoQQUj+qqqpwcnKiQBBC2izqXGgmMTEx4PF41ZbR19enQBFCGg11LrQd8+fPx9atW+v8+vT0dISFhWHcuHGYMGECxo0bx/5MmjQJo0aNwqVLl/DmzZtKqXy4XC7b4BKM6hLMyvtyLQhnZ2cAqNRRIVjTYeTIkbW+9iFDhkBOTk5oXQjg/xanrssxxaWrq4tvvvkGgYGByM3NrbRfECtlZWV2W2lpKS5cuEA3LSFtRGRkpFBauS/JyspCW1ubAkUIIS3UyZMnkZubC29vbwoGIaRNkqQQNI9r165V25AAABMTEwoUIaTRaGpqIjIykgLRBmzevBlSUlJ1To/0v//9D3w+Hz/88IPI/TNmzGBnMfTr1w8LFy6Ek5MTOnXqhLdv3+LPP/+Ejo4O+vbtC6B8TaFu3brh2LFj6NGjB0pLS/HDDz9gyJAhcHZ2xrJly/D8+XN0794d9+/fh5+fHyZNmoQ+ffrU+tqVlZXh4+ODn376CWPHjoWjoyPS09M/NkGFAAAgAElEQVSxbds2GBkZwd3dvdFj7+TkBGtra0yePBkyMjKIjY3FtGnT4OTkhC5duuDgwYPo0qUL2rVrh0OHDlVaR4IQ0nqFhISgqKioyv1cLpc6FwghpAUzMTHB1q1b4enpicTExEoDWgghpLWjmQvN5N9//622ISEtLQ1jY2MKFCGk0djY2ODFixcUiLbwz15CAosWLcKyZcvq9PqAgAAYGxtjwIABIvcPHToUenp6OHz4MPT09KCnp4etW7di6tSp2LBhAywsLBAaGiqU+mPfvn3Q0tLC/PnzsXnzZnYU//Hjx7Fy5UoEBQVh/vz5uHz5Mjw9PSvNZqiNxYsX4/Dhw3j27BkWLFiAnTt3YuzYsbh+/Trk5eUbNfYjRozAxYsXoaGhAV9fX3h5eeH169dsmpOTJ0/CzMwMK1aswOrVq2Fra4vTp0/TTUtIG3Hnzp1q9zMMAy0tLQoUIYS0YP/5z39w48YNmJubY/bs2RQQQkibwmG+zF9AmoS8vDzy8/Or3K+oqAh/f3+MHTuWgkUIaTSmpqYICgpC165dKRitXE5ODnr06IFly5ZhwYIFFBBCCGlm6enpMDIyQkFBQZVleDweXr9+TYs6E0LIVyA3NxfKysqYNm0aBg8ejKlTp1JQ2rjgqBQoycnAppsOBYO0WjTvvhk8evQIXC632jIcDofWXCCENDpzc3PEx8dT50IboKKigqdPn0JJSYk6FwghpAW4fft2jWnQSkpKqGOBEEK+EkpKSmAYBqNGjYK/vz+mT5+OQYMGoaCgAJ07d6YANTJ9fX1a+4KQZkCdC83g2rVrKCkpqbZMcXExOnbsSMEihDQqMzMzPHjwABMmTKBgtAEyMjJwd3fHokWL8Mcff1BACCGkGV29ehV5eXnVlqm44DshhJCvw9mzZ5GamoqcnBw8fPgQ8fHxsLCwoMA0MlVV1RZ3TQzDQFlehj4c0qpR50IzOH/+PD5//lxtmdLSUqirq1OwCCGNytzcHPv376dAtCG+vr5o164dZs6cCXNzcwoIIYQ0k/DwcNSUoZbaA4QQ8nUyMDCAgYEBevbsScFow4JuPsVvs+wpEKRVowWdm8G9e/dqLEP/gAghTUGQFom0Lbdu3aIcsIQQ0syqW2tBQFtbmwJFCCGEfIXK+HzcfpwGRTlpCgZp1ahzoYllZWXVOP0ZKJ+5QAghja1Tp0549+4dPn36RMFoQ7KzsyErKwsvLy8KBiGENIP4+Hi8f/++xnKUo5sQQgj5+jAMA48D17B1rgM4HA4FhLRq1LnQxO7evQtp6Zp7LWm9BUJIU6HZC22PnZ0dxo8fj+TkZBQVFVFACCGkicXExIDP51dbhsPhQEtLi4JFCCGEfEUYhsGpG4nI+VQE+x76FBDS6lHnQhOLjIwUa+YCjVIihDQVbW1thIeHUyDamKVLl0JCQgLTpk2jYBBCSDO0CWqaNcjj8aChoUHBIoQQQr4SfD6DX/6+iaikTOxaOIxmLZA2gToXmlh4eHiNo5R4PB7NXCCENBltbW2EhIRQINogf39/KCsr4z//+Q/evHlDASGEkCZy69atGstISUmhQ4cOFCxCCCHkK5D+Pg8j15yAlZEGNnw/EBIS1LFA2gZJCkHTevDgQY1lpKWloaOjQ8EihDQJNzc33Lx5kwLRRu3evRvLly+HkZERZGVl0bVrVxQVFaFLly4UnK+Evr4+vL29KRCEfEUSExNrLCMhIUGdC4QQQkgL97GgCGsPRSArJx//Wz0aKvI8CgppU6hzoQklJydDUrLmkEtISEBbW5sCRghpElZWVrh//z4Fog377bffMG/ePJw8eRKKiop4+PAhevfuTYH5SqiqqlIQCPmKJCUlQUZGBsXFxdWW4/P51LlACCGEtEB8PoO7ielY//dNKMhJY8P3A2GopUxpkEibRJ0LTeju3btilSspKaHOBUJIk7K0tERMTAwsLS0pGG2UoaEhli9fToEghJBGFh0dLVa54uJi6lwghBBCWgCGAT4XlyDh+Vucup6IoMhELB3XB8c8RkNRToYCRNo06lxoQhEREWIt5lxQUEBpkQghTUowe4E6FwghhJDGdefOnRoXcwbKOxdoZhIhhBDS+BiGAZ9hUFrKR1FpGUpK+cjKyUd86htEPHiJsJjn0G2viJmOFljgbI2NM+1olgIh/x91LjShiIgIscrJy8uDy+VSwAghTaZnz55ij6QkhBBCSN3duHEDDMPUWE5BQYGCRQghhDQBDocDLocDrrQEZKTLH5WqKcqim357TLLrRgEipBoSFIKmI87CbQCgrq5OwSKENClad4EQQghpGo8fPxarnIqKCgWLEEIIIYS0aNS50ETi4uKgqakpVllab4EQ0tR69uyJqKgoCgQhhBDSiF69eiXWrAWABhwRQgghhJCWjzoXmkhUVBQ+fPggVlkrKysKGCGkSUlKSkJJSQlBQUEUDEIIIaSRJCQkQFpaWqyyhoaGFDBCCCGEENKiUedCE7l9+zYKCgrEKisvL08BI4Q0uSlTpuD169cUCEIIIaSRxMbGIi8vT6yylBaJEEIIIYS0dNS50ETu3LkjVjkejwctLS0KGCGkyfXt2xeRkZEUCEIIIaQR2wSlpaU1luNwOJQqlRBCCCGEtHjUudBEnj59KlY5aWlpaGhoUMAIIU2OOhcIIYSQxhUfHy9WOR6Ph/bt2/8/9u47PKoy/f/45ySTmfQChCq9E8DQq3QEVBRBAQERG6Loyq6s4iKIuoqwsFaK4lcEBQQFRUSKkaIC0oSEGkhIQgnSA4T05Pz+4JdZQhLIDAlkwvt1XVzGOc995pw7MzDP3E8hYQAAACjWKC7cBDExMfLw8CjYL8TNjeICgFuiSpUqyszM1LFjx274XEOGDJFhGKpZs6ZSU1PzbXfHHXcoMDCwROd1//79MgxD7du350UGALe5w4cPF6idh4cHxQUAAAAUexQXboKdO3fKza1gqc7MzFT58uVJGoBborBnLxw6dEjvvfdekV/3L7/8okWLFvELBAAUWwcOHJC3t3fBOmlubipdujRJAwAAQLFGceEm+PPPP5WYmFigtqmpqcxcAHDLFGZxwTAMeXt765133tFff/1VpNf9yiuvUFwAABRru3fvlmEYBWprmibFBQAAABR7FBdugt9//11ZWVkFapuVlSV/f3+SBuCWiI6O1sKFCwvlXKZp6qWXXtLFixf16quvFtk1h4eHa/v27fzyAADFWkRERIEHHGVkZLAsEgAAAIo9igs3we7duwvcNiAggIQBuGUef/xxVahQodDO99RTT6ly5cqaM2eOtm3bVuC4yMhIvfjii6pTp45sNpu8vb3VpEkTzZgxI0e7UaNGqVWrVpKkxYsXyzAMGYahESNGSJLCwsJkGIYGDhyY5/MYhpHry5uZM2fKMAxt27ZNUVFR6tWrl7y9vVW1alV7mzNnzmjSpElq3ry5fH19ZbVaVbNmTY0ePVqXLl3ihQQAyGXz5s0FHnCUmprKzAUAAAAUexQXitj58+d18eLFArdnhBKAW6lp06aKjo7W+fPnC+V8pmlq8uTJMk1To0aNKnDcqFGj9OGHH8rLy0t9+/ZVhw4dtGvXLj333HP66KOP7O1q1aqlIUOGSJIaNmyoiRMnauLEiXrwwQdv+NojIiLUuXNnbd68WaGhoerQoYP92GeffaYxY8boxIkT6tGjh3r37q1z585p6tSpGjp0KC8kAEAujgw4cnNzk6enJ0kDAABAsUZxoYjt3LlTXl5eBW7PZs4AbrUuXbpozZo1hXKulJQUDRw4UG3bttWGDRv09ddfFyhuypQp2rFjh8LDw7VgwQKtXLlSixcvliR9/PHH9nbPP/+8fVZC3bp1NWbMGI0ZM0Y9evS44WsfPXq02rdvr2PHjmnjxo368ssv7cdGjBih5cuXKy4uTosXL9bixYsVEREhPz8/LVmyRPHx8byQAAA5HD16tMBt/fz8SBgAAACKPYoLRWznzp1KTk4ucPs77riDpAG4pQqzuJCRkSFJev/992UYhl5++eUC/Z0YEhKi0NDQHI898MAD8vX1VVRUVIGXlbgRWVlZmjFjRp4F4oCAAN1zzz1yc3PL8fd3x44dJUkHDhzghQQAsNu9e7dD+6qxVCoAAABcAcWFIrZjxw6lpqYWuH21atVIGoBbqjCLC6ZpSpJatGihoUOH6siRI5o8ebJD50hLS9P58+eVkJCg4OBgZWVlKS0trcjz0L17dwUGBl63XUZGhi5cuKCEhAQFBQVJujxjAwCAbHv27LH/m1gQ7LcAAAAAV0BxoYht3LixwG29vLwoLgC45Ro0aKCoqCjFxsYW6nknTpwoHx8fTZ482b40hGEYebbdv3+/Bg0apHLlyslmsykwMFBBQUGKiYm5aXmoXr16vsfOnTunf/7zn6pZs6asVqsCAgIUFBSUY+kkAACyxcbGKjExscDty5YtS9IAAABQ7FFcuAkdiYLy8PBgCjSAYqFnz55asWJFoZ6zQoUKevXVV5WUlKSXX35ZkvLcrHL//v1q2bKlFi5cqN69e2vhwoX65ZdftHbt2kLd9P56swusVmuej6empqpjx46aMmWKatSoof/7v//Tzz//rLVr16pbt268eAAAuaxdu9ahmQv16tUjaQAAACj2LKSg6MTFxclqtSo9Pb1A7d3c3BQcHEziANxyQ4YM0TfffKNnn322UM/70ksvadasWVqwYIGef/55+fj46NSpUznavP/++7p48aLGjBmjiRMn5jiWvYdDQWXPjMhrj4aTJ086dQ/fffeddu3apbZt22rVqlU59l14//33efEAAHKJjIx0qH1exXcAAACguGHmQhHas2ePLJaC12+ysrIoLgAoFu6//3798MMPhX5eT09P+54Lo0aNkre3d642hw4dkiR16NAhx+OxsbFKSEjI1T7779m8Crl+fn6SpPj4+FzHtm7d6tQ9ZF9f+/btcxQWTNNUREQELx4AQC7ZywEWhNVqZc8FAAAAuASKC0Vo9+7dSkpKKnD79PR0igsAigWbzaaOHTtq9erVhX7u/v37q3379tq6dau2bduW63j2OtM7duywP5aSkqJRo0bleb7y5cvb/869eoZC/fr15eHhoT/++EPh4eH2x8+ePasJEyY4df15XZ8kTZo06abuCQEAcA2HDx+WzWYrcHur1aqgoCASBwAAgGKP4kIR2rp1a4GXRJKk5ORkigsAio27775b//73v4vk3B988IHc3Nzy/Dvy2WeflWEYGj9+vPr06aMhQ4aobt26ioqKUteuXXO1r1u3rurVq6dDhw6pTZs2euyxxzR+/HhJl2cuDBs2TJmZmWrbtq169+6tvn37qlatWipfvrzuuOMOh6+9X79+KleunH7++We1a9dOTzzxhJo1a6a3335bTzzxBC8cAEAOkZGRDs1mdnd3V6lSpUgcAAAAij2KC0XoylGyBeHj42NfHxwAbrWnn35ax48f15o1awr93E2bNtWwYcPyPNauXTt9++23CgkJ0apVq/Tzzz+ra9euWr9+vbp3756rvWEY+uabb9SuXTvt2bNHS5cuzVG0+Oijj/TKK68oODhYq1at0tatW/Xkk0/q+++/V0hIiMPXHhQUpFWrVqlr167avXu3Fi9erDJlymjDhg0aPnw4LxwAQA779u1TSkpKgdsbhsHMBQAAALgEwzRNkzQUDZvNprS0tAK3r1ixoo4dO0biABQbX331lVatWqUvv/ySZAAA4IQnn3xSn3/+eYHb+/v7a8OGDWrYsCHJAwAAQLHGzIUiEhcXJ6vV6lAMG7cBKG6GDBmiBQsWKDMzk2QAAOCEiIgIh9pnZGSwLBIAAABcAsWFIrJnzx65u7s7FFOuXDkSB6DY6d+/v6ZPn04iAABwwqFDhxxqn5aWxrJIAAAAcAkUF4rInj17lJSU5FBMxYoVSRyAYufhhx/WuHHjSAQAAA5KTk7WhQsXHI7z8vIieQAAACj2KC4UkS1btuTYULQgKleuTOIAFDsPPvigunbtqiVLlpAMAAAcEBkZKR8fH4diHG0PAAAA3CoUF4pIeHi4Q+1tNhvLIgEotsaOHau3336bRAAA4IDIyEhlZWU5FOPn50fiAAAA4BIoLhSRuLg4h9pbrVYFBweTOADF0tmzZ3X27FmtXLmSZAAAUEB79+7VpUuXHIoJDAwkcQAAAHAJFBeKwJEjRxyeheDu7k5xAUCx1a1bN7Vo0UIHDhwgGQAAFNCOHTscnrlQqlQpEgcAAACXYCEFhW/fvn0Ob9zGskgAirtFixbJYrFo5MiRcnd3JyEAAFxHbGyswzFlypQhcQAAAHAJzFwoAvv371dKSopDMRcvXmSUEoBib/Xq1WrYsKEiIyNJBgAA1xEdHe1wTO3atUkcAAAAXAIzF4pARESEUlNTHYpJTU1V6dKlSR6AYq1Lly6aPn262rZtq7S0NJUrV05t2rQhMTegatWq+ve//00iAKCESUhIUGZmpkMxhmHIZrORPAAAALgEigtFICIiwuEYNzc3OhIAXELnzp21ZcsWrVixQvv371fr1q1Jyg1g1hoAlExRUVHy9PR0aNCR1WpVUFAQyQMAAIBLoLhQBJyZ/uzn50fiALiMmjVr6rnnnpObG6vrAQCQX5/A0c2cLRaLAgMDSR4AAABcAt8KFbKUlBSHN3OWpICAAJIHwLX+AaGwAABAvg4ePKikpCSHYiwWC/0CAAAAuAy+GSpkBw4ckLe3t8NxLIsBAAAAlBy7du1yas8FZi4AAADAVVBcKGSRkZEyTdPhuODgYJIHAAAAlKB+gaNM06S4AAAAAJdBcaGQ7du3T5cuXXI4rnz58iQPAAAAKCEOHz7scExmZibLIgEAAMBlUFwoZH/++afDG7dJUsWKFUkeAAAAUAKkpaU5tQ9bRkYGMxcAAADgMiguFLJ9+/Y5HGO1WlWmTBmSBwAAAJQA0dHR8vHxcTguNTWV4gIAAABcBsWFQubM9Ger1arSpUuTPAAAAKAEiI6OlmEYTvUL3NzoogEAAMA18Mm1EJ04ccKpToS7uzvFBQAAAKCEiIqKUnJyssNx3t7eJA8AAAAug+JCIYqMjJTNZnM4zjAMigsAAABACbFnzx6lpaU5HOfn50fyAAAA4DIoLhSiAwcOONWJyMzMpLgAAAAAlBB79uxxKs7f35/kAQAAwGVQXChEkZGRSkpKcjguPT2d4gIAAABQQsTGxjoVx2bOAAAAcCUUFwrRtm3bnIpLSUlRqVKlSCAAAABQAjizVKok+gQAAABwKRQXCtGhQ4eciqtbty7JAwAAAEqAo0eP6tSpU07F1qhRgwQCAADAZVBcKETHjx93Ki4hIYHkAQAAACVAbGysPDw8nIp1dsYDAAAAcCtQXCgkx48fd7oTERAQQAIBAACAEiA2NlYZGRkOx1ksFgUFBZFAAAAAuAyKC4UkKipKVqvVqVg6EQAAAEDJEB0draSkJIfjPDw85O/vTwIBAADgMiguFGInIj093anYMmXKkEAAAACgBNi7d6+ysrIcjrNYLMxoBgAAgEuhuFBIDhw44NQIJUkKDg4mgQAAAEAJEBUV5VzHzM2NmQsAAABwKRQXCklERIRM03QqtkKFCiQQAAAAKAGOHDniVJxhGBQXAAAA4FIoLhSSgwcPOhXn4eGh0qVLk0AAAACgBDh9+rRTcaZpsiwSAAAAXArFhUJy9OhRp+KsVqtKlSpFAgEAAAAXd/jwYXl7ezsVm5mZycwFAAAAuBSKC4UgISFBmZmZTsVaLBYFBQWRRAAAAMDFxcbGysPDw6nYjIwMigsAAABwKRQXCkF0dLQ8PT2dijUMg5kLAAAAQAkQExOjjIwMp2LT0tJYFgkAAAAuheJCIYiOjlZWVpZTsVlZWcxcAAAAAEqAQ4cO6dKlS07Furu7y2KxkEQAAAC4DIoLheDgwYNKSkpyKjYzM5PiAgAAAFAC7N27V6ZpOhXr5eVFAgEAAOBSKC4UgoiICKf3XEhLS2NZJAAAAKAEiIqKcjrWx8eHBAIAAMClFHje7eLFi8lWPrZs2eJ0bGZmplasWEESJbm5uenBBx8kEQAAAHBJR44ccTrW19eXBAIAAMClGGYB5u3OmDFDn376qWrWrEnG8nD+/Hmnpz8bhsHGbf9fTEyMnnnmGQ0fPpxkAAAAFEPp6ekaNGiQtm3bRjLycPr0aadjLRaLAgMDSaKkxo0ba968eRRcAAAAirkCzVw4efKkHnjgAU2YMIGMociMGzdOJ0+eJBEAAADFVFJSklavXq3w8HCSgSLToUMHnT59muICAABAMWchBQAAAAAKyjAMVatWjUSgyLi7u5MEAAAAF8CGzgAAAAAAAAAAwCEUFwAAAAAAAAAAgEMoLgAAAAAAAAAAAIdQXAAAAAAAAAAAAA6huAAAAAAAAAAAABxCcQEAAAAAAAAAADiE4gIAAAAAAAAAAHBIsSguJCYmyjAMGYah1157zalzpKamqkOHDgoICNCiRYtyHR89erT9OTIyMvjNX6F58+YyDEPt27cnGQAAAAAAAACA6yqU4sLOnTvtX9xf+cfb21uVKlVSz5499f777yshIaHIbiQiIkK//fabLly4oM8//5zfLAAAAIBi5ccff7T3lcLCwm7JNdSrV0+GYahnz578QgAAAHBDinTmQnJysuLj47Vq1Sr9/e9/V506dfTzzz8XyXNVr15d/v7+kqRmzZrxmwUAAABugcDAQBmGoT59+lyz3bBhw2QYhtzc3LRkyRISBwAAALgYS2Gf8JlnnrF3JBITE3XgwAEtWrRI4eHhOnXqlPr06aPNmzerYcOGhfq8ZcqU0f79+xUXF6dWrVrxmwUAAACKqWnTpmnOnDmSpLFjx6pv374kBQAAAHAxhV5cqFevXq4ptq+++qr++c9/aurUqUpKStKbb76Z574IN6pChQqqUKECv1UAAACgmNq0aZP+/ve/S5LuvfdevfHGGyQFAAAAcEE3ZUNnwzD07rvvqkqVKpKkFStWsKkyAAAAcJv566+/9NBDDyk9PV116tTRvHnz5ObmRmIAAAAAF3TTPslbLBZ16tRJ0uXlko4fP55nO3d3d5mmqU8++UStW7dWQECAvLy8VL9+fb3yyis6e/ZsnnGJiYn2zdFee+01p69z165dGj58uGrVqiUvLy8FBgaqVatWmjJlipKSkq4ZGxUVpeeee07169eXt7e3rFarKlasqHvuuUeff/65Lly4kG/sjz/+qH79+qlSpUqy2WwqW7asevXqpYULF8o0zXzjmjdvLsMw7NPKV65cqR49eqhcuXLy9vZWSEiI3njjDV26dOm69+7u7i5JCgsL03333afg4GDZbDZVqVJFQ4cO1b59+3K0z8jIULly5WQYhrp3737Nc584cULu7u4yDEOjRo3inQcAAHCbSU9PV//+/RUfHy8/Pz99//33CggIIDE3mWEYJAEAAACF4qYOEwoMDLT/nJKSkmcbb29vPfDAAxoxYoQ2b96sCxcuKCUlRfv379fkyZPVokULnTp1qkiu791331VoaKhmzZql6OhopaSk6Pz589qyZYv++c9/qkGDBtq/f3+esatXr1ajRo00Y8YM7d+/X8nJyUpPT9fx48e1YsUKPfnkkxozZkyuuJSUFPXr10+9e/fWkiVLFB8fr7S0NJ06dUorV67UwIEDdffdd+vixYvXvPYDBw7orbfeUq9evbR69WqdPHlSycnJ2rt3ryZMmKAmTZrkW9DJ5ufnp8mTJ+vuu+/W8uXLdfr0aaWlpenIkSP68ssv1bx5c/3222/29haLRY888ogkae3atTp58mS+5166dKmysrIkSQMHDuSdBwAAcJsZPXq0fvvtNxmGoblz56p+/fr5tjMMQ48//rgk6eDBg3riiSdUuXJlWa1WlSlTRr169dLKlSuv+5xpaWmaPn26OnfunGPgzKOPPqrNmzfnGVOvXj0ZhqFGjRrleTw9PV3+/v72zajPnDmTZ7sePXrIMAxVrlzZ4VwdO3ZML774omrXri0vLy+VKlVKXbp00dy5c+2fqfNz/vx5/etf/1K9evXk5eWl4OBgde3aVQsXLpQkeXl5XTP+4sWLeuedd9S8eXP7feb357PPPssVn5ycrEmTJqlZs2by8/OTr6+vmjRpon//+9/X7dMAAADAtdzU4sKJEyfsP5ctWzbPNtOnT9eyZcv0yCOPaMWKFdqxY4e+++47+ybNhw4duqGZCfn54osv9OqrryorK0tNmzbVvHnztGPHDm3cuFHvvPOOAgICFBcXp65du+aaPZGRkaFhw4YpJSVFFSpU0KeffqotW7bozz//1MKFCzV48GB5e3vrueeey/W8I0aM0JIlSyRJDz30kJYvX67w8HCFhYVpxIgRcnNzU1hYmAYMGHDN6587d67Gjx+vu+++W99//73Cw8P1yy+/6NFHH7V3ygYNGnTNc4SHh+vVV19Vy5Yt9fXXX2vHjh1atWqVhg4dKklKSkrS008/nWMmRfaxzMxMffvtt/meO/seq1atqtatW/POAwAAuI3MmzdPH374oSRp3Lhx6tOnz3VjDhw4oLVr16pJkyaaPXu2jh49qvT0dJ05c0YrV65Ur169NHXq1HzjDx8+rNDQUI0cOVLr1q3LMXDmq6++Ups2bfIc/NOxY0dJ0t69e/P8MnzDhg32x03T1C+//JKrTVZWlv744w9Jss/eLqiwsDDVr19fH374oaKiopSSkqJz585p7dq1euyxx9SrV698ZyXHxcXpzjvv1MSJExUZGamUlBSdPn1aa9as0cCBA/Xoo48qKCgo3+c+deqUWrZsqbFjx2r79u1KT0/PMUDsSoZhyGaz5XjsyJEjCg0N1ZgxY/Tnn38qMTFRly5d0s6dOzVu3Dg1btxYBw8e5A0BAABQUpgFMGHCBPP111/P9/iOHTtMSaYk87333suzTXJysoP5bSgAACAASURBVFm2bFlTklmvXr0cxy5evGiPl2SOHj06V3xKSopZqVIlU5IZEBBgZmVl5XuOsWPH5op/6aWX7MfT09NzHEtMTDSDgoJMSWbz5s3NlJSUXPF//vmn6eHhYUoyn3nmmXzvf+HChXnef1JSUq7HNmzYYI97/vnn84z7+OOP7W0WLFiQ63izZs3sx3v37p0rL6Zpms8995y9zapVq655jjZt2phpaWm52jz00EP2Ntu3b89xLCQkxJRkdujQIc97SEhIsOfu5Zdfzvd19Nprr5lvvfWWCQAAgOIpISHBDAgIuGabgIAAU5L5wAMPmKZpmuHh4aa3t/c1P6/m9bnd19fXDA4ONitWrGh+/PHH5pYtW8wNGzaYb775pmm1Wk1JpsViMePi4vLse9SrV8+UZFqtVnP06NHm+vXrzfDwcPO7774z7777bvtn24kTJ+aInT9/vv1YWFhYrnO/8sorpiSzQoUKpiTzqaeeytUmPDzcfo7PPvvM/viyZcvsj//888+54g4ePGjPVa1atczZs2eb27ZtM9esWWMOGTLEHjts2LBcsZmZmTk+1z/11FPm+vXrzR07dpjz5s0zmzRpkqPP1aNHj1znGDBggCnJ9PT0NBcsWGBmZGSYpmmaO3fuNOvWrWtKMqtVq2bu378/V58pNTXV3i/w8/MzJ02aZP7+++/m5s2bzddff9202WymJLN+/fpmcnLyNV8D1apVM2NiYnjDAQAAFHM3ZeZCRkaG/va3v9mXzXnyySfzbevj46PXX3891+M2m82+BM/58+d15MiRQru+r7/+WufOnZMkvfXWW7lG4EhSkyZNNHjwYEmXZwmcP3/efiwtLc3+s8ViyfM58pp+PGPGDPu9vfXWW3nGPfvss6pRo4Yk2Ud75ef111/Pcw3VCRMm2PdTmD9//jXP8fbbb8vDwyPX48OGDbP/vHv37hzHsmdH/P7774qPj88V++OPPyo9PV2SrjsDAwAAACXHpUuX9NBDDykpKUl169bVV199VeA1/xMTE5WZmalNmzZp5MiRatGihdq2batx48bpv//9r72fkdfs2f/+97/25Uznz5+v//znP+rQoYMaN26sPn36aNWqVXr44YclSePHj9fhw4ftsdkzFyTZZx9cKXs5pux9xH7++edcbTZu3Gj/2ZGZCy+99JKSkpJUtWpV7dixQ8OGDVOzZs3UuXNnffnll+rXr58kac6cObn2Q/vmm2+0fft2+3lmzZqlDh06KDQ0VIMGDdLGjRsVGhqa73NfuHDBnssRI0Zo4MCB9j7EnXfeqY8++kiSFBsbqxMnTuTqM02bNk179uyRxWLR+vXr9fLLL6tdu3Zq2bKlJkyYoClTpkiS9u3bpy+++II3BwAAQAlQ6MWFAwcOKCwsTKtWrdLChQs1fvx4hYSEaNasWZKkpk2b6oUXXsg3vn379vL19c3zWLVq1ew/ZxcDCsPatWslSZ6enuratWu+7Xr37i3p8jqiv//+u/3xkJAQ+fj4SJLGjBmjqKgoh563Xbt2+U43dnNz07333itJ2rJlS77rlPr5+alZs2Z5HgsODlbTpk0lSZs2bcr3ery9vdWhQweHcz9kyBC5ubkpKytLixYtyhX73XffSZJq165tvw4AAACUfKNGjbIvg9O+fXv5+/s7FP/888+rSpUquR6/1sAXSZo5c6YkqVWrVvYv5K82efJkSZf3UMhuL0kVK1ZU7dq1JeUuLvz111+KiIiQ1WrV8OHDZbVaFRcXl2upn+ziQuXKlVWzZs0C3evRo0f1448/Srq8dFRefaJ//OMfki4vx7R48eIcx+bNmyfp8sClvJaR9fT01Pjx4/N9/v379yszM9PeP7lamzZt7D//+uuv+eZ84MCBatKkSa7jTz/9tP2errWcKgAAAFxHoRcXZsyYoe7du6tnz54aOHCg3nrrLR04cECS1LNnT/388895zgzIdq0P39lf4Gd3AgrLnj17JEk1atTIc9R+tnr16tl/3rVrV47revfddyVd3tsgJCREw4cPz3fzZ+ny7Itjx47lOu+1njczM9N+rVe78sv/vNSpU0eSFB0dnW+batWq2UcnOZL7SpUqqUuXLpIuzwK5UkpKin10F7MWAAAAbh+bNm3KseHv559/rt9++82hc/Tq1Svfz6ZlypSRlHvgS1RUlH2Wc/Ygnfw++2Zv2nz17IPs2QtXb/q8cuVKmaappk2bKjAw0D64JywsLEe7DRs2SHJs1sLatWvtmzW3b98+zzZXboK9Y8eOXPmWLhcB8hu4dK2BVNmFBUl59tc8PDzss05SU1NzHDty5Ii9z5fftdtsNvuM7KuvHQAAAK6pyJZFMgxDgYGBql+/vp566imtWbNGK1asUKlSpa4Z5+hopsKQ3SG51uZmVx8/c+ZMjmPPP/+8Fi1apEqVKiktLU2zZs1SgwYNdP/99+fqlFzdCbqR583m5+d3zXMEBATYOw2JiYmFnvvsjZ03b96s2NhY++OrVq2ybzhHcQEAAOD2cfLkSdWuXVvr169XUFCQTNPU008/neuL6WspyMCjqwe+XDkYp6CDeK4cOCT9ryhw6tSpHINzsgfN3HXXXZJkn/V7ZXHixIkTOnToUI7zFERERESO6zIMI9efK/tSV/YLzp07p9OnT0vKWYDI6/N+dlHmanXq1JGb2+XuYV5f/kdERMg0TUmyz+zI69pHjBiR57UbhmFvd+7cOfu5AAAA4LoKvbjw3nvvyTRNZWVl6dy5c9q7d69mzZqlzp07Fyg+v5HzRSl7BM71PuBe7/jDDz+s6OhozZgxQzVr1pRpmlq2bJnatm2rsWPH5oi/cq3ZG31eKedII2fPcSO579u3r72Dd+XSSNlLIjVo0EANGzbkHQcAAHCbqF+/vv744w916NDBvgRRZGSk3nzzzQKfw5nBL84M4klNTbUPiJHy3nchKyvLXkTILhpk/3ft2rX2z+PO7reQ3yCi/GRkZNh/TkhIKPA955fT0qVLq0+fPpIu71lx5dJHx48ft+8xERAQoPvvv/+Grt00zev2XwAAAFD8uZGCyx+kr+6IXK+jkt8MDJvNphEjRujAgQP6+uuvVaVKFWVlZemdd97JsSFz9nMW1vNeuHDhmuc4e/aspMsFhPz2tLgRPj4+6tu3r6TLm8lJlwse2evGDhw4kBcaAADAbaROnTr2z65PPvmkfZT/5MmTc4x0vxZnBr8UxiCeO+64wz5rInsW8tatW3X27FlZrVZ70eCuu+6S1WpVQkKCtm7dKul/yxNVqVLFvgxQQVz5ZXtkZKRiYmKu+Se/fQuut2G2xWLJ99jMmTNVt25dnT9/Xh07dlSlSpVUt25dVa1aVRs3bpSnp6fmzp2ba9mlK699zpw51732mJiYa14HAAAAXAPFBUmNGzeWJB06dEhpaWn5trtyD4WQkJBrJ9bNTQMGDND27dtVqVIl+4f1bL6+vqpevbokad++fdc8V/bzGoahBg0a5NkmLi7umqN/ss9x9RTmwpS9NNK2bdt09OhR/fHHH/ZRTCyJBAAAcPsyDEOffvqpbDabMjIy9OSTTxbZyHVnBvFYrdYce4xJ/5u9sH37dkn/21ehXbt28vb2lnR5gE3r1q0lXZ69IP2vGOHIrAUp5yAib29vVatW7Zp/ypcvb2+fvQSqdP1BR9c6HhwcrM2bN9v3cztx4oRiYmJUvnx5DR06VNu3b881a+Hqa3dzc7vutV9vvzgAAAC4BooLkrp37y7p8nToqzdju1L2KHwPDw+1a9euQOcuU6aM/QN49gbOVz/vpk2b8u34ZGVl6aeffpIkNWnSJEfH4UpJSUn2jeOudvToUfvosDZt2hRZHrt06WIvpPz000/2NWlDQ0PtG0oDAADg9lS3bl2NHTtW0uXBKO+//36RPE/2wCGp4IN48ho4lF0cyN5rIHsz6rvvvjtHu27dukmS/fjOnTtzxBfUldeQfY6CKlWqlP0L/oMHD+bbLjk5WSdPnsz3uGmaGjJkiGJjY/Wvf/1LGRkZSktL0+HDhzVnzpx8BzrdyLUDAADAdVFckNSvXz9VqFBBkjRu3DilpKTkahMeHq758+dLkvr3759jRFR8fLyysrLyPX/2pnLZz5Ft5MiRMgxDqampeu211/KM/eSTT+wbwj377LPXvI/x48fnOQJs/Pjx9infgwcPLroXk5ub/fy//fab1qxZI4klkQAAAHDZK6+8Yv+Cevz48YqJiSn056hSpYp9YMvy5cvzbRcbG6vdu3dLUp77w2XPXEhMTFRsbKx92aP8igsbNmxQXFycfWaAo8WFrl272pc0WrBggcP3nT2D4vfff1dycnKebdavX3/Nfssvv/yiH3/8UcHBwXrjjTcK/Ny1atWyz0b49ttvc22yDQAAgJKJ4oIuT4OeMWOGJOnPP/9UmzZtNH/+fO3cuVObN2/W5MmT1blzZ6WlpSkoKEiTJk3KEf/555+rZs2aGj16tJYuXapdu3bp4MGDCgsL06BBg+yboV39JXvjxo3tG6NNnz5d/fr1008//aRdu3Zp3bp1ev755/XCCy9Iujzj4PHHH8/3Hjw9PbV+/Xp16dJFS5YsUUREhNauXavBgwdr9uzZki7PLOjatWuR5jJ7aaSNGzfaO2D9+/fnRQYAAABZrVbNmjVLhmEoKSlJw4cPL5Lnyf4MvXXrVvt+YFcbM2bM5Q6Rm1ue11GlShX7MqY//fSTzp49q+DgYDVp0iRHuxYtWsjf318XLlzQkiVLJElVq1a1xxZU9erV7TOeFyxYoGXLluXbdt++fbmWc80e5JOYmKgpU6bkisnIyNDbb799zWvInsnh4+Pj8J4IL774oqTLy7X+61//yrfd6dOnFR8fz5sBAACgBGAXrf/vgQce0KxZszRy5Ejt3LkzzxH+5cuX17Jly+xL/1wpNjZWU6dO1dSpU/M8f/fu3fXqq6/mevw///mPEhMTNWvWLC1ZssTeIblS69at9cMPP1xzQ7v77rtPfn5+mj17tr2YcaW6detq3rx5RZ7HkJAQNW3aVH/++ackqWXLlg53rAAAAFBytW3bViNGjNCMGTMUFhamL774QsOGDSvU5xgxYoTmzp2rrVu3asiQIdq8ebPuv/9+BQUFKSYmRjNnztSKFSskSaNHj1bdunXzPE/Hjh0VExOjr7/+WtLlWQpXb5hssVjUsWNHLVu2TAsXLpTk+KyFbB988IHWr1+vhIQEPfjggxo8eLB69uypoKAgXbhwQfv379fq1au1ceNG++bS2QYMGKDJkycrPDxcEyZM0IkTJzRgwAAFBAQoOjpa77//vjZt2qQKFSro+PHjeT5/9ibWsbGxGjx4sLp27Wp/DovFotKlS6tZs2Y59ljINnLkSM2fP19bt27VlClTtG3bNg0ePFh33HGHkpOTFRMTo19//VWrVq3SggUL1KdPH94MAAAALo7iwhWeeuopderUSf/973+1evVqHT16VJ6enqpdu7b69OmjF154Qf7+/rninn/+eXl5eWn58uXau3evzp07J9M0Vbp0aYWGhmrw4MEaNGiQ3NxyTxRxd3fXp59+qoEDB2rGjBnasGGDTp8+rcDAQHvso48+mmfslc6fP69vvvlGPXv21MyZMxUREaFLly6pRo0a6t+/v0aPHp1rk7qi8uijj9qLCyyJBAAAgKu9++67Wrp0qeLj4/XSSy/pnnvuUdmyZQuvk2OxaPny5erdu7c2b96c7yCg4cOH65133sn3PJ06ddIXX3yh33//XVLuJZGydevWTcuWLXN6M+dsVatWVVhYmO6//37Fx8dr7ty5mjt3bp5try5yuLu7a/HixerUqZOOHj2qadOmadq0afbjbm5umjZtmsLDwzVz5sw8z9mjRw/16tVLK1as0Pz58+3Lwl7Jw8NDw4cP19SpU2Wz2XI8vnz5cj344IPasGGD1q1bp3Xr1hXo2gEAAOCaCqW4EBoaal/T3xm+vr4Fih82bFi+o5qud44pU6bkOT34arVq1dL06dMduv7AwEC99NJLeumll5zOQZcuXdSlSxen47PXVe3fv7/DyxBt27btum2qVatW4N9xdhHDMAw9/PDDvMsAAACQg7+/vz7++GP17dtXZ8+e1QsvvGAf9V9YgoODtWHDBs2ZM0dfffWVwsPDlZiYqLJly6p9+/YaMWKEfV+F/Fx9vHv37nm2y953IZuzxQVJatasmSIjIzV9+nQtXbpU+/bt04ULF+Tl5aUaNWqoY8eOGjZsmAICAnLF1qxZUxEREZo4caK+//57HT58WP7+/mrevLlefvllderUSR999FGez2uapj777DMdO3bsmteXnp6uadOmKSsrK1e/KTg4WL/++qsWLlyoefPmadu2bTpz5owsFosqVaqkVq1aacCAAbrvvvt4EwAAAJQAhlmAb4zfeOMNmaapCRMmkLFipnnz5tq+fbvatWtnH1F1q3Xu3Fnr1q1T+/bt9dtvvxU4bty4cbLZbPlubg0AAIBb6/z586pataoSEhJIRgkzbNgwzZkzR9WqVdO0adN01113yc/PT9LlwkNycrL279+vJ598Ujt37pTVatW5c+fk7e1d6NdSvXp1rV271r5JNAAAAIonNnRGoYqLi7Pv+XCtDagBAAAAFA+//vqr5syZI0n69ttvdc8999gLC9LlGcne3t5q2rSpxo0bJ0lKS0tTXFwcyQMAALiNUVxAoXrzzTeVlZWlwMBA9lsAAAAAXMCWLVskXd6rolmzZtdsa7H8b2VdX19fkgcAAHAbY0Nn3JBNmzbJz89PZ8+e1dy5c/X5559Lkv7xj38UyRRpAAAAAIXL399fkpSRkaEDBw6oTp06ebbLyMiw79lQpUoVVa5cmeQBAADcxigu4IY88sgjuaZDd+zYUWPGjCE5AAAAgAvo1auXbDabUlNT1bdvX02dOlVt27aVn5+f0tPTdeTIEW3cuFHvv/++tm/fLunyvnwAAAC4vVFcwA2pU6eOzpw5o9TUVFWuXFmDBg3S2LFj5eHhQXIAAAAAF1C5cmV98skneuqpp7Rnzx717NlT0uW9FkzTzNHWx8dHkyZN0rBhw0gcAADAbY7igovbtm3bLX3+1atX80sAAAAAXNxjjz2m1q1ba/r06Vq3bp1iYmKUlJQkT09PlS5dWg0aNFC3bt00ePBglS9fnoQBAACA4gIAAAAAQKpbt64++OADEgEAAIACcSMFAAAAAAAAAADAERQXAAAAAAAAAACAQwq8LNK+ffu0ePFiMoYiExkZqcaNG5MIAAAAAAAAACjmDNM0zes1OnXqlJ544gnZbDYyloeYmBhFR0c7HV+1alXVrl37ts9jRkaGZs2apeDgYF5UAAAAxVBycrI6deqkkydPkow8JCUlKSsry+l4X19fkigpODhYP/30k8qUKUMyAAAAirECFRdwbXPnztVzzz2nS5cuORXfv39/LVy4kEQCAACg2Dt79qwuXLhAIvLw6KOP6vfff3cq1svLS+vWrVPZsmVv+zz6+vpSWAAAAHABFlJw4+rVqyeLxflUHj16lCQCAADAJZQqVUqlSpUiEXlo2bKl08UFDw8P+fr6qlq1aiQSAAAALoENnQtB/fr1lZyc7HQ808oBAAAA11evXj15e3s71zFzc9PZs2dJIgAAAFwGxYVC4Ofn53QnQpLOnDlDEgEAAAAXV7NmTVmtVqdiTdOkuAAAAACXQnGhkNSqVcvpWNasBQAAAEpGnyA9Pd2p2MzMTIoLAAAAcCkUFwpJaGio07EWi0Xnzp0jiQAAAIALq1KlitPLpaalpVFcAAAAgEuhuFBImjZtKk9PT6dibTab/vrrL5IIAAAAuLhy5co5FZeWlqbTp0+TQAAAALgMiguFpF69ek4XF9zc3HTixAmSCAAAALi46tWrOx3LgCMAAAC4EooLhaR+/fpKS0tzKjYrK4viAgAAAFAChISEOB178uRJEggAAACXQXGhkJQvX16maToVm5qaSnEBAAAAKAEaNGggm83mVOypU6dIIAAAAFwGxYVCVK1aNafiUlNTFR8fTwIBAAAAF1erVi2nl0s9d+4cCQQAAIDLoLhQiBo1auR0bFxcHAkEAAAAXFytWrWUlZXlVOz58+dJIAAAAFwGxYVC1Lx5c3l4eDgVe+zYMRIIAAAAuLiaNWvq0qVLTsVevHiRBAIAAMBlUFwoRPXr15e3t7dTsX/99RcJBAAAAFych4eHAgMDnYpNTk52eh83AAAA4GajuFCI6tevr8zMTKdinR3dBAAAAKB4qVKlilNxnp6e7LsAAAAAl0FxoRDVrFlTycnJTsWeOHGCBAIAAAAlQL169ZyK8/b2prgAAAAAl0FxoZDdcccdTsV5eHjozJkzJBAAAABwcY0aNZK7u7vDcVlZWTp9+jQJBAAAgEuguFDIWrdu7VSc1WrV8ePHSSAAAADg4ho2bKiAgACH40zTpLgAAAAAl0FxoZDVq1dPbm6Op9XNzU3x8fEkEAAAAHBx1apVU0ZGhsNxmZmZFBcAAADgMiguFLLQ0FD5+vo6HJeRkcHMBQAAAKAEqFOnjpKSkhyOS01NpbgAAAAAl0FxoZA1bNhQpmk6HJecnMzMBQAAAKAE8PT0lL+/v8Nx6enpOnnyJAkEAACAS6C4UMhq1aql5ORkh+MyMzMVGxtLAgEAAIASoEaNGk7FHTt2jOQBAADAJVBcKALVq1d3Ko7iAgAAAFAyNG7c2Kk4lkoFAACAq6C4UARCQ0OdimOUEgAAAFAyNG7cWDabzeG4U6dOkTwAAAC4BAspKHytWrXS0qVLlZaW5lAc66sCAAAAJUO9evXk6emp1NRUh+LOnTtH8gAAuIk27DmqkR+t0h3BfqpY2k/VygWoUfVgNaoWrAql/WS1uMkwDBIF5IHiQhFo3LixvLy8HC4uJCQkkDwAAACgBKhTp44yMzMdjjt//jzJAwDgJmrboJK2T39cGRlZSs3IVFJquqKOJWjh+n36YdNBRR9P0N/7ttDATg1Upaw/hQbgCoZpmiZpKFzHjx9XrVq1lJSU5FCczWbTX3/9pcDAQJIIAAAAuDiLxeJwgcEwDGVlZZE8AACKicwsU9HxZzV/7T7NWR2h5+5vqqd6hSrI15Pk4LZHcaGI+Pr66tKlSw7F+Pv7648//lD9+vVJIAAAAODiqlSpoiNHjjgUY7PZdPz4cQUFBZFAAACKGdM0tf/IGf1j5i+qXiFA4we3V7kgH2Yz4LbFhs5FpFatWo7/MtzcdPz4cZIHAAAAlAB16tRxOMZqter06dMkDwCAYsgwDNWvUkY/vd1frw5sq7/PDNMr/7dWqemZJAe3JYoLRaR58+YOx2RmZio+Pp7kAQAAACVAaGio4x00NzedOXOG5AEAUIwZhqHKwf6a/2ofDe4cooZPz9LWyHixPgxuNxQXikiLFi3k5eXlUExKSgrFBQAAAKCEaNiwoXx8fByOY+YCAACuwTCkO2uW077/G65lf0Rp1oqdYgV63E4oLhSRRo0ayWq1OhSTnp6uuLg4kgcAAACUAHXq1JHFYnEoJjMzk+ICALiYrl27yjAMzZgx44bO889//lNBQUHavHlzgWMGDhwowzAUFhbm8nl05v6LC4u7m94YepfOXUzWW/M2UGDAbYPiQhFp2LChkpOTHY5LTEwkeQAAAEAJULduXaWmpjoUk5qaSnEBAFxIfHy81q1bJ0maN2/eDZ1r69atSkhI0O7du3M8npGRoTfffFMnT550+Xxd617yu39XYRiGXu7fWgHeNn234QBvDtwWKC4UEX9/f/n6+joct337dpIHAAAAlAClS5eWm5tjXa709HSdPXuW5AGAi1iwYIGysrLUsGFDbdy4UbGxsU6fa8aMGZo5c6aGDBmS4/GVK1fq9ddfLxHFhWvdS37370oMw9DfHmyu/y7eonOJKbxBUOJRXChCd911l8Mxf/31F4kDAAAASoiWLVs6HBMdHU3iAMBFzJs3T/7+/ho7dqxM09T8+fOdPlf9+vX1zDPPyGaz2R8zTVOzZ88uEbm63r3kdf+uyDAMLR7fV0/9dzlvEJR4FBeKUL169RweqXTu3DkSBwAAAJQQFSpUcDgmPj6exAGAC9i3b5927Nihnj176r777pOXl1e+SyPt379fhmFoypQpunTpkkaMGKHSpUvLYrEoKipKUu79E7Zt26ZGjRppyZIlki7v72kYhgzDyDU41c3NTbNmzVLjxo3l6empsmXLasiQIXn+m1K+fHl169ZNiYmJGjVqlCpWrChPT081aNBAH374oUzTVFRUlAYMGKAyZcrIZrOpcePG+d6baZr65JNPFBoaKi8vL5UpU0YDBgzQvn377G0Kci/X2j8iJiZGTz/9tKpWrSqbzaZKlSqpe/fu+v3334vla6NckI/2xp1RRmYWbxSUaBQXilCLFi0cXhrJ09OT2QsAAABACeoTWK1Wh2JOnTpF4gDABWR/2f7QQw/J19dX99xzj/bu3audO3fmGxMfH6+HH35Ys2fPVq1atdSoUSNVrVo1z7YWi0VDhgyxHx81apQmTpyoiRMnys/PL0fbDz74QCNHjlT58uXVu3dvWSwWzZs3T126dFF6enquc+/du1cPPvigdu3apdGjR+vvf/+7jh49qhdffFEvv/yyWrVqpfPnz2vs2LF68cUXFR0drSFDhuiHH37Ida5nn31WI0aM0NmzZ3Xvvfeqdu3aWrRokVq1amXPhSP3crVdu3apWbNm+uyzz5SVlaX27dsrKChIv/zyizIzM4vt62NQlxCdu5jMGwUlmoUUFJ2mTZsqK8uxCqWHh4eOHj2q8uXLk0AAAADAxTVs2FBeXl5KS0srcMyZM2dIHAC4gPnz58vb21v33nuvJGnAgAFavHix5s2bp9DQ0DxjvvjiC1WsWFFRUVGqXLnyNc8fGhqq0NBQ/fjjj4qLi9OTTz6phg0b5tn2ZVeWkQAAIABJREFUl19+0ebNm9WkSRNJUkJCgpo2barIyEitWrVK9913X472x48fV6tWrfTdd9/ZH2vRooX69eunKVOmaOjQoZozZ06Of88ee+wxzZgxQ/fff7/98bCwMH3yySfq2bOnvvvuO3l6ekqSpk+frpEjR2rkyJHasGGDQ/dytccff1znzp3Tm2++qddee02GYdjvoVy5csX29dG8TnlFxScoONCHNwtKLGYuFKHq1asrIyPD4bijR4+SPAAAAKAECAkJyXPE6LVcuHCBxAFAMbdx40bFxMTo3nvvlbe3tyTp3nvvlY+Pj32T57ycO3dOH3/88XULC44aOnSovbAgSYGBgRo0aJAkKSIiIs+Yv/3tbzn+v1evXvafX3zxxRzH7rnnHknS7t27czw+c+ZMSdLEiRPthQVJGjFihAICArRx48YbWu5v27Zt2r59uxo0aJCjsCBdXnrQ0eXIb6YrrxUoqZi5UMTq16+vHTt2FLh9amoqxQUAAACghKhYsaJM03QoxjRNJSUl2b+sAgAUP9lLIj388MP2x7y9vdW7d299/fXXWr9+vTp37pwrrkyZMurUqVOhX0/z5s3z/DdIki5evJhnzNUzB7y8vOTj46NLly6pZs2aua5bkhITE3M8nr3nwbRp0+Tu7p7jWPaX64cOHbJfi6P++OMPSVL37t35sh4ohiguFLG2bds6VFxISUlRbGwsiQMAAABKiOrVq2vv3r0Fbm+z2XTy5ElVq1aN5AFAMZSRkaFFixZJkt555x1NnTrVfix7H8158+blWVyoXr16kVxT2bJlcz2WPao/vyJ3QEBAno+7ubnle+zKc2VmZurEiROSpM8++yzfa0tJSXH6vrLP72xxAkDRYlmkIta6dWuHN3U+ePAgiQMAAABKiPzW3c6Pu7s7mzoDQDG2atUqnT59WpK0c+dObd682f4nLi5OkrR48WKlpqbmirVarUVyTVcuSVRQ+S0pVNAZAtlLP9lsNpmmme+fbt26OX1f2cUMZi0AxRPFhSLWtGlTh9d/O3z4MIkDAAAASogWLVrIZrM5FHPy5EkSBwDFVPaSSCtWrMjzy/QnnnhCCQkJWr58eYnOg4eHh8qUKaPU1FT7jI3Clj0j4/jx47zwgGKI4kIRa9CggS5duuRQDH9hAgAAACVHw4YNHRpRmpGRwcwFACimEhMTtXTpUpUpUybfEfn9+/eX9L8ixI2yWC6vap6enl7s8nHXXXdJkpYtW1Yk99K6dWtJ0po1a3jxAcUQxYWboHbt2g61P3PmDEkDAAAASoiQkBCHvhBKTU21rzENAChevv/+eyUlJalfv372L8qv1rVrV5UuXVrLly/X+fPnb/g5y5cvL0mKiIgodvl4/vnnJUnjxo3LdX2nT5/WDz/8cEP30rJlS4WGhio8PFyTJk3KsefDmTNnFB8fz4sSuIUoLtwE2VXWgrJYLBQYAAAAgBKiQoUK+W6mmZeMjAy+LAGAYip7NsLAgQPzbWOxWNS3b1+lpqbq22+/veHnfOCBByRJL7zwggYPHqwHH3xQBw4cKBb56NKli8aMGaMTJ06oSZMmat68ubp3764777xTFSpU0IcffnjD9zJ79mwFBgZqzJgxql69urp06aKQkBBVqFBBv/76Ky9K4BaiuHATtG3bVt7e3gVub7PZdPToURIHAAAAlBA1atRwqD39AQAofk6ePKmwsDBVrFhRHTp0uGbbAQMGSCqcpZEeeeQRvfbaawoICNA333yjvXv3ysPDo9jkZeLEifr+++/VqVMnRUVFad26dTp16pR69+6tMWPG3PC9hIaGatu2bRo6dKjS09P166+/Kj4+Xr169VKzZs14YQK3kGE6MoQGTtm+fbu6du1a4KlwAQEBmjdvnu69916SBwAAAJQAQ4YMcegLptatW2vTpk0kDgAAF7Vy2yEFeNvUpkElkoESi5kLN0GTJk104cKFArdPT09nGjQAAABQgrRo0UJWq7XA7R1pCwAAANwKFBduRpLd3FS1atUCt09KSlJkZCSJAwAAAEqI0NBQlSpVqsDti+OmnQAAAMCVKC7cJI5u6rxv3z6SBtxmoqKiZBiGDMNQ6dKllZGRcc32zz77rL39hAkTit39lC9fXoZhXPc+AAC4HYSEhCghIaHA7Qu6pCoAAABwq1BcuEk6d+7s0KbOMTExJA24jZ09e1ZhYWH5Hs/MzNSSJUtIFAAALqJMmTLy9PQscHtPT0+dOnWKxAEAAKDYorhwk7Rp00YWi6XA7dlzAbh9tW/fXpL0zTff5Ntm3bp1OnnypDp06EDCAABwEQ0aNChwW6vVquPHj5M0AAAAFFsUF26SRo0aKTk5ucDtL168qPT0dBIH3IYaN26s4OBgff/99/n+PbBo0SK5u7urR48eJAwAABfRtm1bGYZR4PZ//fUXSQMAAECxRXHhJgoJCSlwWx8fH8XFxZE04DaUkpKivn375rs0UvaSSB07dpSPj0++5zFNU7Nnz1abNm3k5+cnX19ftWvXTvPnz8+zffny5XXfffdJkt577z1Vq1ZNbm5umj17tiQpNTVVX331lbp166ZSpUrJw8NDFSpU0MCBA1nKDQCAAmjRooV8fX0L1DYzM5OZCwAAACjWKC7cRF26dCnwSCV3d3eKC8BtKjk5Wf3795eU99JIa9as0enTpzVgwAClpqbme55nn31WTzzxhA4ePKi7775bPXr00P79+zV48GCNHj06z5j4+HhNmjRJ//jHP+Tn56dmzZqpTp06kqSdO3fq0Ucf1datW9W6dWv169dP3t7eWrhwoTp27KikpCR+eQAAXENoaGiB26akpFBcAAAAQLFGceEmuuuuu+Tn51egtunp6RQXgNtUenq6OnbsqLJly+a5NNKiRYtksVjUt29fZWVl5XmOn376SZ988omaNGmi6OhoLV68WIsXL1ZUVJTuvPNOTZ06VWvWrMkVFxkZqf/85z9av369du3apa1bt6pdu3aSpFatWmnJkiU6fvy4fvrpJ3399dfat2+fWrZsqSNHjmjp0qX88gAAuIY6deoUeKnUjIwM+gMAAAAo1iyk4OZp1aqVMjIyCtQ2KSlJhw4dImnAbcg0Tbm7u6tv376aOXOmfv75Z91zzz2SLn/R8N1336lz5//H3nmHRXV8ffy7sLv03gVEARVRBHtBpIiCLWI3wYjGWEhs0cTYorHF8sYYjTW22AvWWFERC1ZEsCKKCIIUQZp0WOb9w9/esO4uLFXU83mefZQ7c2fuPXN37zlzZs5xh6Ghodw2Nm7cCABYvHgxdHR0uON6enpYsmQJ+vbti7Vr18LDw0Pqt+enn36Smyh6wIABEn8LhUIMHToUt2/fRlRUFA0eQRAEQVSAra0tnjx5olBdci4QBEF8GHJycrBp0ybcunULaWlpMDc3J6F8ZFhZWWHx4sUkCIKoZci5UIeYmZlBVVVVodAhjDFERkaS0AjiM2bIkCHYuHEjAgICOOdCUFAQ3rx5g2HDhpV77vXr1wG82zH1Pm5ubuDxeLh8+bLcfhX5jcrNzUVJSQm3I6ugoIAGjSAIgiAqoH379go7F169ekUCIwiCqGOio6OxfPlyBAUFYfr06RAKhVBTUyPBfGTo6+uTEAiiDiDnQh3Trl07nDt3TqG6z58/J4ERxGdM2dBIf//9NwQCAQ4ePAiBQCC1g6AsxcXFePPmDTQ0NGSGYhMfT09PR2FhIVRUVCTKGzduLLNdkUiE9evX459//sGDBw+kwjURBEEQBFExnTt3xqFDhxQKj5SamkoCIwiCqEOmTJmCCxcuoG/fvhRNgiAIQgEo50Id4+npCaFQqFBdWqlEEJ834tBImZmZOHfuHIqLi3Hs2DF4enqWuwpDnDieMSa3jrhMVpJ5eb9R/v7+mDx5MnJzc7F8+XKcOXMGwcHBcpNDEwRBEAQhjZOTk8L2QEZGBgmMIAiijpg1axaio6Oxbds2LF++nARCEAShALRzoY7p3Lkz1NXVUVRUVGHdtLQ0EhhBfOYMHTqUC42kpKSE9PR0DB06tPwfdj4fJiYmSElJQXZ2NrS1tSXKc3Nz8fbtW+jr6ys8uZGYmIgtW7ZAW1sbV65cgbGxMVcWERFBA0UQBEEQCuLk5ITc3FyF6opEIuTl5UFdXZ0ERxAEUYvcuHEDSUlJ6NKlCzp27EgCIQiCUBDauVDHdOrUCdnZ2QrVNTY2xsuXL0loBPEZ061bN5iYmOD48ePYvXs3hEIhfHx8KjzP1dUVAGTmVRAf69q1q8LXERsbC8YY7OzsJBwLADkXCIIgCKIyqKmpwdDQUKG6ZmZmeP36NQmNIAiilklISMD9+/cxZ84cEgZBEEQlIOdCHcPn89GlSxeF6hYVFeHZs2ckNIL4jCkbGmnv3r3o2bMndHV1Kzxv4sSJAIBffvlFIqRCVlYW5s6dCwCYMGGCwtchdihER0fj7du33PErV65gz549NFAEQRAEUQk8PT0VqpednU2LjQiCIGqZFStWYObMmTh9+jQJgyAIopKQc+ED0KJFC4XqFRUVUVJngiAwZMgQ7v8VhUQS4+LigtmzZ+PevXuwtbXFoEGDMHjwYNja2iI8PBzff/89evXqpfA12NraomfPnkhPT0fr1q0xZswY9OzZE+7u7vjuu+9okAiCIAiiErRq1Uqh0IQikQgJCQkkMIIgiFrk559/xvPnz2FqakrCIAiCqCTkXPgAeHl5ScVAl0VeXh6ePHlCAiOIzxxXV1eYmJhARUUF/fv3V/i8JUuWYP/+/WjWrBkCAwNx9uxZ2NraYseOHVi7dm2lr2PPnj3w8/PD27dvsW/fPrx58wYHDhzAH3/8AQ0NDRoogiAIglCQTp06KZRHIT8/n5wLBEEQtcjkyZMxf/58EgRBEEQV4THGGImhbklPT4e5uTkKCgoqrOvp6Ynz58+T0AiCIAiCIAjiE6GwsBAaGhoQiUQV1h0/fjw2btxIQiMIgqhhsrKyoKurC5oWI2qLs3dioKOugs725iQM4pOFdi58APT19WFkZKRQ3ejoaBIYQRAEQRAEQXxCqKiowMLCQqG6FCaVIAiidvjnn38wZcoUEgRBEEQ1IOfCB6J79+4K1UtMTCRhEQRBEARBEMQnRpcuXRSqFx8fT8IiCIKoBVavXl0jzoWcnBysWrUK3bt3h5mZGVRUVGBqaoq2bdti2rRpCA0NJWETBPHJQs6FD4S3tze0tLQqrKesrIyUlBQSGEEQBEEQBEF8Qri5uSmUd4FsAYIgiJpn8+bNMDIyQuPGjavVTlBQEKytrTFt2jQEBwdDU1MTTk5O0NDQQHh4OFatWoXVq1d/VrINCgrCwYMH6SEjiM8EPongw+Dq6qpQjFWhUIjnz5/DxMSEhEYQBEEQBEEQnwgdO3aEQCCosF5mZiYJiyAIoobZsGEDRo8eXa02QkJC0KtXLxQXF2P8+PGYN28eGjRowJWnp6fjxIkTaN++/Wcl259//hmNGjXC0KFD6UEjiM8A2rnwgTA1NYW2tnaF9UQiEeVdIAiCIAiCIIhPDEdHR+Tk5FRYT0NDAwkJCSQwgiCIGiIvLw9RUVGYNGlSldsoKSnByJEjUVxcjLlz52Ljxo0SjgXgXb5NPz8/2NvbfzayvXfvHsLCwughI4jPCHIufEDc3d0rrJObm4unT5+SsAiCIAiCIAjiE6N58+YV1uHz+Xj16hUJiyAIoobYuXMnRo4cWa02jh49ihcvXsDW1hbz58+v9PmMMWzatAlOTk5QU1ODoaEhhg0bhsjISJn14+LiMGbMGFhYWEAoFMLS0hITJkyQmadz48aN4PF4CAkJwfnz59GlSxeoq6vDwMAAAwcOxPPnz1FaWop169ahVatWUFVVhaGhIUaMGCE3FN/z58/h6+sLIyMjqKqqolWrVli9ejVKS0u5OlOnTkXHjh0BAIcPHwaPxwOPx8OECRMAAE+ePAGPx8Pvv/+O3NxcTJgwAQYGBuDz+YiMjISBgQFUVVWRlZUl1X9paSnMzMwgEAiQlpZGDzFB1CPIufAB6d27NzQ1NSt84dy/f5+ERRAEQRAEQRCfGK6urhXWYYzRzgWCIIgaZPv27RgxYkS12jh9+jQAYOTIkeDzKx9x3N/fHxMmTEB6ejr69OmDJk2a4ODBg+jYsSMiIiIk6j569Aht27bFtm3bYGlpicGDB8Pc3BybNm1C27Zt5Ua72LBhA7788kt07doVixYtgpOTE44ePQpPT0/4+/tj/vz56NOnDxYvXgx7e3vs2bMHffr0AWNMop3Hjx+jffv22L9/P1q2bIlevXohOTkZU6dOxahRo7h6tra2nFxbtmyJpUuXYunSpRgwYIBEe4mJiRgyZAi2b98OW1tbODg4wNbWFsOHD0dhYSGOHj0qdS/Xr19HcnIyevToAUNDQ3qICaI+wYgPRlxcHNPQ0GAAyv10796dhEUQBEEQBEEQnxj79u1jWlpa5doCKioqbOPGjSQsgiCIGiAkJIQJhcJqt+Pk5MQAsMDAwEqfe/78eQaAeXt7s/z8fO74unXrGADWpUsXifrt27dnANjOnTsljm/bto0BYC4uLhLHN2zYwAAwJSUlFhYWxh0XiUTM0dGRAWBCoZBFRkZyZSUlJaxJkyYMALt586ZEe126dGHKysosKCiIO/bmzRvWrFkzBoCdO3dO6t4GDRokdd+RkZEMANPT02MtWrRgL1++lCi/desWA8B69uwpde7UqVMZALZjx46P6nk7E/qcXX+UQF884pOGdi58QBo2bIhGjRpVWO/atWskLIIgCIIgCIL4xOjUqRN0dXXLrVNYWIiHDx+SsAiCIGqA0NBQfPfdd9VuRxyax8TERKqsZ8+ecHNzk/iUDW+3ceNGAMDSpUuhqqrKHZ8wYQJ0dHRw/fp1LtzR3bt3ERoaivbt2+Prr7+W6Gf06NFo164drl69KjPiRdeuXdGmTRvubyUlJXh7ewMAvLy8YGdnx5UpKyujZ8+eACDxznn06BGuX7+Ovn37wsPDgzuur6+PsWPHAgAOHTpUKdllZGRg7dq1sLS0lDjeoUMH2NnZ4eLFi0hNTZUoO3LkCFRVVeHj40MPMUHUM/gkgg+Lk5MTHj16VG4dJSUlJCUlwczMjARGEB8p8fHxiIyMxKxZs5CXlwcdHR00adLks5aJlZUVFi9eTA8HQRAE8dnSqFEjhZI6P3nyhIRFEARRAxw5cgRLliypdjvsf6GDeDyeVNmVK1dQWFgocSw/P5/7f0hICABg3bp1UFZWlqgnbi8mJgYNGjTA9evXAQDdunWTeR3u7u64c+cOLl++jFatWkmUtWzZUqq+OKSQjY2N3LKy76WrV68CAF6+fMnlThDz/PlziX8VxdDQEG5ubjLL/Pz8MGvWLAQEBHBOoNDQULx8+RKDBg2CtrY2PcQEUc8g58IHZtCgQThx4gSys7Pl1hEKhYiMjCTnAkF8pIwYMQInT55EQUEBfH198c033yA6OlpKkfzc0NfXp4eDIAiC+OxxcXHBv//+W26d2NhYEhRBEEQ1SUtLQ2RkJFxcXGrElnn16hVev34tVVZQUMD938nJCffu3eP+FolEXNLkLVu2yG1f3EZycjIAwNTUVGY98TyRrMTOOjo6VbLFWJmcC+IdF+Hh4QgPDy/3WhWlcePGcsu+/vprzJkzB/v37+ecC4cPHwYADB8+nB5igqiHkHPhA+Pt7Y28vLxy6xQUFCAyMlJiCxpBEB8HnTp1gpWVFXbs2IH+/ftzx52dnUk4BEEQBEGgd+/euHDhQrk2QVJSEgmKIAiimhw5cgQDBw6skbYcHBzw4MEDhIeHw9PTU+HzSktLAQAqKioKTcqLdzKw95IsiylvB4WSklKF7VaEuP2lS5di5syZNSI7oVAot8zc3Byenp44f/484uPjYWlpiSNHjkBLSwt9+vShh5gg6iGUc+EDo6amBnt7+3LrFBQUyPUQEwRRf/H09ISfnx8OHDgg4VggCIIgCIIQ4+rqWuFuxqKiIoXCJxEEQRDyWbVqFbcToLqIF3/u2bNH7sS/LAQCAQwNDVFYWKjQtZibmwOQ72QWH6+tSBfidutyB52fnx8YYwgICMCTJ0/w7Nkz+Pj4QE1NjR5igqiHkHOhHjBkyBAIBIJy65BzgSA+LiZPngxra2v4+/uTMAiCIAiCkIudnV2FE1NqamqIi4sjYREEQVQDW1tbLglxdRk2bBh0dXVx7949rFmzplLnisMynThxosK6rq6uAIDLly/LLBcf79q1a63ITHytZ8+eRUlJSYX1+fx3AVKKi4ur3OeAAQOgra2NU6dO4fTp0wAoJBJB1GfIuVAP6Nu3L9TV1cutU9kEOQRBfDi2bt2KpKQk/P333yQMgiAIgiAqpFOnTuWW83g8yrtAEARRTc6ePQtvb+8aaUtTUxOrVq0CAPzwww/46aefuFwKZRGHQSrLxIkTAQC//PIL7t+/L1GWlpYmkYenefPm6N69O+7evYvt27dL1N21axdCQ0PRoUMHtG7dulZk1qpVK7i4uCAuLg7Tpk2TcDAwxnDp0iWJ95M4N8TDhw9l3rsiqKmpYejQobh58yYCAwNhYGCAHj160ANMEPUUci7UA5ycnCpcrVRQUICsrCwSFkHUc+7fv48JEyYgICCAhEEQBEEQhEL069cPqqqqcsuLiopo5wJBEEQ1CAoKgqurK7eyviYYNWoU/u///g9KSkr4/fff0aBBA9ja2qJjx45o164djIyM8ODBA6nzPDw8MHPmTKSkpKB169Zo164devToAUdHR5iZmUnthNi8eTPMzc3xzTffoFOnTvD19UWXLl0wcuRIGBoaSjkdaprt27fD3Nwcf/31F8zNzdG9e3d069YNpqamcHd3R0JCAle3WbNmsLOzQ0xMDDp37gw/Pz/Mmzev0n36+fkhLy8PFy5cwODBgyuM9kEQxIeDnAv1hIoSAKmqquLJkyckKIKo58ydOxdHjhwhQRAEQRAEoTCurq5QUVGRW56fn49nz56RoAiCIKpITe5aKMuPP/6IiIgIjB8/HtbW1khKSkJYWBiio6NhamoKX19fbNmyBZaWlhLnLV26FMeOHYObmxuio6Nx6dIlpKamol+/flKJkxs3bozQ0FCMHz8eCQkJCAgIwMuXLzFmzBiEhYVVmMezutjY2ODu3buYOnUqNDU1cfXqVdy9excNGjTA3Llz4eDgwNXl8XgICAiAs7MzHj16hOPHj1cpRFLXrl3RuHFjlJaWUkgkgqjn8FhlMs8Qtcb+/fsxbtw4vH37Vma5hoYG1q5di1GjRpGwCKKeEhAQgEWLFuHYsWOwtrYmgRAEQRAEoTBqamooKCiQW+7t7Y0zZ86QoAiCICpJYWEh7O3tcfz4cbRs2ZIE8pGMmYmJCTQ0NBAfHw8lpY9zbfTZOzHQUVdBZ3tzGlTik4V2LtQTevXqhcLCQrnlubm5tBVaQUJCQmBgYFArqxIU4dtvvwWPx8OIESNoMKpBQkICeDweeDweoqOjJcr+/PNPaGpqYtGiRfXqmi9fvowmTZqQY4EgCIIgiErj5uZWbnlRUdEHu7YPpXsNHjwYenp6OHfuXK3r6unp6bCzs+NWHhMf17NSFWjMa84+q+9s27YNpaWl5Fj4iPj333+RlZUFX1/fj9axQBCfC/QNrSfo6OhUmMjt5MmT1erj5MmTnDIg/giFQhgbG8PDwwMrV678JPI6XLx4Eenp6QgMDERGRsZHde1bt24Fj8dDx44dQZuKylc0cnNzcejQoSq30a9fP/B4PCxcuLDGruv06dP4/fffaYAIgiAIgqg03t7e5eZduHHjRpXa/fHHH6VsAGVlZRgbG6NDhw6YNWtWhROFNaF7VRbGGI4dO4bMzEycOnWq1vt7+PAhoqKi8OLFC9y8efOjfpZyc3PRvHlz8Hg8KdmVlJRg06ZNcHNzg76+Pvh8PnR0dODk5AR/f38EBQVVyw75EM/KpzDmsr6n6urqsLCwgJeXF9auXYv8/PzP9vexurZbaGholeL+Ex+GvLw8LFq0CEpKShg/fvxHfS9qQj6UlHg0qMQnDTkX6hE+Pj7lGhQ1mXNBWVkZysrKKC4uRmpqKoKDg/Hjjz+iRYsWMhMO1ScYY2jYsCEmTpwos3zAgAFo06YNxo0bBz09vY9m/GNjYzF58mQoKSlh3bp14PF49Uqu9Ylvv/0WLVq0qNa1rlmzBqqqqli4cCHCwsKqfU0TJkxAkyZN0Lhx4yq3ER0dLWVUqKqqwsrKCr6+vjh//rzcc3/66Sfo6enh1q1b9GNaRUiGBEEQxIekb9++FSYaTU1NrREbgDGG1NRUhIaGYtmyZbCzs8O0adNQUlJSa7pXZeHxeJgyZQocHR3h6+tb6/21bdsWXl5ecHd3r3AXyceg0zx58gT9+vVDnz59uOO5ublwc3PDhAkTcPnyZWRkZEAkEiE7Oxv37t3Dxo0b4evrW61dMh/iWSmPESNGyF2tXl/HXPw9zc/Px6tXr3Du3DlMmjQJDg4OiI2N/Sx/H6truwUHB8Pd3Z1eNPWcESNGwNfXF82aNcODBw8wbdo02NjYfNT3pKUmxJvsfBpc4pOGnAv1iGHDhpW73YsxhlevXtVIXyUlJdzn+fPnWL58OTQ0NPDq1SsMHjwYIpGo3sopJCQE8fHxcssdHBwQFhaGTZs2fVTjP2PGDOTl5WHkyJFo165dvZNrfeKrr77Cw4cPMXbs2Cq30bhxY0yfPh0ikQhTpkyp9jXt3LkTBw4cqJH709TUhJeXF7y8vNC+fXsUFBRg79696NmzJwYNGoS8vDypc0JDQ5GZmYmHDx/Sj2kFv30LFy7E69evSYYEQRBEvcLGxgaamppyy1VUVPD06dMqt+/q6srp/8XFxUhMTERAQADc3NwgEomwatUquUkza0L3qgorV65EREQEOnToUOt9aWho4OzZs7h48eJULjwiAAAgAElEQVRHtUDpfR48eIBNmzZBWVkZf/75p0TZokWLcO3aNSgrK2POnDm4f/8+Xr9+jadPnyIgIAAjRozA9OnTy00uXhd6ek2Rn5+P48ePf1RjXvZ7WlRUhMjISCxatAhCoRDPnz/HN99881n+PlbHdhM7ZBo1akQvmnrO9evXcfDgQYhEIixZsgTLli376O/JuoEuzoQ+p8ElPmnIuVCPaNCgQbmrnvl8PiIiImq0T2VlZVhbW2PGjBnYsWMHAODp06e4dOlSvZQRYwzbtm375MY+Li4OR44cAQBMnjyZ5FpH+Pv7Q1lZGdeuXUNwcHCV21m7di3GjBkDXV3dGrkuKysrnD17FmfPnsXVq1eRnJyMwMBAWFtb48iRIzLzeWzYsAEbN26kXB8VcPbsWcyfP1+mc4FkSBAEQXxovvjiC7m7V0tKSvDs2bMaswHMzMwwePBgBAcHY8GCBQCAw4cPY/369TQQHzGrV69GaWkp+vTpI5UHTLwQZsaMGVi8eDEcHBxgZGSEJk2aYPDgwdi1axd++umnT0YWBw4cQE5Ozkd7/QKBAHZ2dpg7dy43yRocHIzExMTP8tmuqu1GuxY+HmJiYjjn9+zZs6GsrPzR35OOugqC78Uhv7CYBpj4ZCHnQj3jm2++kRsaKScnB3fu3Km1vgcMGAAdHR0AQHh4OHdcnLjJ2dkZABAVFYW+fftCR0cHfD4fV65c4eqmpqZi2bJl6Ny5M3R1dSEQCGBqagofHx+JerK4fv06hg8fDgsLC6ioqMDMzAzOzs5YsGABRCIRgoKC0KpVK/zzzz8AwIUO4vF4ElvId+/eDR6PBwsLC5n9MMa4VeCGhoZQUVFBo0aN4O3tjT179kjUrc79VIYNGzZAJBKhXbt2aN26tVR5fZDrsmXLwOPx0LVrV7l9ic8LCQmRKgsKCoKvry+srKygoqICDQ0NtGrVCvPnz5e5Er88ykuaff/+ffj6+sLOzg7q6urQ1NSEo6MjZs6cicjISIm65ubm6N27NwBg48aNVRq7lJQULFy4ELNnz6617yaPx0PPnj0REhICQ0NDHD16VGoVVvPmzTF+/PhqrTT71GGMYfv27XLLSYYEQRDEh2bQoEHQ1taWWZabm4vHjx/XSr/z5s1D3759AQC//fabVFic8nSv1NRUTJ8+HU5OTtDT04OqqipsbGwwevRoXLx4UWb8/or0UzG2trbg8XjYsmWLxPlifV+8knnlypVo1aoV1NXVYWBggIEDB1Z6UVZ5yWrF/f34449gjGHz5s1o27Yt1NXVoa2tDRcXFxw+fFhu2+/rwfr6+nB2dsauXbu4OseOHYOLiwu0tLSgra0NNze3SuctyMjIwN69ewEA48aNkypPTk4G8G51fGWJi4vD5MmTYWdnBzU1Nejp6cHJyQnff/+9xGR3ec+K+DleuHAh7O3toaamBn19ffTp0wcXLlwoV+8/efIkcnNzMXv2bNjY2EBFRQXGxsYYMGCAhO0KAC9evEDfvn0xevRoAMCjR48kwo6KbZWKEhTX1bgpwhdffMH9PyYmptpyrWn7TExISAj69+8PQ0NDqKqqws7ODnPmzEFmZqbM+nVhu5FzgfiQ8Hg87Pn5C0zdEARKq1l1ek4/hPbj9ihcP7egGIN++RdD5p2ASFRa6f6qe/7nONlC1CNevXrF1NXVGQCZnx49elS57RMnTnDtyMPa2poBYAsXLuSOxcfHMwCsUaNGLDo6mhkZGUlc04sXLxhjjBUVFTFTU1PuuKamJtPX1+f+VlJSYidOnJDZ74oVKxiPx5N5zy1atGCMMbZmzRrm5eXFTExMGADWsGFD5uXlxby8vFjv3r25tnbt2sUAMHNzc6l+iouLmY+Pj1z5fv/991zdqt7PmDFjGADm6+ur8Ng0aNCAAWCrV6+WKqsvcl26dCkDwJydneXeh7jtq1evShz/66+/uDJlZWVmZGTEhEIhd6xz586spKRE4hzxcweAPXv2TCEZX7lyhamqqnJyMTIyYmpqalw748ePl7rm/fv3MwBMVVWV5eXlVfp7ZWNjI/HcVIdnz55JjI0sVq5cyQAwT09PiePDhg1jANj58+cljt+9e5cNHjyYWVhYMFVVVWZtbc0GDhwo8cxERkYyAGzu3LnsxIkTrGvXrkxbW5tpaGgwV1dXdvnyZZnXUlpayjZu3MgcHR2ZqqoqMzAwYEOHDmWPHz+WqmtiYsLc3d1Zamoq8/f3Zw0aNGACgYA1atSIzZo1ixUWFkqdc/jwYdatWzdmaGjINDQ0mL29PRs/fjyLiIjg6hQUFLBdu3ax7t27Mz09Pcbn85mpqSkbNmwYi4mJ4eqFhoayFi1ayPwuJCUlyZShv78/A8A2b94s8/5nz57NALAVK1Zwx7Kzs9nPP//MGjVqxIRCIWvYsCH74YcfWHp6Or3gCIIgCIVQUlKqUVtg+vTpDABzdXUtt961a9e4fgIDAxXSvVJTU5mVlRV3np6eHtPR0eH+trOzq5J+WlbPkvUuFuv7Xl5ebMCAAZwuZ2hoyLWtoqLCLly4oLCuXp7uKe6vT58+bPz48QwAEwqFEjo5APbXX39J9bdu3TqunM/nM2NjYwk9eMGCBWzJkiUSun5Ze3DatGkKj/Xff//NADADAwMmEomkyi0tLRkAtmHDhko9Q0FBQUxbW1vmmCkrK7Pk5GSFbKHk5GRmb2/PnaujoyPxvC9dulTueC1YsIC1bt2aAWAaGhpMS0uLO09VVZWFhoZy51y6dIl5eXmx5s2bczIV2zdeXl7s4cOHFY55XY6bIt/Tu3fvcm2XvdeqyrWm7TPGGFu9ejX3/ePz+RJjZGNjwxISEj6I7aapqcm2b99OLxfig1FaWsp+3BTEjl+PYqWl9ee6RCIR+zckmn33xwXW44cA1nH8HtbjhwA2cVUQO3vrBSutRxfbY1oAazd2t8L1c/KL2MC5x9mQef+y4hJRpfur7vmfG+RcqIeUVQze/xgbG1e53YqcC4WFhZxCtHXrViklQkVFhbm4uDB7e3t29uxZlpaWxmJjYyUU1zVr1rB58+axqKgo7lhsbCzr2LGj3EnTCxcucEqIr68ve/ToESssLGSJiYns0KFD7OjRoxL1Bw0aJOUIkKX8y3Iu/PLLL5wCtWTJEhYfH88KCwvZs2fP2MaNGyUmLat6P5V1LsTExHDjEhYWJrNOfZBrdZwLmZmZbMiQIezYsWMsNzeXe5GJDSAALCAgQGHlVZ6MXVxcGAA2dOhQbjJXJBKxO3fusKlTp3KGRFkSEhK4fs6cOVOp79SNGzdYp06dauy7r4hz4eXLl5xCXXZCXpZz4dGjR0xLS4vx+Xzm6enJhgwZwtq0acOUlJTYuHHjuHpi54KBgQHj8/ns66+/ZqtXr2Y///wz09HRYQKBgAUFBUldi9i4trS0ZIMGDWKdOnViAJiWlhYLDw+XqGtiYsL09PRYixYtWKdOndiyZcvYokWLmK2tLQPAvvnmG4n6O3fu5IwjHx8f1r9/f67u3r17uXo3b95kAJi2tjbr1asXGzZsGOcktbS05J638PBwtnTpUm4CZOrUqWzp0qVs6dKlLCcnR6YML168yABIONnKIjZYxU6M3Nxc1qZNGwaAtWrVig0ePJg1bdqUAWD29vYsOzubXnAEQRBEhXTr1k2uLWBlZVXp9hR1LpSWlnITyLNnz1ZI9xLr1nZ2dhL62osXL9jSpUvZvn37qqWfVuRcAMDU1NTY/v37WXFxMacrubm5cfaA+D1f0b0o4lzg8/lMIBCwv//+mxUUFHDnOTs7c84V8XEx2dnZbMyYMSwwMJArE4lE7J9//mE8Ho/x+XympKTELC0t2eXLl1lpaSkrLi5my5cv567n9OnTCo31yJEjGQDWr18/meVjx45lAJiFhQWLj49XqM3Xr18zAwMDBoC1a9eOXbp0ieXl5bHMzEx28eJFKYdKebZQr169GADm7u7OoqOj303g5OSw+fPnMwCMx+OxkJAQme3x+XxmYWHBgoKCuAmv69evc4uwevXqJdWfeAJdnm5d3pjX5bgp8j397bff5D5jVZFrTdtnN27cYEpKSkxVVZVt2bKFs1PCwsJYs2bNGADWs2fPOrfdioqKmLm5OYuNjaWXC/FBEYlK2dfL/2UHLz+uFw6G7NxC9u3yQNZu7G7mNvkA+3nDZbZi7202a9MV5jHlIGs3djebuCqI5RUU1Qv5Vda5QNQt5Fyoh/z++++cB//9j1AorPIEVUXOhQ0bNnCrBsS7Ed5XIkxMTFhqamql+75x4wbXxvsreMUGlLe3t0JtVdW5kJWVxa2ekLV6o6bup7LOhd27d3MrcN5fHVKf5Fod50J5eHl5MQBs8uTJCiuv8mQs3lXz/ur9imjYsCG3AqkyfP3112znzp019t1XxLnAGONWyUVGRnLHZDkXpk2bxgCwbdu2Scm27MohsXNB1u6ZW7duMR6PJ7Xy8Pz589zzlZ+fzx0Xr/Lq0qWLRH3xzpgePXpIOCRTUlKYuro64/P5LCMjgzsunqR//vy5RDt3796V2uVw5MgRzihi7J2jtEOHDlKOCMYYZ/w/ePBASq7vy7CkpIQZGxszFRUVqd/dJ0+eMACsbdu23DHxToZff/2VO1ZSUsKGDx8uc6KGIAiCIGSxfv16idW7ZT98Pr/S7SnqXGCMcQsFvvzyS4V0ryFDhjAAbM6cOQpdS2X1U0WcC2V3EIpJS0tjmpqaDIDUiuXqOBcAsPnz50v1d//+fa78xo0bCo/NF198Ue5EaY8ePcpd6PA+4oUY8mydhIQETifT0dFhCxYsYCkpKeW2OW/ePM4OzMzMrPAa5Mn39u3b3GIWWTs6xQ6hoUOHymwPAAsODpY6b82aNQwAU1dXl9qtUR3nQl2Om7zvaVFREXvy5An75ZdfGJ/PZwDY33//XSNyrWn7rHfv3nLtqUuXLnHnld3hXBe2W0JCgsxFhwTxISgtLWUT1wayPw/fZqIP7GGYsvoiazd2N/tp/WX2Nk/Svs7JL2KzNl1h7cbuZnM2X60XsiPnQv2GT4Gh6h/Dhw/HvHnzZJbp6+vj0aNH6NSpU430lZubi+joaOzfvx8rV64EAPj5+aFRo0Yy60+aNAmGhoaV7qd58+bc/9PS0qCnpwcAyMzMxNWrVwHUfiLj4OBgvH37FgKBAP7+/tVqS979VIUHDx4AAFq0aFHphEX1Qa7VpXnz5ggMDERaWlq127KwsEBqaioOHjyI7t27y02I+D5OTk54+fIlnj59qnBf6enpOHToEHbu3FnnMjMwMEB6ejoyMjLKraek9C6tjkAgkJKTLNTU1KTi83bo0AHOzs4ICQlBeHg4lxNEHOd06dKlEnliJkyYgNmzZ+P69etITExEgwYNJNqbPn06d10AYGxsjI4dOyI4OBiPHz9Gly5dyr12WTlJBgwYIPG3UCjE0KFDcfv2bURFRVVZzsrKyhgwYAA2bdqE06dPY9iwYVzZ0aNHAQBDhgwRhxjE5s2boa+vj7lz50q08eOPP2L//v04dOgQlixZQi85giAIolz69u2LxYsXIz8/X6qsQYMGSEhIkPsury5iXfLNmzcK614AcPLkScyaNQsaGhpy69aWfjp8+HCZupKXlxcOHz6MwMBAjBo1qsb6GzlypNQxBwcHqKmpIT8/H69evVK4LRsbGwCAtrY2vLy8pMo9PDxw/vx5XLt2rcK28vPzubwBjo6OMuuYm5sjJCQEI0aMwK1btzB//nwsXrwYAwcOxPTp09G+fXupc/7991/ORhTn56sK4jwEPXr0kGk7eXh44NKlS3LzyVlaWsLNzU3qeIcOHQAAeXl5yMjIgIGBQa1/R2ty3N7n8uXLXN67svlHtLW1sXLlSnz77bc1KteasM9ycnIQGBgIABL6shgXFxcIBAIUFxfjypUrnA1bF7bb9evXOftCUUpLS3Ht2jUkJSUhICAAAoEAb968gbGxMb2g6jFWVlZYvHhxvb5GHo+HNd/1wOGQKDhN2IpzS4fDVF+zzq/jdmQSrj1MhKWxFpaMdYaALzkPpaEqwMIxzniWkInA23EY7mGHltbv5gHbj9sDPS0VnFs5WKrdntMPIeNtIUL/9uWOPXqRhmMh0bgdmYzXGXngKyuhkak2Brk2hY+LrcT5JaJS7D0fiX+vPUdiWg50tVTRzdEC479oBQFfOmVw+3F74ONigxlftsfqQ+E4e+sF8gpLsHtuL1g30JW41jdZ+ej10xFYmWojYGE/mXIZMu8E4lKycWbFQBjoqMm818ref/txe9Cnc2NMHOiENYfCcf1hIvILS9DQRBu+PezQt4sNEtNysP5YBG4/TkZOfhEsjLUwokdzfNHV9qP5/pFzoR5ibm4OBwcH3Lp1S6rszZs3CAoKqrZzQd6L28fHB2vXrpV7niLJv7KyshAcHIynT58iOzsbJSUlKC4u5srLKkn37t3jkrzJUmZrEnFSt6ZNm1ZKMa7M/VQFsdJmZGT0Ucq1smNw+/ZtJCcnIz8/H4wxXL9+vUbkCAC//vor+vfvj82bN+PJkyeYP38+unfvXuF5YodZamqqwn3NmjWrSsnwagKxrN6feJf1ff7jjz/w/fffIysrC99++225yYptbW1lJpRv3bo1QkJCEBYWxk3uixPhrVu3TsopJv59iYmJkXIuODk5SbUvfvbfvn3LHRs4cCDu3LkDT09P/Pnnn+jVq1eFcmGMITc3FyUlJdDS0gIAFBQUVEvWQ4YMwaZNm3D06NFynQtRUVFITU2FiYkJvv/+eyljXywPgiAIgqgIS0tL7j0mSx+8d+9erTkXSkpKALxz1CvC1KlTsX37dty7dw8tW7bEr7/+iuHDh8vUN2pDP1VXV4elpaXMMgcHBxw+fBgPHz6sMfmoqanB2tpaZpm+vj5evXolU/fIyMhAQEAAbt26haSkJE4Pfv78OafbyUo4m5SUxI17YWFhuXpcWYdQeXaFra0tbty4gVOnTmHt2rU4d+4cDhw4gAMHDsDPzw8bNmyAmpoagHeTrOKFUNUdszt37gAAzp49C1tb6QmT7OxsAMDr16/BGJOyV1u0aCGz3bLOhOrqfR9i3MrT9cWYmZkhMjJSpg1bXbnWhH0WHh7O1e3du7fMuYbS0ncJUcVJxevKdqusc2HUqFE4duwYiouLYWlpCVtbW3Tt2lXqWSPqH/r6+h/FdfJ4PAx2sUOPNo0xYfUZWBnrYObwztDVVK2zazh7K/adLeveVMqxIIavrIRBrk2w8kAYTt2M4ZwLlWX1obt4EJOG9nam6OpgjvS3BbgUHo8lu26BMYYB3ZpwdeduuYagsJcw1FFD3y42KGUM1x68wo1HifLn0zLzsWzPbZy5+QIONkYoEZXCRF96oYOBjhpaNzXG3aev8SIpC43NJH9PoxMyEJucjXbNTGCgo1aj8o6MS8f43y9AoKyEHu2tkJqRjyv3ErDgn5tIzy7AjrOPoaulAo+2DZHxP/ks2nkLAoEyenVs/FE81+RcqKf88MMPGDduHKcMiCkuLkZgYCDmzJlTrfaVlZXB4/Ggrq7OrRweOXIkevbsWe55FXnrFy1ahN9++01hxU6sBCspKVVpR0RlEPdVmRUHlb2fqpCenl7hy7A+y1WhH9PISIwcOZJTfmuLL774AocPH4a/vz+uXr0KT09PtGrVCjNnzsTw4cPlOtXEsld0lR4AhIWFYdOmTXUuS8YYp0hXpOA6Oztj37598Pf3x8SJE/Hrr79i0qRJmDJlikzjRN5EhrgfsSNMJBIhJSUFALBlyxa5/ct6XmWtqBKPi3jCAQB+/vln5OTkYMWKFejduzeaN2+OGTNmYOTIkRI7H0QiEdavX49//vkHDx48kHC41QRubm4wMjLC6dOnOePw1atXCA0NRevWrbkJBvEqxZSUFLnPRUlJCUpKSrjVaARBEAQhj/Hjx2P27NlS79Lc3FxERESgT58+tdKv+F2v6K7chg0b4sqVK/Dz80N4eDhGjRqFmTNnYsqUKZg0aZLEToba0E91dXUrnGiqaKdnZVBkgVJZfQZ4N+nr6+vL6fyyyM3NxeXLl8ttt7i4uNxJ6rLtVzTJxuPx0LdvX/Tt2xfPnj3DokWLsGvXLuzYsQPZ2dk4cuQIgHe7TcSTxtVdtf369WuuzczMTLn1SktLIRKJpPSl8sZanuyrNflWR+P2Pq6urrh06RIA4PHjx2jVqhWSkpJw+vRpfPnllzUm15q0z8TXAFS8mKasrl4Xttv169dlyu197ty5gw4dOuCbb77BvXv3YGZmprCTlSCq9D7RUMHeWf3xPCkTfv93Evpaalgw0gWWRloK7+KpKg9fvHvXt21qUm69Nv8rvxedWuW+fvHrDA1VAfS1/3OeXIlIwPT1l3EwOIpzLly5l4CgsJdobKaN7TO9oKH27vtXWCzC5NUXcffpa9nzMlEp0NFUQcDCfjA30ir3WjzbWeHu09cICnuJb/s6SJRdCHsJAOjZ3qrG5R2TmAVXRwus8Hfh5jL2nI/EnwF38deRCLg6WWDJ2K5QEbxz9Jy6EYNft9/AwYtRH41zQYm+0vWTYcOGcSuHpL48YWHVbl+86j0rKwvPnj3D7t27K3QsiI0Befz++++YN28eCgsLMXXqVERERCAvL09iMvR9xMpqbf94VqWvqtxPVRAbjeIVQh+bXMs+U7LIzs6Gp6cn7ty5g2bNmuHgwYNITk5GSUkJGGNSq7yry8CBA/HixQusWbMGjRs3xv379/HVV1/B29sbOTk5Ms9RV1cHAJnhB2S+jB8+RGFhIdq2bVvnvw1RUVF4+/YtdHV1YWVV8Ytv6NChiI2NxcqVK6Gqqor58+fDwcFB5io+eWP4/nHxyiMVFRWwd7l7ZH48PT0r9Rvyfr0lS5YgOjoaU6dOxcuXLzF69Gi4urpKjKO/vz8mT56M3NxcLF++HGfOnEFwcDB+/PHHGpG3srIyfHx88PbtWwQFBQEAjh8/DsYYt2uhrDHr5eVVrkzIsUAQBEEowogRI2TqcSUlJbhx40at9FlUVITHjx8DkAy9WREODg4ICwvD0aNH4ezsjOTkZMyaNQtOTk5ce7Wln5a3slr8bq7J/iobwjQpKQmDBw9Geno6WrZsiaNHj+L169cQiUQSenDHjh3L1R8YY9DU1FTIpijPrpBFkyZNsHPnTm5xxNGjR3H37l0p+VZXjuLxmDdvXoX3Kktfqqzsq0Ndjlt52NvbcyG9Zs6cKXPhTlXkWtP2WdnvmlhG8j7vh62pTdtNJBIhLCyMC50lD0dHR4wfPx4zZ87Eli1bYGVlRY4Fok7g8XiwbaCHY78OwuJR3fD36XBYj9yAPw7fRmxKFkpr0GFalpT0PACAuaFGufXE5Ulvcqvcl6WxloRjAQC6OVlATYWPuOT/FlOfuP5uR9i3fRw4xwIAqAiU4d/fUW77+UUifOfjVKFjAQA82liCxwMu3n0pVRYU9hLKSjy4t7GsFZl/29dBYi7Eq8N/czkTBzhxjoV3ZY3A4wHPE7M+mmeZnAv1mAkTJsgMe8Ln8xEZGVnvrvePP/4A8C6G6qpVq+Do6MgptvJWE4tXHYhEohpdVVReX4rGjqzK/VQF8SoceSsv6rtcxcjrZ//+/UhMTISKigouXbqEIUOGwMTEhDMQanqluVjhnDRpEp49e4Z169ZBQ0MD586dw9SpU2XWV2T3SFm2b9+Or7/++oN8z8Q5Hrp3767wRL2WlhamTZuG6OhoTJ8+HfHx8Rg7dqxUvbKrjsoiXpUv3mIvEAhgaGiIwsJCia3NtYGVlRVWrVqF6OhoeHp6IiQkhMtbkJiYiC1btkBbWxtXrlzBDz/8AG9vb7i5ucHc3LzGrkHsRDh58iQA4PTp0xLHgXfb1QEgNjaWXl4EQRBEtTEyMpIbBub+/fu10uepU6dQWFgIAJUO/cjj8eDj44OQkBBcuHABjRo1QnR0NAYNGsQtUqgN/TQzM1PuavXK7sKoDQ4cOIDc3Fyoqanh4sWL8PHxgZGREafDifXgyuRpqMimKM+uKI9x48ZxO0pu3rzJyU7sVKhufjRx2zVxr5/SuFXEggULoKamhpcvX+L333+vEbnWtH0mvgbGGBITE+uN7bZ9+3aYmMhfmZ2VlQUlJSVYWlpizZo1+O233+jlQ3wQeDwezA21sHiUK57v8Eev9tZYdzwMjb/egO4z9mLVkdu49SQRrzNz8TavCIXFIoj+t+CvKuQXvXsvqwrLX/gmLs8tqPk5Gw1VAYpF/72/H8e+e2+1bSb9nbVvZIDy3NuuToqFijTQVkPbpiZ4lpCJhNf/hWR+/ioTscnZ6NDctJbCUzE0sdCVuhYAUOIBDU0kHSN8ZSXoaqggv7Dko3mGyblQj5k6dapMj3lJSQmXDK2+kJmZycWWlBV38sWLFzLPa9WqFfd/RXdkyAqhogjivqKiopCbm1sr91MVxEqRrF0I9Umu4u288rYGy4tnW3YFnKmpaa3K8n2UlZXx3Xff4c8//+QUaVmIDTBF42iuXr261sIRlEdERARWrVoFoGqJEFVUVPD777/D1NQUoaGhUjsS4uLiuOeNew0yxiWAK7tTw8XFBQBw4sSJOrl3U1NT/PXXXwDArdiMjY0FYwx2dnZSW/XFOVbeR7xiqzJGk7u7OwwMDBAYGIjCwkIEBwfD0dFRIq5t8+bNYWBggKioqEolBicIgiAIefj7+8tMkBwfH1+j4V+Ad7sWFi5cyL3TOnfuXOW2unfvjvPnzwMAnjx5wr2Tq6KfVkRhYSGXxPh9wsPDAbzbWfGhEOu5zZs3l5kHQawzJCQkID4+vkZsCnl2hSJoa2sD+G/HAp/P53axVHfM2rRpA+C/vF11QVXtxroct4owNzfHlClTAADLly+X0tWrIteats+cnJw4x0t1xrembbfY2Fj0799fbvnevXsxZcoUnDx5Es7OzvTSIeoFSoxFlIoAACAASURBVEo8NG9oiP8b54EXO/2xYqwHgiPi0GnyDpgMXQNtn5VQ7bMCfO/lVe5DXeWdTVxQVP7kdYGCTojySH6Ti/VHIzB2xTn0m3kU7lMOorP/XqRlSe48ysguAA+Qme9AKFCGprrsfJNa6gKoqwoUvh7Pdg0BAEFldi9cCIsDAPSohZBIAKClJoSyspLM95O2hlD2olHeR/bc0le3/mJpaQlHR+ntP3l5eTh37ly9utayThBZ2xNXr14t8zwjIyMuOfX69esV6ku8tbSyK3K6d+8OdXV1FBYWYuvWrbVyP1VBnJAvLi6uXstVvBI8JiZG5sol8Yp6efcg6/ofPHiA4ODgWn8+xXHx5YX9Ecv+/eTDsrh69Sq6dOkidzVhbSB+Zt3d3VFQUIBx48ahW7duFZ538eJFqe3TsbGxSE9Ph76+vtSWc8YYZsyYIbEFftOmTXj+/DmaN28ukYx54sSJAIBffvlFagVlWloa/v3332pNcFy8eFHKEBRPEogdCeJ/o6OjJZJBX7lyBXv27JHZttiAqsyqTz6fDx8fH8TExODAgQPIy8uT2LXwTglUwnfffQcAGDNmjNT3KCoqCteuXaMXG0EQBKEwX331FbeToCw6Ojo1unuhsLAQfn5+nBNg4cKF1Q6BY2lpyekZYv2rKvqpIuzevVvqWFJSEi5cuAAA8Pb2/mBjKA7fIisW/o0bNyTi9YsnVKuKvr4+t7tZll1REY8ePeImlcs6ZL744gsAwI4dOypcoFUeQ4cO5XQi8W7Q2qaqdmNdjpsizJw5E/r6+sjJyZHKvVgVuda0faarq4sePXoAAFatWqVwIujatt2ioqLg4eEhs2zr1q349ddfuYVbBFFfKBGVIuJ5CqZuOI8WYzdjzbFQDHO1x+MtY5F9bBoKT81AydmZKA2cWeU+TP+X8PhVWvm/6a/S3oUmMzdULLxbael79vuz1xg6/wS2n3mE+NdvYdNAF+6tLTGwWxOJMEAAwIByJ9R5cgr5ypWb1vZo0xA8HhB89z/H8IU7LyFQ5sHNqXohkd6////mE+RfY12GMq9NyLlQz5k5c6bMxGHiOJj1BXV1ddjb23MKlliBy8vLw2+//YbDhw/LjZM5f/58AO/ie44fPx4vXrxAaWkpkpOTERQUxJWLEa+eOX/+PLf9s7i4mIsDX57CLV7t/dNPP2H16tVIS0tDSUkJ4uLicPDgQc7pUJ37qSxiIysuLk5qO2t9kmu3bt3A5/NRWFiIsWPHcjsYcnJysGTJEuzcuVOmx7V9+/accld2wjciIgJffvlljckxLi4Ofn5+CAoKkphQf/HiBXevXbp0kWlQi1diyXLmvc+BAwcwbNiwWv0+xcXFwdvbG15eXujQoQP09PTw7bffIjMzE/7+/li3bp1C7cyYMQNGRkbo0aMH/Pz88MUXX6Bly5YoKirCjBkzpOp7eXnh/v37aNasGb788ku4urrC398fAoEAa9eulXwpe3hg5syZSElJQevWrdGuXTv06NEDjo6OMDMzw5o1a6p8/0VFRejevTsaNGiA/v37w8/PD+7u7hgxYgSEQiG3RdrW1hY9e/ZEeno6WrdujTFjxqBnz55wd3fnJvrfR7x6adKkSfD19cWAAQMU2mkgdiaIQzK971wAgDlz5sDNzQ0hISFo2LAhunXrBg8PD9ja2sLOzq5OHGkEQRDEp4OqqqrMxQSMMTx58qRabb99+xaPHj3C6tWrYW9vz60Qnjx5MgYPHqxwOyNHjsT+/fsldrZmZWVh2rRpKCkpgaampsSOhcrqp4qwbNky7Nixg9uVGBcXhyFDhqCgoACWlpaVup+aRrwaOiYmBkuWLEFOTg7evHmD/fv3Y9CgQVBRUeFCoK5atQpz585FYmIiGGPIzs7G5cuX8f3332PLli0VG/ZKSlxseVkLGm7fvg03NzesXr0ad+7cQUZGBgoLC5GUlIR9+/ahd+/eYIzB0dFR4rmbMmUK9PT0kJCQgF69enG7XzMzMxEWFoY5c+YoFDKpU6dOGDBgAADA19cXW7ZsQUZGBhhjSE9Px/nz5zF27Fi5C5aqgti+SUlJwZkzZyR0zfoyboqgo6PDORV27NghMRdQFbnWhn22ePFiCAQC3L59G/3798e9e/cgEolQVFSEqKgorF27Fl5eXnVqu92+fVtmvoU7d+5g+vTpSElJoRcNUS9gjCEq4Q3GrDyFNt9tw8MXqZjzlTMebxmLHT/1g2/3Fmje0BBa6ioQCpShrMSr1qR0S+t3oczCosoPcRz2vyTKnVqYvXfB0nVFolK8zZP8bf3jwB3kF4kwulcLnF4xAH9Odse8UZ3x05ftoSqU/J3R01IFY0BmjnRumcKiEmTnFdWIrPW0VNGumQkexaYhPbsAcclZiE3ORueWDaClrmCuFQXv/3N7iIl6jqOjI/vf48t9NDU1WWxsbKXaOXHiBHd+ZYiPj+fOe/bsmdx6+/bt4+oJhUJmaWnJlJSUGAC2Zs0a1rFjRwaARUZGSp27ePFiqXsUf2xsbCTqvnz5kqmrqzMATCAQMEtLSyYUCllqaipjjLFdu3YxAMzc3Fyqn6KiItanTx+5fY0ZM6ba9zNmzBgGgPn6+iok37y8PCYQCBgAduDAgXorV8YY++mnnyTaMDQ0ZHw+nwFgCxYsYK1atWIA2NWrVyVk7uDgwJ1jYmLCdHR0GADWtGlTtmfPHgaADRs2TOHnTpaMnz17xtXn8/nMwsKCGRgYcMd0dHRYeHi4lIxCQkK4OlFRURWOl6GhoYRMapKy9yD+aGtrM3t7e+bv78/u3r0r99xhw4YxAOz8+fPcscOHDzMPDw+mp6fH+Hw+MzU1Zd26dWO7d++WODcyMpIBYO7u7iwtLY2NGjWKGRoaMnV1debq6squXLkit99jx44xDw8PpqOjw/h8PjMzM2MDBgyQuA7GGDMxMWEAWHFxsdxrP3PmDGOMsZKSErZ8+XLm5OTENDQ0mFAoZFZWVszHx4fdvHlT4tzU1FTm5+fHjI2NmZqaGmvTpg0LCAhgJSUlTENDg/38889S/c2dO5dZWFgwgUDAmjZtymJiYuTKUExxcTHT19dnAJiDg4NceRQWFrI//viDtW7dmqmpqTGhUMhsbGzYuHHjFHq+CIIgCKIsZ86cYbq6uuXqrBUxffp0ufqg+KOhocH++OMPuW3I02/Nzc0ZAMbj8ZixsTEzMzNjysrK3LGtW7dWSz+1sbFhANjmzZsljov1fQcHB+bh4cEAMBUVFWZkZMR4PB7398WLFxW+l/J0z/Lsi/dlsWvXLu6YSCRiXbt2lXmvQqGQ7du3jzHG2MKFC8sdn1WrVik01nPmzGEAWPPmzaXKbty4UeFzYG1tzaKjo6XODQwMZBoaGnLPi4+PV8gWysjIYC4uLuVew4YNGyplW5XVn8teB2OMlZaWcvYJj8dj5ubmTFNTkwUEBJQ75nU9bmW/p66urjLLCwoKmJWVlcw6lZVrbdhnjDG2d+9eJhQK5V6DiYlJndluKSkpzNjYWOq4QCBgjRo1opcLUS8oLS1l92Nes06T/2H+a86w1KxcVlpaWuv93nmSzNqN3c0GzDnOiopLZNYpLhGxQXOPs3Zjd7On8enccZfv97H243az4hKRRP1HL9JYu7G7Wbux/801dJqwh7Ubu4vlF0rOAaRn57P279WdvvYSazd2N7sU/lLqWh69SJVqmzHG2o3dzXpMC5B7n/LKj1x+ytqN3c1OXX/O9px7zNqN3c3O3IxR6PzK3H9F11heWY9pAVJt1Wdo58JHwIwZM7j4l/95xUR1FutcUYYPH44DBw6gTZs24PF4yM7ORpcuXXD8+HFMmjSJW6Evizlz5iA4OBg+Pj4wNTUFn8+HlpYWnJ2dMW/ePIm6lpaWOHXqFJydnaGmpoa0tDQ4OTlBVbXixCsCgQDHjx/Htm3b0K1bN+jq6kJZWRkGBgbo378/Ro8eXSP3UxnU1NS4pHmytrLWJ7kuX74cf/31F5dUOj8/Hx06dMD+/fsxb948bnXQ+zIPCgrC6NGjYWxsjIyMDOjo6GDixIm4efMmevXqVSNbwRo2bIitW7fCw8MDurq6SE5OhkgkgoODA/z9/RERESER1kfMqVOnAAB2dnZo2rRpuX0cP34c1tbWXNKymsbW1haMMYlPVlYWHj16hPXr16N169Zyz92/fz8YY/D09OSODRw4EEFBQUhPT0dxcTGSkpJw+fJl+Pr6ymyjqKgIBgYG2L59O1JTU5Gbm4tLly5x+RVk0b9/fwQFBSEzMxPFxcVITEzEkSNHJK4DAJKTk8EYkwrFVPbaxWELlJWVMWPGDISHhyMnJweFhYWIjY3F0aNH0bFjR4lzDQ0N8c8//yAlJQV5eXkICwvD4MGDoaysjJycHCxbtkyqv0WLFiE+Pp5bSdW4cWO5MhTD5/Px5s0bMMbKDUUhFArxww8/4O7du8jLy+NiQW/atKnC54sgCIIg3sfb2xtaWlpSxy9evFht/dPCwgLe3t74v//7P8TFxeGHH36odDvbtm3DkCFDYG5ujszMTGRlZcHa2hqDBw/GlStX8M0331RLP62IgoICnD17FsuXL0fTpk2Rk5MDPT09+Pj44Pbt2zJzltUlSkpKOH36NKZNm4aGDRtCIBCgQYMGGDx4MG7cuIHhw4cDeBdm8ty5c+jXrx/MzMygrKwMHR0ddOzYEYsXL5aru71Pr169ALzLdRETEyNR1qpVK6xZswa9e/dGs2bNoKenBz6fDwMDA7i6umLVqlW4f/8+bGxspNrt2bMnIiIiMG7cOFhbW0MoFEJVVRX29vaYP3++wrqxrq4uLl68iL///hsuLi7Q0dGBsrIy9PT04OzsjBUrVnBhfmoCHo+HEydOcAmZX79+jQYNGsDS0rJejZsiqKioYNGiRQCAy5cv4/Dhw1WWa23ZZ19++SXu37+Pb7/9Fo0aNYJQKISKigqsra0xcuRI7Nu3r85st9DQUG6HhhhnZ2dcunSpVnP+EYSiZOYUwPPnfThx8xkClw7H+kneMNRWr5MwOW2bmaCrQwPEv36LuVuuITdfcsV9bkExftl6DXEpb9GjXUM0sdDjymzMdcEYcO52bJn5yVJsOflAqh9tdRUAPCT+L7wSABQVi7B8b6jU4v8+Xd7Z5FtOPkR+4X/5EUtEpdh4/H6N3r97G0so8YCbj5Nw83ESVATKcHFULCl0Ze7/c4LHWA1nAyNqhUaNGknFzuzUqROX2JT4uDl+/Dh8fHygpqaGxMRE6OrqklDqgOLiYjRs2BDJyclYvny5zFBBZXFxcUGLFi2wcePGT0oOT548QfPmzeHs7FynSfYIgiAIgqiYbdu2YcqUKcjJ+c84FwgEyMzM5GLDf27s3r0bX3/9NSwsLGo9oe7HRuvWrREREYFZs2bht99+I4EQn6Xt9uuvv4LH43EhliZPnowjR47g5MmTMp0WBFFXMMaw88JDbA+8j4BfBsBI58O8x9/mFeGHv4Jx73katNQE6GBvBkNtVaS/LcDNx0l4m1eMTvamWOHfDWoq/yVMPn0jBvO334ASD3BvbQldLVWERaWglDHwAMSlvEXo3+8cq5tP3MffJx5AV1MIVydLlIhKERaVAg1VAdRU+Hj44g1XFwBmbLiC4PB4mOipo0vLBuDxgNuRyeArK4ExJtE2ALQftwd6Wio4t1J2+MPyyif+f3v3Hphz/f9//HHtfDJ2MGOyz9DHnCOSHIZmzswYYhqVhPpS5JhD3699+BTJIa2WfH0SmsMQhY3yUct5Vlk+hJARNqcZO75/f/jt+rY2bLYx2/32V9f78Hpd1+P9vpbrel6v12vedh1PvKIbtzL1TP2qmv1K2wKdX5jXf6/ncLd9AWPX6PL1tFxtlWaMXHhELFq0KM/aC3v27LnnOgN4NPTo0UN/+9vfdPPmzXwXpUPJ2Lhxo86fP6+KFStq+PDh9zz+9OnTmjx5MsEBAIAH5oUXXsjzb35HR0d+EKDbX9Igt5w15pYuXXrHBXGBsv7Zbe/evblGLjg4OOi1114r0cLCs88+K5PJpA8//JCLhXxlZxsKmf2lrCws9M27Ax9aYUGSKjjY6OM3O+qt51vI19tVB/5zXpHfHFX0/lO6npqhEb0aacHoDrkKC5LUtWVNTQ55SjWqOGtn/O+K3ndKdb1dFT7WX/V9co9ie6FrA43o1UhO9jba/MMJxf6cqJb1q+mjcR3zruMgKWxYK43o1UjWVhb6Mva4vo37Xc19PRUxvmOetovKv5m3Ll29pZtpmerY3LvA5xXm9ZcnjFx4hNSvX18JCQnmxxUrVtS//vUv9ezZk3DKgBUrVmjQoEHy8vLSkSNH5OTkRCglKDMzU40bN1ZCQoLmzJmjsWPH3vX4Q4cOaejQoYqLiytzWTByAQCA0u3tt9/W7NmzzQufWlpaasKECQoLCyuXeeSMXPDy8tLvv//ODfInGRkZeuKJJ5SQkKC5c+fqjTfeIBSUq89uZ86ckY+Pj86fPy93d3ctXLhQc+fO1W+//VZizy8xMVGPPfaYsrOzi+0z1fbt25WUlFSsU4Xh4cnKzlav6Ws0pvdT8m/6t1L7PKN2HdM/Ptur2l6VtHRSJ9nZWHHxcE+MXHiELF68WK6urubHV69e1bZt2wimjBg4cKB69eqls2fPasaMGQRSwubPn6+EhAS1bNlSo0ePvufxGzZsUK9evQgOAAA8cBMnTpSHh4f5cVZW1l3XAEL5ZW1traVLl8rGxkYzZsxQYmIioaBcfXaLi4tTt27dzGuBjB8/XocPHy7R57dy5UplZ2erQYMGio2NLZZCxoQJExQZGcnFLwMMw9CIBVs1vl/LUl1YkKTebR6XX+Pq+vXsFc1evpeLhwKhuPAI8fPzk7+/v2xtbc3bFi9eTDBlyLJly7R06VI1adKEYd4lzMfHR0uXLtXatWvzXWT4rzZs2FBmRwn5+vrKMAxGLQAAUErZ2tpqzJgxuRZ33rZtm65du0Y4yOOpp57Stm3btGDBAiUnJxMIytVnt4MHD6pp06ZKTk5WUFCQFi5cKEdHxxJ9fp9//rmcnZ01ZcoUGYahFStWFKm9+Ph4HThwgAtfRuz+JVEVHWzVtuFjj8TznfJ8C7lWsNPm3Se15tujXEDcE8WFR8wXX3whZ2dn82MHBwfm9CtDKlasqCFDhmjQoEEymUwEUoKCgoI0ZMgQVa1a9Z7HxsXF6ccff1TTpk0JDgAAPBSvv/66vLy8zI9tbGy0du1agkG+/Pz8NGTIEDVo0IAwUO4+uzVp0kRPPPGE3Nzc9NJLL5Xoc/vll18UFxenzp07q3v37rK3t9fnn39+x+PXrVsnPz8/Va5cWU5OTqpfv75eeeUVxcfHS5LGjBmjFi1aSJLWrl0rk8kkk8mkV155RdLtKW1NJpPmzJmjGzdu6JVXXpGbm5usrKz066+/mvsxDENLly5Vy5YtVaFCBTk5OalVq1Z3LHx4enqqQ4cOunTpkkaOHCkvLy/Z2NjIx8dHkydPVnp6er7nfffdd+revbvc3NxkZ2enevXqKSwszDyN358NGDBAdnZ2yszM1KJFi+Tr6ytbW1tVr15do0ePVkpKiq5du6axY8fK29tbNjY28vb21ltvvWVeQyY9Pd3c19WrV/P0kZ2drapVq8ra2lqXLl166PeuYRh67YNtChvq98i831wq2Gn60JaSDM39Yr9+PnGJP0K4K4oLj6DY2FjzfPw3btzQP//5T0IBSlBcXJxCQ0MJAgAAPFQbN240j15ISUnR//7v/5bLHEJCQmQYBustAMjj4MGD2r9/v/r166eIiIgS7y+nkNC3b185OTmpa9euSkhI0KFDh/Ic+9lnn6lPnz6Kj49X69at5e/vr/T0dH300Ufm9TVr166tkJAQSVKDBg00a9YszZo1S717987VVmJiooKDg7V06VLVrl1bDRs2lLf3/y1MO2LECL3wwgs6duyYAgIC1KlTJx05ckSDBg3SuHHj8n0thw4dUrt27RQXF6f/+q//0rRp02RlZaVZs2ZpxIgR+b52Pz8/xcTEqHnz5urdu7fS09P11ltvyd/fX2lpaXnOSUtL04svvqiFCxcqJCRE06dPl4ODgxYsWKDBgwerY8eO2rp1q4YPH65p06bJwsJCYWFhGj9+vKTbhfUBAwYoLS1NUVFRedqPjY3V+fPn1bFjR/PUWA/T5ZRb8mtUQzbWlo/U++iZBtW07+MQ/fDhQDWo6c4fFtydgUfSokWLDEdHR0OS4e7ubuzevZtQgBLSt29fY/Xq1QQBAAAeuvDwcMPe3t6QZFSvXt3IysoiFAAwDOPixYuGm5ubYWdnZ2zZsuWB9Onj42M4ODgYN27cMAzDMCIjIw1Jxrhx4/Ic27RpU0OScfz48VzbDx48aKSlpZkfR0dHG5KMPn365Gnjl19+MSQZLi4uRv369Y3Tp0/nOWbz5s2GJKNJkybGlStXzNuTk5ONxo0bG5KM7du35zqnSpUqhiSjY8eOuf6/8scffxgODg6GlZWVcfny5VzbnZycDGdnZ+Onn34yb8/MzDSGDh1qSDKmTp2aq4/+/fsbkowaNWoYV69eNW9PTEw0bG1tDUmGr6+vOUvDMIzjx48blpaWhpOTk5GRkWEYhmHs2bPHkGQEBATkee1jxowxJBnLli0rFffk3iNnjS37jvPmRJnGyIVH1KhRo/TBBx/IxcVFly5dUr9+/QgFKCFff/21unTpQhAAAOChGz58uF588UU5Ozvr4sWLev311wkFAHR7xHn16tUlSZ06dSrx/mJjY3Xy5El169ZNDg4OkqRu3brJ0dHRvMjzn1lY3P4KztraOtf2Jk2ayMbGplB9X758WYsWLdJjj+Wdxz88PFySNHPmTFWsWNG83cXFRWFhYZKkRYsW5dvu2LFjzc9Tkjw8PNSiRQtlZmaaR1dI0vLly5WSkqLhw4fnmn7N0tJSc+fOlY2NjRYvXpwnA0kaMmRIrum+q1atqieeeEKSNGzYMHOWklSzZk35+voqJSVFp06dknR7XRlfX1/t2LFDFy9ezNX2unXrZGdnp8DAwFJxTyZdvyVnB1venCjTrIjg0RUaGipLS0uNHDlSFy9e1IgRI1h/AShmu3btUtOmTfMsApaRkaHDhw/r6NGjOnv2rE6ePKlKlSrp4MGDSk1NlZOTkw4dOiRXV1ddv35d169fl4uLiy5evKiqVavKzc0t17BVlF/e3t6aOXMmQQAACmzhwoUymUxasWKFwsPDNWnSJHl6ehIMgHLt4MGDsrCw0Lx58x5IfzlTIgUHB5u3OTg4qEePHlq1apV27typ9u3bm/cFBQVp//798vf31/vvv1+kH7C5u7urXbt2+e6LjY2VJLVp0ybPvnbt2slkMmnnzp35npvzJf+fVa5cWZJ0/fr1PH20bds2z/EuLi5q3Lix9u3bp8OHD6thw4a59ue3FkzOFEa1atW6476UlBTzttDQUE2aNEmrV6/WyJEjJUn79u3T6dOn1adPn1zFCwAli+LCIy4kJETNmzdXv379tHz5ckVFRalFixb8IQWKya5du2RnZ6eePXtKkvbu3avk5GRZW1srMzNTPj4+cnFx0bVr11S3bl05OTmpZs2aqlatmnx9fdWkSRNZWloqMzNTHh4eSklJkaurqw4fPmyeMxnlm6urKyEAAAptwYIFqlatmubMmaNq1aqpa9eucnFxIRgA5VJKSoq2bNkiCwsL8+LHJSkzM1ORkZGSpH/84x+aO3eued/58+cl3S4+/Lm4MGHCBKWkpOidd95R165dVbduXY0fP17PP/98rtECBeHj45Pv9oyMDCUlJcnR0THfz5s525OTk5WWliZb29y/qs/v/yMmk0nS7cWJ//oa71TYzll8OzExMU9x4c+jKQrz2ejP/Q8ePFhTpkzRqlWrzMWFtWvXSrq9cDSAB4fiQhlQp04dxcfH68cff9Q777wjk8n0QIYAAuXBjh07dO3aNbm6uqpHjx4aMmSI6tatKy8vryIV8fL7hQcAAEBhTJw4URMnTtSuXbv07bff3vHLJgAoD2JiYlSvXr0H0tfWrVt16dIlScp38Wbp9pfdH3zwgfkL/JzFiV9++WW9//77ioiI0NChQ7VkyRJ9/fXXcnJyKnD/d5pGKb9CwF/l7Ms59s8KWuS4Vz/320d+x+fHy8tL/v7+io6O1pkzZ/TYY49p3bp1qlChgrp168abAXiAKC6UIY0aNdLy5csJAihGAwYMkL29vXnYJwAAQGnTpk2bfKe/AIDy5OrVqxo7dqx+//1389oLJSVnSqSvv/5anTt3zrP/xRdf1KeffqrNmzcrKCgo1z5vb2/NmzdPEyZM0ODBgxUTE6OwsDDNmjWryM/LyspKVapU0R9//KFr167l+UHcjRs3dP36dbm6uhZ6nYc/8/LykiSdO3cu3/0523NGMJSE0NBQbdu2TatXr1bXrl117NgxDR48WPb29rwZgAeIBZ0B4B7/OAsICNBXX31FGAAAAABQSjVr1kw1a9aUn5+flixZUmL9pKSkaMOGDXJ3d5e/v3++x/Tr10/S/xUh8uPp6amFCxdKkn744Ydcn0Gl21Mc3Q8/Pz9JynddhZxtrVu3LlIGd+vjypUrio+Pl4uLS4mOJOndu7ecnZ21efNm8+d1pkQCHjyKCwBwD4GBgVq/fj1BAAAAAEAp1axZMx09elTHjx/XpEmTdPHixRLpZ/369UpNTVWfPn3MhYC/evbZZ+Xm5qbNmzfr6tWrSk9P144dO/JMIxQXFydJ8vDwMG/LWcfg559/VnZ2dqGf36uvvipJmjp1qi5fvmzefvXqVb311luSVOR1KQYOHChXV1dFREQoPj7evD07O1vjx49XRkaGhg0bJktLyxK73vb29urXr592796trVu3ys3NTR07duSNADxgFBcA4B569uxJcQEAAAAASjFLMgLgOAAAEn1JREFUS0s1bNhQhw4dkre3t3r06FEi/eSMRrjbr+StrKwUFBSktLQ0rVmzRunp6Xr22WdVrVo19erVS6GhoWrfvr1CQkJkY2OjMWPGmM+tU6eOfH19deLECbVs2VKhoaGaNm1agZ9fmzZtNHnyZMXHx6t27drq06eP+vbtq9q1aysuLk6jRo1Sly5dipRBxYoVtWzZMqWlpempp55S586dNXDgQPn6+ioiIkLNmzfX9OnTS/yah4aGKjU1VTExMerbt6+sra15IwAPGMUFALiHKlWqKCMjQ5988glhAAAAAEAp1axZM+3fv18ffvihbt68qd9//71Y279w4YJiYmJUrVo1tW3b9q7H9u/fX9LtYoS9vb3++c9/ytPTU9u3b9eqVat08uRJ9ezZU//+97/1zDPPmM8zmUxavXq1WrVqpcOHD2vDhg2FniIpLCxMq1atUp06dbR161Zt2bJFtWvX1rJly7Ro0aJiyaJ79+6KjY1V586dtXfvXq1bt06WlpaaMWOGvv32Wzk4OJT49W7durV8fHyUnZ3NlEjAQ2Iy7raEPABAkrRgwQIdP35c8+fPJwwAAAAAKIU++ugjxcXFKTw8XM8//7yuX7+uqKgogimj0tLSVKVKFTk6OurMmTOysChdv6Hesv+EKjrYqmU9Ly4WyixGLgBAAQQHB2v16tUEAQAAAAClVLVq1bRp0yZJ0r/+9S8lJycrIiKCYMqojRs36urVqxo0aFCpKywA5QUjFwCggNq0aaNZs2apdevWhAEAAAAApZCFhYWysrJkMpkkSZUqVdKmTZv4HFfGpKam6umnn9bhw4d19OhR1apVq9Q9R0YuoFz8zSUCACiYgIAALVmy5IH0dfbsWU2aNEmNGzdWpUqV5OjoqL///e8KCgrSmjVrlJmZKUmKiopShQoVtGDBgvvq507nDxgwQCaTSTExMVx4AAAAAI+Mp59+Wrt37zY/vnLligICAjRp0iTCKQNCQkI0aNAg1alTRz/99JPeeOONUllYAMoLigsAUEDPPfecVqxYUeL9rFy5UnXq1NHs2bOVkJCg6tWrq379+rp8+bKioqI0duxY5Qw6i4+PV0pKivbt23dffRX1fAAAAAAoTf5aXJBu/8p9/vz5srW1VWpqKiE9wmJjYxUZGamsrCyFhYVp9uzZhAI8RFZEAAAFU7t2bXXs2FGbN29Wt27dSqSPr776SiEhIcrOztaYMWM0depUubq6mvfv3LlTt27dkrW1tSRp9OjRqly5sgIDA++rv6KeDwAAAAClydNPP621a9fm2Z6amqr09HTZ2dnp8OHDqlu3LmE9gk6cOEEIQCnCyAUAKITQ0FAtW7asRNpOS0vTsGHDlJ2drRkzZmjevHm5CguS5Ofnp06dOpkfu7i4aNSoUfLyur85HIt6PgAAAACUJvmNXMhhY2OjtLQ0de/eXbGxsYQFAEVEcQEACiE4OFhffvmlbt26Vextr1mzRomJiapdu7amTJlSoHPCw8NlMpn01ltvSZIiIyNlMpkUEhKS7/HR0dEymUzmkRd/PR8AAAAAHmU1atRQRkaGzp07l+9+a2trLV++XKNHj35ga+oBQFlFcQEACsnBwaFERi9s2bJFkjR48GBZWd3frHXdunWTvb29Nm/erIyMjDz7o6KiJN0ukgAAAABAWdS4cWNt2LDhjvtbtmyp0aNHa9SoUbKysqLIAAD3ieICABRSnz59dPHixWJv98cff5QktWjR4r7bcHR0VNeuXXXlyhV98803ufYZhqENGzbI2tpavXr14kICAAAAKJOeeeYZvfvuu3c9JiQkRMnJyYqOjtaoUaM0ZMgQ7d27VxcuXCBAACggFnQGgEIaNWqUQkNDi30qoaSkJElSlSpVitRO3759tXbtWkVFRSkgIMC8fc+ePUpMTFTnzp3l4uLChQQAAABQJk2dOlVvv/22srKyZGlpecfjHBwc1L59e124cEGvv/66AgMDdfnyZdnZ2alq1apq3ry5kpKS+PxURnh7e2vmzJkEARQjigsAUEiNGzeWnZ2d9uzZU6RRBn9lGIYkycKiaIPKunfvLjs7O23YsEGLFy+WyWSSxJRIAAAAAMqPtm3b6t///rfat29/z2OdnZ3NUyOdPHlSSUlJ2rJli+zs7JSVlSUvLy8CLQNcXV0JAShmFBcA4D40bdpUI0eO1IEDB4qtTRcXFyUmJurSpUtFasfJyUldunRRVFSUdu/erZYtW0q6XVywsrJSYGAgFxAAAABAmdamTRvt2rWrQMWFP/Px8ZGPj4+aNWtGiABwD6y5AAD3YfHixUpISNDNmzeLrc06depIkuLj44vcVt++fSX932iFhIQEHTt2TB06dODXGgAAAADKvJziAgCg5FBcAID79Oabb2rOnDnF+o9fSYqMjCxyWz169JCdnZ02bdokSfrqq68kMSUSAAAAgPIhZ1okAEDJobgAAPdp3Lhxeuedd3Tu3LliaW/gwIGys7PT7t27tWLFiiK1VaFCBXXq1Em//PKLzpw5oy1btjAlEgAAAIBywzAMubu7a+/evYQBACWE4gIA3CdnZ2eNHTtWDRs2LJb2PDw8NG3aNEnS0KFDNX/+fN26dSvXMUlJSTp8+HCB2suZGunrr7/W999/r3bt2snd3Z0LBwAAAKDMs7W1VaVKlZSQkEAYAFBCKC4AQBHMmDFDwcHBCg8PL5b2Jk6cqNdee03p6ekaM2aM3Nzc1LRpU7Vo0ULe3t6qXLmy5s2bV6C2evbsKVtbW82dO1e3bt1iSiQAAAAA5Urv3r119uxZggCAEkJxAQCK6L//+781efLkYmnLZDJpwYIF2rFjhwYMGCB3d3clJCTo4MGDyszMVOfOnc0jEu7F2dlZAQEBOnr0qCwtLdW7d28uFgAAAIByo2PHjoqOjiYIACghJsMwDGIAgKLx8/OTm5ub1q1bRxgAAAAAUEpYW1vr5s2bsrKyIgw8UFv2n1BFB1u1rOdFGCizGLkAAMVg586d2rlzp5KTkwkDAAAAAEoJf39/xcTEEAQAlACKCwBQTN5+++0CT1kEAAAAACh5LVu21Pvvv1+sbf76668ymUwymUxyc3NTZmbmXY8fMWKE+fgZM2Y8kNd96tQpeXp6ql+/ftwEAEoMxQUAKCavvvqqkpKSCrzgMgAAAACgZL388svas2ePzpw5UyLtJycn33VkRFZW1kOZPvfkyZP6448/9MMPP3ATACgxFBcAoBjFx8drypQpSk1NJQwAAAAAeMg8PT313HPPadOmTcXeduvWrSVJq1evvuMx3377rS5cuKC2bds+0Nft5+enpUuXsi4ggBJFcQEAitmHH36oqlWrEgQAAAAAlALdunXT5s2bi73dRo0aqXLlylq/fr0yMjLyPSYyMlKWlpbq1KnTA33NJpNJQ4YMUfPmzbkBAJQYigsAUMxCQ0P16aefqnfv3oQBAAAAAA9ZSRUXbt26paCgoDtOjZQzJZKfn58cHR3zbaN169YymUw6cuRInn0zZsyQyWTSokWLcm2Pi4tTcHCwHnvsMdnb26tWrVrq06dPrtEZR44ckclkMo+u+KulS5eqVatWqlChgpycnFS/fn2NGTOGmwVAoVBcAIASEBgYqNOnT2vu3LmEAQAAAAAPWbdu3fTll18Wa5s3b940L5ic39RIO3bs0KVLl9S/f3+lpaUVS58JCQny8/PT+vXr5evrqx49eqhSpUpav359gV/fsGHD9MILL2jfvn2qV6+ennzySZ07d07x8fHcKAAKheICAJQAS0tLTZ06VRERETp79iyBAAAAAMBD5OHhoQkTJhRrmxkZGfLz85OHh0e+UyNFRkbKyspKQUFBys7OLpY+lyxZouvXr+vjjz9WdHS0IiMjdeDAAZ06dUrTpk275/mbNm3SJ598opo1a+o///mP9uzZo507d+rSpUtauXIlNwqAQqG4AAAlJDAwUO+++67q1aunxx9/XG+++aZOnTpFMAAAAADwgC1atKjYP48ZhiFLS0sFBQXp8uXLio6ONu/LzMxUVFSU2rdvL3d392Lr08Li9ld51tbWubZXr15dXl5e9zw/PDxckjRr1iz5+PjkatfT05MbBUChWBEBAJScHj166Pjx4woLC9PFixf1+OOPq06dOvLw8FC1atUe2dfl7e2tmTNncoEBAAAAPBIcHBzUoUMHbdq0Sd27dy/WtoODgxUeHq7Vq1era9eukqTt27crKSlJ/fv3L9a+AgMD9d5772nUqFG6evWqXnrpJdna2hb4/N27d0uSAgICuCkAFBnFBQAoYe7u7po3b55u3ryp+fPnKy4uTgcPHpSHh8cj+5pcXV25sAAAAAAeKf3799cXX3xR7MWFP0+N9PHHH8va2lqRkZGytrZW7969i7WvVq1aaeXKlRoxYoReffVVzZgxQ6+99ppGjx6tihUr3vXcrKwsJSUlyd7eXpUqVeKGAFBkFBcA4AGxt7eXvb292rVrp3bt2hEIAAAAADxAwcHBGjp0qD777LNibTdnaqTw8HBt27ZNAQEBWr9+vfz9/Yv0w6xbt27lu71fv37q0qWLIiIiNG/ePE2fPl2ffPKJvvrqKzVo0OCO7RmGIUkymUzcDACKBWsuAAAAAAAAoMyztbWVr6+vpk+fXuxt9+vXT5K0evVqxcTEKDk52bztbnK+6M9vwecLFy7c8bwKFSrojTfe0K+//qqxY8fqzJkzGjZs2F37srKykouLi1JTU3Xt2jVuCABFRnEBAAAAAAAA5cL8+fP13XffFXu7bdu2VZUqVbRhwwYtX75cNjY2CgwMvOd5FSpUkCQlJibm2bdv3757nm9ra6s5c+bI09NT+/btU2Zm5l2Pf/rppyXdXhMCAIqK4gIAAAAAAADKhQ4dOujEiRP67bffirXdnKmRrly5ohUrViggIKBA6xo0atRIkhQREZFr9EJERIR+/vnnPMfv2LEjz3RJv/32m5KTk+Xq6iorq7vPgP7yyy9LkiZMmKDTp0+btxuGkW9/AHA3FBcAAAAAAABQbgwePFjh4eHF3m5wcLD5vwsyJZIkDRs2TPb29oqMjFSjRo303HPPqUWLFhoxYoQGDx6c5/jx48ercuXK6tixo0JDQ9WzZ081aNBA6enpGj9+/D37CwwM1JAhQ3Ts2DE9/vjjatWqlZ555hl5enqqb9++3BwACoXiAgAAAAAAAMqN0NBQzZkzp9jb9fPzU5UqVWRra6tevXoV6JxatWopJiZG7du316lTp7Rx40bZ2dkpOjpaI0eOzHP85MmT9dRTT+nAgQNasWKF9u3bpyeffFLLly/XuHHjCtTnp59+qo8//liNGzfWoUOHtH//flWqVEnDhw/n5gBQKCYjZ6l4AAAAAAAAoBwYPny4nnzySfM0QUBx27L/hCo62KplPS/CQJnFyAUAAAAAAACUK2+++abeffddggCAIqC4AAAAAAAAgHLFZDLJ3t5eUVFRhAEA94niAgAAAAAAAMqVWrVqqUaNGoqNjSUMlAgLk0kVHGwIAmX7PicCAAAAAAAAlDcfffSRVq5cSRAoEd/9fEaVKzoQBMo0igsAAAAAAAAod7y8vFSrVi29+uqrhIFiZRjS5zsOy72iPWGgTKO4AAAAAAAAgHJp586d+vTTT3Xt2jXCQLFJTLqudo1qyNKCr15RtnGHAwAAAAAAoNyKiYlR69atCQLFwjAMBc5Yo/de8ScMlHkmwzAMYgAAAAAAAEB59d577+mnn37SqFGj1KxZMwLBfTEMQ7O/2K1GPpXVrUVtAkGZx8gFAAAAAAAAlGtvvPGGatSooaFDh2rQoEG6efMmoaBQDMPQrFU/yMbKQl2fqkUgKBesiAAAAAAAAADl3dtvvy0LCwvduHFD1atXV69evXT58mU5OTmV+2y8vb01c+ZMbpI7yMjM0oRPvpFvDXcN69JYJpOJUFAuMC0SAAAAAAAA8P8lJSUpISFB33//vW7duqXatZnextXVVV27duXm+AvDkH488Yf6/k+UVkzqqWZ/rybqCihPKC4AAAAAAAAAQAEZhnQuOUVvfrxDXpWd9D+hfrK1tiQYlDsUFwAAAAAAAADgHgzD0JEzSZq45Ft5uTtp2qDWquLiyDRIKLcoLgAAAAAAAABAPrKyDR1PTNaKb37Rsm0/amTPpnqpyxNycbIjHJR7FBcAAAAAAAAAlEuGYSjbMJSZma20zCylpmXo17NX9P3hM9r4wzEdP3dFrwc114B29VTDw5lRCsCfUFwAAAAAAAAAAACFYkEEAAAAAAAAAACgMCguAAAAAAAAAACAQqG4AAAAAAAAAAAACuX/Aa4g/f0NrimHAAAAf2lUWHRDb3B5cmlnaHQAAAAAAEludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzLCBsYXVuY2hlZCBpbiAyMDE4IGF0IHRoZSBVbml2ZXJzaXR5IG9mIEdlbmV2YSBieSBSYXBoYcOrbCBTYW5kb3ouue8CiwAAADV0RVh0VGl0bGUASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXPrmHTHAAAAi3pUWHRSYXcgcHJvZmlsZSB0eXBlIGlwdGMAAHicPU0xDgMxCNt5RZ5AgJhk7tStw73g0kSqdNJV/f9QcsMZYYMMMj1f2yN9f+f8HIPSBVTSamLN3mxRN9RzZ8mNWXZTdGRXVzQgWmOz0IkhHAxhN0JFiUGxzgpGaHGVLmx6v08YpouHswKurMURTX9uzyNhN7LVjQAAAp5pVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADw/eHBhY2tldCBiZWdpbj0n77u/JyBpZD0nVzVNME1wQ2VoaUh6cmVTek5UY3prYzlkJz8+Cjx4OnhtcG1ldGEgeG1sbnM6eD0nYWRvYmU6bnM6bWV0YS8nIHg6eG1wdGs9J0ltYWdlOjpFeGlmVG9vbCA5LjQ2Jz4KPHJkZjpSREYgeG1sbnM6cmRmPSdodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjJz4KCiA8cmRmOkRlc2NyaXB0aW9uIHJkZjphYm91dD0nJwogIHhtbG5zOmRjPSdodHRwOi8vcHVybC5vcmcvZGMvZWxlbWVudHMvMS4xLyc+CiAgPGRjOmNyZWF0b3I+CiAgIDxyZGY6U2VxPgogICAgPHJkZjpsaT5SYXBoYcOrbCBTYW5kb3o8L3JkZjpsaT4KICAgPC9yZGY6U2VxPgogIDwvZGM6Y3JlYXRvcj4KICA8ZGM6dGl0bGU+CiAgIDxyZGY6QWx0PgogICAgPHJkZjpsaSB4bWw6bGFuZz0neC1kZWZhdWx0Jz5JbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lczwvcmRmOmxpPgogICA8L3JkZjpBbHQ+CiAgPC9kYzp0aXRsZT4KICA8ZGM6dHlwZT4KICAgPHJkZjpCYWc+CiAgICA8cmRmOmxpPkltYWdlPC9yZGY6bGk+CiAgIDwvcmRmOkJhZz4KICA8L2RjOnR5cGU+CiA8L3JkZjpEZXNjcmlwdGlvbj4KPC9yZGY6UkRGPgo8L3g6eG1wbWV0YT4KPD94cGFja2V0IGVuZD0ncic/PplCL5gAAAAASUVORK5CYII=
"Establised-use-affording", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Science de la vision réfléchie." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg xmlns:dc="http://purl.org/dc/elements/1.1/" xmlns:cc="http://web.resource.org/cc/" xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" xmlns:svg="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" width="120" height="42" id="svg2759" sodipodi:version="0.32" inkscape:version="0.45+devel" version="1.0" sodipodi:docname="by-nc-nd.svg" inkscape:output_extension="org.inkscape.output.svg.inkscape">
<defs id="defs2761"/>
<sodipodi:namedview id="base" pagecolor="#ffffff" bordercolor="#8b8b8b" borderopacity="1" gridtolerance="10000" guidetolerance="10" objecttolerance="10" inkscape:pageopacity="0.0" inkscape:pageshadow="2" inkscape:zoom="1" inkscape:cx="179" inkscape:cy="89.569904" inkscape:document-units="px" inkscape:current-layer="layer1" inkscape:showpageshadow="false" inkscape:window-width="1198" inkscape:window-height="624" inkscape:window-x="488" inkscape:window-y="401"/>
<metadata id="metadata2764">
<rdf:RDF>
<cc:Work rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type rdf:resource="http://purl.org/dc/dcmitype/StillImage"/>
</cc:Work>
</rdf:RDF>
</metadata>
<g inkscape:label="Layer 1" inkscape:groupmode="layer" id="layer1">
<g transform="matrix(0.9937728,0,0,0.9936696,-437.11979,0)" id="g361" inkscape:export-filename="/mnt/hgfs/Bov/Documents/Work/2007/cc/identity/srr buttons/big/by-nc-nd.png" inkscape:export-xdpi="300.23013" inkscape:export-ydpi="300.23013">
<path id="path3817_4_" nodetypes="ccccccc" d="M 443.28955,0.44873 L 557.35303,0.65185 C 558.94678,0.65185 560.37061,0.41503 560.37061,3.83203 L 560.23096,41.39892 L 440.41064,41.39892 L 440.41064,3.69238 C 440.41064,2.00781 440.57373,0.44873 443.28955,0.44873 z" style="fill:#aab2ab"/>
<path d="M 558.3501,0 L 442.12061,0 C 440.87354,0 439.85889,1.01465 439.85889,2.26123 L 439.85889,41.75732 C 439.85889,42.03906 440.08741,42.26757 440.36963,42.26757 L 560.1001,42.26757 C 560.38233,42.26757 560.61084,42.03905 560.61084,41.75732 L 560.61084,2.26123 C 560.61084,1.01465 559.59619,0 558.3501,0 z M 442.12061,1.02148 L 558.3501,1.02148 C 559.03369,1.02148 559.58936,1.57763 559.58936,2.26123 C 559.58936,2.26123 559.58936,18.15234 559.58936,29.64893 L 476.51612,29.64893 C 473.4712,35.1543 467.60401,38.89258 460.87159,38.89258 C 454.13721,38.89258 448.27198,35.15772 445.22901,29.64893 L 440.88038,29.64893 C 440.88038,18.15235 440.88038,2.26123 440.88038,2.26123 C 440.88037,1.57764 441.43701,1.02148 442.12061,1.02148 z" id="path364"/>
<g id="g5908_4_" transform="matrix(0.872921,0,0,0.872921,50.12536,143.2144)">
<path id="path5906_4_" cx="296.35416" ry="22.939548" cy="264.3577" type="arc" rx="22.939548" d="M 486.26709,-141.53052 C 486.27271,-132.85028 479.2392,-125.80957 470.55902,-125.80341 C 461.87878,-125.79841 454.83752,-132.83124 454.83191,-141.51148 C 454.83191,-141.51819 454.83191,-141.52436 454.83191,-141.53052 C 454.82629,-150.21186 461.85974,-157.25257 470.53998,-157.25763 C 479.22132,-157.26263 486.26264,-150.22974 486.26709,-141.5495 C 486.26709,-141.54395 486.26709,-141.53723 486.26709,-141.53052 z" style="fill:#ffffff"/>
<g id="g5706_4_" transform="translate(-289.6157,99.0653)">
<path id="path5708_4_" d="M 772.94281,-253.39801 C 776.42761,-249.9126 778.17059,-245.64465 778.17059,-240.59582 C 778.17059,-235.54644 776.45782,-231.32434 773.03228,-227.92785 C 769.39642,-224.35186 765.10046,-222.56414 760.14227,-222.56414 C 755.2445,-222.56414 751.0224,-224.33672 747.47827,-227.88366 C 743.93188,-231.4295 742.15985,-235.66668 742.15985,-240.59582 C 742.15985,-245.52435 743.93188,-249.79174 747.47827,-253.39801 C 750.93292,-256.88507 755.15497,-258.6275 760.14227,-258.6275 C 765.1911,-258.6275 769.45685,-256.88507 772.94281,-253.39801 z M 749.82422,-251.05371 C 746.8775,-248.07733 745.40412,-244.59082 745.40412,-240.59131 C 745.40412,-236.59302 746.86298,-233.13611 749.77839,-230.22016 C 752.69605,-227.30421 756.16743,-225.84595 760.19599,-225.84595 C 764.22455,-225.84595 767.72614,-227.31873 770.70307,-230.2649 C 773.529,-233.00074 774.94196,-236.44196 774.94196,-240.59132 C 774.94196,-244.70936 773.5055,-248.20485 770.63373,-251.07606 C 767.76306,-253.94673 764.28382,-255.38264 760.19599,-255.38264 C 756.10816,-255.38264 752.64905,-253.93945 749.82422,-251.05371 z M 757.57812,-242.35052 C 757.12841,-243.33221 756.45495,-243.82281 755.55548,-243.82281 C 753.96692,-243.82281 753.17261,-242.75329 753.17261,-240.61425 C 753.17261,-238.47472 753.96692,-237.40575 755.55548,-237.40575 C 756.60486,-237.40575 757.35443,-237.9265 757.80414,-238.97026 L 760.0069,-237.79729 C 758.95642,-235.93181 757.38123,-234.99822 755.28138,-234.99822 C 753.66151,-234.99822 752.36378,-235.49499 751.38935,-236.48784 C 750.41383,-237.48125 749.92603,-238.85057 749.92603,-240.59581 C 749.92603,-242.31139 750.42945,-243.67284 751.43409,-244.68138 C 752.43873,-245.68992 753.69172,-246.19334 755.1919,-246.19334 C 757.4126,-246.19334 759.00117,-245.31907 759.96326,-243.57103 L 757.57812,-242.35052 z M 767.94208,-242.35052 C 767.49121,-243.33221 766.83002,-243.82281 765.95966,-243.82281 C 764.33863,-243.82281 763.52753,-242.75329 763.52753,-240.61425 C 763.52753,-238.47472 764.33863,-237.40575 765.95966,-237.40575 C 767.0113,-237.40575 767.74738,-237.9265 768.16694,-238.97026 L 770.41895,-237.79729 C 769.37067,-235.93181 767.79773,-234.99822 765.70124,-234.99822 C 764.08356,-234.99822 762.78919,-235.49499 761.81477,-236.48784 C 760.8426,-237.48125 760.35487,-238.85057 760.35487,-240.59581 C 760.35487,-242.31139 760.84932,-243.67284 761.83827,-244.68138 C 762.82612,-245.68992 764.08357,-246.19334 765.61177,-246.19334 C 767.82796,-246.19334 769.41542,-245.31907 770.37306,-243.57103 L 767.94208,-242.35052 z"/>
</g>
</g>
<g enable-background="new " id="g370">
<path d="M 488.25342,32.95605 C 488.5708,32.95605 488.86182,32.98437 489.12354,33.04003 C 489.38526,33.09569 489.60889,33.18749 489.79639,33.31542 C 489.98291,33.44237 490.12744,33.6123 490.23096,33.82323 C 490.3335,34.03514 490.38526,34.29589 490.38526,34.60741 C 490.38526,34.94335 490.30909,35.22264 490.15577,35.44628 C 490.00343,35.67089 489.77784,35.85351 489.47804,35.99706 C 489.89015,36.11522 490.19777,36.32226 490.40089,36.61815 C 490.60401,36.91404 490.70558,37.27049 490.70558,37.68749 C 490.70558,38.02343 490.64015,38.31444 490.50929,38.56054 C 490.37843,38.80566 490.20167,39.00683 489.98097,39.1621 C 489.75929,39.31835 489.50636,39.43358 489.22316,39.5078 C 488.93898,39.583 488.64796,39.6201 488.34816,39.6201 L 485.11183,39.6201 L 485.11183,32.95604 L 488.25342,32.95604 L 488.25342,32.95605 z M 488.06689,35.65137 C 488.32763,35.65137 488.54345,35.58887 488.71142,35.46485 C 488.87939,35.34083 488.96337,35.13965 488.96337,34.86036 C 488.96337,34.70509 488.93505,34.57716 488.87939,34.47852 C 488.82275,34.37891 488.74853,34.30176 488.65478,34.24512 C 488.56103,34.18946 488.45361,34.15039 488.33251,34.12891 C 488.21141,34.10743 488.08446,34.09668 487.9536,34.09668 L 486.58055,34.09668 L 486.58055,35.65137 L 488.06689,35.65137 z M 488.15186,38.47949 C 488.29541,38.47949 488.43213,38.46582 488.56299,38.4375 C 488.69385,38.40918 488.80908,38.3623 488.90967,38.29785 C 489.00928,38.23242 489.08838,38.14355 489.14795,38.03125 C 489.20752,37.91992 489.23682,37.77637 489.23682,37.60254 C 489.23682,37.26074 489.14014,37.0166 488.94678,36.87012 C 488.75342,36.72461 488.49854,36.65137 488.18018,36.65137 L 486.58057,36.65137 L 486.58057,38.47949 L 488.15186,38.47949 z" id="path372" style="fill:#ffffff"/>
<path d="M 490.96436,32.95605 L 492.60791,32.95605 L 494.16846,35.58789 L 495.71924,32.95605 L 497.35303,32.95605 L 494.8794,37.0625 L 494.8794,39.62012 L 493.41065,39.62012 L 493.41065,37.02539 L 490.96436,32.95605 z" id="path374" style="fill:#ffffff"/>
</g>
<g enable-background="new " id="g376">
<path d="M 512.83057,32.95605 L 515.61475,37.42675 L 515.63037,37.42675 L 515.63037,32.95605 L 517.00537,32.95605 L 517.00537,39.62011 L 515.53955,39.62011 L 512.76611,35.1582 L 512.74756,35.1582 L 512.74756,39.62011 L 511.37256,39.62011 L 511.37256,32.95605 L 512.83057,32.95605 z" id="path378" style="fill:#ffffff"/>
<path d="M 522.56885,34.73145 C 522.48194,34.59083 522.37256,34.46778 522.2417,34.36231 C 522.11084,34.25684 521.96338,34.17383 521.79834,34.11524 C 521.6333,34.05567 521.46045,34.02637 521.28076,34.02637 C 520.95068,34.02637 520.67041,34.08985 520.43994,34.21778 C 520.20947,34.34473 520.02295,34.51563 519.88037,34.73048 C 519.73682,34.94532 519.63232,35.18946 519.56689,35.4629 C 519.50146,35.73634 519.46923,36.01954 519.46923,36.31153 C 519.46923,36.5918 519.50146,36.86426 519.56689,37.12794 C 519.63232,37.39259 519.73681,37.63087 519.88037,37.84181 C 520.02295,38.05372 520.20947,38.22267 520.43994,38.3506 C 520.67041,38.47853 520.95068,38.54201 521.28076,38.54201 C 521.72803,38.54201 522.07861,38.40529 522.33056,38.13088 C 522.58251,37.85744 522.73681,37.49611 522.79247,37.04787 L 524.21142,37.04787 C 524.17431,37.46486 524.07763,37.84182 523.92236,38.17775 C 523.76709,38.51466 523.56103,38.8008 523.30615,39.0381 C 523.05127,39.2754 522.75244,39.45607 522.40967,39.58107 C 522.06787,39.70607 521.69092,39.76857 521.28076,39.76857 C 520.77002,39.76857 520.31103,39.6797 519.90283,39.50197 C 519.4956,39.32521 519.15088,39.08009 518.8706,38.76955 C 518.58935,38.45803 518.37451,38.09182 518.22509,37.67189 C 518.07568,37.25099 518.00048,36.79884 518.00048,36.31251 C 518.00048,35.81446 518.07568,35.35255 518.22509,34.92579 C 518.3745,34.49903 518.58935,34.12696 518.8706,33.80958 C 519.15087,33.4922 519.4956,33.24317 519.90283,33.06251 C 520.31103,32.88185 520.77002,32.792 521.28076,32.792 C 521.64795,32.792 521.99463,32.84473 522.32178,32.95118 C 522.64795,33.05665 522.94092,33.21095 523.19873,33.41407 C 523.45752,33.61622 523.67041,33.86719 523.83838,34.16602 C 524.00635,34.46485 524.11182,34.80762 524.15576,35.19336 L 522.73681,35.19336 C 522.7124,35.02539 522.65576,34.87109 522.56885,34.73145 z" id="path380" style="fill:#ffffff"/>
</g>
<g enable-background="new " id="g382">
<path d="M 538.83057,32.95605 L 541.61475,37.42675 L 541.63037,37.42675 L 541.63037,32.95605 L 543.00537,32.95605 L 543.00537,39.62011 L 541.53955,39.62011 L 538.76611,35.1582 L 538.74756,35.1582 L 538.74756,39.62011 L 537.37256,39.62011 L 537.37256,32.95605 L 538.83057,32.95605 z" id="path384" style="fill:#ffffff"/>
<path d="M 547.16748,32.95605 C 547.59814,32.95605 547.99756,33.02441 548.36865,33.16113 C 548.73974,33.29785 549.06006,33.5039 549.33154,33.77734 C 549.60205,34.05078 549.81396,34.39355 549.96631,34.80371 C 550.11963,35.21484 550.1958,35.69726 550.1958,36.25098 C 550.1958,36.73633 550.1333,37.1836 550.00928,37.59473 C 549.88428,38.00489 549.6958,38.36035 549.44385,38.65821 C 549.19092,38.95704 548.87647,39.19239 548.49951,39.36329 C 548.12255,39.53419 547.6792,39.62013 547.16748,39.62013 L 544.28955,39.62013 L 544.28955,32.95607 L 547.16748,32.95607 L 547.16748,32.95605 z M 547.06494,38.38574 C 547.27685,38.38574 547.48193,38.35156 547.68115,38.2832 C 547.88037,38.21484 548.0581,38.10156 548.21338,37.94238 C 548.36865,37.78418 548.49365,37.57812 548.5874,37.32324 C 548.68017,37.06836 548.72705,36.75683 548.72705,36.39062 C 548.72705,36.05468 548.69482,35.75195 548.62939,35.48144 C 548.56396,35.21093 548.45654,34.97949 548.30712,34.7871 C 548.1577,34.59471 547.96044,34.44628 547.71435,34.34374 C 547.46826,34.2412 547.16455,34.19042 546.80419,34.19042 L 545.75829,34.19042 L 545.75829,38.38573 L 547.06494,38.38573 L 547.06494,38.38574 z" id="path386" style="fill:#ffffff"/>
</g>
<g id="g6370_1_" transform="translate(286.1464,208.0498)">
<g id="g7610_1_" transform="matrix(1.146822,0,0,1.146822,-67.14005,-41.89676)">
<path id="path6372_1_" cx="475.97119" ry="29.209877" cy="252.08646" type="arc" rx="29.209877" d="M 269.61823,-131.7348 C 269.62247,-126.90787 265.71222,-122.99292 260.88486,-122.98907 C 256.05832,-122.98611 252.14295,-126.89593 252.13956,-131.72204 C 252.13956,-131.72714 252.13956,-131.73098 252.13956,-131.7348 C 252.13614,-136.56216 256.04642,-140.47711 260.87293,-140.48095 C 265.69944,-140.48394 269.61481,-136.57409 269.61823,-131.74801 C 269.61823,-131.74374 269.61823,-131.73907 269.61823,-131.7348 z" style="fill:#ffffff"/>
<path id="path6374_1_" d="M 260.86526,-141.90982 C 263.71875,-141.90982 266.12945,-140.9263 268.09909,-138.95969 C 270.06869,-136.99219 271.05392,-134.58362 271.05392,-131.73481 C 271.05392,-128.88642 270.08572,-126.5034 268.15017,-124.58659 C 266.09539,-122.56843 263.6668,-121.56022 260.86526,-121.56022 C 258.0986,-121.56022 255.71261,-122.56077 253.70892,-124.5619 C 251.70526,-126.56214 250.70385,-128.95368 250.70385,-131.73481 C 250.70385,-134.51637 251.70525,-136.92493 253.70892,-138.95969 C 255.6615,-140.9263 258.04752,-141.90982 260.86526,-141.90982 z M 252.9928,-134.46866 C 252.68964,-133.6099 252.53723,-132.69876 252.53723,-131.7348 C 252.53723,-129.47952 253.36151,-127.5299 255.00839,-125.88431 C 256.65524,-124.23999 258.61636,-123.41742 260.89166,-123.41742 C 263.1661,-123.41742 265.14422,-124.24808 266.82516,-125.91028 C 267.38803,-126.45398 267.85214,-127.04709 268.21572,-127.69 L 264.37954,-129.39776 C 264.11984,-128.10726 262.96941,-127.23571 261.5797,-127.13351 L 261.5797,-125.56457 L 260.41137,-125.56457 L 260.41137,-127.13351 C 259.26946,-127.1463 258.16674,-127.61337 257.32287,-128.35165 L 258.72448,-129.76477 C 259.39892,-129.12952 260.07418,-128.8447 260.99554,-128.8447 C 261.59246,-128.8447 262.25412,-129.07801 262.25412,-129.8559 C 262.25412,-130.13135 262.14767,-130.32297 261.97992,-130.46729 L 261.00919,-130.89817 L 259.8017,-131.43677 C 259.20392,-131.70331 258.69724,-131.92768 258.18973,-132.15418 L 252.9928,-134.46866 z M 260.89166,-140.07861 C 258.58145,-140.07861 256.6297,-139.26495 255.03308,-137.63638 C 254.59878,-137.19784 254.2207,-136.74014 253.90054,-136.26199 L 257.78952,-134.52996 C 258.1412,-135.60931 259.16644,-136.26412 260.41138,-136.33651 L 260.41138,-137.90548 L 261.57971,-137.90548 L 261.57971,-136.33651 C 262.3844,-136.29775 263.2666,-136.07723 264.13601,-135.40365 L 262.7991,-134.02926 C 262.30606,-134.37927 261.68359,-134.62536 261.06027,-134.62536 C 260.55444,-134.62536 259.83999,-134.47036 259.83999,-133.83468 C 259.83999,-133.73803 259.87322,-133.65289 259.93197,-133.57626 L 261.23312,-132.99807 L 262.11361,-132.60549 C 262.67648,-132.35387 263.21465,-132.11544 263.74685,-131.87829 L 268.96084,-129.557 C 269.13284,-130.2395 269.21969,-130.96587 269.21969,-131.7348 C 269.21969,-134.05823 268.40478,-136.02569 266.77493,-137.63638 C 265.16129,-139.26495 263.20102,-140.07861 260.89166,-140.07861 z"/>
</g>
</g>
<g id="g6394_1_" transform="matrix(0.624995,0,0,0.624995,312.8511,316.9328)">
<path id="path6396_1_" cx="475.97119" ry="29.209877" cy="252.08646" type="arc" rx="29.209877" d="M 387.83435,-482.97366 C 387.84216,-473.56265 380.2171,-465.92666 370.80609,-465.91885 C 361.39349,-465.91342 353.75751,-473.53689 353.75122,-482.95022 C 353.75122,-482.9573 353.75122,-482.96664 353.75122,-482.97366 C 353.74499,-492.38546 361.36847,-500.02145 370.78107,-500.02853 C 380.19208,-500.03634 387.82807,-492.41128 387.83435,-482.99948 C 387.83435,-482.99008 387.83435,-482.98306 387.83435,-482.97366 z" style="fill:#ffffff"/>
<g id="g6398_1_" transform="translate(-23.9521,-87.92102)">
<path id="path6400_1_" d="M 394.47845,-413.72311 C 389.30651,-413.72311 384.9284,-411.92001 381.34552,-408.30978 C 377.66895,-404.5762 375.83142,-400.15817 375.83142,-395.05264 C 375.83142,-389.94949 377.66894,-385.56271 381.34552,-381.89084 C 385.02057,-378.21896 389.40027,-376.38297 394.47845,-376.38297 C 399.61914,-376.38297 404.07385,-378.23533 407.84417,-381.93613 C 411.39422,-385.45334 413.17236,-389.82608 413.17236,-395.05265 C 413.17236,-400.28239 411.36456,-404.69962 407.75042,-408.30979 C 404.13635,-411.92001 399.7113,-413.72311 394.47845,-413.72311 z M 394.5238,-410.36453 C 398.76129,-410.36453 402.3598,-408.86996 405.32074,-405.88168 C 408.3114,-402.92617 409.80518,-399.31753 409.80518,-395.05264 C 409.80518,-390.75888 408.34266,-387.19638 405.41449,-384.36508 C 402.32855,-381.31503 398.69879,-379.79239 394.5238,-379.79239 C 390.34875,-379.79239 386.7503,-381.3002 383.72839,-384.31979 C 380.70648,-387.337 379.19555,-390.91592 379.19555,-395.05264 C 379.19555,-399.19333 380.7221,-402.80197 383.77679,-405.88168 C 386.70496,-408.86996 390.28625,-410.36453 394.5238,-410.36453 z"/>
<g id="g6402_1_">
<path id="path6404_1_" d="M 401.55505,-399.47849 L 387.98468,-399.47849 L 387.98468,-396.26359 L 401.55505,-396.26359 L 401.55505,-399.47849 z M 401.55505,-393.47763 L 387.98468,-393.47763 L 387.98468,-390.26358 L 401.55505,-390.26358 L 401.55505,-393.47763 z"/>
</g>
</g>
</g>
<g id="g398">
<circle cx="491.9473" cy="15.31396" r="10.80615" id="circle400" sodipodi:cx="491.9473" sodipodi:cy="15.31396" sodipodi:rx="10.80615" sodipodi:ry="10.80615" style="fill:#ffffff"/>
<g id="g402">
<path d="M 495.07474,12.18701 C 495.07474,11.77051 494.73685,11.43359 494.32083,11.43359 L 489.54837,11.43359 C 489.13235,11.43359 488.79446,11.7705 488.79446,12.18701 L 488.79446,16.95996 L 490.12551,16.95996 L 490.12551,22.6123 L 493.7427,22.6123 L 493.7427,16.95996 L 495.07473,16.95996 L 495.07473,12.18701 L 495.07474,12.18701 z" id="path404"/>
<circle cx="491.9346" cy="9.1723604" r="1.63232" id="circle406" sodipodi:cx="491.9346" sodipodi:cy="9.1723604" sodipodi:rx="1.63232" sodipodi:ry="1.63232"/>
</g>
<path clip-rule="evenodd" d="M 491.91946,3.40771 C 488.68801,3.40771 485.95169,4.53515 483.71243,6.7915 C 481.41458,9.12451 480.26614,11.88671 480.26614,15.07568 C 480.26614,18.26465 481.41458,21.00781 483.71243,23.30273 C 486.01028,25.59716 488.74661,26.74462 491.91946,26.74462 C 495.13235,26.74462 497.91751,25.58788 500.27395,23.27294 C 502.49368,21.07616 503.60305,18.34325 503.60305,15.07567 C 503.60305,11.80809 502.47414,9.04735 500.21535,6.79149 C 497.95657,4.53516 495.19193,3.40771 491.91946,3.40771 z M 491.94974,5.50732 C 494.59818,5.50732 496.84622,6.44091 498.69583,8.3081 C 500.56595,10.15527 501.50052,12.41162 501.50052,15.07568 C 501.50052,17.75927 500.58548,19.98681 498.75443,21.75634 C 496.8267,23.6621 494.55814,24.61474 491.94974,24.61474 C 489.33939,24.61474 487.09036,23.67187 485.20169,21.78564 C 483.31302,19.89892 482.36868,17.66259 482.36868,15.07568 C 482.36868,12.48925 483.32278,10.23339 485.23098,8.3081 C 487.06204,6.44092 489.3013,5.50732 491.94974,5.50732 z" id="path408" style="fill-rule:evenodd"/>
</g>
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg xmlns:dc="http://purl.org/dc/elements/1.1/" xmlns:cc="http://web.resource.org/cc/" xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" xmlns:svg="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" width="80" height="15" id="svg2279" sodipodi:version="0.32" inkscape:version="0.45+devel" version="1.0" sodipodi:docname="by-nc-nd.svg" inkscape:output_extension="org.inkscape.output.svg.inkscape">
<defs id="defs2281">
<clipPath clipPathUnits="userSpaceOnUse" id="clipPath3442">
<rect style="fill:#000000;fill-opacity:1;stroke:none;stroke-width:0.92243534;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" id="rect3444" x="171.99832" y="239.1203"/>
</clipPath>
</defs>
<sodipodi:namedview id="base" pagecolor="#ffffff" bordercolor="#999999" borderopacity="1" gridtolerance="10000" guidetolerance="10" objecttolerance="10" inkscape:pageopacity="0.0" inkscape:pageshadow="2" inkscape:zoom="10.5125" inkscape:cx="40" inkscape:cy="7.5" inkscape:document-units="px" inkscape:current-layer="layer1" width="80px" height="15px" showborder="true" inkscape:showpageshadow="false" inkscape:window-width="935" inkscape:window-height="624" inkscape:window-x="50" inkscape:window-y="160"/>
<metadata id="metadata2284">
<rdf:RDF>
<cc:Work rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type rdf:resource="http://purl.org/dc/dcmitype/StillImage"/>
</cc:Work>
</rdf:RDF>
</metadata>
<g inkscape:label="Layer 1" inkscape:groupmode="layer" id="layer1">
<g id="BY-NC-ND" transform="matrix(0.9875019,0,0,0.9333518,-323.90064,-411.87964)">
<g id="g3783" transform="translate(158,204)">
<rect style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.04161763;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" id="rect3785" width="80" height="15" x="170.5" y="237.86218"/>
<rect y="239.36218" x="172" height="12" width="77" id="rect3787" style="fill:#000000;fill-opacity:1;stroke:none;stroke-width:0.92243534;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"/>
<path style="fill:#abb1aa;fill-opacity:1;stroke:none;stroke-width:1;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:0.46913578" d="M 171.99996,239.37505 L 171.99996,251.37505 L 192.33474,251.37505 C 193.64339,249.62474 194.52652,247.59057 194.52652,245.37505 C 194.52652,243.17431 193.65859,241.1179 192.36599,239.37505 L 171.99996,239.37505 z" id="path3789" sodipodi:nodetypes="cccscc"/>
<g id="g3791" transform="matrix(0.9612533,0,0,0.9612533,6.8341566,9.5069994)" clip-path="url(#clipPath3442)">
<path id="path3793" cx="296.35416" ry="22.939548" cy="264.3577" type="arc" rx="22.939548" d="M 190.06417,245.36206 C 190.06667,249.25405 186.91326,252.41072 183.02153,252.41323 C 179.12979,252.41572 175.97262,249.26256 175.97036,245.3706 C 175.97036,245.36783 175.97036,245.36507 175.97036,245.36206 C 175.9681,241.47007 179.12126,238.3134 183.013,238.31113 C 186.90524,238.30864 190.06191,241.46181 190.06417,245.3538 C 190.06417,245.35628 190.06417,245.35929 190.06417,245.36206 z" style="opacity:1;fill:#ffffff"/>
<path d="M 188.74576,239.62226 C 190.30843,241.18492 191.08988,243.09869 191.08988,245.36206 C 191.08988,247.62592 190.32197,249.51913 188.78615,251.04165 C 187.15627,252.64521 185.22995,253.44672 183.00722,253.44672 C 180.81132,253.44672 178.91837,252.65172 177.32887,251.06174 C 175.73912,249.47198 174.94436,247.57226 174.94436,245.36206 C 174.94436,243.15235 175.73912,241.23908 177.32887,239.62226 C 178.87799,238.0591 180.77094,237.27764 183.00722,237.27764 C 185.2706,237.27764 187.18312,238.05909 188.74576,239.62226 z M 178.38093,240.67355 C 177.05978,242.008 176.39945,243.57116 176.39945,245.36429 C 176.39945,247.15694 177.05326,248.70682 178.36062,250.01393 C 179.66822,251.32153 181.22487,251.97509 183.03105,251.97509 C 184.83724,251.97509 186.40716,251.31502 187.74161,249.99412 C 189.0086,248.76725 189.64234,247.22467 189.64234,245.36429 C 189.64234,243.51799 188.99831,241.95084 187.71101,240.66354 C 186.42396,239.37649 184.86406,238.7327 183.03105,238.7327 C 181.19804,238.73271 179.64767,239.37975 178.38093,240.67355 z M 181.85761,244.57559 C 181.65573,244.13545 181.35354,243.91525 180.95051,243.91525 C 180.23802,243.91525 179.8819,244.39501 179.8819,245.35404 C 179.8819,246.31328 180.23802,246.79255 180.95051,246.79255 C 181.421,246.79255 181.75705,246.55908 181.95869,246.09111 L 182.94629,246.61701 C 182.47555,247.45339 181.76934,247.87168 180.82763,247.87168 C 180.10136,247.87168 179.51953,247.64899 179.08265,247.20409 C 178.64502,246.7587 178.42684,246.14477 178.42684,245.36206 C 178.42684,244.59313 178.65204,243.98271 179.10271,243.53056 C 179.55338,243.07838 180.11463,242.8524 180.7875,242.8524 C 181.78288,242.8524 182.49561,243.24465 182.92647,244.02835 L 181.85761,244.57559 z M 186.50398,244.57559 C 186.30184,244.13545 186.00567,243.91525 185.61517,243.91525 C 184.88839,243.91525 184.52474,244.39501 184.52474,245.35404 C 184.52474,246.31328 184.88839,246.79255 185.61517,246.79255 C 186.08642,246.79255 186.41644,246.55908 186.6048,246.09111 L 187.61447,246.61701 C 187.14448,247.45339 186.43926,247.87168 185.49931,247.87168 C 184.77403,247.87168 184.19346,247.64899 183.75683,247.20409 C 183.32096,246.7587 183.10254,246.14477 183.10254,245.36206 C 183.10254,244.59313 183.32422,243.98271 183.76737,243.53056 C 184.21026,243.07838 184.77404,242.8524 185.4592,242.8524 C 186.45282,242.8524 187.16455,243.24465 187.5939,244.02835 L 186.50398,244.57559 z" id="path3795" style="opacity:1"/>
</g>
</g>
<path id="text3797" d="M 357.4197,448.68503 C 357.66518,448.68504 357.85131,448.63146 357.9781,448.52428 C 358.10488,448.41712 358.16827,448.25905 358.16828,448.05008 C 358.16827,447.84378 358.10488,447.68705 357.9781,447.57988 C 357.85131,447.47004 357.66518,447.41512 357.4197,447.41511 L 356.55784,447.41511 L 356.55784,448.68503 L 357.4197,448.68503 M 357.4723,451.30929 C 357.78522,451.30929 358.0199,451.24364 358.17637,451.11236 C 358.33552,450.98109 358.4151,450.78283 358.4151,450.51759 C 358.4151,450.25771 358.33686,450.06347 358.18041,449.93488 C 358.02396,449.80359 357.78792,449.73796 357.4723,449.73795 L 356.55784,449.73795 L 356.55784,451.30929 L 357.4723,451.30929 M 358.92089,449.15122 C 359.25538,449.24767 359.51434,449.42583 359.69779,449.68571 C 359.88121,449.94559 359.97293,450.26441 359.97294,450.64217 C 359.97293,451.22087 359.776,451.65222 359.38217,451.93621 C 358.98833,452.2202 358.38947,452.36219 357.5856,452.36219 L 355.00001,452.36219 L 355.00001,446.3622 L 357.33878,446.3622 C 358.17771,446.36221 358.78466,446.48813 359.15962,446.73996 C 359.53727,446.99181 359.7261,447.39502 359.7261,447.9496 C 359.7261,448.24164 359.65732,448.4908 359.51975,448.69709 C 359.38217,448.90072 359.18255,449.05209 358.92089,449.15122 M 359.83746,446.3622 L 361.54096,446.3622 L 362.91671,448.50018 L 364.29245,446.3622 L 366,446.3622 L 363.69764,449.8344 L 363.69764,452.36219 L 362.13982,452.36219 L 362.13982,449.8344 L 359.83746,446.3622 M 365.15837,449.40842 L 367.69946,449.40842 L 367.69946,450.57787 L 365.15837,450.57787 L 365.15837,449.40842 M 368.9174,446.3622 L 370.65732,446.3622 L 372.85447,450.47741 L 372.85447,446.3622 L 374.33138,446.3622 L 374.33138,452.36219 L 372.59146,452.36219 L 370.3943,448.24699 L 370.3943,452.36219 L 368.9174,452.36219 L 368.9174,446.3622 M 380.65173,452.03266 C 380.36579,452.18002 380.0677,452.2912 379.75749,452.36622 C 379.44727,452.44123 379.12357,452.47875 378.78638,452.47875 C 377.78019,452.47875 376.98307,452.20011 376.395,451.64284 C 375.80693,451.08289 375.5129,450.32469 375.5129,449.36823 C 375.5129,448.40909 375.80693,447.65089 376.395,447.09361 C 376.98307,446.53367 377.78019,446.2537 378.78638,446.25369 C 379.12357,446.2537 379.44727,446.29121 379.75749,446.36621 C 380.0677,446.44124 380.36579,446.55243 380.65173,446.69977 L 380.65173,447.94157 C 380.36309,447.746 380.0785,447.60266 379.79796,447.51156 C 379.5174,447.42047 379.22203,447.37493 378.91181,447.37493 C 378.35611,447.37493 377.91911,447.55175 377.6008,447.9054 C 377.28249,448.25905 377.12333,448.74666 377.12333,449.36823 C 377.12333,449.98712 377.28249,450.47339 377.6008,450.82703 C 377.91911,451.18069 378.35611,451.35751 378.91181,451.35751 C 379.22203,451.35751 379.5174,451.31197 379.79796,451.22087 C 380.0785,451.12978 380.36309,450.98644 380.65173,450.79086 L 380.65173,452.03266 M 381.82921,449.40842 L 384.3703,449.40842 L 384.3703,450.57787 L 381.82921,450.57787 L 381.82921,449.40842 M 385.58824,446.3622 L 387.32815,446.3622 L 389.52531,450.47741 L 389.52531,446.3622 L 391.00222,446.3622 L 391.00222,452.36219 L 389.26229,452.36219 L 387.06515,448.24699 L 387.06515,452.36219 L 385.58824,452.36219 L 385.58824,446.3622 M 394.08956,447.53165 L 394.08956,451.19274 L 394.64795,451.19274 C 395.28456,451.19274 395.77013,451.03602 396.10462,450.72255 C 396.44181,450.40909 396.61041,449.95363 396.61042,449.35617 C 396.61041,448.7614 396.44317,448.30862 396.10867,447.99783 C 395.77417,447.68705 395.28726,447.53166 394.64795,447.53165 L 394.08956,447.53165 M 392.53172,446.3622 L 394.17453,446.3622 C 395.09169,446.36221 395.77417,446.42784 396.22197,446.55912 C 396.67245,446.68772 397.0582,446.90742 397.37922,447.2182 C 397.66246,447.48879 397.87286,447.80092 398.01044,448.15456 C 398.14801,448.50822 398.2168,448.90875 398.21681,449.35617 C 398.2168,449.80896 398.14801,450.21351 398.01044,450.56983 C 397.87286,450.92349 397.66246,451.23561 397.37922,451.50621 C 397.05551,451.81699 396.66706,452.03802 396.21387,452.1693 C 395.76069,452.29789 395.0809,452.36219 394.17453,452.36219 L 392.53172,452.36219 L 392.53172,446.3622" style="font-size:8.25858784px;font-style:normal;font-weight:bold;fill:#ffffff;fill-opacity:1;stroke:none;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;font-family:'Bitstream Vera Sans'"/>
</g>
</g>
</svg>
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
\define descr() $(dtitle)$<br><i class="far fa-calendar-alt"></i>
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update"><$list filter="[has[map_id]]"><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<current>> $field="description" $value=<<descr>>/></$set></$list> Change description fields for all datasheets </$button>
<table class="centertable borderless">
<tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Prefix for images://</span></td></tr>
<div class="rcfield darkgreyfont clickbutton" style="width: 12.6em; display: flex; flex-direction: row; align-items: center"><$edit-text class="smallnoborderfield" style="width:1.2em;" tiddler="$:/prefix_img" type="search" tag="input"/><$reveal state="$:/prefix_maps" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/prefix_maps" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div>
</table>
<!-- Prefix box for background maps -->
<table class="centertable borderless">
<tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Prefix for background maps://</span></td></tr>
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width: 12.6em; display: flex; flex-direction: row; align-items: center"><$edit-text class="smallnoborderfield" style="width:1.2em;" tiddler="$:/prefix_maps" type="search" tag="input"/><$reveal state="$:/prefix_maps" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/prefix_maps" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td>
<!-- ⓘ The variable 'bckg_img_name' is returned from variables 'bckg_img' and 'prefix_map' via macro '$:/substring_backimg' -->
<$button class="clickbutton tc-btn-invisible buttonfield"><$list filter="[regexp[\$:/plugins/felixhayashi/tiddlymap/graph/views/]]+[has[config.background_image]]"><$set name=bckg_img value={{!!config.background_image}}><$set name=prefix_map value={{$:/prefix_maps}}><$action-setfield $tiddler=<<current>> $field="config.background_image" $value=<<bckg_img_name>>/></$set></$set></$list> <i class="fas fa-caret-right"></i> Set prefix </$button></td></tr>
</table>
<!-- List of prefixed paths -->
<table class="centertable borderless">
<span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //List of prefixed paths://</span>
<div class="justify" style="overflow: auto; width:100%; height:100%; min-height:100px; max-height:150px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#F0F0F1;line-height:1.2em">
<$list filter="[regexp[\$:/plugins/felixhayashi/tiddlymap/graph/views/]]+[has[config.background_image]sort[config.background_image]]">
{{!!config.background_image}}<br>
</$list>
</div>
</table>
{{||map-image1}}
{{||image2}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hooper, Charles E. (1906), //The anatomy of knowledge: An essay in objective logic//, London: Watts.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Chapman, J. (1906), "Contemporary literature", in //The Westminster Review// 166, London: Marlborough, pp. 341–354.
{{||map-image1}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Peirce, Charles Sanders (1902), "On Science and Natural Classes", in //The Essential Peirce: Selected Philosophical Writings (1893-1913)//, Bloomington: Indiana University Press, 1998, pp. 258–262.
* Peirce, Charles Sanders (1902), "A detailed classification of the sciences", in //Collected Papers//, vol. 1, pp. 31–38.
* Peirce, Charles Sanders (1903), "An Outline Classification of the Sciences", in //The Essential Peirce: Selected Philosophical Writings (1893-1913)//, Bloomington: Indiana University Press, 1998, pp. 58–262.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
*Ambrosio, Chiara (2017), "The Historicity of Peirce’s Classification of the Sciences", //European Journal of Pragmatism and American Philosophy//, VIII-2.
* Anderson, Douglas R. (1995), //Strands of System: The Philosophy of Charles Peirce//, Purdue University Press.
* Atkins, Richard (2006), "Restructuring the Sciences: Peirce’s Categories and His Classifications of the Sciences", //Transactions of the Charles S. Pierce Society// 42(4), pp. 483–500.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 2, pp. 79–90.
* Kent, Beverley (1987), //Charles S. Peirce: Logic and the Classification of the Sciences//, McGill-Queen’s University Press.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, p. 76.
* Mora, Jose Ferrater (1955), "Peirce’s Conception of Architectonic and Related Views", //Philosophy and Phenomenological Research// 15(3), pp. 351–359.
* Pape, Helmut (1993), "Final Causality in Peirce’s Semiotics and His Classification of the Sciences", //Transactions of the Charles S. Peirce Society// 29(4), pp. 581–607.
* Pietarinen, Ahti-Veikko (2006), "Interdisciplinarity and Peirce’s classification of the Sciences: A Centennial Reassessment", //Perspectives on Science// 14(2), pp. 127–152.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Shields, Charles Woodruff (1861), //Philosophia Ultima//, Philadelphia: J. B. Lippincott.
* Shields, Charles Woodruff (1882), //The order of the sciences; an essay on the philosophical classification and organization of human knowledge//, New York: C. Scribner’s sons.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 255.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Guye, Charles-Eugène (1919), "Réflexions sur la classification et l’unification des sciences", //Archives de psychologie// 17, pp. 234–252.
* Guye, Charles-Eugène (1922), //L’évolution physico-chimique: la relativité d’Einstein dans la classification des sciences//, Paris: E. Chiron.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Panckoucke, Charles-Joseph (1780), //Table analytique et raisonnée des matières contenues dans les XXXIII volumes in-folio du Dictionnaire des sciences, des arts et des métiers//, Paris: Panckoucke.
* Panckoucke, Charles-Joseph (1782), //Encyclopédie méthodique//, Paris: Panckoucke.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Doig, Kathleen (1992), "L’Encyclopédie méthodique et l’organisation des connaissances", //Recherches sur Diderot et sur l’Encyclopédie// 12(1), pp. 59–70.
* Rey, Christophe (2006), "A la (re) découverte d’un monument oublié: l’Encyclopédie méthodique", //Cahiers de lexicologie: Revue internationale de lexicologie et lexicographie// 88, pp. 67–82.
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
"Specialising geometry: the science which applies geometrical principles to the graphic representation of the earth's surface of land and water, and the visible heavens." Hooper (1906), p. 169.
"Had there been only one kind of substance or matter in the universe, the laws of Physics would have explained all the phenomena; but there are //iron//, and //sulphur//, and //charcoal//, and about fifty others, which to the present state of science, appear essentially distinct. Now these, when taken singly, obey the laws of Physics; but when two or more of them are placed in contact, under certain circumstances, they exhibit a new order of phenomena. [...] Such changes are called //chemical//, but during the changes, the substances are not withdrawn from the influence of the physical laws. [...] Chemistry, then, is truly, as figured above, a superstructure on Physics.", Arnott (1828), p. x.
"Chemistry is a continuation of Molecular Physics, having more especial references to the Combinations and Decompositions, named chemical, and characterised by great accompanying changes of properties." Bain (1870), p. 26.
"Chemistry deals with the union and the separation of elements; it regards all the substances of nature as either simples or compounds; the manner of union or composition being special to the sciences." Bain (1870), pp. 472–473.
"To the head of //Chemistry// seem to be generally referred, those properties, which are either discovered in bodies, or given to them, by means of //mixture// (i. e. actual contact, produced as between bodies in a //fluid// state on the one hand, and bodies, either in a //fluid// or in a //solid// state, on the other), or by the application of extraordinary degrees of //temperature//, (i. e. of heat or cold, or both); on which occasions the original bodies are, commonly, in appearance destroyed,—and, in the room of them, new ones—in appearance and properties, more or less dissimilar—produced.", Jeremy Bentham (1816), //Chrestomathia//, p. 29.
"The science of ponderable substances, regarded as dependent on the relatively ultimate, molecular or atomic, bodies of which they are composed." Hooper (1906), p. 150.
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
"C'est parmi les propriétés inorganiques qu'on doit ranger la composition des substances homogènes, soit que ces substances proviennent originairement d'un corps inorganique ou d'un corps organisé. Le chimiste, en étudiant cette composition, s'occupe donc d'une des propriétés dont le physicien doit embrasser l'ensemble, et la chimie ne peut dès lors être considérée que comme une des branches de la physique générale." Ampère (1834), Tome 1, p. 90.
"La //Chimie// considère [les corps] comme formés de certaines parties constituantes, et qui s'occupe essentiellement de leur composition, de leur formation et de la désunion de leurs principes." De Candolle (1813), p. 2.
"L'étude spéciale des chimistes est la connaissance de l'ensemble des propriétés de chaque espèce de matière" (Chevreul 1866, p. 546).
"On donne le nom de //chimie// à celle qui a pour objet la connaissance des éléments des corps, les lois suivant lesquelles ces éléments se combinent ou se séparent." Condorcet (1793), p. 769.
"Science qui se propose de décomposer les corps ou de les combiner entre eux" (Lancelin 1803, p. 133).
"C'est la science des lois des combinaisons et des décompositions de substances", Naville (1901), p. 74.
"De la connaissance expérimentale ou de l'histoire prise par les sens des qualités extérieures, sensibles apparentes des corps naturels, la réfléxion nous a conduit à la recherche artificielle de leurs propriétés intérieures et occultes et cet art s'est appelé Chimie. La chimie est imitatrice et rivale de la nature même, ou elle décompose les êtres; ou elle revivifie; ou elle les transforme." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Quand même tous les phénomènes chimiques seraient un jour positivement analysés comme dus à des actions purement physiques, ce qui sera peut-être le résultat général des travaux de la génération scientifique actuelle, notre distinction fondamentale entre la physique et la chimie ne suarait en être effectivement ébranlée. Car il resterait nécessairement vrai que, dans un fait justement qualifié de chimique, il y a toujours quelque chose de plus que dans un fait simplement physique, savoir: l'altération caractéristique qu'éprouvent la composition moléculaire des corps, et par suite, l'ensemble de leurs propriétés." Comte (1835), //Cours de philosophie positive//, Tome 2, pp. 400-401.
"Science des rapports spéciaux de composition", Bourdeau (1882), vol. II, p. 231.
"Science des éléments de substance", Bourdeau (1882), vol. II, p. 172.
"Science des lois de composition", Bourdeau (1882), vol. II, p. 257.
"Science des substances composées", Bourdeau (1882), vol. II, p. 201.
"The science of the geographical distribution of organic species, and of the changes which take place, from time to time, in such distribution." Hooper (1906), p. 171.
"Geographical distribution of Living forms", Pearson (1892), p. 468.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Crusius, Christian August (1747), //Weg zur Gewissheit und Zuverlässigkeit der menschlichen Erkenntniss//, Leipzig.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Schütz, Christian Gottfried (1773), //Grundsätze der Logik//, pp. 130 ff.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), pp. 243–294.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Wolff, Christian (1728), //Philosophia rationalis sive Logica, methodo scientifica pertractata, et ad usum scientiarum. atque vitae aptata. Praemittitur discursus praeliminaris de philosophia in genere//, Frankfurt: 1728. English transl. //Preliminary discourse on philosophy in general//, Bobbs-Merrill, 1963.
* Wolff, Christian (1715), //Elementa matheseos universae//, Magdeburg: 1730.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Carboncini, Sonia (1987), "L’encyclopédie et Christian Wolff: à propos de quelques articles anonymes", //Les Études philosophiques// 4, pp. 489–504.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 129.
* Goubet, J.-F., Fabbianelli, F. & Rudolph, O.-P. (2009), //Christian Wolff et la pensée encyclopédique européenne//, Presses universitaires de Bordeaux.
* Pimpinella, Pietro (2006), "La théorie wolffienne des arts à l’origine de l’esthétique", //Revue germanique internationale// 4, pp. 9–22.
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), pp. 243.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* De Savigny, Christofle (1587), //Tableaux accomplis de tous les arts libéraux//, Paris: Gourmont.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Angelini, Annarita (2008), //Metodo ed enciclopedia nel Cinquecento francese//, Tomo II: "Tableaux di Savigny". Firenze: Olschki, 2008.
* Angelini, Annarita (2013), //Tout le savoir du monde: Aux origines de l’encyclopédie moderne, suivi de l’édition critique de Tableaux accomplis de tous les arts libéraux//, Paris: Editions Manucius.
* Siegel, Steffen (2009), //Tabula. Figuren der Ordnung um 1600//. Berlin: Akademie-Verlag.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
"The science of colour." Hooper (1906), p. 178.
"La chronologie est la doctrine des temps, une science qui traite de la nature, des propriétés, des parties, et de l'usage du temps, considéré dans l'ordre civil; c'est-à-dire qui est l'art de placer, et d'arranger les événements de l'histoire dans l'ordre qu'ils sont arrivés. La chronologie place pour ainsi dire les hommes dans le temps." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"CHRONOLOGY, or the doctrine of TIME; measured by Year, Month, Week, Day, Hour, Age, Period, Cycle, &c. Commencing from Epocha, Incarnation, Hegira, &c. Laid down in Fasti, Almanack, Calendar, Julian, Gregorian, &c. Accommodated to Feasts, Feriae, Easter, &c. by means of Epact, Golden Number, Dominical, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The science of time. This is, for the most part, a specialising science, having to do with the unique process of time, in which are embraced the centuries of human history." Hooper (1906), p. 165.
"CHYMISTRY, including the use of FIRE, Water, baths, Ferments, Menstruums, Furnaces, Retorts, and other instruments; to change Animal, Vegetable, and Fossile bodies, by inducing Fusion, Putrefaction, Fermentation, Dissolution, Exhalation, &c. and hereby procuring Spirits, Salts, Oils. Acid, Alcaline, Aromatic, Urinous. Wines, Vinegars, Flowers, Calces, Crystals, Soaps, Tartars. Regulus, Magistery, Extract, Elixir. Ceruss, Minium, Litharge, Quintessence, Phosphorus, Alcahest, Philosopher's Stone, and the like; by the operations of Distillation, Expression, Cohobation, Sublimation, Rectification, Crystallization, Calcination, Amalgamation, Digestion, Precipitation, Vitrification, Fixation, Transmutation, and the like.—Arbor Dianae, Aurum Fulminans, artificial Earthquake, Magic, Divination, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
La Cinématique étudie les mouvements des corps, mais en faisant volontairement abstraction des propriétés de la matière qui les constitue; elle fait donc appel aux notions de nombre, d'espace et de temps. Guye (1919), p. 247.
"Science du mouvement", Bourdeau (1882), vol. I, p. 383.
Source: S. G. Strumilin (1954).
"Consequences from accidents of politic bodies; which is called Politics, and Civil Philosophy", //Leviathan// (1651), chap. 9, p. 53.
"//Civil History//, in our Judgment, is rightly divided into three kinds; first into //Sacred// or //Ecclesiastical//; then into that which retains the general name //Civil//; lastly, into that of //Learning// and //Arts//." Bacon (1623), Book II, p. 56.
"Quand on considère les végétaux au point de vue concret, il est certain qu'on n'y voit que des individus. [Mais] par la raison que leurs propriétés, leurs attributs, c'est-à-dire la grandeur, la grosseur, la couleur des feuilles et des fleurs, etc., etc., n'appartiennent pas à tous les individus d'une même origine. Il y a donc nécessité de ne composer le caractère de l'espèce, que de propriétés, que d'attributs communs à tous les individus d'une même origine. On voit donc que la définition de l'espèce végétale ne s'applique point au //concret//, mais à l'//abstrait//." (Chevreul 1866, pp. 552–553).
"Nor only the Classicatory Sciences, but the application of names to things in the
rudest and most unscientic manner, depends upon our apprehending them as like each other", Whewell (1840), //Philosophy of the Inductive Sciences//, Book 8, p. 466.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Laertius, Diogenes, //The lives and opinions of eminent philosophers//, transl. C.D. Yonge, London: G. Bohn, 1853.<br>→ Cf. Book VII, §.XXXIII, p. 274.
* Pearson, A.C (1891), //The fragments of Zeno and Cleanthes//, London: C.J. Clay.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Richardson, Ernest Cushing (1901), //Classification, theoretical and practical//, New York: C. Scribner.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
iVBORw0KGgoAAAANSUhEUgAAABUAAAAUCAIAAADtKeFkAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4gkbAhYHnChW3AAAAtRJREFUOMullF1P6kAQhne6VaqhIDbRG6M3ipAYFImaYKCgJnrllf/SxBujpGLQUn8AGuKiCH5gNAItWOsHSrvnoh7koEdPcuZ29nlndubdBV3X0X8EgxAyTVPTtMfHRwD4GWAYXder1eoHr6rqxsaGJEn1ep1l2W9glmXv7u4kSVpfX1dVlWEYxk40m83T09NEIqGq6t8kMMblcnl3d/fi4uL19RVj/F5/YGBgaWmpr6+vVCptb2+rqmrnOtqu1WqSJJVKpZ6enrW1NY/HY1nWe32/3y+KotPpvL6+TiaTmqa1WgMAjPH9/f3W1tbV1RXP86urq0NDQ5RShBDb6n9ycpJSmk6nC4VCV1eXKIqCIFiWBQCVSiWZTF5eXvb398fj8ZGRERv+4Cmlb29vgUAAABRFIYQAQCQSGRwcvLm52d/fPz8/FwQhGo2Oj4+34A/eljBN0+/3A4Asy8fHxwghn893cnJydnYmCIIoil6v1z7ZoqDDPwBgWRYhRFEUwzA4jms0Gi6XKxaLjY2NdcDv828PSinGeGJiIhgMUkqfnp4wxrOzs6Ojo5/hL3gAAIBarVapVOjvuL29fXh4aG3kB17TNFmWs9ms2+2enp7mef7w8FCW5S/dyXSYxDCMvb09Qojb7Y7FYqIozs3NOZ1OQkgqlarX6x3WYtvhl5eXRCJRKBRcLlc8Hvd6vfYsTNNUFCWfzwPA4uIiz/OWZf1Rn2GY5+fnzc3NYrHI83wkEvH5fABgmiYAhEKh+fl5h8NBCEmn0+0vlbXvbBjGzs5OsVjs7e0Nh8OBQMCeXMsXMzMzAHBwcJDJZBBCCwsLHMdRSlmEkGEYqVQqn893d3dHo1HbyO2rsiWCwaAtcXR01Gw2l5eXHQ4HgxCqVqvZbBZjvLKy8hluSVBKp6amwuEwx3GZTKZcLgMA6LreaDRyuZzH4xkeHv6X/yeXy+m6HgqFAOAX3DuT2YdYWroAAAAASUVORK5CYII=
"Communication-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Common-properties-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
Main source: Wolff, Christian (1728), //Philosophia rationalis sive Logica, methodo scientifica pertractata, et ad usum scientiarum. atque vitae aptata. Praemittitur discursus praeliminaris de philosophia in genere//. Frankfurt: 1728. Transl. //Preliminary discourse on philosophy in general//, Bobbs-Merrill, 1963.
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
\define getAddress()
https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23$(page)$$(des)$&dt=$(page)$$(des)$
\end
\define phpurl()
../Technical/Counter/Counter_pageviews.php?page=$(page)$$(des)$
\end
<!-- Collaborative toolbar -->
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render when printed -->
<span class="righto borderless" id="hminus" style="display: flex;line-height:1.4em; position:relative; top:0.6em; padding-right:8px">
<div>{{$:/core/ui/Buttons/print-datasheet}}</div><div style="padding-left:6px">{{||Link_datasheet}}</div><!-- <div style="padding-left:6px">{{||Scholar_information}}</div> --><$reveal type="match" state="coll-toolbar!!enabled" text="yes"><div style="padding-left:6px"><$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" popup="$:/temp/popup/tmenu" tooltip="Add data to this datasheet"> <i style="transform:translate(0px, 2px)" class="fas fa-edit"></i> </$button></div></$reveal>
<$reveal type="popup" state="$:/temp/popup/tmenu">
<ul class="tc-drop-down" style="min-width:324px!important; margin-left:-280px!important; list-style-type: none; margin: 0; padding:0px; -webkit-border-radius:2px; border-radius:2px">
<li style="display: block; padding: 0px; text-decoration: none"><$button class="tc-btn-invisible clickbutton" style="font-size:calc(6px + 0.6vw); min-height:25px"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/><$action-navigate $to="Add a missing definition"/><i class="fa fa-plus-circle" style="filter: brightness(150%); padding-left:5px; padding-right:0.5em"></i>Add a new definition to the map</$button></li>
<li style="display: block; color: #000; padding: 0px; text-decoration: none"><$button class="tc-btn-invisible clickbutton" style="padding-right:5px; font-size:calc(6px + 0.6vw); min-height:25px"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/><$action-navigate $to="Add a bibliographic entry"/><i class="fas fa-pen-square" style="filter: brightness(150%); padding-left:5px; padding-right:0.6em"></i>Add a new reference to the bibliography</$button></li>
<li style="display: block; color: #000; padding: 0px; text-decoration: none"><$button class="tc-btn-invisible clickbutton" style="padding-left:5px; padding-right:0.6px; font-size:calc(6px + 0.6vw); min-height:25px"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/>
<$action-navigate $to="Report a mistake"/><i class="fas fa-exclamation-circle" style="filter: brightness(150%); padding-left:12.8px; padding-right:0.5em"></i>Report a mistake</$button></li>
</ul>
</$reveal>
</span>
</$reveal>
<!-- Space before 'References' -->
<div style="padding-bottom:5px"></div>
<!-- Google Analytics -->
<$set name=page value={{!!last_name}}> <$set name=des value={{!!desambiguate}}>
<span style="opacity:0"><img src=<<getAddress>> /></span>
</$set></$set>
<!-- PHP counter code -->
<$set name=page value={{!!last_name}}> <$set name=des value={{!!desambiguate}}>
<iframe height="0px" width="0px" style="display:none" src=<<phpurl>> ></iframe>
</$set></$set>
<!-- Add a definition to a map -->
<span class="righto borderless" id="hminus">
<$button tooltip="Click here to add the definition of a discipline to this map" class="tc-btn-invisible clickbutton" style="padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/>
<$action-navigate $to="Add a missing definition"/><i class="fa fa-plus-circle" style="padding-left:5px; padding-right:5px"></i>Add data to this map</$button>
<$button tooltip="Add a reference to the bibliography" class="tc-btn-invisible clickbutton" style="padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/>
<$action-navigate $to="Add a bibliographic entry"/><i class="fas fa-info-circle" style="padding-left:5px; padding-right:5px"></i>Add a reference</$button>
<$button tooltip="Report a mistake" class="tc-btn-invisible clickbutton" style="padding-left:5px; padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/>
<$action-navigate $to="Report a mistake"/><i class="fas fa-exclamation-circle" style="padding-right:5px"></i>Report a mistake</$button>
</span>
\define getAddress()
https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23$(page)$$(des)$&dt=$(page)$$(des)$
\end
\define phpurl()
/Technical/Counter/Counter_pageviews.php?page=$(page)$$(des)$
\end
<!-- Collaborative toolbar -->
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render when printed -->
<$reveal type="match" state="coll-toolbar!!enabled" text="yes">
<span class="righto borderless" id="hminus" style="line-height:1.2em; position:relative; top:-0.5em">
<table class="infobg">
<tr><td align="left" style="padding-top:1px;"><$button tooltip="Click here to add the definition of a discipline to this map" class="tc-btn-invisible clickbutton" style="padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/><$action-navigate $to="Add a missing definition"/><i class="fa fa-plus-circle" style="padding-left:5px; padding-right:0.5em"></i>Add data to this map</$button></td></tr>
<tr><td align="left"><$button tooltip="Click here to add a reference to the bibliography" class="tc-btn-invisible clickbutton" style="padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/><$action-navigate $to="Add a bibliographic entry"/><i class="fas fa-pen-square" style="padding-left:5px; padding-right:0.6em"></i>Add a reference</$button></td></tr>
<tr><td align="left" style="padding-bottom:5px"><$button tooltip="Click here to report a mistake or to suggest improvements" class="tc-btn-invisible clickbutton" style="padding-left:5px; padding-right:5px; font-size:calc(6px + 0.6vw)"><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value={{!!title}}/>
<$action-navigate $to="Report a mistake"/><i class="fas fa-exclamation-circle" style="padding-right:0.5em"></i>Report a mistake</$button></td></tr>
</table>
</span>
</$reveal>
</$reveal>
<!-- Google Analytics -->
<$set name=page value={{!!last_name}}> <$set name=des value={{!!desambiguate}}>
<span style="opacity:0"><img src=<<getAddress>> /></span>
</$set></$set>
<!-- PHP counter code -->
<$set name=page value={{!!last_name}}> <$set name=des value={{!!desambiguate}}>
<iframe height="0px" width="0px" style="display:none" src=<<phpurl>> ></iframe>
</$set></$set>
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
<!-- Add a new (empty) comment -->
<$button tooltip="Add data to a map or suggest an improvement" class="tc-btn-invisible clickbutton">
<$action-navigate $to="Leave a comment"/><i class="fas fa-comment-dots"></i>
Leave a comment</$button>
<$checkbox class="clickbutton right" tiddler="$:/temp/comments_processed_toggle" field="text" checked="Yes" unchecked="All" default="All"> Display unprocessed comments only</$checkbox>
<$list filter="[prefix[Comment_]sort[date_comment]!processed{$:/temp/comments_processed_toggle}]">
<div class="justify" style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;">
<$link to={{!!title}} tooltip="{{!!title}}">・//{{!!date_comment}} - {{!!name}} - {{!!affiliation}}//:</$link>
<div class="darkgreyfont" style="margin-left: 30px; margin-right: 30px;">{{!!text}}</div>
<br>
<div class="righto">
<$checkbox class="clickbutton" tiddler=<<currentTiddler>> field="processed" checked="Yes" unchecked="No" default="No"> Processed</$checkbox> <$button class="tc-btn-invisible clickbutton" message="tm-delete-tiddler" param=<<currentTiddler>>>{{$:/core/images/delete-button}} Delete this comment </$button><br></div>
</div>
<br>
</$list>
"COMMERCE, or the affairs of merchandize; including Money, Coin, Species, &c. as Pound, Crown, Shilling, Penny, Sterling. Ducat, Dollar, Piece of eight. Talent, Sesterce, Shekel, and the like. Weights; Libra, Ounce, &c. Measures; Foot, Yard, Standard, &c. Given in Exchange, Truck, Permutation, Commutation, &c. for Manufacture, Spice, Drug, Woollen, Slave, Negro, &c. Imported, Exported, Transported, Convoy, Flota, &c. Conditions thereof; Tariff, Contraband, Charter-party, Freight, Average, &c. Customs, Duty, Tunnage, Poundage, &c. Bottomry, Assurance, Pike, &c. Transacted by Company; as Hans, Steel-yard, East-India, Turky, Hamburgh, Missisippi, South-Sea, Assiento, Register. Colony, Fishery, Factory, &c. At Staple, Fair, Market, Bank, Exchange, &c. By Commission, Factor, Broker, &c. Weighing, paying by Bill; at Usance, Acceptance, Par. Protest, Discount, Rechange, &c. Action, Subscription. Book-keeping, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
\define icase_t() {{$:/temp/tdisc1!!text}}(?i)
\define icase_t2() {{$:/temp/tdisc2!!text}}(?i)
<$reveal type="match" state="$:/state/TReveal1" text="state1">
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline 1://</span></td><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline 2://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc1" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/></$wikify><$wikify name="tdisc2" text=<<icase_t2>> ><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/></$wikify><$action-setfield $tiddler="$:/state/TReveal1" text="state2"/><$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/>'><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc1" type="search" tag="input"/><$reveal state="$:/temp/tdisc1" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc1" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc2" text=<<icase_t2>> ><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/></$wikify><$wikify name="tdisc1" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state2"/><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/><$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc2" type="search" tag="input"/><$reveal state="$:/temp/tdisc2" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc2" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="tdisc1" text=<<icase_t>> ><$wikify name="tdisc2" text=<<icase_t2>> ><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update the timeline"><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state2"/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/></$button></$wikify></$wikify></td></tr>
<tr><td colspan="2" align="center" style="color: #adadad; font-size: calc(9px + 0.4vw)"><$list filter="[title[$:/temp/tdisc1]displayed[]]"><$count filter="[has[list_disciplines]]"/> maps indexed</$list></td></tr>
</table>
<!-- Timeline -->
<$list filter="[title[$:/temp/tdisc1]!displayed[]]">
<span class="center" style="font-weight: bold; font-size: calc(12px + 0.4vw)">Results for '{{$:/temp/tdisc1!!displayed}}' and '{{$:/temp/tdisc2!!displayed}}' in the database (<$count filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}has[map_date]]"/> hits)</span>
<span style="position:relative; top:-2em">
<$visjstimeline filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}has[map_date]]" startDateField="map_date" endDateField="map_date" boxing="auto" format="YYYYYY" navpad/>
</span><br></$list>
</$reveal>
<$reveal type="match" state="$:/state/TReveal1" text="state2">
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline 1://</span></td><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline 2://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc1" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/></$wikify><$wikify name="tdisc2" text=<<icase_t2>> ><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/></$wikify><$action-setfield $tiddler="$:/state/TReveal1" text="state1"/><$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/>'><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc1" type="search" tag="input"/><$reveal state="$:/temp/tdisc1" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc1" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc2" text=<<icase_t2>> ><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/></$wikify><$wikify name="tdisc1" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state1"/><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/><$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc2" type="search" tag="input"/><$reveal state="$:/temp/tdisc2" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc2" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="tdisc1" text=<<icase_t>> ><$wikify name="tdisc2" text=<<icase_t2>> ><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update the timeline"><$action-setfield $tiddler="$:/temp/tdisc1" $field="ucase" $value=<<tdisc1>>/><$action-setfield $tiddler="$:/temp/tdisc2" $field="ucase" $value=<<tdisc2>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state1"/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/tdisc1" $field="displayed" $value={{$:/temp/tdisc1!!text}}/><$action-setfield $tiddler="$:/temp/tdisc2" $field="displayed" $value={{$:/temp/tdisc2!!text}}/></$button></$wikify></$wikify></td></tr>
<tr><td colspan="2" align="center" style="color: #adadad; font-size: calc(9px + 0.4vw)"><$list filter="[title[$:/temp/tdisc1]displayed[]]"><$count filter="[has[list_disciplines]]"/> maps indexed</$list></td></tr>
</table>
<!-- Timeline -->
<$list filter="[title[$:/temp/tdisc1]!displayed[]]">
<span class="center" style="font-weight: bold; font-size: calc(12px + 0.4vw)">Results for '{{$:/temp/tdisc1!!displayed}}' and '{{$:/temp/tdisc2!!displayed}}' in the database (<$count filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}has[map_date]]"/> hits)</span>
<span style="position:relative; top:-2em">
<$visjstimeline filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}has[map_date]]" startDateField="map_date" endDateField="map_date" boxing="auto" format="YYYYYY" navpad/>
</span><br></$list>
</$reveal>
<!-- Statistics -->
<span class="righto borderless" style="line-height:1.2em; position:relative; top:-3.5em"><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Advanced statistics"><$action-navigate $to="Statistics for comparative timeline"/> <i class="fas fa-chart-pie"></i> Statistics </$button></span>
<!-- Documentation: http://kixam.github.io/TW5-visjsTimeline -->
<!-- Other options for visjstimeline:
customTime="000135" set the position of vertical line
boxing="auto" if set to 'auto', auto-adjust the height of the height oof the content
-->
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
iVBORw0KGgoAAAANSUhEUgAABeMAAALVCAIAAADMB23AAAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzdeSBVef8H8O+x3Wu7yJYtUYgpSRuKUl0tg8qSwjTGqNRoqlESLdMgTGhXU6YFSdGqppKoGDQ1T9P2PCYNbURlaRTXen9/nHnu7z4Xtxvqqt6vv+75nu/5nM851zPP3M98F4rL5RIAAAAAAAAAAOgFJMSdAAAAAAAAAAAA/AOVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAACA3gKVGgAAAAAAAOiuGzduKCkpHTx4sLMOUVFRFEXdv3//XdzdxsZGRkbmX//6V2cdmpqaDAwM/P3938XdAXoWKjUAAAAAAADQgdjYWIqi4uPjRenc0tLS0NDQ2NhIH3K53PDw8La2tneZ4D+OHz9eUFAQHx9vaWnJa3z06NHevXt5h1wut6GhoaGh4T3kA9BNFJfLFXcOAAAAAAAA0OtYWFjcunVr1KhRhYWFovRvbW2VlJSkP1+9etXKyqq5uVlKSopuiYqKWrVqVXFx8cCBA3swyZaWFisrqzlz5gQGBvK3R0dHZ2Rk5OXldZgeQG8mJe4EAAAAAAAAoNe5ffv2zZs33dzc0tPTi4uLjYyM3ngJfx3k0KFD7zK7/yclJXX9+nWBxqampqNHj8rIyPA3okwDHwrMfgIAAAAAAABBSUlJMjIy0dHREhISiYmJ/KeioqJ0dXUbGxv9/PxYLJatrS0hpLCwkKKohIQEQoiDg8OWLVsIIdLS0hRFxcTE8K59/vy5m5sbi8XS1NT08PAoLy/nnWIymfHx8bt27RowYACTyRw8ePCxY8eqqqr8/PzU1NSUlZWnTZv2119/8WeSk5NjbW0tKyvbt2/fpUuX1tfXE0KePHliZmZ27dq1X3/9laIoiqLoUg6TyfT29uZdW1ZW5u7urqioyGKxpkyZcurUKYqiTp8+TZ8dMWLEiBEj+O81fvx4gdFA8fHxJiYmDAbD2Nh4x44d3XnbAPxQqQEAAAAAAID/0dbWdvDgQTabbWhoaGtrm5ycLLBuRmVl5TfffHPjxo1ly5Z98803ApevX79++vTphJBLly7l5ubOmTOHd8rb21tGRiY2NtbLy+vo0aNubm78F27bti0lJWXv3r2nT59ua2ubM2fOpEmTuFzusWPHdu/eXVhY6OzszOt85cqVyZMny8vLb9myxcvLa8eOHe7u7oQQZWXl/fv39+vXb8iQIbm5ubm5uYMGDRLIsKmpafLkyadOnVq8eDFdVKITFl1UVFRAQICdnd327duHDBkSEBCwcePGt4oA0BnMfgIAAAAAAID/cfHixfLy8vDwcELIrFmzvvnmm9zcXDs7O16HlpaWoqKiwsJCaWnp9pdbW1v37duXEDJmzBjeOjW0MWPG8EboPH/+PDk5+dmzZxoaGnTLgwcPCgsLlZSUCCFhYWFubm7S0tI///wzffbGjRtRUVElJSWGhoaEkBUrVhgbG58/f56e1sRkMjds2PDbb7+NGjVq7NixsrKyLBZr7NixHT5genr63bt3t23bFhAQQAiZO3eutbX1tWvXRHw/1dXV69evX7Bgwc6dOwkh8+bNGzNmTERExJIlSwSmXAF0AcbUAAAAAAAAwP9ISkqSkpKih5m4urpKSEgkJSUJ9Pnuu+86LNMI5+Xlxfs8bNgwQkhlZSWvxdrami7TEEIGDBhACGGz2byzdAvd/9mzZ7/99puDg0NdXV1tbW1tbe3w4cMJIfxLCAuRnZ1NCPH09KQPJSUlBUb3CJeVlcXhcNhsdu1/jR49+uXLl3fu3BE9CEBnMKYGAAAAAAAA/t/r16+PHTs2fPjw6urq6upqQoiFhUVaWtq2bduYTCavm4mJSReC6+jo8D7Tw09aW1t5Lerq6rzP9GAcVVVVgRa6f2lpKSFk06ZNmzZt4o9fU1MjShqPHz9WUFDo06dPh4m9EX13V1dXgXYR7w4gHCo1AAAAAAAA8P+OHTv2+vXrq1evCuz3dOrUqVmzZvEOGQxGF4Lz13raaz9IR0Ki44kg9Lo5/v7+Hh4e/O36+voiZkJRFP/hG2ctNTQ0CNx9165dAuWqoUOHinh3ACFQqQEAAAAAAID/l5SUJCsru3//fl6VpKWlxcfHJzExkb9SI168isz48eO7cLmOjk5dXV1dXZ2ioiLdUlZWxt9BUlKSvzRDCHn8+LGcnBz/3ZWVlbt2dwDhsE4NAAAAAAAA/KO8vPzixYtubm6zZs1y+6/Zs2c7OzufP3/+2bNnIsahixq1tbXvKE8tLa0RI0YcPnz4+fPnvEYul8vbo0pOTk7I3e3t7QkhR44c4bWcOHGCv4OGhkZJSUldXR19eOnSpadPn/LOstlsJpO5c+dO/qlbbW1t3XokgP9CpQYAAAAAAAD+kZKS0tbW5ufnJ9Du6+vb0tJy6NAhEeNYW1sTQpYuXZqSkpKbm9vDWRJCCNm8eXN9fb2lpeWqVas2b94cGBg4aNCgqqoqXgJ3796NiIg4cOBASUmJwLWzZs0yMTFZvHjxmjVr9uzZM3PmTIFpWV5eXq9fv3ZyctqzZ8/69etnzZpFbzhFU1NTCwsLu3z5so2NTVhYWGxs7Lx58yZOnPguHhM+QajUAAAAAAAAwD+SkpKMjY35N+SmOTg46Onp8TbYfiM3N7eFCxeePn3az8/vxo0bPZ0mIYSMGTPmypUrn3322Y4dO5YvX56enj5hwgTebKa1a9ey2ezw8PDvvvuOf9wNjcFgXLhwwcHBYdOmTatWrTI1NV20aBF/Bw8Pj+jo6MePHy9evPjIkSM//fSTwII4y5cvT05ObmlpiYiICA0NLSwsnDFjxrt4TPgEUbyxYQAAAAAAAACfphMnTsycOTMjI8PR0VHcucCnDmNqAAAAAAAAAAB6C1RqAAAAAADgvWIymd7e3uLO4i0sXbqUoqhXr14RQpqamgwMDPz9/bsftgdDAcDHBJUaAAAAAADo2O+//05RVO/ZmLk34HK5DQ0NAvs3iz0UAHxMpMSdAAAAAAAA9FJJSUkURWVkZNTW1iorK4s7nV6BwWCUlZVJSkr2qlDQfTNmzMAqrtBLYEwNAAAAAAB0gN6S2dXVlcPhpKWliTsdcaIoiv+wB2srKNMAQHuo1AAAAAAAQAcyMzOfPXvm5+c3ZMgQgb2ZCwsLKYrKz89fuHChuro6i8WytbW9evUqr8O1a9c8PT01NTWlpaW1tbVDQkIaGxvb32LKlCmampqtra28loqKCklJyWXLltGfv/zyS21tbTk5OWNj44CAAHqlGEJIaWmpq6sri8VisVguLi6lpaVCHmTPnj3m5uZMJrNv377+/v5VVVX8CXh7ez98+HDSpEkyMjJr1qyh2/Pz862trZlMpoaGhq+vb0tLC39A/nV23vgqnjx5EhgYOGDAABkZGRUVFU9PzydPnggJdf/+/a1bt2ppaUlLS9Mvrb6+ftmyZVpaWkwm08rKKicnR8jDAsBHALOfAAAAAACgA4mJicrKyhMmTHBxcfnhhx9KS0sNDAz4O8yYMWPy5MkZGRk1NTVLlixhs9mlpaWqqqqEkIKCgtLS0oCAAF1d3QsXLkRGRjIYjHXr1gncwsfH5/z581lZWZMnT6Zbjh8/3tbW5unpSQhxd3cvLi4OCgpisVi3b9++efOmvLw8IeTFixe2trZMJjM8PJzD4cTExNjZ2d26dUtFRaX9U2zYsCE0NNTV1TUgIKCsrGzz5s35+flXr16VlZWlOzx48MDJycnAwGDdunUTJkwghBQXF7PZbFVV1fDwcHl5+S1btvz555/C35WQV1FVVXXixAk3NzcTE5P79+/HxMQ8ffpUSLUlNjb21KlT3t7e6urqDAaDEOLq6pqXlxcYGKihoZGQkODg4HD58mUbGxvhKQHAB4wLAAAAAADwv16+fCkrKzt37lwul3v37l1CyPr163lnCwoKCCGWlpa8lsOHDxNCjhw50j5UW1ubiYmJmZkZr4XBYHh5eXG53IaGBmVl5S+++IJ3asKECUZGRlwut6mpSUJCYuXKle0DBgUFMRiMhw8f0oeZmZmEkOjo6PY9X7x4wWQyXV1deS0XLlwghMTFxdGHdIVoxYoV/FfNmzePEHL79m36sLKykslkEkLq6uoE8n/bV8HlcufPn08IefbsWWehlJSUysrKeP1/+eUXQkhqaip9WF1dLS8vP3Xq1A6DA8DHAWNqAAAAAABAUHp6ekNDg5ubGyHEzMzMzMwsOTl57dq1/H0cHR15n42NjQkhFRUV7UNRFGVqalpYWNj+FJPJ9PDwSElJaWhokJWVffHixeXLl0NDQwkh0tLSJiYmhw4dcnNzGzFiBP9VGRkZ5ubmLBartraWEGJubk5RVF5eXlBQkED8rKwsDofj5eXFa5k0aZKWltaJEyfoCVa04OBg/quys7OHDBkyePBg+lBDQ8Pe3v7s2bOdvy1RXwUhxMzMjBBSXV2trq7eYYe5c+dqa2vzP6yEhISdnR39sBRFmZmZ5eXlCUlGRPfu3SsuLs7MzNTW1i4sLBw4cGD3Y4IAFovFm1IHIDpUagAAAAAAQFBSUhKDwTA0NLx//z4hZNy4cTt37iwoKLC2tub10dTU5H2WkpIihPBWnCkpKQkLC8vLy3v+/HlLSwuHw1FTU+vwRj4+Pj/99NOpU6c8PDxOnjzZ2to6Z84c+lRqaqq7u/vIkSMnTpwYHBw8adIkur20tJTD4QjMdaqpqWkfnF6/pl+/fvyN/fr1Kykp4R2qqan16dOHv8Pjx48dHBz4W3R0dDpMnkfIq3j16lVUVFRGRsaTJ08aGxubm5sJIdzO9xgyMTEReIS2tjb+2g2ttbW1a6sR37lz5969ewsWLGhpaVFQUJCUlPz8889ZLBb/I0BPoefrAbwtVGoAAAAAAOB/PHr06PLly1wulzeuhJaUlMRfqZGRkenw8pqaGnoVlfDwcCsrKyaTOX/+/H//+98ddraysho0aFBKSoqHh0d6evqwYcMGDRpEnzI3N797925qampkZCSbzV60aNGOHTsIIVwu18rKKjIykj+OkpJS++ACezZ1iF4LRviFnT2pKB1cXV0vXry4Zs0aJycnFov1888/R0VFiZ4Pl8tlMpntR/RISLz15jB//fXXnDlz7t+/r6CgsHLlyqVLl9JFJQDobfC/TAAAAAAA+B/JyclcLjcyMpJ/RkxYWNjhw4c3b978xrLF2bNnKysr9+7d+9VXX9Etr1+/FtLfx8dn3bp15eXlFy9e3LBhA/8pKSkpb2/vOXPmLFq0KD4+ft68eRYWFvr6+rW1tePHj3/jgxgaGhJCHj16NHz4cF7j48eP6fbO6OjolJWV8bcIHIquvLw8MzNz7ty5vNWU29ra3iqCvr4+h8MxNTXt5piXmJiY8PDwtWvXWltb85fbAKAXwi7dAAAAAADwP5KSkvT19YOCgtz4LFiwoLq6+syZM2+8nJ7ao6ysTB8+ePDg1q1bQvp/8cUXLS0tYWFhLS0ts2fP5g9Ck5SUpBeCefr0KSHE2dm5qKgoKyuLP0iHFRA2my0nJ5eUlMRruXjxYnl5+eeffy4kH3t7+xs3btDTvgghf//9d3Z2tpD+Qgi8ipaWFnqFYNE5OzsTQrZt28bf+FblnqamprS0tPj4+Nra2u+++w5lGoDeD2NqAAAAAADg/12/fr2oqGj9+vUC82s8PT0DAwMTExNnzpwpPIK1tbWMjMzq1avr6upqa2s3b95sbGxcWVnZWX9tbW02m33gwAFbW1tdXV268V//+te3337r6OjYv3//58+fb9u2TUdHh55UFRwcnJaW5uTk5Ovra2RkVFNTk5OTs2TJEldXV4HIysrKERERy5Ytc3FxmTJlSnl5+ebNm42MjAICAoTkv2LFipSUFDabvXjxYmlp6X379tnY2Jw/f174U3dIR0dn4MCB+/fvHzhwoLy8/IEDB952wtG0adMcHR0jIiKKiopGjx7d0tJy/fp1AwODmJgYUS6/f/++mZlZS0tLfn5+F/IHALFApQYAAAAAAP5fUlKShISEr6+vQLuysrKLi0t6enpVVZXwCIaGhgcPHgwNDZ03b56+vn54eDiXyw0MDBRyiaen57lz5zw9PXktenp6enp6mzZtqq6uVlNTGzt2bHh4OL0YjaqqakFBQUhICJ2MiorK2LFjLSwsOoy8dOlSVVXVmJiYxYsXKykpubi4REZGKigoCElm0KBBmZmZy5cvDwkJ0dHRWb9+vby8fNcqNYSQo0ePLlq0aOXKlSwWy9fXd8GCBf379xf9coqijh49GhERkZycfPLkSSaTaWFh4e/vL8q11dXVEydOXLVq1fr167uWPACIBSVk1XEAAAAAAID3ICQkJCYmpqKiQmAbJuiO3bt337t3T8TRNwDQe6BSAwAAAAAA4sThcAYOHGhjY3PkyBFx5/Lx2LJly8aNG588eSLuRADgrWFFYQAAAAAAEI+MjIz9+/c7OTk9e/YsNDRU3Ol8JLhc7pUrV3744Yd3V6aJioqiKIq36LJwWVlZEhIS06dPb39qypQpvJWJAIAHlRoAAAAAABCP3bt3L1iw4OnTp0ePHh06dKi40/lIKCgo+Pn5vXE5IVHExsZSFBUfH9+dIJMmTQoLCzt16lRubm73UwL4FGBFYQAAAAAAEI+MjAxxp/CxGTt27C+//DJu3LgeiZaUlERRVGJi4qJFi7oTJzQ0tL6+fu3atTk5OT2SGMDHDWNqAAAAAAAAPgZWVlbq6uo9Vaa5ffv2zZs3XV1dr169Wlxc3M1oERERHZZpJCUluxkZ4OODSg0AAAAAAMAH79y5cyoqKsePH++pgElJSTIyMtHR0RISEomJiUJ6VlVVzZ8/v1+/fhISEtR/jR8/nj5bWlrq6urKYrFYLJaLi0tpaSn/tUwmMzY21tjYmMFg6Ovr//jjj7xThYWF9Go4W7du1dLSkpaWbmxspE/Fx8ebmJgwGAxjY+MdO3b01CMD9BKo1AAAAAAAAHzYGhsbnZ2d+/fv31MB29raDh48yGazDQ0NbW1tk5OThewa/MUXXxw7diwmJubXX3+dOXMmISQmJmbbtm2EkBcvXtja2t68eTM8PHz16tV5eXl2dnY1NTW8a+/du7d27Vp3d/etW7eamZmtXLly48aN/MFjY2Ojo6O9vb0jIiIYDAYhJCoqKiAgwM7Obvv27UOGDAkICBC4BOCDxwUAAAAAAIAPWXl5OUVRPRgwMzOTELJ3714ul0sPWrl8+TLvbGRkJCGkuLiYy+XW1tYSQlavXk2fevbsGSFkw4YN9GFQUBCDwXj48CF/2OjoaPpw8uTJhJDU1FT6sLW1ddiwYX369GlsbORyuQUFBYQQJSWlsrIy3q2rqqqYTKa/vz+vxcbGRklJib4E4OOAFYUBAAAAAD5Rr169io2NlZCQyMvLMzc3F3c60EUvXry4cOFCD857IoQkJSVJSUnRW2u7urouXrw4KSnJzs6ufU+6UsNisehDBQUFQsjff/9NH2ZkZJibm7NYLLqbubk5RVF5eXlBQUF0B2lpaXoYDiFEQkLCzc0tNDT05s2bI0eOpBvnzp2rra3Nu11WVhaHw2Gz2XRAQsjo0aPz8/Pv3LljaWnZg28AQIxQqQEAAAAA+BSdPHnSw8Nj4MCBAwcOlJSU1NTUFHdG0EVtbW0MBqO1tbWnAr5+/frYsWPDhw+vrq6urq4mhFhYWKSlpW3bto3JZAp07tevn5mZWVJSkoeHh5aWVlxcHCGEzWbTZ0tLSzkcjoqKCv8l/LOf+vTpIyMjwzvU1dUlhJSVlfEqNSYmJvzX0svcuLq6CqTBHxPgQ4dKDQAAAADAJ8fDw+PChQtXrlwZNWqUuHOBHkCPjWptbaUoqvvRjh079vr166tXrxoZGfG3nzp1atasWQKdKYpKS0ubMWOGvr4+RVEKCgqxsbETJkygz3K5XCsrK3q2FI+SkhLvc3NzM/+ptrY2gfj02jQ8XC6XELJr1y6BCs7QoUNFf0CAXg6VGgAAAACAT8j58+cTExP//PNPeqwEfDQiIyP79ev3+PHj7odKSkqSlZXdv3+/hMQ/W9C0tLT4+PgkJia2r9QQQurr6ysrKzMyMoYOHaqrq8tfLdLX16+treXtA9VebW1tQ0ODrKwsffjo0SPy35E1HdLX1yeEKCsrC4kJ8KHD3k8AAAAAAJ+KkJCQrVu3jhw58o8//hB3LtDDVq5cOXfu3A0bNnQzTnl5+cWLF93c3GbNmuX2X7Nnz3Z2dj5//jy9YLCA3bt3GxsbOzo66unpCQzqcXZ2LioqysrK4m/kHzjT1tZ27Ngx+nNLS0taWpqqqqqQATJsNpvJZO7cuZN/tlf7kTgAHzSMqQEAAAAA+CRERUXl5ub6+vp+9dVX4s4F3onW1tY9e/bY29tbW1t3OUhKSkpbW5ufn59Au6+vb1pa2qFDh5YsWSJwysDA4MCBA4GBgerq6hISEmpqas7OzmpqaoSQ4ODgtLQ0JycnX19fIyOjmpqanJycJUuW8BaasbS0/P777+/evdu/f//09PQ7d+5s3LhRWlq6s/TU1NTCwsJWrFhhY2Pj6OgoJydXVFR0//79nJycLj8yQG+DSg0AAAAAwMfv2bNnTU1NQ4cORZnmIxYVFXX16tVXr151J0hSUpKxsXH7bZ4cHBz09PQSExMFKjVcLrdv375cLpdeS5impKRUUFBgamqqqqpaUFAQEhKSnp5eVVWloqIyduxYCwsLXk97e/uvv/7a399/06ZNGhoa0dHRy5cvF57h8uXL6aWLIyIiCCFGRkbt60oAHzSKXpAJAAAAAAA+YgcPHgwKCiorKxN3IvDOSUhI1NTU8K/a+04tWbLkyJEjGRkZw4YNk5SUbG5uvn79up2d3bfffhsbG/t+cgD4yGCdGgAAAACAj5+fn19RUZG4s4D3oayszMTEZObMme/ndhkZGfb29iNGjJCUlCSESEtLDx48WFJSkj4EgC5ApQYAAADgY1NYWEhRVEJCgiidV61aRVHU9u3b33VWtKamJgMDA39///dzOxG91RvrcZ6enmZmZu9uqHtNTY27u/u2bdsUFRXf0S2gV9HS0srOzn716tXYsWPfw+2sra1PnToVFxd38eLFc+fO7dixY8yYMdLS0r6+vu/h7gAfJVRqAAAAAMTg0qVLFEVRFHXw4MH2Z729vSmKYjKZIka7cuVKdnZ2F9IoKyvbunWrt7d3QEBAFy4XhUBuXC63oaGhoaHhHd3u/UtMTCwpKelOBPqF8Co1jx492rt3b0+k9g8LCwsFBQUs5PFJMTMzu3Dhwpdffrls2bJ3fa9du3bNmzdv+/btjo6OM2bMiI2NHT58+LVr1wYNGvSubw3wsUKlBgAAAEBs5OXlU1NTBRobGhpOnjwpLy8vepzIyMiuVWoiIiKcnJx6ti4gQCA3BoNRVlZ24MCBd3fH96m5uXnx4sXdrNQcP378r7/+kpD459/MDx061IPfyI4dO5ycnPbt29dTAeED4ubmlpaWtmbNmne6ibWiouKmTZtKSkoaGho4HE5JScm+fftQpgHoDlRqAAAAAMRm4sSJmZmZNTU1/I2nT59uaGiYNGmSiEEqKiq6vD1tfHx8amqqkA1xu6nD3Hrt6hVdSOzMmTN///1392/NK9M0NTUdPXq0+wF5vv322y1btvRgQPiAqKio5OXlbdiwQVpaOi8vT9zpAICoUKkBAAAAEJtp06Y1NTUdO3aMv/HQoUMTJ05UUFDgb0xLS5s0aZKSkpKMjIypqSlvTMq5c+dMTEwaGxsjIiIoiuK/isvlrlixom/fviwWy9bW9urVq/wBS0tLXV1dWSwWi8VycXEpLS3lnWIymfHx8bt27RowYACTyRw8ePCxY8eqqqr8/PzU1NSUlZWnTZv2119/8fpfu3bN09NTU1NTWlpaW1s7JCSksbFRSG5MJtPb25t3eX5+vrW1NZPJ1NDQ8PX1jYuLoyiKt83wiBEjRowYwZ/5+PHjBw4cyDusr69ftmyZlpYWk8m0srISUrTqLE+ehw8fOjs7Kysry8nJTZky5c8//+S/ls1m9+nTR0lJydLSkt7RJjw83NXVlRDCZrMpinJ0dCSEREVF6erqNjY2+vn50W+eTjIuLs7S0pLJZMrJydnZ2RUUFPCCT5kyRVdXlxDy5MkTMzOza9eu/frrr/TkuOvXr9N94uPjTUxMGAyGsbHxjh07OntGAbNmzfLy8uq1pTF4D/r379/c3HzkyJG5c+eKOxcAEJWUuBMAAAAA+HQZGxsPGjQoNTX166+/plv+/vvvs2fP7ty58+zZs/w909PTWSzW2rVrlZSU9uzZ4+Pjo6+vP378+CFDhiQlJU2fPn3u3Lnz5s3j/00eFRWlqakZGhrK4XCioqLYbHZpaamqqioh5MWLF7a2tkwmMzw8nMPhxMTE2NnZ3bp1S0VFhb5227Zt6urqe/fubW5u/vbbb+fMmWNmZmZpaXns2LGKigp/f39nZ+e7d+/SnQsKCkpLSwMCAnR1dS9cuBAZGclgMNatWyckN57i4mI2m62qqhoeHi4vL79ly5a3nafj6uqal5cXGBiooaGRkJDg4OBw+fJlGxub9j07y5PXYf369ePHj4+IiKiuro6JiZkwYcLdu3eVlZWrqqrYbLaRkVF0dHRjY2NhYeGTJ08IIS4uLq2trd9///2mTZtGjBjRp08fOk5lZeU333xz48aNZcuWmZqaEkIkJSUTEhLGjh07f/78hoaGjRs3Ojk53Rd6zQsAACAASURBVLt3j3cJTVlZef/+/V5eXkpKSvHx8YQQegpJVFRUSEjI119/vXz58nPnzgUEBNTX169YseKNLyctLe3dLVQMHwoJCQlXV9fHjx+zWKzQ0NAxY8a8n5WGAaDruAAAAADw3tFDP7KystatWycpKVlZWUm3HzhwgMFg1NbWurq6MhiMDq8tKysjhCxatIg+fPr0KSEkNDSU14Eer2FkZMThcOgWelejI0eO0IdBQUEMBuPhw4f0YWZmJiEkOjqaPmQwGEwms7a2lj5MT08nhIwcOZIXPzg4mBDy119/tc+tra3NxMSE3smow9zo+F5eXvTnefPmEUJu375NH1ZWVtLrKNfV1dEtw4cPHz58OP/l48aNGzBgAP35l19+IYSkpqbSh9XV1fLy8lOnTu3wvQnJk35jgwcPbm5uplvogU4xMTFcLvfixYuEkLNnz7aPc+jQIULIhQsXeC2RkZGEkDFjxjQ1NXV295SUFP6vY/LkyTo6OryzJiYmY8aM4R1WVVUxmUx/f39ei42NjZKSUmNjo/BnDAwMXLlypfA+8El5+PChlZWVsrKylpbWhg0beP98AIDeBmNqAAAAAMSGy+XOnj17/fr1aWlp33zzDSHk0KFDU6dOVVJSEnKVtra2srJydXW18OB0rYf+PGzYMEJIRUUFfZiRkWFubs5isWprawkh5ubmFEXl5eUFBQXRHaytrXk5DBgwgBDCZrN5kemWyspKQ0NDgZtSFGVqalpYWCjK4xNCsrOzhwwZMnjwYPpQQ0PD3t5eYDyREBkZGRISEnZ2dvSDUBRlZmYmynocHebp4uIiJfXPvx47OTnJyspmZ2cHBgYaGxvLyMjExMSYm5tra2uLkth3330nZPUfMzMzQsgbv0FaVlYWh8Nhs9n0MxJCRo8enZ+ff+fOHUtLy86u4nK5cXFxHA5HlFvAJ6Jfv34FBQVFRUX37t2bP39+dHS0nJycpKTktGnTKioqjI2NxZ1gT2KxWGvWrBF3FgBdhEoNAAAAgDgNGjRo6NChqamp33zzTVVVVVZWVnJycvtuOTk5cXFxf/zxx8uXL9va2l6/fs1906wWHR0d3mcZGRlCSGtrK31YWlrK4XB4c51o/Asbq6ur8z7TxQt62hR/Cy9aSUlJWFhYXl7e8+fPW1paOByOmpqaSA9PyOPHjx0cHDpL+41KS0vb2traV09aW1vbT7Z6Y55aWlq8z1JSUn379qWHL+nq6iYmJs6fP9/AwMDT0zM4ONjExER4Yu077NmzJzEx8d69e/X19fSre+M3yHtGQgi9IA4/gYWoBcTHxy9atIj+3gH4DRo0aNCgQc7OziUlJffv38/KytLU1GxsbNTU1BR3aj3prbbPA+htUKkBAAAAELPZs2eHhIQ8efLkzJkzDAbDyclJoENubi6bzbawsIiPjzc2NpaUlBw6dOgbw9LTiDrE5XKtrKzoeTo8/AN52o8H4W1OJKCmpoZeFCY8PNzKyorJZM6fP//f//73G9PjoSiK//CNxYWGhgbeZy6Xy2Qy24/BaZ+tKHk2NzfzH/JvbOzh4TF58uT4+PhNmzalpKT8/PPP/Isit8cbzUSLjIwMCQnx8PDYsGFD37597969O3PmTOGPyf+MhJBdu3YJVH+E/w3ExsbSk7YAOmNoaGhoaChQKgWA3gCVGgAAAAAxmz179qpVqw4fPpyRkTF9+nQ5OTmBDklJSa2trWlpaQYGBoSQ+vp6/mpFF+jr69fW1o4fP747QWhnz56trKzcu3fvV199Rbe8fv1a9Mt1dHTocSs8AoeSkpICD/v48WPeK9LX1+dwOKampm8cDiBKnvSqOrSmpqaKigretCxCiLKyckhIyMKFCx0cHBYvXuzl5SVQYxJi3759BgYGKSkpdAnp/v37Il5ICNHX16fvLvr3deLECWlpadFHNgEAQK+CXboBAAAAxKx///6jR4/etWtXbm7u7Nmz23egR1UoKyvTh6dOneKfOEOXLXiLmIjC2dm5qKgoKyuLv5F/CInoBHJ78ODBrVu3RM/N3t7+xo0bvMrF33//nZ2dzd9BQ0OjpKSkrq6OPrx06RJ/PcXZ2ZkQsm3btjc+iPA8aSdOnOANqzl27FhjY+OECRPI/05TUlFRoZfFoetHIr58LperqKjIG+lz8uRJIZ3l5OT4A7LZbCaTuXPnTt50s86ekaewsNDQ0FBRUVF4VgAA0DthTA0AAACA+M2ePXvZsmUqKiqTJ09uf3bcuHEJCQk+Pj6zZ8/+z3/+s3//fj09Pd5ZFotlZmaWmppqYWHR0tLi5+f3xtsFBwenpaU5OTn5+voaGRnV1NTk5OQsWbKk/WIob2RtbS0jI7N69eq6urra2trNmzcbGxtXVlZ2lhtvyV7aihUrUlJS2Gz24sWLpaWl9+3bZ2VldeHCBV4HLy+v06dPOzk5eXl5lZeX79ixg38Z42nTpjk6OkZERBQVFY0ePbqlpeX69esGBgYxMTFvlSdt4MCBbDbb3d29urr6xx9/1NbWpndP37Zt26VLl+zt7dXU1IqLi3fv3u3o6EjXaIYPHy4jI0Pv3i0jI+Pu7t7hWxo3btzPP/+8cuVKc3Pzixcv3rhxQ/grjY+Pj4iI0NXVtbW1NTQ0DAsLW7FihY2NDX3foqKi+/fv09uHdejmzZtLliwRcgsAAOjVxLLjFAAAAMAnjv6ZzdvduaysTEJC4uuvv+Z1ENile/Xq1X379mUwGNbW1r///rufn5+HhwfvbH5+/uDBg6Wlpfv379/U1ETvOb1nzx5eh9u3bxNCNm3axGspLy/38fHR0NCQlJRUU1ObMWPG/fv36VP8u2h3eO2+ffsIIbm5ufRhWloavTuSkZHRwYMHk5OTNTU1O8utffwrV66MGjWKwWAYGhoeOnQoMDCQ8O3S3dbWFh0dbWhoyGAwzMzMjh07tmrVKt4u3Vwut7Gxce3atYaGhlJSUgoKCmPHjs3MzOzwnQvJs6CggKKoly9fhoeH9+3bV1ZW1sHBoaioiD5bWFhob2+vqKgoLS2tr6+/ZMkS3hbmXC53z5492tra0tLS9DdCr/5TXFzMf+uqqioPDw8lJSVFRcVZs2Y9e/Zs4MCBO3fupM8K7NJdUVFBj6Pp06dPYWEh3ZicnGxpaclgMBgMxuDBgzdv3tzhM3K53IcPH/br16+zswAA0PtRXNHWnAcAAAAAeA+WLl26ZcuWuro6BQUFcefyQXJ1dVVRUUlISBB3IgAA0EWY/QQAAAAA8PHIzMy8d++euLMAAICuw4rCAAAAAAAfidOnT48fP15LS0vciQB8AKKioiiKequ92Do0ZcoUXV1dETsvXbqUoqhXr17Rh56enmZmZryZLnV1dV999ZW6urqCgoKvr2+HLTznz5+nKMrNza2b+b+VpqYmAwMDf39/UTq/1Zt5Rzm80apVqyiK2r59e49Ea69rLwGVGgAAAACAj8SBAwe+/PJLcWcB8L5lZ2e7uLjQi3n169dv6tSpR48eFXdSImloaGhoaOBVaqKiovbv3//VV19t376dXuK9fQutra1t1apVn3322c8///xOM7xy5Qr/lnxcLpfO+Z3elMvlhoeHd7bJXRdySExMLCkpad9eVla2detWb2/vgICALub6bmD2EwAAAAD0Ips3b968ebO4s/gg/fXXX7/88ktaWpq4EwF4r4KCgjZu3Kiqquri4qKjo/PkyZOsrKybN292YTO79+/48eNtbW0SEv8MoSgsLNTW1v7xxx95Hdq30A4dOkQIyczMVFJSeqcZRkZGDh8+fMKECfQhg8EoKyuTlJR8pzf97bff1qxZExwczHsz/N42h+bm5sWLFx89epR/60BaRESEk5PT3r17u5txT0OlBgAAAADgY+Do6CgwOQLgo5ecnLxx48Zx48adOHFCWVmZbuRyuc3NzeJNrDMURQm08BcjXr9+raKiwn+2fQvNy8vLy8vrXWTIr6KiIicnZ/jw4fyN77pMQ/5bhxLirXI4c+bM33//3eGp+Pj4t0jrPcLsJwAAAACAD15ZWVldXd22bdvEnQjA+8Plcn/44QcFBYXDhw/zyjSEEIqiZGRk6M9paWmTJk1SUlKSkZExNTU9cOCAkIDZ2dn29vaKioosFmvUqFG5ubmEkOXLl/OvLEMI+f777ymKevLkiShJ5ufnW1tbM5lMDQ0NX1/flpYW/rO8RUwuXrw4atSoq1ev3r17l6IoKSmp9i30JfX19cuWLdPS0mIymVZWVjk5OfzRvL29Hz58OGnSJBkZmTVr1tDtpaWlrq6uLBaLxWK5uLiUlpbyX+Lq6nr27Nnhw4czmcz+/fsHBwc3NjYSQs6dO2diYtLY2BgREUFRFG8/PiaT6e3tzYsgJLhwnT2Ig4PDli1bCCHS0tIURcXExLS/lj+HwsJCiqLy8/MXLlyorq7OYrFsbW2vXr1Knw0PD6dHV7HZbIqiHB0d35h2VVXV/Pnz+/XrJyEhQf3X+PHjCSFRUVG6urqNjY1+fn70jegHiYuLs7S0ZDKZcnJydnZ2BQUFIr6EzmBMDQAAAADAB+/w4cMeHh7izgLgvSoqKiouLp47d66mpmZnfdLT01ks1tq1a5WUlPbs2ePj46Ovr0//6hZw4cKFqVOn6unpLVy4UFFRMT8/v0+fPt3MsLi4mM1mq6qqhoeHy8vLb9my5c8//+ywp5GRUVxc3IIFCxoaGhITEymK0tPTE2ihe7q6uubl5QUGBmpoaCQkJDg4OFy+fNnGxoY+++DBAycnJwMDg3Xr1tFTll68eGFra8tkMsPDwzkcTkxMjJ2d3a1bt3hDdbKzswsKCuLi4gYMGHD8+PHIyEgJCYkNGzYMGTIkKSlp+vTpc+fOnTdvXofDWN4YXIjOHmT9+vVycnInT568dOmSpKSkgYGBKK96xowZkydPzsjIqKmpWbJkCZvNLi0tpefEtba2fv/995s2bRoxYgT9nQpP+4svvvjtt9/i4+P19PQ2btx4/PjxmJgYBwcH+kaVlZXffPPNjRs3li1bZmpqSgiRlJRMSEgYO3bs/PnzGxoaNm7c6OTkdO/evW79/XABAAAAAOADN3LkyN9++03cWQC8V/Sywdu3bxexf1lZGSFk0aJF9GFkZCQhpLi4mD4cOnSomppaVVWVwFWBgYGEkLq6Ol7LunXrCCGPHz+mDydPnqyjo9PhHefNm0cIuX37Nn1YWVnJZDL5owlcO3r06M8++4w/gkDLL7/8QghJTU2lD6urq+Xl5adOncqLRghZsWIFf4SgoCAGg/Hw4UP6MDMzkxASHR3Nf8mpU6d4/U1NTentqLhc7tOnTwkhoaGh/AEZDIaXl5eIwTt7M8IfZMGCBYSQ5ubmDq8VyIEewGJpack7e/jwYULIkSNH6EN6LtWFCxdEeSe1tbWEkNWrV9Onnj17RgjZsGEDfUj/zYwZM6apqamz3FJSUvjvLuQlCIExNQAAAADvVnZ2dlZW1h9//PHZZ5+JOxf4OD1//vzu3bsjR44UdyIA7xW9+Aj/vCfhtLW1lZWVq6ur2596+vTpzZs3Fy1a1P1xNPyys7OHDBkyePBg+lBDQ8Pe3v7s2bNdDpiRkSEhIWFnZ0cXFCiKMjMzy8vL4+8THBwscIm5uTmLxaIvMTc3pygqLy8vKCiI7iApKTl16lRef2NjY3ral4j5CA/enQd5K7xpTYQQY2NjQkhFRUUX0qZbWCwW3ZOe8yWwzM13330nLS3dWXAzMzNCSId/ZqJDpQYAAADgHbp69aq7u7u/v7+FhUXP/gAA4GloaGCxWFevXh09erS4cwF4f+hf0fwryLSXk5MTFxf3xx9/vHz5sq2t7fXr19z/bonN79GjR4QQESfaiO7x48e8WTM0HR2d7gQsLS1ta2vT1tYWaG9tbaVnJ6mpqQn8f01paSmHwxGYjlRTU8P73KdPH94iOIQQKSmp1tZW0fMRHrzLD/K2+GfA0Y8j5CmEpN2vXz8zM7OkpCQPDw8tLa24uDhCCJvN5u9pYmIiEHDPnj2JiYn37t2rr6+n79vhn5noUKkBAAAAeFd+/PHH77///vbt2wMGDBB3LvCRGzBgwOHDh1GpgU8K/Y/WO3fudNYhNzeXzWZbWFjEx8cbGxtLSkoOHTq0w57072oGgyHKfRsaGkRPUmCzJ95Sx13D5XKZTGb7UTm8DaTaPwKXy7WysqKn7fDw7+3dnZTeGFzIhcIf5G291VMISZuiqLS0tBkzZujr69PrKMfGxvI2KacJvOTIyMiQkBAPD48NGzb07dv37t27M2fO7NpT8KBSAwAAAPBOVFZWhoeH19fXizsR+CR4eHiMHDmS/s+/AJ+IIUOGqKurp6enR0dHy8nJte+QlJTU2tqalpZGD5apr6/vrMhCb8D04MGD9qfoIR5NTU28lsePH4uYoY6ODr04Do/A4dvS19fncDimpqZCFlFuf0ltbW2Hiyh3X5eDd+FBepDwtOvr6ysrKzMyMoYOHaqrq9t+Y3UB+/btMzAwSElJoctM9+/f736G2KUbAAAAoOc1NTVpaWkVFxeLOxH4VGhraw8YMED01SUAPgJSUlIBAQEVFRXz5s3jr6Tw0CNleAvZ0OvmdhhKV1d38ODBaWlpL1++FDiloaFBCPnjjz/ow5cvX9Kr4YrC3t7+xo0bvJ/uf//9d3Z2tojXdsjZ2ZkQsm3bNv7GtrY24ZcUFRVlZWWJfgkPXf+il27p2eDCH+SN930r7aMJT3v37t3GxsaOjo56enpvLNMQQrhcrqKiIm800MmTJ7ufM8bUAAAAAPS8+fPnJyQkiOU/FcInq6WlZefOnba2tuJOBOD9CQ4OLigoSElJyc/Pnz59uqamZlVV1a1bt/z8/GbNmjVu3LiEhAQfH5/Zs2f/5z//2b9/v56eXmehoqOjHR0dR4wYMWfOHAaD8ccff3z55ZeOjo5ubm6hoaG+vr6BgYHNzc379u3T1NRsX9Dp0IoVK1JSUths9uLFi6Wlpfft22djY3P+/PkuP++0adMcHR0jIiKKiopGjx7d0tJy/fp1AwODmJiYzi4JDg5OS0tzcnLy9fU1MjKqqanJyclZsmSJq6vrG2/HYrHMzMxSU1MtLCxaWlr8/Pz4V7TpTnDhD2Jtbb1p06alS5dOmzZNT0+vm/9YGz58uIyMTHR0dGNjo4yMjLu7u/C0DQwMDhw4EBgYqK6uLiEhoaam5uzsrKam1ln8cePG/fzzzytXrjQ3N7948eKNGze6ky0NlRoAAACAHnbw4MG7d+/u379f3InAp8XLy+vSpUvizgLgvZKRkTl9+vTu3buTkpL27dv36tUrZWXloUOH0kvYeHt7//nnnwkJCefPn7e0tDxx4sTOnTvr6uo6DDVt2rSzZ8+GhYXFxMS0tbUNGzasf//+hBB9ff1jx46FhoauXLmyT58+CxYsGDVq1JQpU0RJb9CgQZmZmcuXLw8JCdHR0Vm/fr28vHx3KjUURR09ejQiIiI5OfnkyZNMJtPCwsLf31/IJaqqqgUFBSEhIenp6VVVVSoqKmPHjrWwsBDxjgkJCfPnz1+0aJGOjs7XX3/dU8GFP4ibm9vChQtTUlKOHTsWFRXVzUqNjo7Ojh071q1b99VXX7m4uLi7uwtJm8vl9u3bl8vl8k8mVVJSKigoMDU17TD+jz/++OrVq59++qmtrW3q1KmZmZk2NjbdSZgQQnVzRWIAAAAA4HfmzBl/f/+srKz2e0MAvFMNDQ2qqqpYGgkAoMuWLFly5MiRjIyMYcOGSUpKNjc3X79+3c7O7ttvv42NjX1vaWCdGgAAAICeFBMTk5SUhDINvH+ysrKOjo5paWniTgQA4EOVkZFhb28/YsQIeiVpaWnpwYMHS0pKdm3v8C5DpQYAAACgx/z+++91dXXvaIsNgDfy8fEJCwvjcDjiTuQNmEymt7e3uLP4eFhYWIwYMeL937ewsJCiqISEhPd/a4B3xNra+tSpU3FxcRcvXjx37tyOHTvGjBkjLS3t6+v7PtNApQYAAACgx6xevdrQ0FDcWcCna9q0aYqKipGRkT0V8Pfff6coatasWT0V8P179OjR3r17xZ3FBwOvCz5xu3btmjdv3vbt2x0dHWfMmBEbGzt8+PBr164NGjTofaaBSg0AAABAz2hoaGhsbJw+fbq4E4FPWmpq6r59+3oqWlJSEkVRGRkZPbVd7vt36NAhlB5Eh9cFnzhFRcVNmzaVlJQ0NDRwOJySkpJ9+/a95zINQaUGAAAAoKdIS0vn5uZ6eXmJOxH4pOnp6Q0ZMuSXX37pfqiWlpZDhw65urpyOJwPdPmbpqamo0ePijuLd46iqB6J84m8LoDeD5UaAAAAgJ6xf/9+Hx8fcWcBQKZPn75+/frux8nMzHz27Jmfn9+QIUMSExP5T9ELlOTn5y9cuFBdXZ3FYtna2l69epXX4dq1a56enpqamtLS0tra2iEhIY2Nje1vMWXKFE1NzdbWVl5LRUWFpKTksmXL6M9ffvmltra2nJycsbFxQEDAq1ev6G6lpaWurq4sFovFYrm4uJSWlrYP/uTJEzMzs2vXrv36668URVEUdf36dUIIk8lMSEjIzs7+7LPPJCQkLl68KDzhNz6skDxLSkpmz56trq4uKys7aNCgXbt28a6Kj483MTFhMBjGxsY7duzgtUdFRampqd27d8/R0VFBQUFTU9PDw6O8vJz/0X7++WdjY2MGg2FoaBgWFqagoMB/tr6+ftmyZVpaWkwm08rKKicnR5Tgnb0uEb9K0b8XAHgjVGoAAAAAekBdXd2mTZtQqYHeYP78+cXFxbdu3epmnMTERGVl5QkTJri4uPz666/tf3XPmDHj1atXGRkZhw8frqysZLPZVVVV9KmCgoLS0tKAgIDdu3ePHz8+MjIyKiqq/S18fHyePXuWlZXFazl+/HhbW5unpychxN3d/fz588uXL9+6devUqVPv3LkjLy9PCHnx4oWtre3NmzfDw8NXr16dl5dnZ2dXU1MjEFxZWXn//v39+vUbMmRIbm5ubm4ubwrDlStX3N3dx4wZ88MPPwwePFiUhIU8bGd5VlRUWFtbZ2RkuLu7r1u3bsSIEQwGg74kKioqICDAzs5u+/btQ4YMCQgI2LhxI+9eVVVV48ePHzNmzOXLlyMjI0+fPu3m5sY7m5KS4ufnp66uvmXLlvnz52/cuDEvL48/VVdX14SEhAULFsTFxTU1NTk4OOTn578xeGevS8SvUvTvBQDejAsAAAAA3RYaGjpy5EhxZwHwj/j4+IULF3YnwsuXL2VlZefOncvlcu/evUsIWb9+Pe9sQUEBIcTS0pLXcvjwYULIkSNH2odqa2szMTExMzPjtTAYDC8vLy6X29DQoKys/MUXX/BOTZgwwcjIiMvlNjU1SUhIrFy5sn3AoKAgBoPx8OFD+jAzM5MQEh0d3eGDmJiYjBkzhr+FLpecOXOms2cXSFj4wwrJc8mSJYSQy5cvC7RXVVUxmUx/f39ei42NjZKSUmNjI5fLpReE/u6773hnFy5cSAiprKykD42MjHR1devr6+nDkydPEkKGDx9OH9IT31JTU+nD6upqeXn5qVOn0odvDN7+db3xzezZs4c+fKvvBQCEkHr/tSEAAACAj09WVta2bdvEnQXAPxYuXCgrKxsXF8dkMrsWIT09vaGhgR5tYWZmZmZmlpycvHbtWv4+jo6OvM/GxsaEkIqKivahKIoyNTUtLCxsf4rJZHp4eKSkpDQ0NMjKyr548eLy5cuhoaGEEGlpaRMTk0OHDrm5uQlsQZ2RkWFubs5iseh1js3NzSmKysvLCwoKEvHphgwZMm3atM7OdphwZw8rJM+zZ8+amZnZ2dkJxM/KyuJwOGw2m7dO8+jRo/Pz8+/cuWNpadnZ7SorKzU0NJ48eVJcXLx48WJZWVn67Oeff66oqMjrnJGRISEhYWdnRwenKMrMzExg0E1nwTt7IcLfDP+tu/m9dKi+vv727dvnz5//z3/+8+DBg6FDh/I/L8CHiMVirVmzRkgHVGoAAAAAuuvp06ePHj0aPXq0uBMB+H/y8vKxsbF01aMLkpKS6GVQ7t+/TwgZN27czp07CwoKrK2teX00NTV5n6WkpAghvBVnSkpKwsLC8vLynj9/3tLSwuFw1NTUOryRj4/PTz/9dOrUKQ8Pj5MnT7a2ts6ZM4c+lZqa6u7uPnLkyIkTJwYHB0+aNIluLy0t5XA4Kioq/HHeapaNiYmJQMsbExbysJ3l+ejRo4kTJ7a/Oz2PzNXVVaCd/xE6u93jx48JIfr6+ryzkpKS/J1LS0vb2tq0tbUFgre2tkpKSr7xWdoT/avs/vci4OTJkxs2bGhtbb1x48bUqVPl5OSUlZW1tLToyWUAH643/g2jUgMAAADQXSdOnJgxY4a4swD4HwEBAZWVlV279tGjR/ScHXoNF56kpCT+So2MjEyHl9fU1NjY2BBCwsPDraysmEzm/Pnz//3vf3fY2crKatCgQSkpKR4eHunp6cOGDeOtJmNubn737t3U1NTIyEg2m71o0SJ65V0ul2tlZUVP5OFRUlIS/QF568WInnBnDys8T4Eb0bhcLiFk165dAgWjoUOHinI70m6zJ/7OXC6XyWSePXtW4BIJCYkO+wv3Vl9l978Xnn379t26devo0aNubm729vaOjo49tb8VwAcBlRoAAACA7kpKSurm8H6AHvf9999LSEhs2bKlCz9xk5OTuVxuZGTkwIEDeY1hYWGHDx/evHnzG3/nnz17trKycu/evV999RXd8vr1ayH9fXx81q1bV15efvHixQ0bNvCfkpKS8vb2njNnzqJFi+Lj4+fNm2dhYaGvr19bWzt+/Pi3fa6eSri9DvPU1dV98OBB+870iBhlZeUuPIKOjg4hpKysjL+xvLx8wIABvOAcDsfU1JR/4EyXvdWb6ZHvpbS0dOrUqfX1gfYBXgAAIABJREFU9VZWVteuXeuRpwD44GDvJwAAAIBuef36dWFhIcbUQC/k7u7u5eXVhQuTkpL09fWDgoLc+CxYsKC6uvrMmTNvvJweM6KsrEwfPnjwQPhGVF988UVLS0tYWFhLS8vs2bP5g9AkJSXppVWePn1KCHF2di4qKuLfMYoQ0tbW1mFwOTk53nIwPZVw+2s7zHPq1Kk3btz4/fffBS5hs9lMJnPnzp38c446y19Av379BgwYcOLEiebmZrrl0qVL/A/o7OxMCBFYNkvE4KTd63qrN/NW30uHjhw5MnTo0MjIyHPnzh05cgRlGvhkYUwNAAAAQLfk5uZOnjxZ3FkAdODw4cPS0tL79u3rcA5OZ65fv15UVLR+/Xr++TKEEE9Pz8DAwMTExJkzZwqPYG1tLSMjs3r16rq6utra2s2bNxsbGwuZiqWtrc1msw8cOGBra6urq0s3/utf//r2228dHR379+///Pnzbdu26ejo0DNxgoOD09LSnJycfH19jYyMampqcnJylixZ0n7lFzqZ+Pj4iIgIXV1dW1tbQ0PD7ifMT0ieK1euPHTokL29/ddff62lpfXXX39pa2uvW7dOTU0tLCxsxYoVNjY2jo6OcnJyRUVF9+/fz8nJEeWOa9as8fHxYbPZs2fPrq6uTkxMHDlyJK8gMm3aNEdHx4iIiKKiotGjR7e0tFy/ft3AwCAmJkaU4AKv663ezFt9L+3l5eVFRET8/fffonQG+LihUgMAAADQLZmZmQ4ODuLOAqBjW7duXbZsWXx8vOiXJCUlSUhI+Pr6CrQrKyu7uLikp6dXVVUJj2BoaHjw4MHQ0NB58+bp6+uHh4dzudzAwEAhl3h6ep47d87T05PXoqenp6ent2nTpurqajU1tbFjx4aHh9OLnqiqqhYUFISEhNDJqKiojB071sLCosPIa9euLS4uDg8Pl5OT++WXXzqs1HQhYVHy1NXVzc/PX7Vq1YEDB+rq6gwNDcPDw+mrli9frqWlFRcXFxERQQgxMjLy8/MT5XaEkC+//JLD4WzcuHHp0qXm5ubJyclJSUm//vorfZaiqKNHj0ZERCQnJ588eZLJZFpYWPj7+4sYXOB1jR49WvQ381bfiwB3d/empqYFCxaImCfAx43iH60HAAAAAG9r8ODBhw8f/uyzz8SdCEDHFBQUcnJyRo4cKe5EhAkJCYmJiamoqOjTp4+4c4H3zdXVtbm5efbs2fylOoBPGdapAQAAAOi68vLympoalGmgNzt8+HBAQIC4sxCGw+EkJibOmDEDZZpPUF5eXlVVlbe3N8o0ADyo1AAAAAB0XWpqqqWlpbizABDm888/HzZs2MKFC99qbdf3IyMjY//+/U5OTs+ePQsNDRV3OvC+NTY2xsTELFiwYNasWe//7lFRURRF3b9/v5txpkyZwltf6Y2WLl1KUdSrV6+6edN3oampycDAQPS5cgJ27typoqJy7969ns3q04RKDQAAAEDXHTlyxNzcXNxZALzBrl27ysrKRFwx5H3avXv3ggULnj59evTo0aFDh4o7HXivEhISrKysJk6cOGfOnG6Gys7OdnFx6du3L4PB6Nev39SpU48ePdojSX5SuFxuQ0NDQ0ODiJ3Dw8P5679NTU0cDqelpeWdJfgJwYrCAAAAAF33/Pnzr7/+WtxZALzZqVOnoqKivL29k5OTxZ3L/8vIyBB3CiAe169f37Fjx40bN7ofKigoaOPGjaqqqi4uLjo6Ok+ePMnKyrp586aIe04BD4PBKCsrk5SUFKXzb7/9tmbNmuDgYN4mcUuWLAkICBDxchAOlRoAAACALiorK2tsbOxwKxmAXig4ONje3n7GjBknTpwQdy7wqRs5ciSHw+l+nOTk5I0bN44bN+7EiRPKysp0I5fLbW5u7n7wd4GiKHGnIIzodZZDhw5153IQDrOfAAAAALro8uXL48aNE3cWAG8hJyentrZ2+vTp4k4EPmlTpkw5d+4cg8HoZhwul/vDDz8oKCgcPnyYV6YhhFAUJSMjQ39OS0ubNGmSkpKSjIyMqanpgQMHhATMzs62t7dXVFRksVijRo3Kzc0lhCxfvlxgZZnvv/+eoqgnT56IkmR+fr61tTWTydTQ0PD19W0/OSgnJ8fa2lpWVrZv375Lly6tr6+n21taWtauXTtgwABZWdl+/fq5u7v/5z//Ebh20aJFUlJSVVVV/O9ES0tr4sSJhJBr1655enpqampKS0tra2uHhIQ0NjbS3QoLC+k1erZu3aqlpSUtLU2fYjKZ3t7edJ/6+vq4uDhLS0smkyknJ2dnZ1dQUECfcnBw2LJlCyFEWlqaoqiYmBjS0bo/e/bsMTc3ZzKZffv29ff3588zKipKTU3t3r17jo6OCgoKmpqaHh4e5eXlvA7Xrl1js9l9+vRRUlKytLSMjY0V5W1/NDCmBgAAAKCLrly5YmdnJ+4sAN7OpUuXXF1djYyMiouLxZ0LfIqio6MfPnzYI/t8FRUVFRcXz507V1NTs7M+6enpLBZr7dq1SkpKe/bs8fHx0dfXHz9+fPueFy5cmDp1qp6e3sKFCxUVFfPz87ufZHFxMZvNVlVVDQ8Pl5eX37Jly59//snf4cqVK5MnT7azs6NPbd26tbi4+MyZM4SQ9evXR0ZGBgUFGRsbl5aWZmRkKCgoCMR3d3ffuXNnRkaGj48P3VJQUFBRUbFu3Tr6c2lpaUBAgK6u7oULFyIjIxkMBn2KFhsbe+rUKW9vb3V19faFs/9j787joVz7x4FfgzFj33cRQtYiyRJRDY6DiqRwWqXlcEoRh3YKpahOWmgj2oskWpQ2S5TqlBKFUEkyUcYyzO+P+3vu3zxDmrJMTZ/3X67rvpbPfXue8zo+51p4eXkTExPHjx/v6+tLo9G2bt3q7Oz8/PlzSUnJDRs2CAoKpqen5+bm8vLyqqmp9Xz3zZs3h4WFubm5+fn51dXVxcXF5eXlFRYWCggIYA0aGxttbGz8/f03bNjw8OFDf3//mpqavLw87BGFQtHU1IyOjm5vby8oKGAzL8Y9GAAAAAAA4Lvo6OiUlpZyOgoAvoeXlxf2tyinAwG/lvb29vXr1wcEBAzIaNixwf/88w+b7evq6hBCS5cuxYqRkZEIofLycqw4atQoaWnpxsZGll4rV65ECLW0tOA1WLKjpqYGK9rb2yspKfU648KFCxFC//77L1asr68nk8nMo5mamurp6dHpdKwYGhqKECosLGQwGFZWVuPGjev7jeh0uqysrIuLC14TGBjIy8tbX1/P0rK7u1tbW1tXVxcrYqtjxMTE6urqmJuRSCQvL69e50pNTUUInTx5EisuWrQIIdTZ2Yk3YP6e79+/J5PJbm5u+NMrV64ghLZv387ceMWKFXiDJUuWIISwyHNychBCWVlZfb8+F4M1NQAAAAAA3+P9+/dVVVVSUlKcDgSA73H06NGLFy9OmDBBQ0NDXV29paVl3LhxnA4KcL+HDx8+e/bs1atXAzJac3MzQoh531PfFBUVxcXFP3z40PPRmzdvHj58uHTp0gFZ7IO7du2agYGBvr4+VpSVlbW1tc3KysKK7969u3v3bkBAQEtLC1YzZswYhNDt27dNTU319fUTExNTUlI8PT2/dLoNLy+vq6vrkSNHWltbBQUFEULnzp2ztraWlZVlaUkgEHR0dAoKCpgrZ8+eraioyOa76OrqIoR6/Xo9Xb16ta2tzcvLC6+ZPHmygoJCWlpaQEAAXunk5IT/rKWlhRCqr6+XlZXV0tLi5+ePiYkxNDRkP0JuApkaAAAAAIDv8fr1ayKR2PPfhgH4WTg6OjY3N2/fvp2Hh+fevXt97B8BYKCIiIh0dXUN1GjYbiDmE2R6un79+vbt2x88ePDx48fu7u7Pnz8zGIyezbDkUa+7ePqjpqbGzs6OuUZJSQn/ubKyEiEUGxsbGxvL3KapqQkhtGnTpsrKSm9v7/Dw8MDAwLlz5/Lx9fL3u7u7+969e7Ozs11dXR89evTixYsVK1Zgj16+fBkeHn779u2GhgY6nd7W1iYtLc3cV1tbu+/4ExISkpKSnj9/3traiv3iev16PWGvpqKiwlypoqLy8uVL5hrmf+xgb4fNoqysnJSU5Ovrq6am5unpGRIS8tVQuQxkagAAAAAAvkdtbe348eM5HQUA/UImk7HdFgAMmejo6EWLFu3bt6//Q2loaCCEHj9+/KUGt27dolAoo0ePjo+P19LS4uXlHTVqVK8tsQQEm4cc02g09oNkWQ6DH3WMT7p48WIPDw/mNqqqqgghCQmJrKysmzdvRkZGLly4MCEhIScnp+dRNRMmTJCRkUlLS3N1dU1LS+Ph4XF1dUUINTU1WVhYIIQiIiLMzMzIZLKvr29paSlz377fNzIyMjQ01MPDY/PmzfLy8k+ePJk2bdr3vfWXMH8NFh4eHvb29vHx8bGxsampqQcOHMCPOv4VwN1PAAAAAADfo7CwEHaLAADAtwoODr5w4UJcXFz/hzIwMJCRkTl9+jR+XxKL5OTkrq6uU6dOOTs7a2trKyoqfinJoqysjBCqqqrq+Qi7ebqjowOvqampYTNCJSUl7HAcHHMRy8gghGz+F/PSHmtr66ysrOTk5Lt37yYmJvYa3rRp07Kysrq7uzMzM62srOTl5RFCWVlZ9fX1kZGRPj4++vr6I0aM+Pz5M5thYw4dOqSmppaammplZaWpqflNd3Wpq6uj/1Yq4WpqaoYPH87+IOLi4qGhoc+fPzc0NPT392dzOQ93gEwNAAAAAMD3gEwNAAB8n7q6urCwsC+lV9jHx8fn5+f39u3bhQsXMmdScNjf9vhBNufPn//SX/vKysr6+vqnTp36+PEjyyNsl+uDBw+w4sePHy9evMhmhLa2tiUlJfjF1c3NzdeuXcOfKigomJiYnDhxoqGhgTlmLEjmUF1cXBBCb9686XUWd3f39+/fX716tbi42N3dHR8HMb17VVXVo0eP2AwbH0FERISH5/+SBunp6cxPsWNxqFRqr30pFIqgoGBycjJek5OT8/r1699//53NqfGfJSQkrK2tqVTqNy1l+tnB7icAAAAAgO8BmRoAAPhu3d3dtra2hYWF/RwnJCQkPz8/NTU1Ly9vypQpcnJyjY2Njx498vHxmTFjxoQJExITE+fOnTtz5synT58ePnx42LBhXxoqOjraycnJxMRk1qxZJBLpwYMHc+bMcXJymj59elhY2Pz581euXNnZ2Xno0CE5ObmeCZ1eBQUFpaamUigUf39/IpF46NAhCwuLS5cu4Q3i4uImTZpkbGzs7e0tJydXU1Nz4cKFO3fuSEtLGxkZ2dvbGxgYtLe3Hzt2jI+P70ubj2xsbKSlpTdu3IgQcnNzwyrNzc35+flXr17d0tJCpVLj4uK0tLTq6+vZ/7YTJkw4cOBAcHCwoaFhTk5OSUkJ81Nzc/PY2Njly5c7OjoOGzbMysqK+am4uPimTZsCAgJcXV0dHBxev34dFxenqanp5+fHztS7du3Kzc21tbWVlpYuLy/fv3+/k5MTlhv6VXDgvikAAAAAgJ9cWVmZlpYWp6MAAICf1YMHDwwNDZnveP5udDo9Pj7e3NxcVFSUh4dHUlLS1ta2uLgYe7p69Wp5eXkSiWRubn7v3j0fHx8PDw/sEcst3QwGIzs729LSUkBAgEQimZmZ4bdrZ2Zmjh49WkBAQElJaePGjdnZ2Yi9W7oZDMbNmzdNTU1JJJK6unpycvLZs2fR/975XVhYaG9vLyIiwsvLq6Kisnjx4ra2NgaDERgYqKqqysfHJy4uPn78+L6vrMauA7eysmKuPHXqFHaJkqamZkpKytGjR+Xk5LBH2C3dCQkJLOMw39Ld2Njo4eEhJiYmIiIyY8aMd+/ejRgxYs+ePdjT7u7uJUuWiImJCQgI7Nixo9fvmZSUZGhoyM/PLyMjM2/evLdv3+KPejbetWsXQqikpITBYBQUFNja2oqIiBCJRFVV1WXLllGp1D5en/sQGL/SXi8AAAAAgAGxa9euvLy8Y8eOcToQAAD4Wc2ePfv+/ft9nAcMwC8LzqkBAAAAAEIItba2ioiIEAiEp0+f9ny6d+9eAoGwevXqoQ+sD0FBQRISEv1fPP8dtmzZoqurO/TzAgAA10hKSnJ0dPz77785HQgAPxzI1AAAAAAAIYTOnz//6dMnhFBKSsogTfHixYstW7YM4IBFRUVUKpX5v8fS6fSNGze+e/duAGfpVX19fUhIyGDPAgAA3G3Lli11dXUhISHfetgtANwNMjUAAAAAQAihlJQUMpmsoaGRmpo6SFPs2bNnYDM1e/bs2bt3r7e3N16TnZ29bt26wc7UPH78WFtbm0gkDuosAADwK0hKSqqsrFy9enVSUhKnYwHgRwGZGgAAAACgxsbGS5cu2djYODo6VlZW5uXl9doMv6rzO7S2tg74qS46OjqLFi0ikUhYkcFgHDp0aGCn6NX9+/eNjY2HYCIAAPgVnDhxQkhIKC0tbefOnZyOBYAfAmRqAAAAAIBOnjzZ2dnp4uIydepU9OUNUEQiMTIyUkdHh0wmS0lJeXp6VldXMzcoKSlxd3cfNmyYgICAhoaGm5vbhQsXEEJJSUnDhw9//fp1Y2MjgUAgEAgjR47EusycOVNYWBghdOXKFSMjIz4+vjlz5mCPrl+/PnPmTCUlJSKRKC4uPmnSpGvXrjFPN3PmTAKBcPXqVYRQcXGxgYEBdqeGgYEBNsvbt2+xli0tLSEhIWpqaiQSSVVVdcWKFU1NTcxDnT17dsKECTIyMsLCwnp6eosXL3748OGXPhdkagAAYGAdO3YsMDAwMTGR04EA8EPg43QAAAAAAOC8lJQUHh4eV1dXaWlpWVnZkydP7tixg4+P9d8TYmJiWlpaLC0tjYyM/v3332PHjt24cePevXvy8vIIodLS0gkTJtBoNBsbGwkJiRcvXqSlpUlLSzs5OYmLiy9fvjwsLExQUHDNmjUIISkpKXzYz58/Z2ZmTps2TUFBwdTU1MjICCHU2trq7u7e3NxsZmY2YcKEFy9eXLt27datWwUFBb1mSfj4+Ly9vffu3VtdXb18+XI5OTmEkIiICDaUjY3N/fv3DQ0NTUxMHj16FBsbe+nSpYKCAqxBcnLy7NmzxcTEbG1tGQzGkydP9u3bN2HChFGjRvX6uUpKSlxdXQfkywMAAMBYWFisX79eWFj44MGDI0eONDQ05HREAHAOh28JBwAAAACnVVZWEggEGxsbrLh06VKE0IULF5jb7NmzB/s3h+PHj2M13d3dvr6+CKFFixZhNStWrEAIHTx4EO9VU1NTW1uL/dzZ2YkQkpKSYpndw8MDISQhIbF9+3aWR9euXXv9+jVeXL58OULI19eXpe+VK1fwGktLS4TQv//+yzxOaGgoQmj9+vVYkU6nz5w5EyEUGhqK1WCpnxcvXuBd7t+/397e/qUvJiws3NLS8qWnAAAAvturV690dHSGDRs2bdq0uro6TocDAGfA7icAAADgV5eamspgMNzd3bEilv7odQPU6NGjsacIIQKBsGnTJh4enhMnTjAYDPTfKTbM5+wqKysrKSmxE4OhoWFAQABLpa2trYKCAl7ETg4uKytj+80QQojBYCQkJEhKSuJXjPPy8gYGBiKETp8+jdX0jNzIyIifn7/XAcvLyxUUFLAdWwAAAAbWsGHDSktLN23apKGhMW7cuL/++uvcuXNYrh+AXwfsfgIAAAB+ddjWJzc3N6w4fvx4RUXF9PT0T58+seQjxo4dy1yUlpbW1tZ++vTpixcvRowYMXXq1O3bt//5558fP3708fHBD/plB54n6lVra2tHR4eAgABCqK2tjf1hEUJlZWUNDQ1ycnJ//vknXkmj0RBCL1++xIqurq7FxcWTJ0+Oi4v77bff+h4wOzubSqV+UwwAAAC+yR9//IEQsrGx2bx5c2Fhobu7++TJk4WEhBoaGgwMDAQFBTkdIOA8UVFRbD81V4JMDQAAAPBLe/DgQWlpKT8//5QpU/BKGo3W2tqalpbGfAE2+t/DZTAyMjJPnz59//79iBEjLC0tjx07tmTJEj8/v/Xr1/v7+y9btkxMTIydMNTU1HpWZmVlbd++vaCg4NOnT9/+Zv+nrq4OIVRfX79v3z6WR3Q6nU6n8/HxBQcHf/r0acuWLY6Ojjo6OqtWrZo9e/aXLroSExMbP378d8cDAACATb///vvvv//e1tb25MmTS5cuPX36lJ+fX0JCQlxcnNOhAc4TEhLidAiDCDI1AAAAwC8N2+XU0dFRWFjY8xFLpqajo4OlDcsKlxkzZvz2228JCQmxsbHr1q1LTEy8ePGivr7+V8PoudUImx07injs2LGioqJ1dXUs8bAD25llb2+fnZ39pTY8PDybNm3y9fWNi4tLSEiYN2/egQMHsrKyet3iVF5eDhc/AQDAkCGTyWPGjBkzZgynAwFg6MA5NQAAAMCvq7u7+9ixYyQSiUqlshxlp6GhcfXq1Xfv3jG3f//+PcsI9fX1CCEZGRm8RkREZMWKFRUVFStXrqypqVm4cOH3xRYeHo4QOn78eHh4uIuLi42NjZ6e3neMg510U1VV9dWWqqqqsbGxFRUVkydPvn379qZNm3pt9ujRI7iRBAAAAACDBzI1AAAAwK/rxo0bdXV1Dg4OPfcoubu70+n0EydOMFfeu3ePuVhRUVFdXS0hIaGurs7SnUQixcTEyMvLFxUV0el0hBAvLy+BQGD/VEjsEBlra2u85sGDB1/thd0szjyLjo6OlJRUWVnZ8+fP2ZlXXl5+165dCKH8/PxeG0CmBgAAAACDCjI1AAAAwK8L2/qEXVnNotcboJ48eXLo0CHs5+7u7o0bN2LdCQQCQujatWvMm6Gqqqo+fPggKSmJZU8IBIKsrGxzc3N1dTU7scnKyiKESkpKsOLr16+x6fomLy+PEHr06BFew8PDg907vmDBgsbGRry+rKzszp07CKGOjo5r165hm6Qw2KRYACxaWloaGxuHDx/OzisAAAAAAHwHyNQAAAAAv6j29vYzZ84ICgo6Ozv3fDp69GgtLa3CwsIXL17glTExMcuXL7ewsPD09DQwMEhOTlZSUlq7di32dNWqVTIyMhQKZc6cOS4uLvr6+h0dHatWrcK7Y4cW29jYzJkzB79q6kuwq5ocHR1nz57t5uamo6NjaWkpKSnZdy9sCn9/fy8vr2nTpmHraMLCwmxsbG7fvq2iomJtbT1x4sQRI0aMHDny+vXrCKGOjo5JkyYpKipOmTJlzpw5tra23t7e/Pz8y5cv7zk+LKgBAAAAwGCDTA0AAADwi8rMzKRSqc7Ozl+6PWHGjBnof5fVeHp63rx5U0hIKCMj482bNzNnzszLy8OWsSCEQkNDTU1N7927l5qaWlRUNGbMmKNHjwYGBuLdt2zZMmPGjObm5pMnT3748KHv8IKCgjZu3CghIXHy5MkHDx4EBQUdPnzY1NS0716zZs1avXq1mJjYqVOnSktLiUQiQohEIl26dGn79u3a2trFxcXYUhpfX1/sBQUEBKKjo+Xl5XNyco4fP15ZWeni4nLz5k0LC4ue40OmBgAAAACDjcC81hcAAAAAAPRhyZIlo0aNWrx4MacDAQAAAADXgjU1AAAAAADsOn36tKCgIKejAIB7eHp66urqDt5/PL506RKBQJg+ffogjc+ssbFRRERk+PDhnz59+qaOJSUlYmJiLOeCAQB+ZZCpAQAAAABgF41Gmzp1KqejAL8EZ2dnAoFQWlrKZnsGgxEREdHd3T2oUQ04Go1Go9EGKVPT3d39999/6+npHThwYDDGZ7Fx40YCgZCWliYsLIxXJiUlYTfZ9YFOp9NotPb29kEOEADw04BMDQAAAAAAW2prayUkJERFRTkdCOB+DQ0N2dnZBAIhKSmJzS53795ds2bNT5epOXfu3IsXL3h4BuWvkmPHjiGELl++LCYmNhjjM6uoqEhPT8/IyBg9ejRe2dnZ6e/v/9VMzdixY2k02vz58wc5RgDATwMyNQAAAAAAbCktLdXV1eV0FOCXcPz48e7u7mnTpqWkpLCZfMGyEj+jQUrTIIS8vLzu37+vqKg4SOMzGzFiRFVV1YQJE5grMzMzm5ub2enOy8s7OHEBAH5KkKkBAAAAAGALZGrAkElOTjY1NfXy8qqtrcWuk8cVFRVRKBRJSUkxMTFjY+Nt27YhhOzs7Hbs2IEQIhKJBAIhJiYGIRQVFaWsrNze3u7j4yMqKmplZYWN0NraGhwcrKqqSiKRNDU1IyMju7q68PHJZPKuXbu2bdumrq4uICCgp6d35MgR5gC+2j0+Pn7v3r0aGhpkMllfX//s2bONjY0+Pj7S0tLi4uKOjo4vXrzA2zs4OCgrKzOPHx8fr62tTSKRtLS0du/e/aVP1NjY6Ovrq6KiwsPDQ/iPjY0NHmRAQICCggKZTDYzM2P5hjdv3rS1tRUWFhYVFXVycvr333/xRwUFBQQC4f79+8uWLZORkRESErKxsXny5Mm///7r4OAgLCysqKi4ZMkSlpNoeo05IiLCzc0NIUShUAgEgpOTEz5+RUXFzp07FRQUiERie3s7VpmYmIgPmJeXZ25uTiaTZWVl58+fv337dgKBgE366dMnAoHAfK0eQohAIPj4+DD/jvp4fQDAj4+P0wEAAAAAAPwcSktLx44dy+koAPd79uxZUVHR1q1bHRwcBAUFk5OTJ02ahD1qbGykUCiamprR0dHYX/i1tbUIoQ0bNggKCqanp+fm5vLy8qqpqWHt6+vr//zzz5KSkoCAAB0dHYQQg8GYNm3a9evX/fz8dHV1i4uLw8LCXr58mZCQgAcQHh6uqqq6b98+QUHByMjIuXPnKigo2NnZsdl9165dMjIyBw8e7Ozs/Ouvv2bNmqWrq2tsbHz27Nm3b98uXrzYxcXlyZMnvb57VFRUaGjoggULAgMDs7Oz/fyQjY3UAAAgAElEQVT8Wltbg4KCerb8448/7t69Gx8fP2zYsK1bt547dy4mJgYLEiHk5uZ2+/btlStXysrKJiYm2tnZ3bhxw8LCAiGUm5trZ2c3atSozZs3d3Z2JiYmWlpaFhYWYt8H4+XlNWHChIsXL5aVlS1dutTFxaWtrW3ZsmXr1q27detWcHAwDw8PnpH5Usyurq5dXV3r16+PjY01MTGRlJTEx9+2bdv58+e9vb1lZGRIJBLLq5WXl1MoFCkpqYiICCEhoR07dhw6dOjr/7th0sfrAwB+DgwAAAAAAMCG8ePH37p1i9NRAO4XGhqKEHr58iWDwXB3dxcWFv78+TP2KCcnByGUlZXVs9eiRYsQQp2dnXhNZGQkQsjS0rKjowOvvHDhAkJo586deE1YWBhC6P79+1iRRCLx8/M3NDRgxfr6eoTQ0qVL2e9OJpOpVCpWPH36NEJo7NixePuQkBCE0IsXL7Civb29kpIS9nNjYyOZTF68eDHe2MLCQkxMrL29neVlqVQqQmj16tVY8d27dwihzZs3Y8WLFy8ihI4fP44VP3z4ICQk9Ntvv2FFExMTZWXl1tZWfFIREREXFxesmJ+fjxAyNzfH5/Lz80MIBQUF4TVmZmbDhg1jJ2ZsS9qVK1fwp9j4YmJidXV1LJUJCQlYceHChQihf//9F/8VkMlkhFBLSwuDwWhpaUEIrVy5kvmDIIQWLFjAzusDAH4KsPsJAAAAAIAtsPsJDAEGg5GSkmJsbIyti3F3d//06dO5c+ewp1paWvz8/DExMa9fv2ZzwBUrVhCJRLyIpVq8vb3xmtmzZyOE0tLS8BozMzNpaWnsZ1lZWTExsbdv37Lf3dzcHD/BV0NDAyFEoVDwp1gNlgBicfXq1ba2NgqFQv3PuHHjPn78+PjxY5aWWKYGP94bu2sJPxEmIyODh4fH2toaG4RAIOjq6t6+fRsh9Pbt2+LiYldXVwEBAayxpKSkk5NTdnZ2W1sbPr6DgwNLwCyvgMfPfszMZs+e3cfpOdeuXTMwMNDX18eKsrKytra2fYzGoo/XBwD8LGD3EwAAAADA19XX1xOJROb9CwAMhps3b1ZXV0+ZMqWiogIhpK2tTSQSk5KSvLy8EELKyspJSUm+vr5qamqenp4hISHa2tp9D8jSoLKyUlhYWEJCAq9RUVFBCDHfTyQnJ8fchY+PDz+Jhp3uMjIyzH0RQlJSUiw1zEfbMMeGEMLOdmHW1NTEUqOioqKrq5ucnOzh4aGgoLB9+3bElEyprKzs7u7umQrp6uqqqqrCY2YeraOjo66uDkvKsPMKzB+EzZiZ9f1bq6mpwbdxYZSUlPpoz6KP14dziwH4WUCmBgAAAPi1dHR08PPzczqKnw8sqAFDA7uWe+fOnTt37sQrc3Jy3rx5o6CggBDy8PCwt7ePj4+PjY1NTU09cOAA8wqXnliOQSEQCF+NoY9/RLDTnXkJD4bN250YDAZCaO/evSyJjFGjRvUM49SpU1OnTlVVVSUQCMLCwtu2bZs4cSI+DplMzsrK6hkGO/F/0yuwHzOznmfTsGCJs+9/aNNoNJaQvvT6fU8KAPhxQKYGAAAA+FW0tLRYWFjY2NhgRx6AbxIXFwffDQy2tra206dPGxkZYUfVYMrKylavXp2SkoJf9yMuLh4aGrpkyRI7Ozt/f38vLy82ExAIIXV19ezs7KamJnxdzKtXrxBCw4cPH4LufVNVVUUIiYuL41c49aG1tbW+vj4jI2PUqFHKysrMX0BVVbWtrU1HR4dlcRBCCNtThsWMe/XqFZFI/KZ1K98XM5uUlJTq6uqYa5iL2LqYjo4OvKampoYlpC+9PgDgZwGZGgAAAOCXcPDgQR8fnxkzZmB/V4Bvpaio2NDQwOkoAJdLT09vbm728/ObPn06XtnV1bV79+7k5OTAwEAGg4GnJCQkJKytrYuLi2k0mqCgoKCgIEKISqXiR8z0aurUqfHx8UlJScuWLcNqkpOTEUK///47OxH2s3vfKBQKmUzes2fP9OnT8X063d3dvS4G2b9/v5aWFnb1NQsXF5f9+/fv2rUrIiICr8TGkZWVtbCwOHPmTGRkJPbFmpqaMjMzJ06c+H2p2L5jxn8p3zSmra3toUOHKioqRowYgRBqbm6+du0a/lRAQEBEROTBgwd4TWpqKnP3Pl7/m18PAMAhkKkBAAAAfgnR0dE3b94cP348pwP5WTU0NGzdurWlpUVERITTsQCulZycLCIi4uHhwVzJy8s7e/bs6Ojohw8f3rhxIzc319bWVlpaury8fP/+/U5OTlg6wNzcPDY2dvny5Y6OjsOGDbOysup1CgqFMnXq1MDAwKqqKj09vXv37u3bt2/mzJlmZmbsRNjP7n2TlpYODw8PCgqysLDA3uvZs2cVFRXXr1/v2VhNTe3IkSMrV66UkZHh4eGRlpZ2cXHBslSOjo5OTk6bNm169uzZuHHj6HR6cXGxmppaTEwMQmjbtm02NjZWVlazZ8/u6upKTEzs7OyMiooajJjHjBnDz8+PXanOz8/v7u7OzphBQUGpqakUCsXf359IJB46dMjMzOzKlSt4A09Pz3379vn4+Jibm5eUlKSnp2NnKmP6fn0AwM+BkxdPAQAAAGBIXLx4Ea5o7afk5GQ+Pr5du3ZxOhDAterr6/n4+BYuXNjzUVlZGUJoxYoVBQUFtra2IiIiRCJRVVV12bJl+H3Y3d3dS5YsERMTExAQ2LFjB+O/W7rLy8tZRmtvb1+9erWqqiqRSFRXV1+/fj3zNd4kEsnLy4u5vZSU1JQpU76v+7///osQio2NxWsOHTqEEMIvvGe+pRtz9OhRY2NjEolEIpH09fXj4uJ6fpDu7u6DBw+ynCYjJiZWWlqKB7l27Vp1dXU+Pj5hYeHx48dfvnwZ715QUDBp0iRBQUFhYWF7e/vi4mL8EcuF2QwGY9euXQihkpISvGbOnDm8vLxsxpyQkKCoqEgkEj08PHodv9fKmzdvmpqakkgkdXX1Y8eOrVy5Ev13SzeDwaBSqT4+PvLy8mQy2dbW9vHjx+bm5vgt3V99fQDAj4/AYDCGODcEAAAAgCEmJCR06dIlWFDTH8+ePdPR0VFSUqqtreV0LAD86pYtW3by5MmMjAwjIyNeXt7Ozs7i4mJra+u//vpr27ZtnI5u4C1fvnzHjh0tLS3Ma2cAAFwMNisCAAAAXC47O9vQ0BDSNP2kpKTEw8PT3d199+5dTscCwK8uIyPD1tbWxMQEOxqGSCTq6+vz8vLCLdQAAO4AmRoAAACAy12+fHnGjBmcjuKnJyIiQiAQnJycjh49OgTTFRQUEAiExMTEARxzz549EhISz58/H8Ax+8/BwUFZWZkjU5eUlIiJiaWkpHBkdtAf5ubm58+f3759e05OTnZ29u7duy0tLYlE4vz58zkdGgAADADI1AAAAABcLj09fcqUKZyOghsICwvLy8vv27dvQEbLzc0l/EdAQEBXV3ft2rU0Gm1ABu9VR0dHW1sbnU4fvCmG0qtXrw4ePNifEeh0Oo1Ga29vx2uSkpJevnzZ79DAoNu7d+/ChQv/+ecfJyenqVOnbtu2bcyYMUVFRSNHjuR0aAAAMADgnBoAAACAmz1+/HjWrFnYoZ6gnzQ0NExMTGpraydOnBgeHt7P0bAbfDw9Pc3Nzd+9e3flypWCggIbG5tr164RCISCggJzc/OEhAQfH58BCR7T1dX1o20PcXBwePz48Xec/hMdHZ2RkXH79u3+zM78QTo7O6Wlpc+cOTN58uT+jAkAAAD0E6ypAQAAALjZxo0bDQwMOB0Fl1BSUnrx4sX69etPnDgxUGPa2tr6+flt3LgxPz/f3d09Nze31wuJB8qPlqbBfEdUHR0dZ86cGdipMzMzm5ub+z8mAAAA0E+QqQEAAAC42aNHj+bNm8fpKLiEmppabW0thUKRkZHJy8sb8PG9vLwQQg8ePMBrGAxGUFCQvLy8qKiolZVVYWEhVu/g4CAnJ9fV1YW3fPv2LS8vb0BAAEKoqKiIQqFISkqKiYkZGxvjV+FERUURCISKigqs2NHRERQUJCcnRyaTjY2NT506pa6uHhgYiD29cOECgUC4cOECPgW2XYv5mJ7r16+bm5sLCAjIy8svX768tbW11/eqra1duXKlhoYGPz+/hISEp6cnywoaMpm8bds2LS0tEomkqqq6ZcsW/BGdTl+7dq2GhoaAgICKioq7u/vTp09ra2t1dXWLioru3LmDbR8rLi7GxklMTLx27Zqenh4PD09OTg72NTw9PeXk5IhEoqKiYmhoKL7difkwoIiICDc3N4QQhULBDiTC2lRWVrq5uYmKioqKirq6ulZWVrLzqwQAAAD6g4/TAQAAAABgsDAYjOfPn1MoFE4HwiVGjhyZnJyMEAoICIiNjbWwsBjY8fn5+RFCPDz//z+kRUVFycnJhYWFtbW1RUVFUSiUyspKKSmpuXPnXrp06erVq/b29ljLc+fOdXd3e3p6NjY2UigUTU3N6Ojo9vb2goKCL20s8vPzS0hI8Pb2trW1LSkp+dZjp2/evGlvb29tbb1jx46ysrKdO3eWl5dnZmb2bNnY2JiWljZ9+nRtbe2KioqYmJg3b94wLx16/vz52rVrly9frqKikpaWFhwcTCAQgoKCEEIbNmyIjIxctWqVlpZWZWVlRkaGsLCwuLj44cOHvby8xMTE4uPjEUL46SQ3b94MDg52c3ObNWuWvr4+Qig/P7+ystLPz09ZWfnKlSuRkZEkEmndunUsQbq6unZ1da1fvz42NtbExERSUhIh9P79eysrKzKZHBER0dbWFhMTY21t/ejRIwkJiW/6VgAAAMC3YQAAAACAS925c8fCwoLTUXCPo0eP8vPzl5aWMhgMXl7eBw8e9Gc0LFWRkJCA16xcuRIhdOPGDQaDkZ+fjxDS1NRsa2vDnmJLP06ePMlgMGg0mri4+B9//IH3nThxoqamJoPBwBaSZGVl9ZwxMjISIVReXs5gMGpqanh4eNzc3PCn2HqclStXYsWMjAyEUEZGBkvAycnJWNHU1FRPT49Op2PF0NBQhFBhYeFXX9zX1xch9O7dO6yIJZuOHz+OFbu6uoyMjCQlJdvb2xkMhpWV1bhx43odR1tb29LSkrmGRCIhhDIzM780dXd3t7a2tq6uLlbEPjL+Kzh27BhC6MqVK3j7VatWkUik6upqrHj58mWEUHR09FffEQAAAOgPWFMDAAAAcK38/Hxzc3NOR8E9FBUVhYWFa2trdXR0tm3blpCQ8M8///RzzKampurq6vr6+vT09Li4OHNzcysrK/ypm5sbln1ACBkZGSGE3r59ixAik8keHh6pqak0Gk1AQOD9+/c3btwICwtDCGlpafHz88fExBgaGioqKn5p3tzcXGwNDl4zc+bM2NhYNsN+9+7d3bt3AwICWlpasJoxY8YghG7fvm1qatp3X11dXYTQhw8fZGRksBoikTht2jTsZx4enunTp4eFhT18+HDs2LH6+vqJiYkpKSmenp4EAuGrgRkYGDg6On7pKYFA0NHRKSgoYOMVEUIoIyPD0NBQVFSUSqUihAwNDQkEwu3bt1etWsXmCL3C1jo1NjZmZmY+ffpUU1NTVla2PwMC8B1ERUXXrFnD6SgAAL2DTA0AAADAtfLz82fNmsXpKLiHgoICg8EoKyujUCjLli0TFRWNjIwUERHpz5irVq3C/uzHMhR79uxhzkcoKSnhP2N7o/CzaebOnbtv377z5897eHikp6d3dXVhv2tlZeWkpCRfX181NTVPT8+QkBBtbe2e89bU1CCEVFVVe53rq7DjWmJjY1mSO01NTT0bf/r0KSoqKiMjo7a2tr29vbOzEyHEYLp+VFJSEns7jLKyMkKorq5u7NixmzZtqqys9Pb2Dg8PDwwMnDt3Lh9fX//62vNlX758GR4efvv27YaGBjqd3tbWJi0tzf5rtrW1sex16vUd2ZSbm7t69eri4mICgaCqqiorKysqKqqgoMB+SAAMFCEhIU6HAAD4IsjUAAAAAFwrPz9/586dnI6CeygqKtJotGfPnmHFKVOmzJ07t583EPn7+9vb24uKiurp6WFnozAjk8lf6mhmZjZy5MjU1FQPD4/Tp08bGRnhZ7V4eHjY29vHx8fHxsampqYeOHDA29u710GYs0LMuZJe0Wg0/Gcsz7J48WIPDw/mNsypH5ybm1tOTs6aNWucnZ1FRUUPHDgQFRXF3ADL3eC6u7vxnyUkJLKysm7evBkZGblw4cKEhIScnBxhYeEvBYkvQcI0NTVhxwlFRESYmZmRyWRfX9/S0tK+35T5Nc3MzLBdYzgxMTE2uzO7ePFiYWHh7t27g4ODs7Ky+pngAwAAwN0gUwMAAABwp4KCgq6urj72v4BvJSoq2tHR8fjxY6yYnJzMz8/f1tbWRz7lqwwNDX///ffv6zt37tx169a9fv06Jydn8+bNzI/ExcVDQ0OXLFliZ2fn7+/v5eXFsnUIW0FTV1dnbGyM1dTV1TE3wK6v7ujowGuwZTgYPCNjY2PTd5CvX7++fPny7Nmz8UN8mRMxGCqVim3jwoqvXr1C/62swVhbW1tbWx89evSPP/5ITExcvnx535PisrKy6uvrDx48iN+A9vnzZzb7IoRUVVWpVOpX3/GrvLy8CgoKhg8fnpubi51zDAAAAPQBbukGAAAAuNPevXvHjh3L6Si4jYKCAr6mBiG0fft27Ioijvjjjz/odHp4eDidTp85cyZWybyrSEJCwtraGsuDsPS1sbEhEAgnT57Ea9LS0pgbYCen4FeGMxiM48eP408VFBRMTExOnDjR0NCAV2KHILJMhNWIi4tjRTqdfvHiRZY23d3dZ8+exRucOnVKSkpq1KhRLK/j4uKCEHrz5g1WFBQUxI6P6QPL7FVVVY8ePfpSY0FBQYQQ85guLi7Pnj27evUqS7R9T8pi3bp1NTU1p0+fzsnJgTQNAAAAdsCaGgAAAIA7NTU1LVy4kNNRcBtNTc38/PzW1lbsr3o/Pz8REZGlS5fq6OgMfTCKiooUCuXIkSNWVlb4CpRdu3bl5uba2tpKS0uXl5fv37/fyckJi5aZiorKnDlzDh8+zMfHN378+MePHz99+hRbR4MZPXq0rq7utm3beHh4FBQUMjIyWBbdxMXFTZo0ydjY2NvbW05Orqam5sKFC3fu3GE5ckVJSWnEiBGHDx8eMWKEkJDQkSNHeh40Y2xsvH79+idPngwfPvz06dOPHz/eunUrkUhECBkZGdnb2xsYGLS3tx87doyPjw8/e9jc3Dw+Pn7Tpk3KyspWVlbq6uo9P5G5uTk/P//q1atbWlqoVGpcXJyWllZ9fX2v33PMmDH8/PzY7eb8/Pzu7u4hISGnTp1ydnaeP3++pqZmU1PT9evXly1b5ubm9tXfDkKooKBg69atb968uXPnDjvHIQMAAAAYWFMDAAAAcKcnT57o6elxOgpuo6ysLC8vX1ZWhtfExcUtXryYU/F4enrSaDTmK5zGjRtHpVLDwsLmzJlz8ODBBQsWHD16tNe+e/fuXbZsWWZm5rJly169erV//378uGKEEC8v75kzZ8aPHx8XFxccHCwlJZWbm8vD8///1dHS0vLmzZt6enq7d+8ODAw8ffr0xIkTez1+5cyZMwYGBsHBwaGhoZaWliyLdxBCtra258+fv3PnzrJly8rKyqKjowMDA7FHFArlxIkT8+bNCwwMbG9vz8jIMDMzwx6tXbuWQqFERESsWLGCeWkPM3V19ZSUFDqdvnDhwn/++SciIqKPa5uUlJR27979+vXrefPmYccPSUlJ5efnz5w58/Tp04GBgfHx8VJSUqNHj/7SCMz+/vvvhISE6urqvLw8SNMAAAD4JoSei1QBAAAA8LPDLqzpuecF9NPff/+dlZUVHBzMfKmWi4uLl5cXy9m6QyM0NDQmJubt27c9TyP+VlQqVUJCYuXKlTExMQMS269s1apVVVVVo0ePDg0N5XQsAAAAfj6wpgYAAADgQo8fP4YTMQaDsrIyiURiXlODEDp06JC/v//SpUuHOJi2trakpKSpU6f2P00DBlBtbe39+/dNTEwgTQMAAOD7QKYGAAAA4EKQqRkkysrK79+/P3ToEHOllJTUu3fvsINahiaMjIyMw4cPOzs7v3v3LiwsbGgmBezo7OyMiYmZMGFCH9usADNPT09dXd0hXub/999/EwiEf/75Z1BnKSkpERMTS0lJGdRZAABcCTI1AAAAABeCTM0gUVZWJpPJ+F1CzCQkJFxcXIbmD879+/cvWrTozZs3Z86cwe5IAj+C3NxcAwMDAoGwZs2a/o/m7OxMIBBKS0vZbM9gMCIiIr71aiqOo9FoNBptKDM1dXV1O3fu9Pb29vPzG9SJ6HQ6jUZrb28f1FkAAFwJzqkBAAAAuJCDg0NAQIC9vT2nA+E29fX1o0aNev/+PZ1O7/lUWFh40aJF27ZtG/rAAMc1NzcrKys3NzcPyGgNDQ2KiopdXV2rVq2Kiopip0thYaGZmVlnZ2fP27V+cN3d3cyHVQ+2pUuXfvjwITk5GbtfbFB1dXUxX6kGAABsgjU1AAAAABeCNTWDRE5O7v379xoaGs+fP+/5tKysLDU11cTEZOgDAxwnJyd3+vTpgRrt+PHj3d3d06ZNS0lJYXOZzLFjxwZq9iE2lGkahFB8fPzx48eHIE2DEII0DQDg+0CmBgAAAOA2VCr1zZs3Q/N3yC9IWVlZTU3tyZMnPR8pKSlVVlZGRkb2uj0KcDE/P7+YmBg7O7uBGjA5OdnU1NTLy6u2tvb69evMj4qKiigUiqSkpJiYmLGxMbaGy87ObseOHQghIpFIIBCwC7yioqKUlZXb29t9fHxERUWtrKywEVpbW4ODg1VVVUkkkqamZmRkJPMF7WQyedeuXdu2bVNXVxcQENDT0zty5AhzAF/tHh8fv3fvXg0NDTKZrK+vf/bs2cbGRh8fH2lpaXFxcUdHxxcvXuDtHRwclJWVmcePj4/X1tYmkUhaWlq7d+/+0idycHCYMWPGrVu3TExMyGTysGHDNmzY0NXVtWnTJjU1NUFBwTFjxly9epW5S2VlpZubm6ioqKioqKura2VlJfPTa9eu2draioiIiIqKmpqa3rp1CyFUW1u7cuVKDQ0Nfn5+CQkJT0/P2tpaNr9VQUEBgUBITEzEaw4cOKClpUUikdTV1cPDw+fMmYMndj99+kQgEPD76TEEAsHHx4fN+AEA3OQnWxsJAAAAgK/i4eEREhKSlZXldCDcSVlZWV5e/smTJ9OmTev5lEwmUyiUTZs2iYqKPnr0aPjw4UMeIBhqZ86cuXLlio2NzUAN+OzZs6Kioq1btzo4OAgKCiYnJ0+aNAl71NjYSKFQNDU1o6Oj29vbCwoKsMTBhg0bBAUF09PTc3NzeXl51dTUsPb19fV//vlnSUlJQECAjo4OQojBYEybNu369et+fn66urrFxcVhYWEvX75MSEjAAwgPD1dVVd23b5+goGBkZOTcuXMVFBSwPBQ73Xft2iUjI3Pw4MHOzs6//vpr1qxZurq6xsbGZ8+effv27eLFi11cXHrNdSKEoqKiQkNDFyxYEBgYmJ2d7efn19raGhQU1GvjvLy8R48eRUVFycnJxcTErF+//uLFi3x8fLt37xYQEFixYoWTk1N5efmwYcMQQu/fv7eysiKTyREREW1tbTExMdbW1o8ePZKQkEAIXbly5bfffhs2bNiSJUtERETy8vKwK9UaGxvT0tKmT5+ura1dUVERExPz5s0b5txZH9+KRWpqqo+Pj4WFxYoVK6hU6ubNm1taWsaMGcPm/yr6jh8AwG0YAAAAAOAu9+/fNzIy4nQUXMvDw+Ovv/6aOXNm381OnTplaGh46NChysrKIYkLcEZXV9fBgwednZ0HcEzseu+XL18yGAx3d3dhYeHPnz9jj3JychBCWVlZPXstWrQIIdTZ2YnXREZGIoQsLS07OjrwSuyGsp07d+I12PVh9+/fx4okEomfn7+hoQEr1tfXI4SWLl3KfncymUylUrEitiNs7NixePuQkBCE0IsXL7Civb29kpIS9nNjYyOZTF68eDHe2MLCQkxMrL29vef7YudwZWdnY8WGhgaEEJlMfv/+PVaTnZ2NEDpw4ABWXLVqFYlEqq6uxoqXL19GCEVHR2PFUaNGSUtLNzY29pyIma+vL0Lo3bt37Hyr/Px8hFBCQgJW1NTUVFZWbm1txYrp6ekIoTFjxmDFlpYWhNDKlSuZp0MILViwgJ34AQBcBtbUAAAAANymoqJixIgRnI6Ca2E7Nb56I8/06dNbWlr8/PxERUX5+Pi8vb3r6upgoRP3qa2tPXnyZEdHx0ANyGAwUlJSjI2NsXUx7u7up06dOnfunJeXF0JIS0uLn58/JibG0NBQUVGRnQFXrFjBvBcSS7V4e3vjNbNnz960aVNaWpqRkRFWY2ZmJi0tjf0sKysrJib29u1b9rubm5uLiYlhP2toaCCEKBQK3h6rqa+vV1dXZwn16tWrbW1tFAqFSqViNePGjcvLy3v8+LGxsXHPVyMSiZMnT8Z+lpaWFhMT09PTk5KSYpkIK2ZkZBgaGoqKimKDGxoaEgiE27dvr1q16s2bNw8fPly6dCm2jqYPurq6CKEPHz7IyMh89Vsxq62tLS8v9/f3FxAQwGp+//13ERGRvqdj1kf87A8CAPhZQKYGAAAA4DaQqRlUysrKVVVVX9q7wWzevHnz5s17/vz5yZMnaTQaDw+PnJzcEEQIhlJzczMvL+8AXvFz8+bN6urqKVOmVFRUIIS0tbWJRGJSUhKWqVFWVk5KSvL19VVTU/P09AwJCdHW1u57QJYGlZWVwsLCzFtmVFRUEEIvX77Ea1j+h8rHx4efRMNOdzyLgfVFCOHZE7yG+Wgb5tgQQm5ubiz1TU1Nvb6ahIQE82fn4+PrYyjDM+EAACAASURBVKLKysq2tjaWvULYyK9evUII4VvGmH369CkqKiojI6O2tra9vb2zsxMhxGC6PLePb8WspqYGIaSqqorX8PLyftM/EPqIHwDAfSBTAwAAAHCb8vJy/OhQMODevn17/vx5JSWl3Nxcdo4m0dLSWr169eDHBTjG3t7e0dGR5fDa75aUlIQQ2rlz586dO/HKnJycN2/eKCgoIIQ8PDzs7e3j4+NjY2NTU1MPHDjAvMKlJxKJxFwkEAhfjYGfn/9Lj9jp3vM4czZvd8IyIHv37mXJLo0aNar/EzEYDDMzM2xHGA5b+4PNy/KhMG5ubjk5OWvWrHF2dhYVFT1w4ADLpel9fKueWL5e331pNBqb8QMAuA9kagAAAABuU1FRMW/ePE5HwbWcnZ2vXr0qIyNz7NixATxEFvy8XF1dIyMj58+ff/DgwX4O1dbWdvr0aSMjI+yoGkxZWdnq1atTUlLwi4HExcVDQ0OXLFliZ2fn7+/v5eXFTgIFo66unp2d3dTUhK/OwFaUsHn6dT+79w1bciIuLj4Y/89SVVWlUqm9joxtaayqqmKpf/369eXLl2fPnr1u3Tqshs0b03tSUlJCCNXV1bGMj23RQv/d5828jQ5bhsNO/AAA7gO3dAMAAADcBnY/DSp1dfXXr18vXrwYP/8CgKKionv37j169Kif46Snpzc3N/v5+U1nEhISoqCgkJycjP53342EhIS1tTWVSsUWXwgKCiKE8BNevmTq1Knov5U7GGzk33//nZ0I+9m9bxQKhUwm79mzh3kD0XcnR1i4uLg8e/aMZekTNriysrK+vv6pU6c+fvzI/BT72uLi4liRTqdfvHjx+2ZXUVHR0NBIS0vD9k8hhHJzc5l/WQICAiIiIg8ePMBrUlNT2YwfAMB9YE0NAAAAwFU+f/7c3NyM7ZIAg0FBQaGhoUFPT+/hw4ecjgX8QOh0OpY36c8gycnJIiIiHh4ezJW8vLyzZ8+Ojo5++PDhjRs3cnNzbW1tpaWly8vL9+/f7+TkhOVozM3NY2Njly9f7ujoOGzYsC9tgaRQKFOnTg0MDKyqqtLT07t3796+fftmzpxpZmbGToT97N43aWnp8PDwoKAgCwsL7L2ePXtWUVHBfCv2dwsJCTl16pSzs/P8+fM1NTWbmpquX7++bNky7Fic6OhoJycnExOTWbNmkUikBw8ezJkzx8nJacSIEYcPHx4xYoSQkNCRI0ews2++z5o1a+bOnUuhUGbOnPnhw4eUlJTRo0czN/D09Ny3b5+Pj4+5uXlJSUl6erqwsDCb8QMAuAysqQEAAAC4CiyoGQKqqqoEAuHDhw/Nzc2cjgX8KO7evUun0/EVE9/h3bt3ly5dmjlzppCQEMuj+fPnI4SSkpLGjRtHpVLDwsLmzJlz8ODBBQsWHD16FGszffr0JUuWXLhwwcfHp6SkpI+JTpw4ERIScu7cuaVLl16+fHndunXMa2S+qp/d+xYYGHj06FE6nb5p06awsLCCggJsFU//SUlJ5efnz5w58/Tp04GBgfHx8VJSUniuxNHRMSsrS05OLiYmJjw8vLa2FtvPdebMGQMDg+Dg4NDQUEtLy7S0tO8OYM6cOXv37q2trV2+fHl6enpKSgrLKdTR0dE+Pj6ZmZl+fn6lpaXZ2dkGBgZsxg8A4DIE5iWUAAAAAPjZnTlz5tixY6dPn+Z0INxs4sSJa9asWb9+fXh4uLW1NafDAT+K7du3b968+f3795wOBPwcRo8ezcfHV1xczOlAAAA/HFhTAwAAAHCVS5cufdNdJOA7qKiovHr1atSoUbABCjBbsWLF5s2bseu0AQAAgO8GmRoAAACAq1RXV2tpaXE6Ci4HmRrwJb6+vvr6+lOmTCksLOR0LAAAAH5WkKkBAAAAuAqDwbC0tOR0FFxOVVW1urp69OjRzBe1AID5+++/9fT0YmJiNm7cyOlYAAAA/JQgUwMAAABwlerqalVVVU5HweWwNTVCQkJ9n9sKflmbN28eOXJkTU0NHPgK+vDgwQM4pAYA0Cu4pRsAAADgKq9evVJRUeF0FFwOy9RoamoihJqbm0VFRTkdEfjhhIeH3717t6WlxdTUNC8vrz+3OwMAAPjVwJoaAAAAgHu8e/dOVFSUTCZzOhAuh2VqeHl5R40a9eLFC06HA35Qpqamx48fd3JymjhxIiydAAAAwD7I1AAAAADcAxbUDA0BAQFhYeGGhgZTU9O7d+9yOhzwQ1u7dq2amtqMGTMmTJgABxsBAABgB2RqAAAAAO4Bh9QMGWlp6ZKSkrFjxxYVFXE6FvCjO3LkyN69eydPnjx58uSoqKimpiZORwQAAOCHBjtmAQAAAO4Ba2qGjKSkZGZm5oIFC3bu3MnpWMBPwM7Ozs7OztbWNiwsbOPGjV1dXcOGDZOTkyMQCCNHjpSQkOB0gIAbiIqKrlmzhtNRAAAGAGRqAAAAAO5RXV2tpqbG6Sh+CbNmzXr69KmhoWFZWVl7ezuJROJ0ROAnMH78+Bs3bnR2dhYXF79///7ChQsvX74UERGRk5PjdGiAGwgJCXE6BADAwIBMDQAAAMA9Xr16NWHCBE5H8UtQU1O7ePEiQgjbADV+/HhORwR+GkQi0dzcHCHk7OzM6VgAAAD8iOCcGgAAAIB7wO6nIaOurv7y5UuEUHd39549ezgdDgAAAAC4B2RqAAAAAO4BJwoPGTU1tcrKSoTQokWLPn36xOlwAAAAAMA9CAwGg9MxAAAAAGAA0Gg0KSmp1tZWTgfyq1BUVLx37x6DwRg7dmxdXR2nwwEAAAAAl4A1NQAAAACXuHbtWnd3N6ej+IVgG6AUFRVJJBK2vgYAAAAAoP8gUwMAAABwCX5+fjjXdijhG6DGjx9/+/ZtTocDAAAAAC4BmRoAAACAS7x+/VpZWZnTUfxC8EOFIVMDAAAAgAEEmRoAAACAS7x+/VpRUZHTUfxCBntNjYODQx+pt46ODjU1tcWLF3/f4CUlJWJiYikpKd8bXe/6jnlQ9fODAAAAAD8OyNQAAAAAXAIyNUMMX1Ojq6v79OnT7ztU+OPHj+vXrx81apSwsLCYmJiBgUFgYOCbN2++2pHBYNBoNBqN9h2TIoTodDqNRmtvb/++7gPu1atXBw8e7M8IPT9IUlIS9gsCAAAAfi6QqQEAAAC4RF1dnZKSEqej+IXga2oQQlOmTCksLPzWEcrKygwMDDZs2CAuLr58+fJFixYNGzbs8OHDZDL5q31JJFJdXd2RI0e+OW6EEEJjx46l0Wjz58//vu4D7tixY/3M1LB8kM7OTn9/f8jUAAAA+BnxcToAAAAAAAwMWFMzxBgMRm1tLZ1O5+Pjs7Ozu3r1qqurK/vdOzo6XF1d37x5c+LEiRkzZuD17e3tJBKJnRF4eXm/OeiB6z6Aw3Z0dJw5c4afn38Ap87MzGxubu7ngAAAAABHwJoaAAAAgEtApmaIqaioEIlE7GZ0CoVy5cqVb+p+8uTJ0tLSgIAA5jQNQog5TcPPz5+Xl2dubi4gIDB8+PCQkBDm/UpkMtnb2xv7OSoqSlZWtra21sXFRUhISFJS8o8//vj48ePZs2eNjY0FBARGjBgRFxeH9y0oKCAQCImJiXhNZWWlm5ubqKioqKioq6vrl+4db21t3b59u7GxMZlMFhQUtLa2zs/PZ25AJpO3bdumpaVFIpFUVVW3bNnC/DQ1NdXIyEhYWFhWVnbixImZmZm1tbW6urpFRUV37twhEAgEAqG4uBgh5ODg4O3tXV1dPXnyZH5+/jVr1iCEioqKPD095eTkiESioqJiaGhorx8kIiLCzc0NIUShUAgEgpOTEx58QECAgoICmUw2MzO7fv36139PAAAAwJCDTA0AAADAJWD309DT0tJ6/vw5QmjEiBF0Or2qqor9vhkZGQihJUuW9NGmpaVl6tSpVlZWu3btMjIyio6O3rBhw5caNzQ0ODo6UiiU3NzcZcuWHT16dPLkyf7+/itXrrx27ZqZmVlAQMCpU6d67fv+/XsrK6uHDx9GRESsXr369u3b1tbWTU1NPVvy8vImJiaamJjExcVt2rSpoqLC2dn5w4cPeIPnz5+vXbvW3d19586durq6wcHBW7duxR5dvXrVy8tLW1v7n3/+CQoK4uPja2lpERcXP3z4sIqKioGBwa1bt27dujVy5EisfVVVlbOzs5CQ0Lp16xwdHRFC+fn5lZWVfn5++/fvt7GxiYyMjIqK6hmkq6vr2rVrEUKxsbG3bt3Cs0Vubm6JiYmLFi3avn17R0eHnZ1dXl5eH98fAAAA4AwGAAAAAH5+b9++lZOT43QUv5xp06adPXsW+3nhwoX79u1jv6+BgYG0tHQfDezt7RFCBw4cwIp0Ol1VVVVXVxdvQCKRvLy8sJ8jIyMRQiEhIfhTfX19hFBmZiZWbGlpIRKJf/zxB1bEFsIkJCRgxVWrVpFIpOrqaqx4+fJlhFB0dPRX3yI1NRUhdPLkSeaYjx8/jhW7urqMjIwkJSXb29sZDAa2LoZGo/UcR1tb29LSsufrBwUFfWnq7u5ubW3tL32QY8eOIYSuXLmCP7148SJzbB8+fBASEvrtt9+++o4AAADAEIM1NQAAAAA3gAU1HIGvqUEI2draLl26lP2+zc3N4uLifbchEAizZs3Cfubl5TU0NHz79m0f7X/77Tf8Zw0NDYTQ5MmTsSK24ai+vr7XjhkZGYaGhqKiolQqlUqlGhoaEggEdq4e19XVRQgxr6khEonTpk3Dfubh4Zk+ffqHDx8ePnyIEMKSR2FhYexfWRUSEvKlRwQCQUdHh3nqvmVkZPDw8FhbW2PvSCAQdHV1B+N6dQAAAKCfIFMDAAAAcAM4pIYjmDM1s2bNolAo2dnZbPYVFhb+9OlT320kJSUFBATwIj8/f1dXVx/tZWRk8J/5+PhERESYj+nl4+P7UvfKysqioiKJ/8jLyzMYjF53PyGEEhISrKys5OTkREREzM3NEUIMBoM5ZuZJlZWVEULYFeYzZswICgqKi4tTUVFZu3btV5Ms0tLSkpKSzDUvX76cN2+epqamuLi4sLBwRkYG89R9q6ys7O7uVlRUxF+zqKiopaWl708KAAAADD24+wkAAADgBpCp4QgtLa1Dhw7hRTc3tzNnzjg4OLDTV0ND48mTJ42NjVJSUl9qw8513cyIRCJzkYeH3f8mx2AwzMzMsC1UODExsZ4tIyMjQ0NDPTw8Nm/eLC8v/+TJE3wFDaazs5O5iJ24jNuyZcuiRYu2bt0aHR2dkJBw+fJlAwODL0XFcgdWU1OThYUFQigiIsLMzIxMJvv6+paWlrL/jmQyOSsri6We/a8EAAAADA3I1AAAAADcAHY/cYSmpmZ5eTledHV1DQ4OTkhIYKfvpEmTzp8/n5SUFBAQMGgBsktVVZVKpdrY2Hy15aFDh9TU1FJTU7EER0VFBUsDKpVKo9HwpUCvXr1C/62swWhoaOzdu9ff39/CwmLNmjVpaWlsBpmVlVVfX3/w4MF58+ZhNZ8/f2azL0JIVVW1ra1NR0dHTk6O/V4AAADA0IP/hgAAAABwA1hTwxFycnI0Gq25uRkrSkpKGhoa5ubmstPX29tbSkpq/fr1P8L1Qy4uLs+ePbt69SpzJctyGAyDwRAREcHXoaSnp7M06O7uPnv2LPYznU4/deqUlJTUqFGj0P9uktLT0xsxYsSbN2+woqCgIJVK7TtIrDt+uE9VVdWjR4++1FhQUBAhxDymi4sLQmjXrl1ffUcAAACAs2BNDQAAAMANHj58aGdnx+kofkXYUTUmJiZYsaamZu/evewsTpGUlExJSZk6daq1tbWTk5OxsXF3d3dNTU11dTVLxmQIhISEnDp1ytnZef7/Y+/OA2rO/v+Bn3fLvbftVlqU0oKKFCm02aKFJoYKDTGMEJPBZG8wJlmjxhJDGGtFGdn3ENkyGNmXKExSKtKm7v39cX7z/t7PbXFLdbt5Pv7qfd7nfc7r/e59697XPe9zfvjBzMwsLy8vKSlp6tSpPj4+YjV79+69ZcuW2bNnd+rU6cyZMzdv3hSrYGtr++uvv969e9fExCQ+Pj4tLW3lypX0sazvv/+ex+PZ29tzudyLFy/+/fff4eHh9ChHR8eoqKiwsDBDQ8OePXu2adOmcpCOjo4cDueXX3758OFDfn5+ZGSkubl5dXMk29nZcTic5cuXl5aWcjicoUOHenp6enl5hYWFPXjwwN7evry8PDU11dTUlI0BAACgiUCmBgAAoDm4d+8eXesHGplYpmb27NlXrlyR8FgPD49bt24tW7bszJkzR48elZOTMzIyGjx4cIMFWy0tLa3Lly/PmzcvPj4+NzdXU1OzR48eNjY2lWuuWLGisLDwjz/+EAgEAwYMOHnyJJ07huXi4jJu3LjAwMCIiAhdXd3ly5fPmDGD7nJ1dV21atWOHTvk5eVNTU0jIiKmTZtGdy1YsODx48eLFy9WVlY+evRolZmaNm3a7N69OyQkZPz48cbGxosXLxYKhcHBwVWekYGBwfr16xcuXDh27Fhvb++hQ4cyDJOQkBAWFrZr167ExEQej2djYxMYGPhFFw4AAKABMJJPmA8AAABNFsPgf7p09O3bV05Ojh0FIxQK5eXl8UwNAAAA1BnmqQEAAJB5b968wSSp0jJ+/HjRxZsYhhk7duzWrVulGBIAAADINGRqAAAAZB4yNVJkZWV1//590RJbW9t58+ZJKx4AAACQdcjUAAAAyLysrCw9PT1pR/GVsrS0vHfvnmjJjz/+qKure/nyZWmFBAAAADINmRoAAACZhzE1UiQvL9+uXbuHDx+KFi5fvjw0NFRaIQEAAIBMQ6YGAABA5mFMjXRVHlYzYMCAGzduXLhwQVohAQAAgOxCpgYAAEDmYUyNdFXO1BBC/vjjjylTpkglHgAAAJBpyNQAAADIPIypka6OHTvevXtXrHDw4MHu7u7m5uZPnjyRSlQAAAAgo5CpAQAAkHkYUyNdVY6pIYSsXLly4MCBbm5u586da/SgAAAAQFYxQqFQ2jEAAADAF7G2to6JibGyspJ2IF+pT58+KSsrf/jwgcfjVd6bmZlpZ2cXEBCQl5enqqra+OFBrfD5/Pnz50s7CgAA+KopSDsAAAAA+FIYUyNdioqKrVq12rFjx4QJEyrvbd269eHDhw8ePJiTk9O2bdvGDw9qRUVFRdohAADA1w5jagAAAGSbQCBQVFSsqKiQdiBftSVLlnz8+DEsLEzagQAAAIDMwzw1AAAAsg0DapqCLl263Lx5U9pRAAAAQHOATA0AAIBsw8JPTQEyNQAAAFBfkKkBAACQbRhT0xTQZFlWVpa0AwEAAACZh0wNAACAbMOYmiYCw2oAAACgXiBTAwAAINsuX77877//SjsKQKYGAAAA6gcyNQAAALKNx+MZGRlJOwpApgYAAADqBzI1AAAAsk1RUdHCwkLaUQDJz88/ffp0fbV25coVhmGio6Prq0FCyIYNGzQ1NR89eiRJ5WXLljEM8+TJky/stKyszNTUNDAw8AvbqYGTkxOHw/n777/rcOzcuXMZhlm3bt2XBMDj8fz9/b+kBQAAAFHI1AAAAMi2nJwcbW1taUcBxNXVVUVFRSAQSFj/3LlzzH+UlJQsLS0XLFhQXFzccBGWlZWVlJSUl5c3XBeVCYXC4uLihjuvv/766/Lly1FRUba2trU99tWrV2vWrPH39w8KCmqI2AAAAOpGQdoBAAAAwBd5+/atjo6OtKMAYmJiIhAI3rx5o6+vL/lRI0aMcHR0zM7OPnXqVGhoaHJy8tmzZxmGaYgIp06dGhQUJC8v3xCNV4fL5b569aqBOi0vLw8LCwsPDw8ICKjD4WFhYQMHDty6dWu9BwYAAPAlkKkBAACQbcjUNB1OTk4pKSk+Pj6SH+Li4kKzDL/99tuwYcP27duXlJTUt2/fBoqwkdM0Dd2pgoJCampqnQ+Pioqqx2AAAADqC55+AgAAkG3I1DQdzs7Oly5dqvPhI0eOJITcunWLLREKhTNnztTT0+Pz+T179rx69Sot79+/f8uWLSsqKtiaWVlZ8vLy06dPJ4Rcv37dzc2tRYsW6urqtra2q1atonUqTz2zefPmTp068Xg8PT29wMDA3NzcGsK7cOGCi4uLqqoqn8/38vK6c+cOu6uiomL58uUWFhYcDocRQfeKTeOSnp7u4+PD5/P5fL63t3d6enp1PaalpXl6euro6Ii2+euvv9K9SUlJjo6OSkpKenp606ZNKyoqYg/k8Xhr165dtWpVmzZtlJSUOnbsuH37dtGWa4ihf//+/v7+L168cHV15XA48+fPJ4S8fPkyODi4bdu2HA5HU1NzxIgRL1++rC7soqKi6dOn6+vr83g8BweHpKSkGq4qAABAZcjUAAAAyDZkapoOOqamzodzOBxCiJzc/709W7Zs2aVLl0JCQubPn3/v3j03NzeaTBkzZkx2drboBMZ//fWXQCAYMWJEbm6um5tbfn7+8uXLw8LCLC0tq8spLFmyZMKECebm5mvWrJk4cWJMTIyLi0t1E8qcO3fO1dW1sLBwyZIlCxcufPr0qbOz8/379+neVatWzZkzJyAg4OLFizST8v3331eZocjJyenZs+ft27cXL178yy+/XLx4sVevXnl5eZVrFhQUuLu7Z2dn792798SJE5aWlmpqagkJCXQI0oULFzw8PFRUVH7//feRI0euX79+6NChooeHhobGxsb+8ccfp0+fNjU1HTNmzMmTJyWM4fnz5wMHDlRRUVm4cKGnpychJDc398CBA76+vhs3bpw0aVJ8fPyoUaOqvFCEEB8fn+jo6IkTJ65evbqsrMzd3f1L7goAAPgaCQEAAEBmFRcX83g8aUcB/0deXr68vFySmjSRsXnzZrYkODiYEHL+/HmhUHj58mVCiJmZWUlJCd1L14Hau3evUCgsLi7W0NAYNWoUe2zfvn3NzMyEQuGZM2cIIceOHavc49KlSwkhjx8/FgqFOTk5PB7Px8eH3Xvq1ClCyOrVqytXFgqFXbt2NTQ0LCoqopu5ublqamqDBg2imzY2Nj169GCbsrS0dHd3Zze5XO7IkSPpz7NmzeJyuS9evKCbNHuyfPnyytEeOHCAEHL69Gm6uXfvXkJISkoK3ezevXvHjh3ZSz1v3jxCyNWrV9keORzO27dv6eabN28IIZMnT5YkBg8PD0LIzJkzK4fEmjBhAiEkOzu78gkePXqUEBIbG0s33717p6KiMmDAgBpaAwAAEIMxNQAAADIMA2qaGj09vX379klePy8v78WLF9euXQsJCYmMjHR0dOzZsye718fHh8vl0p+7dOlCCMnKyiKE8Hi84cOHHzhwgA6BycnJOX/+/HfffUcIMTc353A44eHhr1+/rqHf06dPl5SU0OetKFdXV319fZofEZOVlZWamurt7a2kpERLWrRo4eXldfz48ZKSEkJIfn4+n89n66upqb1//77Kfg8dOtSpUyc+n5+fn5+fn9+pUyeGYS5evFi5Zn5+PiGEbVZNTY0QQpvNzs6+du2au7v7hw8faDt2dnaEENF2HBwc2DXRdHV11dXV6aWTMIY5c+bUcPUsLS0JIe/evavyBOXk5Hr16kUbZxjG0tKyyhMEAACoDjI1AAAAMgyZmqbGy8urVnPczpo1y8TExN7eftmyZT4+PocPHxZd+MnAwID9mT4bxc5NM2bMmA8fPhw8eJAQkpiYWFFRQTM1hoaGO3bsuH79uqmp6dixYx8+fFhlv3RmFiMjI9FCIyOjZ8+eVa78/PnzKiuXlZW9evWKENK/f/9z586lpKRUVFScPn36xo0bbm5u1fV7/fp1zf/o6ekJhcIqn37q27cvh8P5/fffP3z4UFBQsGHDBnV19W7durHBR0REsO3QWZxF22nZsqVoawoKCuyl+2wM2traLVq0ED28sLDwl19+6dy5s5aWlqqq6qxZswghQqGwyhMUCAStWrVi279+/fqHDx9EJxUCAACoGdZ+AgAAkGHI1DQ1I0aMoHPQSmjKlCkeHh58Pr9jx45i2QFCCI/Hq+5ABweH9u3b79mzZ/jw4fHx8V26dGnfvj3dNXz4cA8Pj6ioqIiIiD179mzZskV0Ql+qVguBf7byihUrnj9/7uzszDCMnJycv7//3Llzq6wpFAodHBzoo1UsdXX1yjVbt24dFxc3atSo3bt3E0JMTU337t1LLxFNkQQGBg4fPlz0EGNjY/ZnmtiqWwzsOCaWj4/PmTNn5s+fP3DgQD6fv2XLlmXLllXXOI/HO3bsmFi56PRDAAAANUOmBgAAQIYhU9PU9OrV6+rVq6WlpZU/7VepU6dO33zzTd36GjNmzMKFC1+/fn3mzJklS5aI7tLQ0Jg3b96kSZPc3d2nTJkycuRIsWxLmzZtCCEZGRn0uSEqMzOTlosxNTWllUULMzIyFBUV6agfoVD49OnTRYsWjRw5snXr1jVkSYyNjfPz8/v06SPJCb5+/VpLS+vChQsGBga6urqijdAfJGznS2KgYZw8eXL06NELFy6kJQKBoIbGS0pKOnToIDaoBwAAQHLI7gMAAMiwnJwcdjIOaCI8PDxOnDjRCB2NGjWqvLw8NDS0vLzcz8+PFoo+kqOpqUknTKm8opObm5uysvLOnTvZkjNnzrx+/brKtJGurq6Tk1NCQgK7EnZeXt6RI0f69u1LR/0cPnz48ePH7DrWNcQ8aNCgBw8eiK5aRapPfERGRg4dOrRLly6iaRpCiL6+fteuXePi4t6+fcsW0ikYa+i6bjGQ/y6phoYG3SwvL6fTBlfXOCFk7dq1EjYOAABQGcbUAAAAyDCMqWmCaKaGfmJvUK1atXJzc9u+fXvPnj0NDQ1p4dq1a8+dO+fi4qKtrf348eNNmzZ5eXkpKyuLHauhoREWFjZ9+nRvb+/+/fu/fv06MjLSzMwsKCioyr5WrVrVp0+fnj17MUv+NQAAIABJREFUjh49uqKiIjo6+tOnT+wTQHTQzbhx42xsbBiGUVVV7devH/s0lqg5c+bs27dv4MCBP/zwg5mZWV5eXlJS0tSpU+lEM2JMTU1jYmJUVFR4PJ6CgoKxsfHgwYMVFRUJIZGRkf369bO1tfX392/ZsmVmZubhw4cvXbokSeKyVjEQQgwMDNq1a/fnn3+2a9dORUVl+/btCgrVvoX29PT08vIKCwt78OCBvb19eXl5amqqqalpeHj4ZwMDAACgkKkBAACQYW/fvjUxMZF2FPA/2rRpM2vWrPXr1zdCXyNGjDh+/PiIESPYEnt7+wMHDoSEhJSUlLRq1WrcuHGLFi2q8thp06ZpaWmFh4dPmTJFXV3d29t76dKlqqqqVVZ2cHA4f/58SEjIvHnz5OTknJ2dd+7caWNjQ/eWl5ebmJjExcXFxcXREnl5+W3bto0aNUqsHS0trcuXL8+bNy8+Pj43N1dTU7NHjx5sO6I+fPhgaWl58uRJ0fg7d+58+fJlJSUlZ2fnCxcuLFiwYP369UVFRQYGBp6ennR9qM+SPAZWQkLC5MmTZ8+ezefzf/jhh4kTJ1b3umMYJiEhISwsbNeuXYmJiTwez8bGJjAwUJLAAAAAKEbCYaIAAADQBA0ZMuT7778fPHiwtAOB/2FhYREfH29tbd3QHc2bNy88PDwrK6vybMSNZv/+/b6+vrt37/b29uZyuRUVFS9fvhwwYICSktKNGzfq1qZAILCzs9PQ0Ni+fTtdc6qoqGjz5s3Tpk07ePDgwIED6/UMAAAAmhbMUwMAACDD8PRT0zRmzJiYmJiG7qWkpGTHjh2DBw+WYpqGEHL48GE9Pb3vvvuOTqIsLy9vbGysqakpLy9f5zZfvnx569at7777jl0aXFlZ2dLSkrZfL2EDAAA0WXj6CQAAQIZhRuGmyd/f39nZWWw9pnp06NCh3Nzc3bt3Z2dnh4SENFAvEnJ0dNy2bdtPP/3k6empqKj4+vXruLi4lJSUHTt21LlNQ0PD1q1br1y5ksvlGhkZffz48ebNm2vWrOnYsWO/fv3qMXgAAIAmCE8/AQAAyDAtLa3Hjx9Ld0gFVKlbt26BgYHjxo1riMYHDhx48uRJMzOzpUuXNoVHgdasWbNly5b09PTi4mJtbW07O7ugoKD+/ft/SZsPHz5csGBBcnLy27dvuVyuqampl5fXrFmzNDU16ytsAACApgmZGgAAAFlVXl6uqKiIf+VN09mzZwcNGpSRkYE8GgAAANQKMjUAAACy6t9//7W2ts7JyZF2IFC1NWvWPH369Pfff5d2IAAAACBLkKkBAACQVffv3/fx8bl37560A4GqCYVCTU3N58+fa2hoSDsWAAAAkBlY+wkAAEBW5ebmamlpSTsKqBbDMBMmTOjcubO0AwEAAABZgkwNAACArEKmpulbsWJFcHDw5MmTpR0IAAAAyAys0g0AACCrkKmRCX5+fm3btn39+rWJiYmioqK0w4Emgc/nz58/X9pRAABAE4VMDQAAgKxCpkYm6OrqPn78eO3atf/880/v3r2lHQ40CSoqKtIOAQAAmi5kagAAAGTVu3fvsAK0TNDT0wsLC5N2FAAAACAbME8NAACArMKYGgAAAIDmB5kaAAAAWYVMDQAAAEDzg0wNAACArEKmBgAAAKD5QaYGAABAViFTAwAAAND8IFMDAAAgq5CpAQAAAGh+GKFQKO0YAAAAoC54PF5BQQGXy5V2IAAAAABQbzCmBgAAQCZ9/PhRQUEBaRoAkLoTJ04wDOPr69sIfeXm5qqpqZmYmBQWFtbqwLKyMlNT08DAwAYKDACgHiFTAwAAIJPw6BMANKiSkpJ169b17t1bS0uLy+UaGxt7enru2LFDrJpAIJg7d27Hjh23bNnSCFH99ttvDMMcOHBAVVWVLbxw4cLZs2drPlAoFBYXFxcXFzdwgAAA9UBB2gEAAABAXSBTAwANJyMjw9PT8+7du127dv3hhx/U1NRevnx57ty5s2fPjh49WrRmTEwMIeTkyZPq6uoNHdWTJ08SExMPHTpkY2MjWr506VI7O7u+ffvWcCyXy3316pW8vHwDxwgAUA+QqQEAAJBJyNQAQAOpqKgYMmTIgwcPduzYMWrUKNFdJSUlYpVHjhw5cuTIxgmsXbt2z58/FyvMyspKSkqys7P77OFI0wCArMDTTwAAADIJmRoAaCDx8fF///13cHCwWJqGEMLj8difi4qKpk+frq+vz+PxHBwckpKSRGu+ePFixIgR2traPB6vW7duBw4cEGsnKipq48aNbdu25fF4VlZW+/fvz83NDQgI0NbW1tDQ8PT0fPr0qeghUVFRFhYWXC7X3Nx8/fr1tPD48eMWFhalpaVhYWEMw9BHoq5cucIwzJMnT9asWaOvr6+oqFhaWko79ff3lzB+AAApQqYGAABAJiFTAwANhGZVgoKCaq7m4+MTHR09ceLE1atXl5WVubu7p6Sk0F1v3751cnI6e/bs9OnTIyIitLS0hgwZsmvXLtHD165du2fPnq1btx4+fFggEHz33Xeurq5CoXD//v2bNm26cuXKoEGD2MrLli0LCgrq1avXunXrrK2tg4KCVq5cSQixtrbeuXMnIWT06NHJycmnTp1iD1m1atXy5cv9/f3DwsKqnHy9hvgBAKQLq3QDAADIpMWLF5eWloaGhko7EABobjp16pSVlZWdnU03Kyoq0tPT2b1GRkYcDufYsWOenp6xsbHDhw8nhOTl5bVu3bpXr15Hjx4lhMycOTM8PPyff/6xtrYmhAiFwt69ez948CAzM5MmTXg8HsMwWVlZdHabhIQEX1/fbt26Xbt2jfYyd+7cZcuWPX36tE2bNu/evTMwMBgzZsyGDRvoXmdn57t372ZnZ3M4nKysLH19/ZCQkMWLF9O9V65ccXR0VFdXv3fvXqtWrdjIeTyer68vTRjVHD8AgHRhTA0AAIBMwpgaAGgg79+/V1NTYzf//fdfMxGPHj0ihBw6dEhOTq5Xr175+fn5+fkMw1haWl68eJEecvjw4U6dOtE0DSGEYRh/f/+3b9+KDlqhyRT6c9u2bQkhbm5u7F5a8ubNG0LI6dOnS0pK3Nzc8v9jb29fUFCQlpZWw1mMHj1aNE0jpub4AQCkCzMKAwAAyKSDBw+6u7tLOwoAaIbU1NREB9FoaWnt27ePEHLgwIHdu3fTwvT0dIFAUDkVUlFRIS8vn56eLpp2IYQYGRkRQp49e+bi4kJLdHR02L0KCgq0I7GSiooK2hchxMfHR6yvvLy8Gs7CwsKihr01x1/DgQAAjQCZGgAAAJnUoUMHLy8vaUcBAM2QhYVFWlrav//+q6+vTwhRUlLy9fUlhDx58oStIxQKeTzesWPHxI6Vk5MjhDAM89leFBUVqzy2Mjpdw8aNG8WSL507d66h/SrnphFts4b4AQCkC5kaAAAAmfTu3bsWLVpIOwoAaIY8PDwSEhK2bds2b9686uoYGxuXlJR06NChZcuWlfe2adMmIyNDtIRumpiY1CEeY2NjQoiGhkafPn3qcHh1bdYQPwCAdCFnDAAAIJPy8vI0NTWlHQUANEMjR440NjZevHjxkSNHqqtDF2Zau3ataKFAIKA/DB48+J9//rl9+zbdFAqFu3fv1tDQcHJyqkM8bm5uPB5vw4YN9GEosb6UlZUJIfn5+bVqs+b4AQCkC2NqAAAAZBIyNQDQQJSVlePj4/v37+/l5dW7d2869e/Hjx8PHjzI1vH09PTy8goLC3vw4IG9vX15eXlqaqqpqWl4eDghZMaMGXv27HF3d58yZYq2tnZiYuKFCxc2btyopKRUh3i0tbVDQ0Nnzpzp5OTk5eWlrKz84MGDJ0+eJCUlEUL4fL6lpWVsbKyNjU15eXlAQIAkbdYcPwCAdCFTAwAAIJPw9BMANJyuXbveuXNnxYoVR44ciYiIKC8v19DQsLCwmDNnTuvWrQkhDMMkJCSEhYXt2rUrMTGRx+PZ2NgEBgbSwzU1NS9dujRnzpyIiIiPHz927NgxJibGz8+vzvHMmDFDX19/9erVYWFhhBAzMzPRjEx0dPSECRMmT55sYGAwbtw4SRqsOX4AAOli6ARdAAAAIEM+fvzYsmXLwsJCaQcCAAAAAPUM89QAAADIHjz6BAAAANBcIVMDAAAge/DoE9TsypUrDMNER0dLUtnJyYnD4fz9998NHRV18+ZNdXX13bt3N053TVOvXr0Yhmm0aw4AALIFmRoAAADZgzE1zcCvv/7KMIycnNzLly/FdgmFQiMjI4Zh+vfvL2FrO3bsePbsWR3C+Ouvvy5fvhwVFWVra1uHwyVx4cKFs2fPspvl5eXFxcWlpaUN1J1MiIuLMzIymjVrVuVdYpcLAAC+QsjUAAAAyB5kapoHZWVloVAYFxcnVn7x4sXMzEwVFRUJ2/n06dOUKVPqkKkpLy8PCwsLDw+XcLmculm6dKlo6qFbt27FxcU//PBDw/XY9Onr658+ffr169fHjh0T2yV2uQAA4CuETA0AAIDswdNPzYOqqqq9vX1sbKxYeWxsrLW1datWrSRs58iRI+/fv69DAAoKCqmpqcHBwXU4VkJZWVl0KWVR8vLyDdejrDAzM7t3796AAQNEC6u8XAAA8LVBpgYAAED2YExN8/D+/Xs/P7/U1NSnT5+yhRUVFfv27fvuu++KiopEK+/bt8/V1VVdXZ3D4XTo0GH79u20fPHixT4+PoQQNzc3hmG8vLzYQ4RC4cyZM/X09Ph8fs+ePa9evSraYFJSkqOjo5KSkp6e3rRp00S74/F4UVFRGzdubNu2LY/Hs7Ky2r9/f25ubkBAgLa2toaGhqenp2jM1cV2/PhxCwuL0tLSsLAwhmFUVVVJVXPopKSkODo68ng8XV3dH374YfXq1QzD0KXNCgsLGYaZMWOGaOQMw4gOAioqKpo+fbq+vj6Px3NwcKg507Fx48ZOnTrxeDwdHZ3+/fu/efOGll+4cMHFxUVVVZXP53t5ed25c4c9hAackpIyadIkHR2dKi/ms2fP/Pz8dHR0lJSU2rdvv3HjRvbAJ0+erFmzRl9fX1FRkX3mKyoqysLCgsvlmpubr1+/vobLVdsTBACAZgCZGgAAANmDMTXNQ0lJydChQ+Xk5ESH1Zw5c+bt27d+fn6fPn0SrRwfH8/n8xcsWBAVFcXn88eMGXPu3DlCiLe394IFCwghERERycnJK1asYA9ZtmzZpUuXQkJC5s+ff+/ePTc3t9zcXLrrwoULHh4eKioqv//++8iRI9evXz906FDR7tauXbtnz56tW7cePnxYIBB89913rq6uQqFw//79mzZtunLlyqBBgz4bm7W19c6dOwkho0ePTk5OPnXqVOWL8PjxYzc3t1evXi1evHjRokUpKSm1HePj4+MTHR09ceLE1atXl5WVubu7p6SkVFlzwYIFkyZNUlVVnTt3bkBAgFAo1NbWJoScO3fO1dW1sLBwyZIlCxcufPr0qbOz8/3790WPHTx4cGFh4aFDh+Li4t68eSN6MbOyshwdHQ8dOjR06NCFCxd27dqVy+WyB65atWr58uX+/v5hYWG0fNmyZUFBQb169Vq3bp21tXVQUNDKlStruFySnyAAADQTQgAAAJA1kyZNioqKknYU8EUWLlxICCkvL+/du7eVlRVbPnbsWHt7e6FQqKWl5eHhUeWxr169IoRMnjyZbsbExBBCTp06xVa4fPkyIcTMzKykpISW0DEse/fupZvdu3fv2LFjeXk53Zw3bx4h5OrVq3STy+XyeLz8/Hy6GR8fTwjp1q0b2/6cOXMIIU+fPv1sbP/++y8hJCQkRCy2zZs3083x48cTQu7cuUM337x5w+PxCCEfPnwQCoUfPnwghAQHB4t2QQgZN24c/fno0aOEkNjYWLr57t07FRWVAQMGVA4sKyuLw+G4urqyZ83q2rWroaFhUVER3czNzVVTUxs0aJBowLa2tmx9OrUQezGnTp1KCDl//rxYs/RAdXX1V69esYW5ubk8Hi8wMJAtcXJyUldXLy0trfJySX6CAADQbCg0ZlYIAAAA6gWefmo2hEKhn5/fpEmT7t27Z2lpWVZW9tdff/366681H9WqVSsNDY13797VXM3Hx4cd3NGlSxdCSFZWFiEkOzv72rVr06dPp3kQQoidnR0h5OLFi927d6cljo6O6urq9Oe2bdsSQtzc3NiWacmbN2/atGlTt9hYZ8+etba2trKyopu6urouLi6V59mtzqFDh+Tk5Hr16pWfn08IYRjG0tLy4sWLVXZUVlY2YcIEsVlysrKyUlNTf/rpJyUlJVrSokULLy+vhISEkpISmjYihIg+VmZubk7+u5iEkGPHjllaWvbq1avKCEePHi065dDp06dLSkrc3NxowIQQe3v7lJSUtLS0KpffkvwEa+vWrVsvX75MTEzU0dF59OiRqanpl7fZ/PD5/Pnz50s7CgD46iBTAwAAIHvw9FNz4uvrO2XKlJiYmNDQ0GPHjr1//37YsGGVqyUlJa1evfrWrVsFBQUCgeDjx49CobDmlg0MDNifORwOIaSiooIQkp6eTgiJiIiIiIgQrZ+Xl8f+rKOjw/6soKBACNHS0hIroa3VLTZWZmamu7t7dWF/Vnp6ukAgqDz7ckVFhVhGJiMjgxBSOR/x/PlzQoiRkZFooZGRUVlZ2atXr2hOihDSsmVLdq/Y6WdkZPTr16+6CC0sLMQCJoTQqYVEiV58sfoSnqDkYmNjJ02aJBAIWrRoQefl0dPTEz1BYEm+BBsAQD1CpgYAAED2YExNc6Ktrd2vX7+4uLjQ0NDY2NjevXvr6+uL1UlOTnZzc7OxsYmKijI3N5eXl+/cufNnW2bHg4ihaZTAwMDhw4eLlhsbG7M/Kyoqih0lJ1f1/IZ1i00UwzCimzSpVJ3i4mLRTaFQyOPxKo/BqRwtPWvRGWSq7L06NUQlFAorN8sS20XD2Lhxo1gGp7qLJvkJftY///xz9OjR8PBwbW3tqKgoPz8/Cc8dAAAaGTI1AAAAsgeZmmbGz89v7NixFy5cOHjwYGRkZOUKO3fupGtC0SEhRUVFYgmLWmEzMn369KlzI/UVm4GBAZ3ahiW6SYeNlJWVsSWZmZmilY2NjUtKSjp06PDZISGGhoaEkOfPn1tbW4uW07DpiBtWRkaGoqKihKN7DA0N6cAcSdCLr6GhIeHFl/wEayAUCufMmRMbG6uiovLLL79Mmzatzk0BAEAjwNpPAAAAsgdPPzUzQ4YM4XK548eP//TpU+XnYsh/AzE0NDTo5sGDB0UfL1JWViaEsPOefJa+vn7Xrl3j4uLevn0r2oXkjyzVY2wuLi43b9588uQJ3Xz//v3Zs2fZvUpKSmpqardu3WJL9uzZI3o4XYJq7dq1ooUCgaByR/369VNUVNy6davYaerq6jo5OSUkJLDrlOfl5R05cqRv377VDUoSM2DAgJs3b964cUOSym5ubjweb8OGDezDU6IBV75ckp9gdZ4+fRodHX348OH9+/ffu3cPaRoAgKYPY2oAAABkD8bUNDPq6ur9+/dPTEz85ptvqszB9e7dOzo6esyYMX5+fvfv3//zzz9bt27N7rWzs+NwOMuXLy8tLeVwOGLrbVcpMjKyX79+tra2/v7+LVu2zMzMPHz48KVLl+iq1bVSc2x8Pt/S0jI2NtbGxqa8vDwgIEDs8JkzZ+7Zs8fNzW3KlCmKiorbtm1zcHAQXc97xIgRf/zxR0BAgKOj482bNxMTE1VVVdm9np6eXl5eYWFhDx48sLe3Ly8vT01NNTU1DQ8PF+tIX1//559/Xr58uYuLi4eHR1FRUXJyckJCgpaW1qpVq/r06dOzZ8/Ro0dXVFRER0d/+vRp2bJlEl6B2bNnx8TEuLi4jBs3Tl9f/+nTp61ataJre1Wmra0dGho6c+ZMJycnLy8vZWXlBw8ePHnyJCkpqcrLJfkJVumPP/747bffhELh1atXRX8vAADQpDXuUlPQDD169GjhwoXsWpsAANDQ8vLyNDQ0pB0FfCn6Sf7Tp090k660vXPnTraC2Crdv/zyi56eHpfLdXR0vHHjRkBAwPDhw9m9mzdvbtWqlaKiIi0UWwlbKBTeuXOHEBIREcGWXL161cPDQ01NTV5e3sjIKDAwkF3Sm8vljhw5soZjt23bRghJTk6WJLaUlBQrKytFRUUTE5OysrLKsV24cKF79+5cLrdNmzYxMTHBwcHkv1W6hUJhfn5+QECAnp4ej8dzcXFJS0tzdHRkV+kWCoWlpaULFixo06aNgoKCqqpqjx49Tp48WeU1FwgEkZGR7du3V1RU5PP53377Lbsy95UrV/r166esrKyqqurh4ZGamsoeJcnFfPjwobe3t6ampoKCgrm5OV3Au/KBrF27dtna2nK5XC6Xa2VlFRkZWd3lqtUJilm5cqWFhcW8efMkqQwAAE0HI6zTMFcAqqSkxN7ePj09PTk5ubbTBwIAQN2kp6f369fv2bNn0g4EoEFMmzbt999///Dhg+jYGaitHTt27Nq16+TJk9IOBAAAag3z1DRzffr0YT7n8OHDhJBbt24xDNOjR49atT9t2rS7d+/GxcU1Wprm3LlzDMMMHjy4cbqTup07dzIMQ79obRwMw7BzDQDILl9fX4Zhjh8/XuXepnmf//zzzwoKCnfv3v1szdzcXHV19UYICQBkVEVFxaZNm2pYOxwAAJoyZGqaOWtra2cR9Lspc3Nz0cI6z0m5b9++P/74Y926dQMGDKjXqOH/Kyoqmjt3rpWVldgqqgDNQ3h4OMMwv/76q7QDqUJqairDMPWyLI7k5syZw+Pxfv7558/WPHLkyJs3bxohJACQRRUVFbt37zYwMJg9e3Yjd52bm6umpmZiYlJYWNigHY0YMcLS0hIPBwBAc4UZhZs5sZUCunbteuPGjfnz5/v7+39hy0Kh8OXLl1u3bh07duwXNlUr7dq1i4iIaNu2bWN2Ki2RkZGvXr0KDw+Xk2u8pGpERASXy2207gCkomne57q6uhMmTIiIiDh16pSbm1sNNQ0MDL755ptGCwwAZEhOTo6ZmVn37t1PnDhR50bOnTvn4uJCCNm1a9fIkSPF9vr7++/evZvL5ZaUlIjt+u233xiGOXDgQEM/uVZcXFxcXCwUChmGadCOAACkApkaqCOGYaZPn974/RoaGn4lq0tWVFRERUWpq6s38qNeX8nlha9ck73Px4wZExERsWbNmpozNfn5+U3w6S2A+hIZGRkZGSntKGRVly5dbt++bWRk9OVNqaioxMbGimVqiouLExMTVVRUysvLxeo/efIkMTHx0KFDNjY2X957zf766y+BQNCYX2UBADQm/HUDcbm5uePHj6crLNja2oouk8l69OjRuHHjjI2NuVyurq6un5/fgwcPKlc7ceKEu7u7pqYml8tt165dcHBwbm5u5WppaWkMwzg4OBBCNm7c2KlTJ2Vl5ZYtW3p5eb18+ZLWOXz4sOjcOjUkL27cuDFgwAB1dXU+n+/l5fXo0aOuXbsyDCMaoYaGBsMwYu8w2rdvzzDM8+fPRQvz8/N/+eWXjh07Kisr8/n8vn37VjntxbNnzyZMmGBubq6srKylpWVjYzNjxoxXr15VF6QkDh069OrVK19fXx6PV2WFgoKC0NDQTp06qaurq6mpmZubjx079tq1a5Vrvn//fvHixXZ2dnw+X1VVtVOnTjNnznz9+jVbobCwUPTy1vwJ8MyZMwMHDtTR0eFyuaampsHBwXl5eaIVIiMjGYb55Zdfbt265enpqaGhoaqq+s033zx9+rRyawKBYMeOHf369dPS0uLxeGZmZqNHj75x40ZtOyWEVFRU7Nixw9nZuWXLlkpKSsbGxoMGDYqLi6vhXKpz8eJFhmH69+9/+/ZtNzc3VVVVTU3NwYMH37t3r3LldevWMQwzZ86cwsLCWbNmmZqa8ng8Y2Pj8ePHi1b77MuhITr97A3cvn17bW3tNWvWaGtra2tr//7777dv37axseHxeH379n379m2tWiP/vbhKS0uXLFnSrl07upJLRESEaJ2AgAB6p82cOZMQsmjRIvbeq8PywNSjR4+GDh2qpaWloqLSp0+fK1euVK4jyX2+a9cuurdbt26EkPPnz4sekpaWJlqZTgPh7Oysrq6upKRkY2OzZs2aiooKsTZrdWd26tTJ2tr66NGjL168qOF8kakBgCoZGxuPHDmyXtI0hJB+/fqdPHlS7B/u4cOHi4uLXV1dK9dv167d8+fPe/fuXS+9fxbSNADQnEl36SloZHZ2duR/VwBl3bx5kxBiZ2fXsWNHPT09d3d3+oQRh8NJS0sTrXnkyBFlZWVCSIcOHQYPHky/NlFTU7t27ZpotaioKEKInJxcr169fH19DQwMCCHt2rXLzs4W65oudWlvb09X5dTV1bWxsdHU1CSEXL58mdZ5+PDhwoULFy5c+P333xNCvv322ypP8OLFizSv4ejo6OfnZ2xsrKura2JiQgi5f/8+W43OxMkujEpZWFgQQtLT09mSZ8+emZqaEkL09fW9vLx69uzJ4XAIIRs2bBA98OHDh3w+nxDSuXPnESNGDBo0yNzcnBBy6NChan4PEhkzZgwhZPfu3VXuLS0t7dSpEyHE0NDQ19d32LBhdnZ2cnJywcHBYjWfPXtGf5Wampqenp6+vr5WVlaEkNDQUNHWFv6HEKKurl5dVLSCvLy8g4PDt99+S69thw4d8vLy2Dr0Y7mvr6+ysrK5ubm7uzv9+N22bVt2/VeqpKSETnLE4XD69OkzbNgwZ2dnBQWFfv361bZToVA4ceJEQoiqqqqXl9fIkSN79OihqqpqZ2f3uStdheTkZEKIpaWlurp6mzZtfH196X3O5/P/+ecfscr0GcPg4GB7e3tCiKmpqZWVlZKSEpfLZetI8nKo904luYEtLCzk5eWNjY29vb0VFBQYhjFSFNVMAAAgAElEQVQwMHBzc7O1tSWETJkypVatCf97cY0ePVpVVbVPnz5du3al/2s2bdrE1klMTKQ3Gx020rt3b/b2W758eR1+X3fv3qV/MaysrHx9fc3MzJSUlOgL5NixY2w1Se7z27dv0wo052VsbLxQxJs3b9iaJSUlHh4e9K9f3759+/fvT+f88vPzE2uztncmHe+zbt26Gk45KCho7dq1kl4gAPg6bNiwITAwsF6aSkpKIoRs3LiREBIdHS26a8iQIe7u7iNHjhT9jyMUCtevX29ubs7hcMzMzMT+ghUWFgYHBxsbG3M4HENDwwkTJtDyvXv39uvXj8/nKyoqtm/f/s8//2QPoQucX7p0KTAwUFtbW01NrUePHleuXGEreHh4GBgYsJulpaUzZszQ1dXlcrldunTZu3cv/V6H7hVb913431uLzMxMtuTs2bMODg48Hq9ly5ZTp079+PFjna8eAMCXQ6bm6/LZTA1NgtDnfsvLy4cMGUII+fHHH9lqL1++5PP5DMNs3bqVLdyzZw/95CwQCGhJRkYGl8uVl5c/fvw4LSkpKaGzKowZM0asa5qp0dHRUVdXT0hIYMtPnz795MkTscr0rUOVmRqBQNChQwdCyOrVq9lO3d3d6XnVNlMjEAjoh8yJEyey+YV//vlHS0uLw+E8f/6cPXDKlCmEkJkzZ4q2duXKlcePH1cOUnI0H/H06dMq9yYkJNCElOhZPH/+PDk5WbQaexbfffddYWEhW37p0qWYmJgqW64hU3Pw4EH6m2Kzcp8+fZo8eTK9Smw1dgDF0qVLaUlOTg79kL9v3z7RBunMqdbW1qLX88WLFytXrqxtp2/fvpWTk1NXV3/16hVbWFBQcPDgwSrPpWY0aUIIGTZsGHuFQ0JCCCE9e/YUq0yTJi1btrSwsLh9+zYtLCsrY18jEr4c6rdTCW9getvfuXNH+N+vw8PDQygUFhcXq6ioWFlZ1ao14X8vLjMzs4yMDFqyevVqQkjHjh0rX+eVK1cSQhYuXFjN70FS9PvbadOm0c3y8vJRo0bRiymaqRFVc0ZSKBRev36dZpGqq0Avl6Oj4+vXr2lJTk6Oo6MjIUT0xVWHO5Ou9ebj41NDeP7+/lX+JQeAr9aDBw/09PQWL15cL63Rt1tnz55t3769q6srW15QUMDj8bZt2zZs2DDRTM3SpUsZhgkICNi0aZO3tzchZMWKFXRXRUUFnZ3d19c3LCzsxx9//Omnn+iuYcOGDRkyJDw8fPPmzd27dyeEJCUl0V00U6Ojo+Pv73/58uWjR4+amZmpqanl5OTQCmKZGppe9/f337JlS1BQEP0XIHmm5vz584qKiv369fvjjz/oMnyenp71ciUBAOoGmZqvy2czNfLy8uznK6FQeP78eUJI9+7d2RK6iEBAQIDY4TQhwqYJli5dSggZPny4aJ309HSGYbhcrtjXFDRTI5phqUENmRr6vIOJiQmbMBIKhbdv365bpubYsWM0/SRWbcmSJeR/B6T4+voSQkRzTF+OjjTmcDjVVVi3bp3YkIcq0dkEW7ZsKZqmqVkNn2Dp8I1du3aJFn78+FFDQ0NZWbm0tJSW0ExN+/btRX8RixYtIoTMmjWLLcnPz6cDoMRGY9WtU/pYirW1tYSnWTOaNJGTkxP9dF1aWkoHB4klEGnShGGYGzduVNmahC+H+u1UwhvYwsJCQUGB/qa2bt1KCJk7dy7dZWVlxefza9Wa8L8X1549e0RPgcvlMgxT+fvJesnUPHv2jBCipqZWVFTEFubk5CgoKDRcpiYvL4/H4ykoKIhmqYRCYUpKCiFEdFBYHe5M+lfL1NS0hjpeXl5fOGoPpEL0LgWoXykpKTX/3agV+nbr9OnTCxculJeXZ0cUbt++ncvl5ufn+/j4sJma3NxcHo8nOpzHyclJXV2d/o/+66+/CCGLFi2quUf6zPjkyZPpJs3U2NrashXoQ6N79+6lm6KZmszMTDk5OdEEN51LUfJMTffu3Tt27FheXk43582bRwi5evWqxBcMAKCeYUZh+B9GRkatW7dmN42NjQkhorNp0GlrfHx8xA7s3r37yZMnb9y40aNHD0LI1atXCSFizzCbmJiYmZk9evTo9u3b9JtnUQoKCpMmTfqS4OkULb169RJdBaBTp07a2to5OTm1bY2e6aBBg+jnPRb9zkd0IhUbG5v4+PjFixdraWnRh3fqfAqs7OxsQoiWllZ1FehzMbt27XJ2dvb09FRTU6uy2unTpwkhfn5+KioqXxhSQUHB9evXFRQUvv32W9FyZWVlKyurixcv3r9/v3Pnzmy5o6Oj6C+i8r106dKlkpKSzp070wlBvrDTtm3bqqmppaWlLVmyZMyYMa1atfrC8yWEWFhYiLbD4XB69Ohx4MCB69evV159zNnZmT4xVFmtXg711ankN7Cqqir9TdGH+GiqhRCioqLy4cOH2rbGBiZ6Cvr6+s+fP3/37h19cLJ+0Re+g4ODkpISW0ini0pNTa337qjk5OSSkhJ7e3t6Y7Ps7Ozk5eVFL0gd7kz6wq95EW7MUyNzsrOzBwwYYGBgQL8VAKhfL1++PHny5NmzZ+u3WaFQ6Ofnt2jRon379v3444+EkJiYGDoboGi106dPl5SUuLm55efn0xJ7e/uUlJS0tDRbW1ua6//se7xWrVppaGi8e/dOtNDLy4v9mT5anpWVVfnYc+fOCQSCESNGsCV+fn5iU6TVIDs7+9q1a9OnT2f/69GvNi9evEj/zQEAND5kauB/6OnpiW7SVWxFZ97NyMgghNC5RSpj/7/SREPlzyQGBgaPHj2q8hOIkZFRdVPnSoj+8xY7BUKIvr5+HTI19EyXL1++fPnyyntF30lMmzbt7NmzZ8+e7dOnD50do0+fPgEBAe3atattpyz6Xod+cq6Ss7PzjBkzVq1a5efnJy8v3759e0dHR39/f7Fp/DIzMwkh9bKo+cuXLwUCgUAgqC4rJPbu6rP3kiSxSd4pj8eLjo4OCAgICQkJCQkxMjLq1q3b4MGDR4wYUecZB/X19assqfIGpu8gq1Srl0N9dSr5DSwvLy/6A7upoKAgFAorKiroUDsJW6M++9uvR/TyVnfdGgi9IFevXq1yddiCggJ2RZI63Jn0I1BRUVFZWRmdDKgyZGpky/Pnz83NzZ2dnXv16iXtWKB5KioqUlBQqDyj+Zdr3759586dY2Njf/zxx9zc3NOnT+/atUusTnp6Oqnqazw6QDgjI0NFRUVHR6dy40lJSatXr7516xb9s0mHXopWaNmyJfsz/aqgynOk7yhEU+d0PjgJ0fgjIiLEkjuV1y4AAGg0yNTA/5DwM+2MGTOqHKMh9h60ys8w1anyX3hjEggElQt9fX07duxYuVx0VQUVFZUzZ85cvnz5xIkTly5dunTp0tWrV9etW3f8+HE6wqgOaI6G/W6nSitXrpwwYcLRo0eTk5PPnz8fHR0dHR29atUqOn2GqFr9Imqmra1Nv1WrTGxwgYT3kiSxSdjpsGHD+vbte/To0XPnzl24cCEhISEhISE+Pv7AgQOSRCJ5bGLvI6nP3sAS/hbqt1NJbuCaifYreWuNvx5HPd7kkuvatSudb6hmtb0z379/TwhRUlKqLk1DkKmRNUeOHBkyZEjdlqIDkFBwcHDPnj2/cN3JKvn5+c2bN+/ly5dHjhzhcrkDBw4Uq0D/U2zcuFFsyBgd9CoUCmm+XkxycrKbm5uNjU1UVJS5ubm8vLzoyFyqhj+DlYn+I/jsgcXFxWLxBwYGDh8+XLSO2BsbAIDGhEwN1E7r1q1zcnJGjx5tbW1dQzVdXV1CiOg60BR9A0H31jvabOVhsf/++69YCf1apqysTPRRDrED6VNgvXr1ohMGf5ajoyN9hqWgoGDatGl//vnnwoULz5w5U+vTIIT89yVSlYuaizIzM5s6derUqVPLy8s3btz4008/hYSETJkyRVFRkVYwNDQkhFS5PHZtGRgYMAwjFAp//fXXL2+NSBZbbTvV1tYePXr06NGjCSFJSUm+vr6JiYnJyck9e/asQ4SVb2B6k9T2Bq7Vy6G+Oq3tDdyYrdUvOn6n8su8ckk9ohfEyMioIe5M+sKv+TdeUFAg9vQBNFklJSWzZs0SW/MeoN61adPG3d29e/fu9JnQeuTn5zd37ty4uLhDhw59++23lZ9jpRkNDQ0NOnOwGENDw5MnT1b+q7Vz586Kiop9+/bRNQeKiopEsye1QkfQvHr1in0iWCxjRYeLlpWVsSV0GI5o/ISQKuMHAJCKxv7aE2Rdv379CCH79++vuRp9rJdOksJ68eLF48ePORxO5e9M6gXtlM5qzBb+888/lR99oovpvnjxgi1JS0sTG8Ai4ZlWpq6uTj+80YlO60ZTU7N169alpaXPnz+XpL6CgkJQUJCxsXFJSYnoR316FrGxsUVFRXUOhtLQ0LCzs8vNzaXzTH85Z2dnHo93+/btyrOc1EunLi4ugwYNIl/wi3j48KHop/3y8vJLly4RQmqYWKdKtXo51Fendb6BG6E1Fv2W9ePHj1/SCL28V65cKSkpYQtzc3PZ2cQbIrYePXpwudwzZ84UFBTUtuXP3pkPHjwg/81FVSX6jEB1jwRCk1JQUKChoREZGdkQkzQBiNm2bZuZmRldkbMemZiY2Nvbb9y4MTk52c/Pr3IFNzc3Ho+3YcMG0UeT2KHKAwYMEP43ab0o+m6NHR5IV8SrW4R9+vRhGGbv3r1sidigRZr7vnXrFt0sKCg4evQou1dfX79r165xcXGiGVU6o2fd4gEA+HLI1EDtTJkyRVVVdenSpX/++adoeWZm5q+//sp+qhkxYgSHw9m3bx/76bSsrIwuyjhs2LAvn922Sg4ODhYWFunp6XRZHEJIaWnpzJkzK9ekE8VFRkbS/8GFhYXTpk0TqzNgwAAbG5tz585NmzZNNM3x/v376Oho0S+stmzZ8vDhQ9FjY2NjSY1ziEiCzjhDJ6Ot7NixY+fOnRN9D5GSkpKRkaGmpiY6RYiHh0eXLl2ysrImTpwoeha3bt2qw6fuuXPnEkK+//57usAN6++//16xYkVtW9PQ0KDLbI8bN+7ly5ds+Zs3b9avX1/bTh88eLBnzx7Rz+rZ2dl0bsU6/yIEAkFwcDD7vnPJkiXZ2dnOzs5mZma1aqdWL4f66lTyG7jxW2PRrzFpKqrOjI2NXVxc3r9/TxfyIIQIBIIZM2Z8+vTpS5pt3bo1wzD379+vPAsPIaRFixYTJkwoKCjw9vYW/WK2vLz86NGjoh+T6nBn0mXsavhqF48+yZDt27dPnDiRrh8M0AgEAkFQUFC9Dyr08/N78uSJurq6h4dH5b3a2tqhoaHnz593cnIKDQ1dtWrV+PHjaYqfEDJ48GAnJ6fg4GB/f/+VK1fOmDGDLppJ3+eMGTMmJiZmwYIFs2bNEl3UolaMjIy+//77Xbt2jR07dsuWLdOnT79y5Qo77RohxNfXl8vl/vDDD2vXrl29enWPHj1EZ8AhhERGRhYVFdna2s6dOzcyMjI4OLh9+/afHdoMANBw8PQT1I6RkVFMTMzw4cPHjh0bGhpqZWXF5XIfPHiQlpYmFArZfIexsXF4ePhPP/3k4eHh4uKio6Nz+fLlFy9emJiY0HV5a+X9+/erV6+mP9MxJg8ePGAfOnBwcOjfvz8hhGGYzZs3u7m5TZ06NS4uztjYOCUlpbi42NTUlM4Vx5o8eXJcXNymTZuSk5Nbt2598+bNjh07mpmZPX78mK0jJycXHx/v5ub2+++/796928bGRkdH58WLFzdu3CgtLaVLTlI7d+4MCAiwsLDo1KkTh8NJS0u7ffu2srJyaGhobc9UlJeX165du5KSksSemqauXr26aNEiPT29bt26aWpqZmZmnj9/XiAQLFmyRPRpcIZh9u3b5+bmtmvXrmPHjvXo0UNZWfnx48c3btz47bffvL29abU1a9aIfhwtKSlhL6+enl5gYCD92dvbe/78+aGhoT169OjcubOZmVlpaenNmzczMzM7d+48a9as2p7jsmXL7t+/f/LkSTMzs549e+rq6r5+/fry5cvOzs7sxDQSdpqVlTVy5EhVVdXu3bsbGhrm5eUlJSUVFhb6+PhUXmhMQh06dDh8+LClpaWdnd3jx49TU1PV1NSioqJq206tXg711ankN3Djt8bq16+frq7u5cuXHRwcHBwceDyeiorK/Pnza9vOunXrnJ2dV6xYcerUqfbt29+8eTMjI8Pa2vrOnTui1SS8zykNDY0BAwYcPXrU1taWXehk+vTp7Jv7FStWPHz48OTJkxYWFra2tkZGRjk5OdevX8/Pz586dSq7BEkd7sykpCSGYWqYAQeZGhmyffv2zZs3SzsK+IrExMSYmpoWFhbWb7PDhg0LDg729vaubv6XGTNm6Ovrr169OiwsjBBiZmYWEBBAd8nLyx8/fjwkJGT//v2xsbHa2trjxo0jhPj7+z98+DA6OvrEiRO2trYHDhzYsGFDzTP01WDjxo3q6up79uzZt2+fh4fHpk2bRGeZMTY23r9/f0hIyOzZs1u0aDFx4sTu3bvTd4+Us7PzhQsXFixYsH79+qKiIgMDgxoW1gQAaAyNth44NAV0LMnOnTsr77p58yYhxNnZWbSQfidjbGwsVvnJkyeBgYFt27blcrnq6upWVlbjx48/cuSIQCAQrXb06FFXV1d1dXUOh2Nqajp16tTs7OzKXdNPU/b29tWFLfqVdWVTp04VrXzt2jUPDw81NTVVVVVPT88HDx7Qs75//75otb1791paWioqKhoYGEybNq2wsJBOg5eeni5aLT8//7fffuvSpYuqqqqSklK7du28vb23b9/+4cMHts6JEycmTpxobW2toaGhrKxsYWExceLEp0+fVnc6EiotLW3ZsmWLFi1KS0sr77179+7s2bMdHBxatmzJ5XJNTEy+/fbbCxcuVNlUfn7+okWLbGxsVFVVVVRUrKys5s2b9+rVK7ZCDQswde7cWay1c+fO+fj46OvrKyoq6urqduvWbe7cuX///TdbgS6dEBISInpUTEwMIeT7778Xa628vHzr1q19+vTR1NTkcrlt27YdO3asaGsSdpqTk7Ns2TJ3d/fWrVtzuVw9Pb0ePXrs2LGjvLy8uitcg+TkZEKIh4dHamqqi4uLioqKurr6oEGD7ty5U7kyHcM1e/bsmtv87MuhITr97A1sYWGhpaVFf6YJl5UrV9JNutL2p0+fJG9NKBTSjIboUbSXyi8u6vbt215eXlpaWnQmSDaY2rp//763tzd9Dfbu3fvq1at0FZJjx46xdWp1nwuFwpycnPHjxxsaGrJfzIr9LsrLy7dt2+bi4qKpqcnhcFq3bt23b99Vq1ZlZmaKNlKrO/Pu3buEEFdX1xpO9saNG7a2trW7QCANd+7csbKyknYU8NVJS0uTk5OTdhRSRpdtCg4OlnYgAAB1xAjxBCY0d127dr1x48b9+/fbt28v7VhqZ+HChb/99ltCQgI7+AUax8WLF3v27Onh4XH8+PHm3Sk0NbNnz16xYsWBAwe+/fbb6uqcPXs2LCyszhOWQ6MZO3ZsZmam2BxVAI0gJSVl+vTp1T1A/TXIz8/X1NQMDg4ODw+XdiwAAHWBeWoAmq7g4GBdXd2lS5dKOxAAaAx5eXkbN250cnKqIU1DsPCT7CgtLaUzcQA0Micnp8WLF3fo0EHagQAAQB0hUwPQdPH5/EWLFqWmpiYmJko7FgBocOHh4R8+fIiMjKy5GuapkRVPnjwRnQgDoDG5ubnNnz+/b9++0g4EAADqAjMKAzRpEyZM8PX1VVJSknYgANDgZs2aNWPGDE1NzZqrIVMjEwoKCh49etStWzdpBwJfrxEjRmRnZ5uZmXl7ey9fvlza4TQqDQ0NzPAAADINmRpo/lJTU6UdQt3Jyclpa2tLO4qvTo8ePRr/HZ5UOoUmRcJnmpCpkQmnT592dXWVdhTwtZs2bRqfz583b962bduuXbtmYmIi7YgAAEAiePoJAABAliBTIxNOnTrl5uYm7SgAyA8//HD16tWlS5d+8803165dk3Y4AAAgEWRqAAAAZAlmFJYJMTExTk5O0o4CgBBCjI2Nx40bN3jwYG9vb3Nz8xUrVkg7IgAA+AxkagAAAGQJxtQ0fffv3+dyudbW1tIOBOD/hIWFnTx5csKECeHh4e3atduxY0d5ebm0gwIAgKphnhoAAABZgkxN03f37l2szw1NkKWlpaWl5YwZM+Lj4ydOnBgUFKSpqcnn8wcOHPjixYtWrVpJO8Amjc/nz58/X9pRAMDXApkaAAAAWYJMTdN3/fp1rPoETZmvr6+vr29aWlpmZmZiYqKcnJySklLLli2lHVeTpqKiIu0QAOArgkwNAACALEGmpulLTU0NCQmRdhQAn2FlZWVlZTVgwABpBwIAAOKQqWk+Pn36tGDBgnXr1gUGBko7FgAAaCgZGRmKiorSjgJqgjE1AAAA8CWQqWk+bG1t09PTHRwcMHgVAKAZ09LSKikpkXYUUK2HDx+2atVKTU1N2oEAAACArEKmppno0qXLvXv3FBUV4+PjMSoeAKAZS0hIyM7ObtOmjbQDgaphQA0AAAB8IazS3RwEBgY+evRIIBA4ODggTQMA0Lzp6upmZ2dLOwqo1rp16xQU8E0YAAAA1B0yNTLvzp07MTExRUVFCgoKrq6u0g4HAAAaFjI1TZxQKPT395d2FAAAACDDkKmReT///PP79+8JIaqqqhhuDQDQ7Ono6Lx9+1baUUC1Hj9+bGtrK+0oAAAAQIYhUyPb7t69e+3aNfpzaWkp3hoCADR7GFPTlL1+/VpJSUlTU1PagQBIqn///oaGhl/ezs2bN9XV1Xfv3v3lTQEAADI1so0dUEMIUVJS0tHRkW48AADQ0JCpacru3r3bsWNHaUcBMoz5j5ycHJ/P79q168qVK8vLy6Ud1+eVl5cXFxeXlpZKOxAAgOYAM97JsNevX7MDagghjo6OUgwGAAAaBzI1TVlaWpqVlZW0owDZ1q1bt9GjRwsEguzs7MTExFmzZj1//nz9+vXSjuszunXrVlxcLC8vL+1AAACaA2RqZNiOHTuKi4vZTSMjIykGAwAAjQOZmqbs7t27+OIEvpC5uXlQUBD9ecGCBV26dNm2bVtkZKSioqJ0A/sspGkAAOoLnn6SYZs3b2aHmPJ4PHyJBwDwNcCMwk0ZxtRA/eJwOE5OTsXFxQUFBbRk3759rq6u6urqHA6nQ4cO27dvZytfuXKFYZgnT56sWbNGX19fUVGRvlEsKiqaPXu2sbExl8s1MzNbunRpRUVFDZ1u3ry5U6dOPB5PT08vMDAwNzeX3ZWbmzthwgQjIyM5OTn2Qa0+ffqwvUdHR7OV09PTfXx8+Hw+n8/39vZOT0+v12sDANCcYUyNrLp//35OTg67Sf/1SjEeAABoHBhT05RhnhqodxkZGTo6Otra2nQzPj6ez+cvWLBAXV198+bNY8aMMTY2prkSatWqVQcPHvT399fR0eFyuUKhcMiQIUlJSUFBQZaWlqmpqSEhIc+ePdu8eXOV3S1ZsiQkJMTHxycoKOjVq1eRkZEpKSlXr15VUlIihIwaNeratWtRUVGtW7deuXLlX3/9FR4e7u7uXrmdnJycnj178ni8xYsXl5SUhIeH9/p/7N1nQBRX2zfwswvsLm1p0jsICiqiaBQEBJViwQJGjRpbbElUNGI0GGLF3qLGWLFg74pdbjEqgooiamwgXVAUadJZ5v0wzz3v3gsuKyKzwP/3aeecM2euGdBdrj3F3f3Ro0dYbxsAQBbI1DRVu3fvLikpYQ5FIlHr1q1ZjAcAABqHgoKChobGhw8ftLW12Y4F/seDBw/U1dXV1NTYDgSatrKysjdv3hBC3r9/f+rUqWvXrokPnDly5Ajzul+/fsbGxseOHRPP1Bw6dOjp06dGRkb04YULF65cubJx48bp06cTQiZOnNiqVavQ0NCffvqpU6dOEpfOzc1dsmRJQEDA8ePH6RI3NzcvL6+tW7fOmjWroKDg4sWLv//++7Bhwwgh27ZtO3XqVEVFRYcOHWrexerVq9+/f//y5Ut6en6nTp28vb137Njx66+/NsAzAgBo7jD7qanav3+/+EYAJSUllpaWLMYDAACNBsNq5NP+/fstLCzYjgKavBMnThgaGhoaGnbo0GHr1q1HjhwZOXJkrS2NjIw0NTU/fPggXjhmzBgmTUMIOXfuHCFk9OjR4g0IIadPn67ZYWRkZFlZ2ahRo5iSPn36GBoa0o3z8/MJIUKhkK6ik5LMJqQSIiIiHBwchEJhfn5+fn6+g4MDh8O5deuWLE8AAACQqWmSHj9+XFxcLF7Spk0btoIBAIBGhqVq5FN5ebn438MA9dOrV6+LFy9evHjxxIkTQ4cOHTly5KRJk5jaqKgoPz8/U1NToVCopqaWn59PUZT46RKfCVNSUtTU1MTnHNGDXJKTk2teml5KRmKTCjMzM7qxmZmZvb19eHh4enp6ZWXlunXrCCFeXl613kVKSsq9e/e0/svAwICiqLy8vM98GAAALRRmPzVJFy5cKCsrEy+hJw8DAEBLgDE18ikxMXHw4MFsRwFNnqGhoa+vL/3a399fV1c3JCRk2LBhXl5eN2/e9PLycnR03LJli62trYKCQseOHSVO5/P54occDkf2S0tvzOFwjh07NnjwYHNzcw6Ho6amtnbt2l69etXamKKo7t27L1++XLxQQ0ND9mAAAFoyZGqapOPHj1dUVIiXYOoTAEDLgUyNfEpKSsKacdDg6NVknj596uXlFR4eLhKJjh07Rn/wKykpKS0tlX66lZXVpUuX8vLymGE16enphJBaZ+pZWVnRDZycnJjCjIwMupy+4tu3byMiIjp27GhiYiIls2Nubp6fny++gA4AAMgOs5+aHoqi4uLiJAqx8RMAQMuBTI0cEolE6cwWf9QAACAASURBVOnp+OIEGtzVq1cJIebm5oQQeqKTpqYmXXX27FmJqU810eO89u3bx5SEh4cTQvr371+zsZeXl4qKCt2A9p///CcrK4tpvH37dltb2wEDBpiamkofgDNw4MDnz59HRkaKF1ZXV0uPFgAAaBhT0/RcvnxZQ0OjoKCAKVFWVsYShgAALYe2tvaTJ0/YjgL+BwbUQENJTEzcunUrIaSsrCwqKurs2bOOjo50rqRnz547d+4cN27ciBEjnj17tmfPHlNTU+m9eXl5DR48OCgoKDU1tV27dvfv39+2bduIESO6d+9es7GmpmZoaOisWbP8/f19fX2zsrI2bNhgY2Mzbdo0uoGlpeXevXtnz56tq6vL5XJbtWo1cOBAZgdxcfPmzTt27Jifn9+ECRNsbGzy8vKioqICAwMDAgK+9AEBALQAyNQ0PWfOnJFYZp/H45mYmLAVDwAANLLi4uKIiAi2o4D/kZiYiPGt0CDu3r179+5dQohAILCwsJg/f/6cOXOUlJQIIaNHj37x4sXOnTsvX77cuXPn06dP//3330VFRdI7PHLkyJIlS8LDw//66y9TU9MFCxYEBwd/qvHMmTN1dHTWrFkzffp0DQ0Nf3//5cuX09s8URRFLwxMryVM09DQiImJsbOzk+hHR0cnJiYmODj4+PHjubm5Wlparq6ujo6O9X4sAAAtCqfOMZMgb0xNTTMzM8VLNDQ0bty44eDgwFZIAADQmF6+fOnn5/fixQu2A4H/b/369RkZGeJ/wQI0M4GBgUePHo2IiOjUqZOCgkJlZWVcXJy7u/uMGTPWrl3LdnQAAM0K1qlpYl6/fs3lSv7UeDyexH6KAADQjBkYGLx584btKOB/YPYTNHsRERGenp5dunRRUFAghCgpKbVv315BQYE+BACABoTZT01MdHR0Xl6eRGFeXh6zthwAADR7QqGwsrKytLRUWVmZ7Vjg/2CLbmj2nJ2dz5w5s27duo4dO1ZWVr569Wrbtm1KSkoTJkxgOzQAgOYGmZom5tq1azVnI2toaLASDAAAsIUeVoOdhuRHYmIixtRA87Z161Y9Pb3NmzdnZ2dTFGVkZNSzZ8+jR4+2bduW7dAAAJobrFPTxLRp0+bly5cShba2tlitAACgRXFxcVm7dq2zszPbgQAhhFRXVysoKOAzFQAAADQIrFPTlFRXVycmJtYsNzAwaPxgAACARViqRq6kp6dbWFiwHQUAAAA0E8jUNCW3b9+udaKTsbFx4wcDAAAs0tfXf/v2LdtRwP9JTU1FpgYAAAAaCjI1TUl0dHRxcXHNcqxTAADQ0mBMjVxJS0szNzdnOwoAAABoJpCpaUouX75cWVkpUSgQCAwNDVmJBwAA2IJMjVzBmBoAAABoQNj7qSm5f/9+zUIej4d1agAAWhpkauRKampqz5492Y4CmpKYmJibN29GRUW1b9+e7VhYIxQKQ0JC2I4CAEAeIVPTZKSmpiorKxcWFkqUc7lcfX19VkICAAC2IFMjVzD7CT5LcHBwWFiYUCjU0dFpyZ/iVFVV2Q4BAEBOIVPTZNy7d6+kpKRmubKyMsbUAAC0NMjUyBXMfgLZxcXFhYWFRUREdO3ale1YAABATmGdmibj7t27Hz9+rFmel5enra3d+PEAAACLkKmRK8jUgIwKCwsXLVr0119/IU0DAABSIFPTZNy6dYuiqJrlZWVlOjo6jR8PAACwiM/nKysr5+fnsx0IkPT0dFNTUw6Hw3YgIO9iYmI6dOjQp0+fgIAAtmMBAAC5htlPTcbTp09rLccUXwCAlklfX//t27eamppsB9LSYZEakNGECRMuX77ctm1btgMBAAB5hzE1TUN2dnZVVVWtVUKhsJGDAQAAeYAJUHICU59AFoGBgY6OjkjTAACALDCmpmmIj49XUlKqtUpLS6uRgwEAAHmQm5v74MEDbA7NuuTkZDMzM7ajALlWWlq6ffv27OxstgMBAICmAWNqmoa4uLhalxMmhLRq1aqRgwEAAHlgbGzMdghACCFHjhzJyspiOwqQa3v37h03bhzmKgIAgIyQqWkabt68KRKJaq3S19dv5GAAAEAe2Nvbsx0CEEKIubn5sGHD2I4C5NqyZct+++23L+zE19fXxMSkQeL5Shoqwvj4eA0NjQMHDnx5VwAATRQyNU1DQkLCp6qMjIwaMxIAAJATRkZGGMohDzIzM+X872dg19y5czkcTp1T5KZNm8b5hA0bNjROqHKiqqqqtLS0vLyc7UAAAFiDdWqagMLCwoKCglqrFBQUDAwMGjkeAACQB0ZGRvHx8WxHAcjUQB2ePXu2efPmOpsNGjSI+UVavny5lpbW1KlT6UNXV9evGJ/86dq1a2lpqYKCAtuBAACwBpmaJuDx48eampo5OTk1qwQCAdapAQBomTCmRh4UFxdXVlZi/RH4lHfv3sXGxp49e7bOll5eXl5eXvTrzZs3m5iYzJs37ytHJ7+QpgGAFg6zn5qAhISEwsLCWqsEAgFmPwEAtEzI1MiDjIwMU1NTtqMA+bVz586JEyc2VG88Hu/27dvOzs7KysoWFhbz5s0TnyJUUlIya9YsQ0NDgUDQvXv3qKgopmrFihUmJibl5eUTJ04UCoVubm6EkNjYWA6H8+DBg8DAQF1dXVVVVQ8Pj3///ffx48e+vr5qampGRkY//vijxKYWO3bscHBwEAgEBgYGU6dOzc3NlRKwlMYikWjlypVt2rTh8XjiU72YwHbu3Mk0TklJCQgIEAqFQqHQ398/JSXli58lAIBcQ6amCbh//35ZWVmtVSKRSEVFpZHjAQAAeYBMjTzA1CeQbu3atTwer6F6KyoqGjx4sJub26ZNmzp16rRy5cpFixYxtQEBATt37pwyZcq6desqKiq8vb1v377N1L59+/bnn3+Oj4+fNWvWzz//zJSPGjWqvLz8woUL27Zte/DgwcCBA319fXv16nX16tWZM2du3bp17ty5TONly5ZNnjzZ1tZ248aNU6ZMOXTokKenZ2lpaa3RSm+8du3aefPmTZw48datWwsXLiSEjB07Vjy7xHj//r2bm1tCQsLSpUt///33W7duubu75+XlfdGjBACQcxTIPUdHx0/9+DQ0NB4+fMh2gAAAwA51dfXCwkK2o2jRdu/ePW7cOLajADlVWlraunXrZ8+efe6JxsbGPXr0kCj08fEhhOzatYs+rKqqMjc3t7e3pw8vXLhACDl8+DB9+OHDB1VV1b59+9KHy5cvJ4T06NGjoqKC6TAmJoYQ4uzszJRMmzaNEDJnzhympHv37qampvTr9+/fCwSCgIAApvbq1auEkHXr1jERGhsby9jY0dHR1dWVqbW3t/f29hYPbMeOHfThr7/+yufz09LS6MMrV64QQlauXFnHQwQAaMowpqYJePXq1aeqqqqqtLW1GzMYAACQHxhWwzqMqQEpXr16xefz27Zt21Adcjic7777jn6toKDg4ODw5s0b+jAiIoLL5bq7u+fn5+fn53M4HHt7+1u3bomf/ssvvygpKUn06evry7y2trYmhDDL5dAlb9++pV9HRkaWlZWNGjWKqe3Tp4+hoeHp06drhlpn4/z8fKFQyNTSeeda7zoiIsLBwUEoFNK35uDgwOFwJG4NAKCZwYrC8i43N7eysvJTtRUVFVpaWo0ZDwAAyA86U9OmTRu2A2m5MjIynJyc2I4C5NSJEycCAgIasENtbW1lZWXmkMfjiUQi+nVKSkp1dXXN5QtFIhGzOm+t/1fo6uoyrxUVFQkhOjo64iXilyCESGw3bmZmlpycXLPbOhv7+vru27fv9u3b3bp1i4qKun///m+//VbrXaekpJSVlUl84sXsJwBo3pCpkXdPnz4VCARS1qlRU1Nr5JAAAEBOYEwN6zIzMwcNGsR2FCCnjh8/fuDAgQbsUCAQfKqKoiiBQHDx4kWJci73/4+g5/P5NU+sOcpG/BRx9HK/Mqqz8apVq1JTU3v06MHhcLhc7ujRoz+VqaEoqnv37vQELoaGhobswQAANDnI1Mi7p0+fiq/qLwHLCQMAtGSGhobZ2dlsR9GiYfYTfMrFixcTExM7dOjQOJczNzcvKyuzs7PT19f/SpewsrIihKSnp4uPI8vIyKDLP7cxRVGvXr1atGjRqFGjTE1Npay7bG5unp+f7+Hh0UD3AQDQBGCdGnn34MGDT62oTwhRV1dvzGAAAECuYEwN65CpgU959OjRjz/+2GiXGzhwICFk06ZN4oXV1dUNeAkvLy8VFZXw8HCm5D//+U9WVlb//v3r0fjcuXOJiYmzZ8+2traWvj3WwIEDnz9/HhkZKV7YsLcGACBvMKZG3j148EBKrfhKbAAA0NIYGRnduXOH7ShartLS0tLSUiztD7W6cuXK/PnzG+1y/fr1GzBgQGho6PPnz7t161ZVVRUXF2dpablmzZqGuoSmpmZoaOisWbP8/f19fX2zsrI2bNhgY2ND7xj1uY0tLS0JIT/88IOjoyOHw1FTU+vdu3etqy/Pmzfv2LFjfn5+EyZMsLGxycvLi4qKCgwMbNg1gAAA5AoyNfIuKSlJSi0+HQIAtGQYU8Ouly9fiq+9CsCorKy8efNmr169Gu2KHA7nxIkToaGh+/fvP3PmjEAgcHR0nDp1asNeZebMmTo6OmvWrJk+fbqGhoa/v//y5cs/tWai9MZVVVUWFhZHjhw5cuQIXaKgoLB79+7vv/9eoh8dHZ2YmJjg4ODjx4/n5uZqaWm5uro6Ojo27K0BAMgVDkVRbMcAn1RQUKCvry9lnRo/P7+zZ882ZkgAACA/bt++7evr+6mtbeFrCwsLW7RoUVpaGtuBgNw5e/bsrl27zpw5w3YgcurkyZNDhw49cOCAv78/n88XiUSZmZl9+/ZVVla+f/8+29EBALAP69TINXrjJykNxDdWBACAlsbR0VFKNh++NhUVFRcXF7ajAHm0Z8+e3r17sx2F/Dp37pyBgcF3331Hb0eloKBgbm6upaXFbCgOANDCYfaTXEtNTZW+XpqBgUGjBQMAAPJGRUVFXV09NzcXc3BYkZ2dbWhoyHYUIHdSUlKuXr36999/sx2I/HJ2dt69e/eMGTP69eunpKSUlZV15MiR27dv79u3j+3QAADkAjI1ci0uLq6oqOhTtTwez8jIqDHjAQAAeWNqapqRkYFMDSuQqYFaRUVFDRs27Ovtlt0MTJo0qbS0dNeuXXv27CktLW3VqpWTk9PFixd9fX3ZDg0AQC4gUyPXHj58KKVWUVFR+twoAABo9uhMDRbXZEV2draDgwPbUYDcuX79upeXF9tRyLsZM2bMmDGD7SgAAOQU1qmRa4mJiVJqFRUVNTQ0Gi0YAACQQyYmJpmZmWxH0UJlZWVhcCvUFBUV5enpyXYUAADQhCFTI9ek773K5XKRqQEAaOHoMTVsR9FCYfYT1PTq1Ss+n29iYsJ2IAAA0IQhUyO/0tPTpU9uoigKmRoAgBYOY2pYhEwN1IQBNQAA8OWQqZFfiYmJiorSFhKqrq5GpgYAoIXDmBq2lJWVlZWVaWpqsh0IyJe9e/cWFBSwHQUAADRtWFFYfr18+bKiokJKA5FIhEwNAEALh0wNWzCgBmqlo6PTr18/6W1EItGff/75/v37O3fudO7cuXECa96EQmFISAjbUQAANBhkauTXkydPSktLpTSoqKjAV3kAAC0cZj+xBZkaqNWTJ0/Wrl37qdqcnJyHDx/27du3ffv2RkZGIpEIm3k3CFVVVbZDAABoSMjUyK+EhATpDSiKwi7dAAAtHJ/PFwqF796909XVZTuWlgWZGqjp3bt3hYWF1tbWtdZevnx52LBhHz9+PHv2bP/+/Rs5NgAAaEKwTo38Sk5Olt5AWVm5cSIBAAB5hglQrECmBmqKiYlxdnautWrbtm0hISGzZs0SiURI0wAAgHQYUyO/srOzpTfAOE8AACD/nQCF1S4aGTI1UNPt27ddXFxqlm/ZsuXKlSt3795t/JAAAKApwpgaOZWUlKSuri69TZ0NAACgJVBVVY2JiWE7ihYHmRqoqdYxNfv379+zZ8/QoUNZCQkAAJoiZGrkVGJiIpdbx09HKBQ2TjAAACDPTExMbty4wXYULQ4yNVDTrVu3JDI1+fn5RUVFRkZGo0ePZisqAABocjD7SU5lZmaWl5dLb4ONnwAAgBASEBCATE3ju3fvXk5ODttRgByJioqytrZWUlISL3z16lVQUFBxcTFbUQEAQFOEMTVyKi4urqysTHobW1vbxgkGAADkmYWFRWpqKttRtDi6urrt27dnOwqQIy9fvuzVq5dE4ZAhQ6Kjo1mJBwAAmi5kauTUs2fP2A4BAACaBn19/YKCgjrz+9CwCgoKvursp9jYWA6Hs3Pnzgbsc+TIkfb29hRFNWCfTUtkZCSXyx00aNDX6Pz+/ftOTk7MYUlJydSpUydMmODo6Pg1LseWv//+W0tL6+XLl2wHAgDQnCFTI6dk+XZUW1v76wcCAABNgKWlZUpKCttRtCw5OTl6enpf0sPChQs5/yUUCl1cXPbs2dNA0dWutLS0tLS0JWdq+vTps2TJkrNnz968eVOiKj09PSws7Es6j4uL69KlC3Po5eWVlJS0cOHCenR1//59DoczbNiwL4mnoezbty85OZk5rKioKCsrq6qqYjEkAIBmD5kaOfXmzRvpDRQVFbFODQAA0DABqpHl5uZqamoqKCh8eVcLFizYsGHD1KlTX79+PX78+AULFnx5n59y6tSpV69e1bllQfM2f/784ODgP/74Q6L80KFDX5Kpqa6uTkhI6NSpE3145swZXV3dyMjI+vUWHh7O4XAiIiLy8/PrHVKDqKysnD59unimJjAw8OPHj/b29ixGBQDQ7LXot2q5lZOTo6hYx2LPSkpK2KUbAABoyNQ0si8fUMMYPXp0YGDgqlWr/v33X0tLy1WrVpWUlDRIz7Vq4WkaWmhoaFRUlHhJRUXFiRMnvqRPiQE1EydOXL58ef26qqqqOnToUEBAQFlZ2bFjx74kqi93/vz5wsJCicIGyVECAIAUeLeWRykpKTweT3obRUVF7NINAAA0ZGoa2du3b/X19Ru2TzU1tUGDBpWVlT1//pwppChqzpw5BgYGQqHQzc3tzp07hJCysjItLa2AgADx048ePcrhcM6cOUMIOXjwYKdOndTU1PT09Hr16nX+/Hm6ja+vr4mJCXNKRUXFnDlz9PX1BQJB586djx07ZmVlFRQURNcGBQVxOJyPHz8y7enpWpmZmUxJVFSUs7OzsrKygYHBzJkzpeSYkpOTR4wYoaurq6ys3LZt261bt9LlJSUlc+fONTc35/P5NjY2y5cvF4lEzFm+vr4BAQEXL150cnISCAQWFhbz5s2T2Bxz69atDg4OAoFAV1fX19f37du39ImjR49OS0vr06cPj8cLCQmhG6ekpAQEBAiFQqFQ6O/vT88ZzMzMtLe3v3fvXnR0ND0ZLS4u7nNvUDxTM3v2bAcHBzs7u081lu7KlSs5OTkTJ07s0KHDvn37JGqLi4uDgoIsLCz4fL6pqemUKVOYqhs3bnh6eqqpqQmFwgEDBjx+/JipqvOnee/ePS8vL21tbQ0Njc6dO69du5YQsnTpUvrXzMvLi8PhDBgwgBCyYsUKDoeTlJTEdLVr1y5bW1s+n29lZbVkyZKxY8cyj+LcuXMcDufcuXNM4+vXr3M4nP379zMlsj9kAICWA7t0y6OUlJTq6mrpbbhcLsbUAAAAzdLSkvnbEhrB18jUEELo72nEh72sWLFCX19//vz5ZWVlK1as8PLySklJ0dHRGT58+J49ewoKCjQ0NOiWJ06c0NLS6tu3b2Rk5KhRo4YPHx4YGPju3burV68WFRXVerlp06bt2LFj9OjRnp6e8fHxn7sqyo0bN3x8fNzd3f/8888XL15s3LgxMTGRyQqJe/PmjbOz88ePH8eOHWtmZvbkyRM+n08IoShqyJAhUVFR06ZNs7e3j4uLmz9/fnJy8o4dO5hzr127FhMTs27dOmtr61OnTi1fvpzL5S5btoyu/eOPP5YsWeLs7Pzbb7+VlZU9ePCgVatWdFVqaqqfn5+lpeWCBQvoLZnev3/v5uYmEAiWLl1aVla2Zs0ad3f3R48eaWpq7tmzZ9SoURoaGlu2bCGEtG3b9rNukBASHh7u7u5Ovz5y5AidU6ufffv2aWpq9urVy9/ff/HixSkpKZaWlnRVdXX1gAEDrl+/PnTo0E6dOmVlZTHDW65fv+7t7d2xY8dly5ZVVlbu3LmzR48ed+7ckSVhlJub6+XlZWNjs3LlyvLy8tjYWDqD4+/vLxKJFi5cuH79+i5dutS6QuLBgwcnTpzo4uLyyy+/5OfnL1u2rKioSHxlZek+6yEDALQgFMifpUuX1jmsVFNT8/r162xHCgAAcuHu3btdu3ZlO4oWZOPGjdOnT//CTuglaRITE+lDkUjUtWtXFRUVetHfmJgYQoiNjU1ZWRndgN4H6ujRo0ztrl276KrS0lI1NbWJEydSFEWPH6E7keDj42NsbEy/zsjI4HK5AQEBTO2sWbMIIbNnz6YPZ8+eTQgpKiqSCDgjI4M+/Oabb9q1a1dVVUUfBgcHE0Lu3LlT87qBgYGEkH/++UeinB5qsXHjRqZk/vz5hJAHDx4wARNCzp49yzSws7Ojt6+iKOrNmzc8Hq9Pnz5MDOJ3SgiZM2eOeOGvv/7K5/PT0tLowytXrhBCVq5cSR+2adOmR48e4u1lv0GKoqytraOjoymKWr9+/ahRo2ptI4uCggJlZeUxY8ZQFPXvv/8SQhYtWsTUnjp1SqKE0aVLFxMTk5KSEvowNzdXXV194MCB9KH0n+Z//vMfQsjFixdrdnvo0CFCyNWrV5kSelYX83trY2Mjfl16VJeTkxN9GBERQQiJiIhgTqfnnYWHh9OHn/WQAQBaDsx+kkf//vuv+NDfWlEUhTE1AABAw+ynRtaAY2revHnz6tWrqKioYcOG3bt3b8aMGQKBgKkNCAigh58QQujVauk9B7p37962bduDBw/SVZcuXfr48ePIkSMJIe3btyeEzJ8/v7S0VMp1r1+/Xl1dTZ9CGzFihOxh5+Tk3L1719vbu6ioKD8/Pz8/nx5GcevWrZqNL168aG9vzww5YdCZmtGjRzMlY8aMIYScPn2aKVFQUOjbty9zaGtry+y6cO3atYqKismTJ3/q+6158+aJH0ZERDg4OAiFQjpgBwcHDodTa8Cfe4OEkFevXrm4uBBCgoOD6ZxX/Rw/fry0tHTo0KGEEHt7e3t7e/GJQhcvXiSE/PjjjxJnvXnzJi4uzt/fX1lZmS7R1tYeMGDApUuXysrK6ryora0tj8dbs2ZNVlbWZ0WbmZmZmJg4ZMgQ5rr9+/eX/QPq5z5kAICWA5kaefTy5cs621RXV2OdGgAAoOnq6paUlBQXF7MdSEvRgJkaNze31q1b9+rV69KlSyEhIUuXLhWvNTY2Zl7Tc6OY73LGjh0bFRWVnZ1NCDlx4oSRkVHPnj0JIcOGDZszZ86GDRvMzMz++OOPDx8+1HrdjIwMQoi5uXmt16oTvcLL+vXrtf6LXtAkLy+vZuP09HRm/o5EJ2pqalpaWkyJmZkZIUR8pyFtbW3xbRYUFRWZJ5Cenk4IqbVnQkirVq0kZuukpKTcu3ePCdjAwICiqFoD/twbfPjwoaOjIyHkzJkz3t7ess/9qSk8PJxe8CUpKSkpKalnz56JiYn0ECr6llVVVXV1dSXOohO19NNjmJmZVVRUvH79us6LmpiY7Nu37969e5aWluPHj3/x4oWM0db8LVJQUJD9n8ZnPWQAgBYF69TII/qTh3RVVVUYUwMAAAwNDY34+HhXV1e2A2kRGnDvp+3bt5uYmOjo6HTs2JEZPsMQH18j4fvvv58/f/7hw4d//vnniIiICRMmMAvcrFq1asqUKatXr165cuWOHTuuXLnSoUOHWjvhcDjM6zp3MxAfpENRFCFk6tSpw4cPF28j/ke7eOOatyZx9U+REhUdQ60911pOUVT37t0ltmRiFvqptXMZb5DJ1KxduzY0NPRTAdcpPT2dniNGD4xihIeHOzs7ky97khIkhlwNHz7cx8dny5Yt69evP3jw4K5du8THOkkncXXpv0j1/i0CAGhRkKmRR+/evauzTWVlJcbUAAAAw8DA4PHjx8jUNI4GHFPj6enZunXrepxobGzs7e194sQJOzu7goIC8XlMhBBra+utW7dOnz7dxcUlJCREfD4Rczoh5PXr1507d6ZLJAZf0FOKKioqmBJ6AAWN+Vvaw8OjzlBNTExqnZ1nZWV16dKlvLw8ZlgN/WWVhYVFnX3S3RJCUlNTP5WHkmBubp6fny9LwOQzbzAhIaFjx45xcXEpKSkyBl+r/fv3UxS1fPly8V+JJUuWHDlyZMOGDTwez8TE5MqVK+IrSdPogUUSX/Wlp6crKSnRP2jpP02apqZmcHDwjz/+6O3tPX369FGjRtWZAGJ+i8QLs7KyrK2t6dcN+FsEANCiYPaT3ElNTVVTU6uzWXV1NTMlGAAAwNPTE7vbNpqvtPfT5xo3blxsbOzJkydtbGyYfZHpcQq0du3atW7dmp4hJcHDw4PD4Rw9epQpkcjm0IOGHj58SB8WFBRcuHCBqTU0NOzSpcuRI0fEv16iF0Gsea2+ffvGx8ffv39fonzw4MGEEPGNqMPDwwkh/fv3l3rf/6d3795KSkphYWG1XrSmgQMHPn/+PDIyUryQ2W1TRUUlPz+fKf+sG6TH1MTGxmpqapqamsoSTK3Cw8PNzc1//fXXoWKmTJny4cMHejukvn37UhQVFhYmcaKenp6Li8uJEyeY/wTy8vLOnz/fq1cveliW9J+m+E1paWm5u7vn5+fTg19UVFQIIeJPRpyZmZm1tfXp06crKyvpkuvXr4s3lrguRVGHDx9maj/rIQMAtCgYUyN3UlJSU54IJgAAIABJREFU6tz4iUgdDg0AAC2QjY1NfHw821G0FA04++lLDB48WF1dff/+/XPmzGEKx44dKxAIunXrxufzb9269eDBgzVr1tQ818zMbOzYsXv27FFUVHR1dX3y5MmzZ8/EP4EMHTp0/vz5EyZMmD17dmVl5e7du/X19QsKCpgGGzZs6N27d+fOnUePHq2vr5+RkXHu3Lno6Ghmn2zG3LlzDx065Onp+cMPPxgaGr569crIyGjBggVeXl6DBw8OCgpKTU1t167d/fv3t23bNmLEiO7du8ty+4aGhr/88svKlSs9PT19fHxKSkpu3rx54sQJHR2dWtvPmzfv2LFjfn5+EyZMsLGxycvLi4qKCgwMpNdGcXZ23rJlS2hoqImJiZubm5WVlew3SGdqDhw4QO9yVT9xcXHPnz9ftGiR+DbthJCRI0fOnj173759Q4YMGTx4sIuLy+zZs+/fv9+xY8e3b9+mpqYeP36cELJ27VoPDw83N7cxY8aIRKKdO3dWVlauWLGC7kT6T3PTpk3Xr1/39PRs1apVYmLi9u3bBwwYQOdonJyceDwevXs3j8f79ttvJcIOCQkZN26cl5fXiBEjPnz4cODAAXoiGM3R0dHe3n7t2rVcLtfQ0DAiIkJiAI7sDxkAoGVpnC2mQHa7du2i3xql09HRYTtSAACQI5GRkb1792Y7ihahqKhITU3ty/uR2KVbAr2I7I4dO5iSx48fE0LWr18v3mzChAmEkOfPnzMle/fudXBw4PP5Kioq7dq1E28vvks3RVFlZWWBgYG6urqqqqr+/v5paWlEbJduiqLOnz/v6OiorKxsbGy8ePHiS5cuEbFduimKunPnjo+Pj7q6uoKCgpmZ2dSpU5k9xSW8ePHC399fS0tLUVHR1taW3mucoqjy8vLff//d3NxcSUnJyspq4cKFFRUVnwqYoqiAgAANDQ3msLq6esOGDW3btlVSUhIKhYMGDaK3i655Ii0rK2vcuHF6enoKCgqtWrUaPHhwUlISXfXmzRsvLy+BQKCtrR0bGyv7DaalpZmZmYlEIi6XW+u9y2jGjBlcLlf88TJGjhzJ4/Hev39PUVRhYeH06dONjY3ptXuDg4OZZrGxsb1791ZRUVFTU/Px8YmLixPvRMpPMzY21tPTU11dXUlJydzcPDAwMD8/nzlxx44dRkZGSkpKw4cPp2rs0k1R1NatW62trfl8/jfffBMfH+/k5MTs0k1R1LNnz7y9vTU0NDQ0NMaMGZOVlcXlcplduqnP+S0CAGg5OBSGF8qZZcuW/f7773X+XMzMzOhPVAAAAISQtLS0nj17Yq/uRpCcnOzl5fXq1Su2AyGEEBcXl/Ly8poTi+ohPz9fS0tr9uzZtY7BgU8JCQkJCwsbOnToixcv6PRHC+fo6KioqBgXF8d2IAAATRhmP8mdu3fvypI+q7lBIwAAtGTm5uZZWVmVlZVKSkpsx9LMyckiNYSQR48excTE/PXXX2wH0qIpKSmNGzfu4MGDe/fuZTsWAABoJrCisNxJSUmRpZksa9kAAECL0rp166SkJLajaP5iYmKKi4tZDICiqLCwsL///tvf39/S0nLs2LEsBgNPnz4VCoWampru7u5sxwIAAM0ExtTInaysLFmaYYtuAACQQGdq7Ozs2A6kmSsuLqY3RWZLdXV1UFBQeXl5t27dtmzZoqqqymIw8O+///J4POTLAACgASFTI3dyc3NlaaahofG1IwEAgKYFY2oaB5fLbd++PYsBKCgofPjwocG71dTUxPKF9fDkyZO0tLSNGzeyHYi8YPbkBgCAesPsJ/mSmZkpy8ZPhBBNTc2vHQwAADQtNjY2iYmJbEfR/L179w6rxQHt6dOnqqqqvXr1wgczAABoQMjUyJf09HRFRZkGOuEDAQAASDAzM3v69CnbUTR/yNQA48mTJ8XFxadPn2Y7EAAAaFaQqZEv6enp1dXVdTbjcDiY/QQAABJ0dXVjY2PZjqL5e//+fatWrdiOAuTCsWPH2J0KBwAAzRIyNfIlNTW1pKSkzmY8Hk9dXb0R4gEAgCbkm2++UVJS+vjxI9uBNHMYUwOM27dv9+vXj+0oAACgucGKwvLl5cuXIpGozmaKiopqamqNEA8AADQtdnZ2z54969q1K9uBNGefm6lJTk7eu3fvlStXLCwsTExMvl5g0Miqq6uzsrLS0tJGjRr18eNHY2Pj9PR0LS0tgUCQmpraunXr3NxckUikr6//6tUrY2Pj6urqnJwcCwuL7OxsPp+vrq7+7NkzZ2dntu9D3gmFwpCQELajAABoVMjUyBcZV4LkcrnI1AAAQE329vZPnz5Fpuarkj1TExoaunLlSj09PQUFBUtLSwsLCx0dna8cHTSerKwsDoeTkZFhbm5OUVR1dTUlA6YZIURVVVVfX5/t+5B32IceAFogZGrkS3p6uizNkKkBAIBa0ZkatqNozoqKing8Hp/Pr7Olp6dnSUnJ9OnTR4wY0aFDh0aIDRrf7du3KYo6ePAg24EAAECzgnVq5EtOTo4szTgcDjI1AABQEzI1X5uMA2o6d+7s7e19586d0NBQpGmaMR8fn9jYWHqADAAAQENBpkaOFBYWyrLxEyGEoihkagAAoCZkar42WTI17u7uY8eO/e233xonJGBR+/btTU1NDx8+zHYgAADQrCBTI0fS0tIEAoEsLZGpAQCAWllZWb1+/bq8vJztQJqtOrfo7tu3r6+vb2BgYKOFBCxq165dfn7+X3/9xXYgAADQrCBTI0fS09M5HI4sLUUiETI1AABQK3r7J7ajaLakj6kJCgoqKysLDg5uzJCARfb29kVFRVwuFxOgAACgASFTI0fS09MrKipkaVlVVYVMDQAA1MrIyOj27dtsR9FsScnUvHnzJiwsLCoqqpFDAna1b9/+0aNHeXl5bAcCAADNBzI1ciQpKam0tFSWlpWVlcjUAABArTQ1Nc+fP1+PE+/duzdx4kRra2sVFRVtbe0OHTpMnjw5Ojq6wSP8Erdu3eLz+Xw+/8WLF6wEICVT4+jouGrVqkaOB1jXrl27Hj167N27l+1AAACg+UCmRo4UFBTI2JLL5SoqYod1AACoxYQJEz53nRqKombPnt2tW7ddu3bl5OS0bdvWwsIiOTl5x44dmzdv/kpx1o+rq+vx48e5XO6kSZNqXYb/1atXXzVd8qlMzfHjx93c3CZOnPj1Lg3yyc7OzsDAYMOGDbm5uWzH8kkVFRWWlpZTp05lOxAAAJAJMjVy5N69ezK21NPT+6qRAABA09W5c+cHDx581inBwcHr1q1TVVXdtm3b+/fvHzx48ODBgw8fPhw8eHDhwoVfJ8z68/Pzi4yMzMnJ2bJlS83av//+m5VMzZgxYzZt2vT1rgtyq6qq6vLly05OTrNmzWqQDgsKCv7444/27durqqqqq6t36dJlw4YNlZWVn9XJjRs3rl27xhxSFFVaWirj2G0AAGAdB+ufyQ89Pb13797J0lJHR+f9+/dfOx4AAGiiLC0to6KiLCwsZGmckJDQuXNnQkhkZKSnp+fXjewrKykpsbGxKS8v/3rvkt26ddu0adM333wjXjhp0qTS0tL9+/d/pYuCPEtPT3dzc0tNTVVQUKh1nNdnSUtL8/T0TElJ6d27t7Ozc3V19T///BMdHe3q6nrp0iVVVVUZ++nbt6+Tk9PSpUuZEpFIpKCg8IXhAQBA48CYGjki+1p0ysrKXzUSAABo0j5rWM3mzZurq6vHjBlTZ5rm48ePCxYssLOzEwgEOjo6gwYNkhgN+vz5cw6Hs3jx4sePHw8aNEhLS0sgEHTs2LHWJTx2797do0cPdXV1NTW1du3azZw5ky7funUrh8OJi4tLSkrq27evioqKubk5c1ZRUdG8efMsLS35fL65ufkvv/zCvHvu27fPwsIiKysrNzeXw+FwOJy2bdvKciLt5MmTPXv21NXVpeOZOnVqQkJCzbBr3aU7LCxs37590p8eNFdmZmZFRUX5+fmTJk3avn37F/Y2bty41NTUw4cPR0ZGLlmyJDQ09NatW5s2bbp169bcuXNl7OTNmzc1V7ZGmgYAoAlBpkZe5Obmyr70jIqKylcNBgAAmrTPytRcunSJEDJ+/HjpzYqLi3v27Ll48eKqqqohQ4Z06dLl0qVLPXr0OHXqlETLkydPurq68vn8BQsWzJgxIyUlZdy4ceHh4eJtJk2aNGHChHv37tnb2zs5OWVnZ0ukRR49euTp6Xnnzh1HR0d3d3e6sKSkxMPDY+XKlUKhcODAgQKBYP369a6urkVFRYQQTU1NOt2joqKyfPny5cuXz549W5YTCSHh4eEBAQEJCQmurq59+vSpqKjYtm3b06dPaz6HjIwMoVAoXjJ+/PjJkydzufhM1XI5Ojo+fPjw22+/XbFixZf0Ex8ff/369e+++2748OHi5dOmTfPw8Ni5cyeTXvT19e3fv//atWttbW3p5CMz6e/SpUtt2rQpLy8PDQ3lcDjMHhQCgWD06NFMn7dv33Z2dhYIBHp6ehMmTFi3bh2Hw/n48SNd26VLly5duojH4OHh0bp1a+awpKRk1qxZhoaGAoGge/fu2PIMAKCBUSAfHj58qKGhIeNPzdHRke14AQBAfl24cKFv376ytPzw4QMhhMPhlJSUSG85Z84cQsikSZNEIhFd8vDhQ3V1dQ0NjQ8fPtAlz549o9+nlixZwpx47tw5QoiTkxNTEhERQQixsrJKTk6mS0QiUXZ2Nv3677//JoRoaWmNGDFCIqrg4GBCyMKFC+nDqqqqESNGEEKCg4PpEnotDx0dHYng6zyRnv/16tUr5pQHDx6Ul5dL9FNSUqKkpCReUlFRweFwqqqqpD89aN5mzpy5fv16iqKsra2PHj1a737Wrl1LCDlz5kzNqr/++osQcurUKfrQx8eHEGJiYnLgwIFbt24FBgYSQlatWkVRVGZm5pkzZwghY8aMuXnz5u3bt+lT+Hz+qFGj6NcvX75UUVExNTVdvXr1li1b2rRpQ//LLSoqohs4OTmJ/5ulKKpnz57W1tbMoa+vr5qa2oIFC/76669OnTopKipGR0fX+8YBAEACMjXy4vz587JnalxdXdmOFwAA5Fd2draBgYEsLRMTEwkhGhoa0ptVVVVpamoqKSnl5eWJlwcFBRFC/vzzT/qQztQoKSkVFhYybUQikaKioniCo3///oSQI0eO1HotOlOjoaEhca3q6mpdXV1tbW3xtEhcXBwhxNbWlj6sNVMjy4n08IH09HTpz+H169dGRkbiJatXrw4KCpJ+FjR7e/bsGTt2LEVRZ8+e9fPzq3c/P/30EyHkxYsXNasiIyMJIStWrKAP6UzNlStXmAYeHh7a2tp0ejE7O5sQMn/+fPEexDM1kyZNIoQ8fvyYPnz79q1AIJA9U3PhwgVCyOHDh+nDDx8+qKqqypgdBgAAWWCnZ3mRmZkp+6r+6urqXzUYAABo0qqqqt6/f5+dnW1oaCi9JUVRhJA6Z+48e/YsPz/fyclJU1NTvNzT03PNmjX//PPPjBkzmEJra2vx9ykul6utrZ2Tk1NeXs7n8wkhsbGxhBBvb28pV/Ty8pK41osXL969e6evr//zzz8zhfReNsnJyVK6kuVEf3//uLi4Pn36bNiwoW/fvp/qKjc3V0dHR7xkxYoVL168kHJ1aAkcHR3Xr19PCPHz8/vll1+SkpLEJwrJrri4mHxikjtdyMxOIoQoKCj06tWLOezXr9/169cTEhK6du1a54WuXbvWoUOH9u3b04d6enqenp4XL16UMc6IiAgul+vu7p6fn08I4XA49vb2t27dkvF0AACoEzI18iIjI0P2rRORqQEAAClMTEwMDQ1leVvR0tIihBQWFlZWViopKX2q2Zs3bwghBgYGEuV0JigrK6tmn+I4HA75b1ZIJBLl5uYqKytLJGIkWFpaSpS8fv2aEPL27dtt27ZJVFVVVVVVVX1quTdZTpw7d+7Hjx9XrVrVr18/Ozu7X3/9dcyYMTUTWBKZmp07dxYXF9ODEaAl69ixI7PQEofDWbp06Z49e+rRD72mTE5OjomJiURVTk4O+d9PgLq6uuKLBNP/GF+/fi1LpiYjI0MiVWpsbCx7nCkpKdXV1UZGRhLl2F4KAKChYPU7efHy5UtK5h3TZZ8nBQAALZObmxs9dEW6Vq1a6ejoiESiJ0+eSGkmnmoRR5fQtQzpI3RqPaUmHo9X64k+Pj61DxL+9Kr8spzI5XJDQ0OTkpJmzpyZnp4+fvz4nj17io9foElkahQUFL799lvZN06GZszKyio6OpoQMnfu3OLiYtk/1ImztrYmhKSlpdWsSk9PJ4QwC8oQQsrLy8UbVFRUfNa1JP4N1vwXJ0E880tRlEAgiKoB62oDADQU/H8qL2p9V/4U6d9DAgAAuLm53bx5U5aWrq6uhJCjR49KaUN/304vfiGOLqlzjpU4RUVFLS2tkpKSwsJC2c9irpKamvpZZ33Wiebm5uvXr09KSurTp8+tW7dCQ0MlGkhkarZt2yY+owpaMn19/bNnzxJCfvjhhzt37mRmZtajk969exNCTpw4UbPq5MmTAoGA2QeNEFJQUFBSUsIc0p8kaw7GqZWxsTE91owhcaigoCCR+snIyGBem5ubl5WV2dnZefyvOjOwAAAgI2Rq5IXE0HEpOBwOZj8BAIB0rq6uMi4b8cMPPxBCNm3aRK8uXKs2bdro6+s/fvyY3iuK8c8//5D/5npk1717d0LIf/7zn886y87OTkdH58WLFy9fvvxUGwUFBQ6HI7HumywnijMwMNi0aRMhJCYmRqJKPFNz48aN8vLybt26fdZdQHP1/fffM5u+Dx8+/Pvvv69HJw4ODp6enocOHZJI1mzevPn69esTJ04Un1pYXV3NJFirqqqOHz+uo6PTsWNH8t9FbehFZGrl6ekZHx+flJREHxYWFl67dk28gZ6eXnJyMnNH169fF0/UDhw4kBBC/zMRj+ezbxgAAD4BmRp58f79exlbKikpIVMDAADStW/fPjMzU8qfagw/Pz9vb+/i4mJPT88LFy6Iz9pITk6mhwZwOJxp06ZVVVUFBQWJRCK69smTJ9u2bVNTUxs9evRnxTZ58mRCyNy5c+kJHYQQiqKkT78ihHC5XHpnnB9++CE3N5cpf/HiBT3rhI5TT0+vsLBQfKRqnSdWVFRcu3ZN/Mbj4+MJIXp6ehIxMJkaiqJ69uz566+/ftaNQzPWpUsXekMxQsjq1aufP39e5690rXbv3m1mZjZ06NB+/fotXrx4wYIFPXv2nD59uqur64oVK8RbWlhYLFu2LDg4ePv27f369Xv69Om8efPo1aaEQqG9vf3hw4d37ty5devWqqoqiavMmTOHz+d7eXmtW7du06ZNHh4edP6UMWrUqOLiYj8/vx07dixatGjYsGFWVlZMbb9+/QYMGBAaGjp06NDVq1cvX748ICAA/xwAABpSw24lBfXz8eNHKes4SlBRUdm+fTvbIQMAgLzz9fVlttGVLj8/nxkXo6ur261bt06dOtF5ivDwcLpNeXm5h4cHIcTa2nrEiBG+vr58Pl9BQeHQoUNMP/Qu3T169JDoX19fnxBSWlrKlIwbN44QwuPxXFxcnJ2d9fT02rRpQ1fRu3RLbDBMKysro2NQUVFxc3Pz9PSk1/VYsmQJ04ZOA1lYWIwZM8bf31+WE+mBAwYGBgMHDhwzZoyHhweXy+XxeNHR0RIBjBs3bvfu3RRFhYWFjR8/XpZnCy1EdXU1h8NhDr9ku+4PHz7MnTu3bdu2ysrKqqqqnTt3Xrt2Lb39NsPHx8fc3PzZs2fu7u4CgcDMzGzlypXiDW7fvt2+fXslJSULC4uKigrqf3fppijqxo0b33zzDZ/Pt7KyOnTo0OzZs4nYLt3V1dUrV660srLi8/n29vYnT5787bffmF26KYoqLy//448/rKysFBUV1dTUXF1dxbcMBwCAL4RMjVx48eKF7MNk1NXVDxw4wHbIAAAg70JCQgwNDWVsLBKJwsLCvLy89PT0FBUV+Xy+tbX1yJEjX7x4wbQpKysLDQ1t27Ytj8fT1tbu37+/RC5D9kxNdXX19u3bu3btqqKioqSkZGtru27dOrpKSqaGoqjy8vJ169Z16tRJWVmZx+NZW1tPnjxZPMj8/Pxhw4Zpa2sLBAIPDw9ZTqyqqlq5cqWjo6OqqiqPxzM3Nx88eHBsbGzNq/v5+Z09e5aiKHd393/++Ue2RwstRefOne/fv88cGhoa0nm9r8HHx8fY2LgBOwwMDBTP1AAAALs4VL2WpoeGFRUVNWTIkIKCAlkaa2ho7N27d9CgQV87KgAAaOosLCz++ecfc3NztgNpJnr06LF69WpDQ8PevXsnJyezHQ7Il8mTJ3ft2nXSpEn04ZMnT/r37/9ZW0bIztfX98mTJ/Vbt7hWM2fO/PPPP4uKiuidwgEAgF1Yp0YuZGZmMtP+68ThcLAhKAAAyGLQoEFnzpxhO4rmg16nZsOGDQKBgO1YQO6IL1VDCGnfvv2kSZNGjhxZc7t3AAAA6ZCpkQuZmZmlpaUyNqYoCl93AACALAYOHEjvHAwNgs7UiESioUOHsh0LyB0bGxuJHc1+//13TU1NMzMztkICAIAmCrOf5MIPP/wQFhYmY2OhUHjr1q0OHTp81ZAAAKB5UFNTe/v2LQZjNggulysSiezs7M6cOdOmTRu2wwG5o6CgUFpayuPxxAsPHjy4Y8eOqKgotqICAIAmR1FKXV5e3tSpUyXebOBruHv3ruzDZLhc7oIFC/CZ+yuhKMrPz2/48OFsBwIA0AAqKir4fP7Zs2e/++47tmNp8vLy8jQ1NRMSEpSVlZGmgVq5ubnFxMT07NlTvHDkyJEXL17s1avXtWvX2AoMAACaFmmZmo0bN1ZWVvr5+TVaNC2Wj48P2yHA/8nLywsMDESmBgCaBx6PN2DAgNevX7MdSHNAT33atWsX3iPgU5ydnWtmaggh4eHhU6ZMmTNnzurVq1kJDAAAmhZpmRpCiIODw+jRoxsnFAB58Pr165UrV7IdBQBAg/nll1/Gjh0bFBTEdiBNXm5urkAg2L1798uXL9mOBeSUi4vLjh07aq0aP378tGnTxo8fHxYWxuFwGjkwAABoWrCiMAAAQHPWsWNHNTW1f/75h+1Amrzc3NzS0tL58+cbGRmxHQvIKXpMTa1V3bt3X7ly5fHjxxUUFLDONwAASFfHmBoAAABo6mbNmjVs2LATJ064urqyHUsTlpub+/79+yFDhrAdCMivVq1aaWpqJiUltW7dumZt796909LS4uPj+/TpY29vb2RklJ+f7+Hh0ehhNhKhUBgSEsJ2FAAATRIyNQAAAM1cQECAt7e3kZFRUVER27E0Yc+ePeNyuW3btmU7EJBrHTt2vHr1aq2ZGkKItrZ27969KYravHnz+/fvHz58qK+v38gRNhpsfwEAUG/I1AAAADR/6urqw4cP19PTCw8PxzL29XPnzp0OHTqwHQXIOz09vbCwsB9//FF6s2nTpjVOPAAA0BQhUwMAANAi7Ny5c9euXZMnT/bz81NWVmY7nKbn2rVrtra2bEcB8i4oKMjLy4vtKAAAoGlDpgYAAKCl+O677/Ly8qqrq7lcbCnw2dTU1JDhgjpZWVlVVlZmZGSYmpqyHQsAADRVyNQAAAC0FCoqKtiuu97CwsLevHnDdhTQBHh6ekZFRY0ZM4btQAAAoKnCV2r14eDgwOFwOBzOkSNH2I4FAAAAGoOZmVlBQQHbUUAT4OHhcf369S/sZOPGjRwO548//miIiAAAoIlpSpma8vJyd3d3DQ2No0eP1q+Ho0ePampquru7l5eX1zuMiIiIx48fE0IGDx48fPjwevdTU1BQEJ0AqqqqasBu5cfz588tLCwsLCxevHjBdiwAAACfx97evqysjO0ooAmgx9R8SQ+FhYWhoaG9evVCpgYAoGVqgEzN5MmT6fzCy5cvv7w3KR49enTz5s3CwsKwsLD69bB3796CgoKbN2/Gx8fXrweKohYsWEAIsbKy2r17d/06abEiIiLS0tLS0tJOnTrFdiwAAACfx9zcXEFB4d9//2U7EJB3ioqK79+/T01NrXcPixcvNjMzO3nypKIiVioAAGiJvjRTU15efuzYMfr1/v37vzgeQgg5f/784sWLa5ZbWloKhUJCiJOTU/16dnR0JIRoaGhYW1uLlxcXFy9cuPDGjRt19rBv3774+HhNTc3z589ramrWL4wWq2PHjnRSr3PnzmzHAgAA8HmsrKwoinr06BHbgYC8MzEx0dLSevv2bb17WLNmzb179zQ0NMQLV6xYweFwkpKSvjjALxUbG8vhcHbu3PnlXY0cOdLe3p6iqC/vCgCgOfnSTM25c+fy8/M5HA5puExNUFBQrZmaVq1aPX/+PCYmZunSpfXreenSpTExMc+fP9fV1RUvP3fu3KJFi+rM1FRWVs6ZM0dDQ+PSpUtt27atXwwtmbe397///vv06VNvb2+2YwEAAPg8FhYWFEUlJCSwHQg0AX5+fvfv36/HiZwaBgwY0ICBpaen13tw+tdQWlpaWlqKTA0AgIQvHVEZHh5OCPn222+PHz+ekpISHR3do0ePL+nw0aNHz58/V1BQqLXW0NDQ0NCw3p1zOJzu3bvXLJdxYWAlJaWcnJx6Xx0IIXZ2dmyHAAAAUB/a2tocDicuLo7tQKAJ8PX13bFjx08//VSPcx0dHcVXQmzdunXDxUUOHToUERExYcKEBuzzS5w6daq6uprLbUpLZwIANIIvytR8+PDh4sWLhJARI0akp6fHxsaGh4d/SaaGoqjt27d/SUj1kJ6eTt8FAAAAwKdoa2sTQmSZKw3Qt29ff3//+p3brl27efPmNWw8tIqKihMnTvB4vK/Reb0hTQMAUNMX/c949OjRiooKFRUVHx+fwYMHE0KOHTtWUVEh5ZSEhIQepGHGAAAgAElEQVTJkye3adNGRUVFIBC0bt165MiRERERhJCzZ886ODj89ddfhBCRSMSM+bSwsKDP/fjxI13y+++/0yX29vYcDodefaamiooKDQ0NDocTEBBAl0hsrpSbm/vTTz/Z2NjQWzmEhIQwF92zZ49Eb4cOHfLy8mrVqpVAILC2tv75559rXSiuoKBgyZIlXbt2FQqFSkpKOjo6PXr0WL58eXp6ukzPlBBCCJfLTUxMnDBhgqmpKY/Ha9WqVd++fS9dulSz5eDBg8Ufkbiqqir6XmbOnCleTj+E8ePHE0IeP348cuRIAwMDPp9vamo6atQoZlD38+fPp0yZYmVlJRAIdHR0+vbtGxkZWWu0b968CQ0NdXV11dHRUVJS0tTU7NatW2hoaHFxsUTLc+fO0SFJdNWlSxcOh7N3715CSGRk5IABA3R1dfl8vpmZ2ZgxY549e/apB/X69evAwEAbGxtlZWVtbe1evXrt27evurq6ZsukpKSffvrJzs5ORUWFx+MZGRn169cvLCyssLDwU50DAACIU1ZWJoQoKSnl5eWxHQvIO0VFRTc3t6/9XeCWLVvatGnD5/NtbW3pj9CM4uLioKAgCwsL+jPelClTMjMz7e3t7927Fx0dTX8eoweI+fr6jh49Oi0trU+fPjweLyQkhO4hLS1t5MiR9Effrl27nj59Wkok0hs/efKkX79+urq64rO6Fi5cSF/dxMRExpsCAGhBqE9buHDhggULpDRwcXEhhAwbNoyiqOTkZLrDkydPfqr94sWL6RVtJAQEBFAU5enpqaqqyixxr/pf9DJjFEUVFRXRVfPnz6dLmJ0LU1JSal7uwoULdO2hQ4foktmzZ9MllZWVFEVdvXpVVVVVRUWFLuTxeMxF9+/fz/RTXl4+aNCgmmErKyufOXNG/Irp6em1Jk0IIXZ2dlKepHh4qqqq165dU1VVrdnJmjVrJE6hAzM3N6/ZW2VlJX1WYGBgzau4uLhcvHhRIBBIXEIgENy4ceP48eM1qzgczq5duySucuTIESUlpVpvuX379vn5+eKN6ZQcIeTq1avi5fQS0cHBwStXrqz5G6KionLjxo2aN3j16lV1dfWa1/X29v748aN4y8uXL9e8HdqPP/4o0W1mZqaxsXHNywEAAKioqFhZWV27do3tQKAJWLBggba29ueeRQgZNWpUrVXLly8nhCQmJjKHHA5n4sSJ27dvp8fvrFq1iq4SiUQeHh6EkKFDh4aGhv78888zZswoKiq6efOmmZlZhw4dbt68efPmzaKiIoqifHx8evTo0aFDh4EDBy5duvT27dsUReXk5BgZGenr6y9dunTLli0+Pj6EkPDwcLr/mJgYQsiOHTvoQ+mN8/PzDQ0NnZycrl27dvnyZXt7e3V19RMnTmRkZNBXF//cJeWmAABalPpnal69ekX/rcukZuglYIYMGVJr+23bttHtdXV1Q0JCjh8/fvz48QULFnzzzTfiyZ0pU6YQQhQUFGr2UDNT8/jxY7pk/fr1NdtPmjSJTj3Q70NUjUwNLTs7my5csmRJrZHT/XA4nJ9++uny5cvx8fE7duwwMjIihCgrKz979oxp+e233xJCFBUVFy5cGB0dnZCQcOHChV9++cXAwGDz5s2fepIMOjyBQKCrq2tkZLR58+a7d+9GR0cvXryYHqeqqKiYlpYmfkq9MzVqamqtWrVq3br1nj17Hjx4cOnSJXpUFN2bioqKUChctmxZbGxsdHT0/Pnz6ZWD1NTUCgsLxXt79+6dqqqqi4vLpk2boqOjHz58ePr0aXd3d7qr3377Tbyx9EyNhYUFl8vt1q3b4cOH4+PjL1++PGbMGLp9mzZtqqurxU9JTEykU2ytW7fevXt3XFzctWvXRo8eTbcfN26c+HOg1zYyNDTcvn373bt3Hzx4cOTIkVGjRqmoqDx+/FjiuSFTAwAAn6Knp+fg4FDzixOAWjk4OCQkJHzWKYSQwYMHp4hhvn8Sz9Tk5uYKBIKpU6cyJ7q4uGhoaJSXl1MUderUKULIokWLavbfpk2bHj16iJfQiZU5c+aIFwYFBRFCHj16RB9WV1e7ubnp6uqWlZVRNTI10hvT42siIyPp2qNHjxJC6HwQ9b+ZGuk3BQDQotQ/U7No0SJCiFAopBdspyjqzz//JITw+fwPHz5INC4sLKT3tLa0tMzOzpZy0c/K1FAURe/B5O7uLtFYJBLp6enR73ZMYT0yNffv36dHeWzcuFG8PCEhgS4fPnw4U0hvplhzmEZVVZUs7zFMeNra2hIZmc2bN9NVa9euFS+vd6aGEGJkZPTu3TumvLq6mtn+nMvlRkdHi58VHBxMVx07dkziQjk5ORIlFRUVtra2hJC2bduKl0vP1BBCnJ2dKyoqxKuGDh1KV92/f1+8fODAgfSNM2k4Gj3TjcPhPH36lC6Jj4+nezhy5IhEnCUlJVQNyNQAAMCn2NraOjo6jhw5ku1AoGlYvHhxSEjIZ51CamAGp4hnaujdME6cOJH3X7NmzWI+L02ePJkQUvMTGvXpTE1ubq54Ydu2bR0cHMRL6O9c6QFlEpka6Y3pJQXu3r1LV9Ezwi5dusRcnfncJf2mAABalPqvU3PgwAFCyKBBg5h5JcOHD1dQUCgvL6eT5eIOHTqUn59PCFm2bJmBgUG9L/r/2LvzuBrT/3H816lO52g5bYd2LbQrLUiLhFZkkKbsW0a2EVNElkjkPUa2iqmZMUIJExKlKG2KoshYm5Jq0K59Pb8/ru/cv/M5p06nzTnxev4xj3Nf93Vf9+ucmtTrvq7XxQ7/JZ+RkVFZWcncnpmZifdpwvNc+u3MmTMMBmP06NEbNmxgbjcwMLCxsUEI3bhxgyjNg18QC7gIgoKCfSretnHjxtGjRzO3rFixAr8oKCjo2xvo2Y8//kin04lDEom0ePFi/NrR0REvbSMQ01WeP3/OMg7LlucIITKZ7OjoiBB6+/Ztn0IKCAhgWUvV7RsvLS29efMmQmj37t1iYmLM/bdu3YoQYjAYV69exS3EV4f964IrDgAAAABckpaWbmtre/z4Ma8DAcPDggULrly50terpk6dGsMEr2NiUVRUhBBydnaW+k9QUBBCCBdRKikpERUVZf8NrSd0Oh0XzGYen+V3UXxIlDvgvvP06dOFhYWPHz9eX19fV1cXGhoqISExceLEvr4pAAD4pvQzU/Pw4cPXr18jhJg3EZSVlZ02bRpC6Pz58yz9U1JSEEJkMrnbgi8DgRMxnZ2dxHwNDE/7pFKpTk5OAxkf1741Nzdnr0uPN5xubm5+9eoVbpk0aRJCKDw8PCEhYSA3xWkOZqKiojirMoj/Vs2YMYOlRUVFBb/AX8duT+GMW69wtHgyEZfxiIiIEMumCETdH+Y3npycjMsGW1pasvQndgEnptLo6enhoj8+Pj59zRwBAAAAzGRlZevr69+9e9fc3MzrWMAwoKOj097eTqzW55KSktJcJiwFdzEGg4EQOn36dPL/ZWxsjM9SKBTu78jeudvKkj3h3FlZWfnSpUvXr1+n0WiSkpLPnj2Ljo5mSQxhnN8UAAB8U/q5S3dERARCSEpKys7Ojrl90aJFSUlJGRkZRUVFampqRDvOZairqw/6LAYDAwNNTc3Xr1/HxMTg/YwwvCbW3t6+26KzXGpoaMBPA6KioqKionrqVlVVhV/8/PPPU6dObW5udnBwsLe39/b2Zs+GcGPMmDHsjaKiopWVlcSapoFj/gJhRHFllgcjzKfYA2AwGKmpqYmJia9fv66trcVzWN69e0ec5TIeVVVVXA2HGVFZmfm+T58+xS/w2rduEV8UUVHRwMDATZs2vXnzRk9Pb/ny5Vu3buVwIQAAANATaWnp8vJyU1PTJ0+esEw+BaBb2traXl5eA3yGxw4/QpOUlOx2xo2SktKdO3fq6urwwvx+UFdXZ9m3FB92u3VGr53Ly8tlZGRSU1MVFRVxdYJucX5TAADwTenPnJqOjg68jrSmpkZYWJh5v71Vq1YhhBgMBl4bRcATIrpNnw8cnlaTmJhIbAv99OlTnGEhqpz0T3V1NTfd8J7fCKGJEydmZmbimTUJCQk2NjZGRkYcUjw9odFofb2kH1jWDTHjPqFWVFRkampqbW0dEBBw+fLlxMTE+/fv379/v9stzDnj/l0TWRgOiC8KQmjjxo3R0dGKioptbW1hYWG6urpz5szJzs7ua4QAAAC+cerq6l1dXTQa7a+//uJ1LGB4+OOPP4ZiuZytrS2VSg0NDe3s7CQa8YxjhJCjoyODwfj999/ZLxQREeFmfvTcuXOfPn2an5+PD/Hv9pKSkt0mKHvtfOzYMRcXFyMjIw5pml7fFAAAfFP6M6cmISGhoqKCc5+IiIhdu3YRh/gHLvsCokGBNyBsaWmJj4/HBWXx0icKhYLrzvYb8e/EunXrtm3b1lM35so7hoaG2dnZt2/fDgwMTE1NzcvLW7hw4YULFyIiInBNZW6wTy3pB+Z/5IboLs3Nzba2toWFhQICAh4eHsuXL9fR0RETEyORSLt27QoICOjTaNzHQ7y1V69e9VQAiGVbbhcXlzlz5vzxxx9HjhwpLCyMjY2Ni4vz8fE5cOBAn+b3AgAA+JZJS0uLiYnp6en1dT0L+GbR6XQLC4vr169zXwHg+fPngYGBxOHYsWPZHz3S6XR/f39vb29zc/PZs2eLiIi8fPny7du3ycnJCKG5c+eam5v/9NNPubm548eP//jxY3FxMa6YY2ZmFhISEhAQoKSkNGXKFHV19W5j8PLyunjxop2d3aZNm+h0+vXr11NTU0+fPt3tw7xeO6upqUVGRoqKilKpVCEhIRUVlblz57KUJuz1TQEAwDelP5kavPRJSUkJl6Bngf91ef369cOHD/HsEoSQlJRUSUnJENUDMzQ0HDt27Nu3b2/cuIEzNXjpk52d3QAnpxCTgNra2rqd7dkTR0dHR0fH7OxsLy+v9PT0mzdvrly5EuePvpjPnz8P9S0uXryIN2s/cODAjh07mE/1micaCOLrIiIi0u3i7W5RKBQPD48ffvjh8uXL27ZtKykpOXjw4KhRozZv3jxkkQIAAPiqSEtLU6nU8ePHx8fH8zoWMGy4u7ufOXOG+0xNXl5eXl4ecThr1qxuJ4l7eXnJy8sfPXoUPxvT0NBwd3fHpwQFBePj4319ff/666+oqCg6nb569Wp8as+ePW/evDlw4ICIiMitW7d6ytRISUllZGT4+PgEBQU1Njbq6elFRka6ubn1o3N9fb2uru6dO3fwvrHY+PHjHzx4wJ734fCmAADgm9LnTE19ff2NGzcQQm5ubsR+QMyam5tPnTrV0NBw/vx5IlMzduzY/Pz8wsLCtra2Pu2CxCUXF5dDhw7duXOHwWB8+PAB//M2wF2fEEISEhKKioplZWXM/15yz9TUNCUlxcnJ6fbt29euXfv48aOsrOwAQ2KBH0c0NTWxn+q2OP/gysrKwi8WLVrEcurjx49Dd189PT38Ii8vj/tMDSYgIODq6jpjxgxDQ8OysrLTp09DpgYAAACXpKSkhISEyGRyUVFRU1MTUcQNAA6am5uTkpI+ffrEee0PxqHAn4+Pj4+PD3PL4sWLiY07WYiLi584ceLEiRMs7bKysnfu3GFu6SntqKCgcO7cuW5PTZ48mSXOnjp3dXVZWVlJSkq+e/cOl0FsamoKCwvz9PRMSkpycnJivzuHNwUAAN+OPi9HunLlCt7voKe0+ogRI/B2S1FRUUStkKlTpyKEWltbb9++zXl8nMfp7OwkNlfmBk7KfPjw4dmzZ4mJiXgcLpc+EZmjbvdxsLW1RQg9fvwY73XVV4KCgsQ+02VlZf0YgTO8oqqiooKo0UNg+Wd4KBA3ZdkGsrOz8969e0N33xkzZuAlS5GRkf0bgU6n42+PofiiAAAA+FpJS0szGIzS0tKJEyc+evSI1+GA4cHFxQXvGsbrQHigtLQUlwIgdqsQERHR1dVFg7TYHwAAvlZ9ztTgHbg1NDRMTEx66vP9998jhCoqKohC94sXLyZ2SmYv08u8rQ/xN//Lly+5j8rIyAjvl5SUlHT37l2EkK2tLZfl7iUkJHCy5sWLF+xnf/zxR4QQg8FYs2YNeyqntbWViLO2trahoYF9hIKCAvxCXl6ey7fDPX19ffzijz/+YG4vKys7efLkoN+OhaKiIn7B8ttqUFAQsffTUFBTU8N5lsjISJbd2bEXL14Qmb7y8vJua9E9f/4cDc0XBQAAwNdKWlq6o6OjtLR00qRJDx8+5HU4YNjYuXPn//73P15HwQNKSkrKyso///zzn3/+mZycfPPmTX9//0WLFunp6fVvg1QAAPhG9C1TU1ZWlpKSghBydXXl0M3R0REXiMEVbRBC0tLSBw4cQAi9fPly/PjxgYGBcXFxN2/ePHHihKOj47p164hriSrxnp6eOTk5f//9d7d/irPDK3jv3buXlpaG+rL0SVBQEK/SunnzZlhY2OvXr7Oysoj0ipGRkaenJ0IoNTXVwMDgyJEjcXFxsbGxZ86cWb16tZKS0unTp3HPgoICJSWllStXRkREPH78uLCwMCsra+/evbiaj5WV1VAkBZydnfECqJ9++snf3z8zMzMnJyckJMTU1LSzs3MgO5Rzw9HREb9wd3ePi4t79+5dVlaWh4eHt7e3jo7OkN76+PHjkpKSDAZj3rx5y5cvj4yMjI+Pj46O3r9/v6WlpZ6eHrEi7Pfffx8zZoyXl9f169efPXv25s2bpKSkRYsWpaamop6nhgEAAADsyGRybW0tZGpAXy1btuzcuXNcbir6NREQEEhMTDQ2Nt6xY4ednZ2bm1t0dLS7u3taWhqFQuF1dAAAwMcYPfPz89u7dy9zy+HDh/FVBQUFHC5kMBhLly5FCI0YMeLz589E4/bt27uNYfny5USfrq4uU1NT5rOCgoL4FDFr1NfXl/2OOTk56L8df8hkck1NDXufn376CY/Q3t7O3H7z5k2WkPz9/YmzHR0dzLkkFp6enrgbzhB1S1VV9e3bt5w/MQ7hYSoqKgihWbNmsbT/8ssv7HccMWJEQkKClZUVQmjz5s1c3oVYmxYbG8seAD61YcMG5sZuS9zNnDmTWCzW3NxMdCaSbomJicyD4PlZFhYW7DctKirCl/z8888sp3JychQUFHr6zGtra3E3f3//nvrY2toyh4eVlpYqKiqyRwIAAAAwGAyEkKmpKS66wetYwHCyZcsWV1dXXkcBAABgeOjbnBq89GncuHFESdee4Ek3zc3NeEdALDAwMCsra+nSpWpqahQKRVhYWEVFZc2aNUTuACFEIpHi4uJWrVqlpqZGJpOlpaUdHBy4ic3ExERNTa2lpQUhZGNjw/2W2AihWbNmXblyxdTUVFJSUlhYWFtbm1hVhBASFBQMCQnJyMhYunSpqqoqhUIhk8kKCgqOjo6nT5/Gc4UQQpMnT75w4cLcuXNVVVWpVKqgoKCUlJSZmdmhQ4eePn2KF2cNha1bt968edPOzk5aWppMJisqKq5YseLJkyd2dnZDPbEFIRQZGRkYGKijoyMsLCwhIWFiYnL8+PHY2FgNDQ2W4jWDzsTE5NWrV4cPHzY3N5eSkhIUFBQTEzMwMNi0aVNubi6x9m3jxo1HjhyZNm2arKyssLAwmUyWk5NzcHCIiIiIj49n2cwbAAAA4ExKSur9+/ejR48uLy/vXw078G06evRoQUEBXnwNAAAAcEZi9Fxhft++fQwGw8/P7wvGAwCPlZWVmZqalpaW8joQAAAA/EhLS+v169cMBmPmzJkrV64c+EaT4Ntx+PDho0ePDun+mAAAAL4Ofa4oDAAAAADwzaLT6SNHjiwrK5s2bRps/wT6ZPv27e7u7iw7bQMAAADsIFMDAAAAAMAtGRkZKSmp0tJSS0vL9PR0XocDhpmAgID8/Hw3N7e6ujpexwIAAIB/CfE6AAAAAACAYYNOp5eXl5eWljo7O2dnZ3d1dQkIwHMv0Ae3b9/es2ePpqamqKiouLi4nZ0dryMaZmg02u7du3kdBQAADC3I1AAAAAAAcEtGRkZERASXM7OwsMjIyJgyZQqvgwLDzP79+52cnNLT07Ozs2VlZXkdzjAjKirK6xAAAGDIQaYGAAAAAIBbMjIyHz9+vHz58ubNm/ECKMjUgH6YOHHixIkTeR0FAAAAPgXzdQEAAAAAuEWn0xUUFPA8CGFh4RMnTvA6IgAAAAB8bSBTAwAAAADALRkZGQaD8e+//yKEvL296+vreR0RAAAAAL42kKkBAAAAAOAWnU5vaWkpKSlBCImKio4bNy47O5vXQQEAAADgqwKZGgAAAAAAbsnIyNTX13/48KGzsxMhZGNjk5SUxOugAAAAAPBVgUwNAAAAAAC3ZGRkqqqqRo8ejafVzJgx4+7du7wOCgAAAABfFU57P0lLS587d66wsPCLRfPN6uzsbG5u7tMlYmJiQxTMN66lpUVaWprXUQAAAOBTdDq9srLS0tKypKRETU1t2rRpM2bMYDAYJBKJ16EBAAAA4CvBKVOzadMmKSmpLxbKt6yhoeHHH39sb2/n/pJz587BL4VDxMzMjNchAAAA4FOCgoJiYmLy8vJ4Tg1CSEFB4cyZMx4eHkN637a2Ni0tLXt7+9OnT/f1WnNz85ycnKysLGNj4/7dPSsry8zMLCwszN3dvX8jEBYtWpSXl/f8+fOh+zWGSqUuWLDg/Pnz3Z4NDQ3duXNndna2pqZmPwYf4OX8ICkpyc7OzsnJ6fr167yOBQAAQPc4ZWoQQkuWLPkycYAjR45wP31pxIgR9vb2o0aNGtKQAAAAAMCOTqfLyMi8e/cOH65aterp06f9GMfPz2/fvn0kEqmkpERJSYn5FIPBUFFRef/+vb29fXx8PG5pbm7u6wxchFBMTMyDBw/CwsL6naYZXPhd9G8WUlZWloWFxcWLF11dXfsdQFtbW0tLS0dHB08u5wc2Njb+/v67du1KS0ubMmUK86mSkpKkpKRVq1b1OkhTU5Ourq6lpWVPGTEAAAADAXVq+EWffl8hk8mVlZVDFwwAAAAAeiIjI0Oj0Yg5NW5ubvfv3+/fUCIiIgwG49KlSyzt6enp79+/FxUVJVooFEpZWdmff/7Zp/E7OjoCAgKOHDky8LkwgyUmJqawsFBAoM+/gra1ta1cudLa2nogaRqE0ObNmxsaGnR1dXlyOZ/w9fXduXPnnj17WNojIyN///13bkYQEREJCgq6cOHCrVu3hiBAAAD41kGmhl9oaWlx31lAQKCiomLoggEAAABAT+h0uoiICJGp0dXVbW5uLioq6sdQYmJipqamUVFRLO1RUVH6+voKCgrMjYKCgn0dX0hIKCcn56effupHbEOnH2kahFBUVNTLly+3bds28AD68UkO4uV8IiAgIDk5mbmlra3t6tWr3I8wb948DQ2Nffv2DXZoAAAAIFPDNwwNDbmfVtPV1QVzagAAAACekJGRIZPJRKYGITR79uybN2/2Y6jPnz+7ubnl5OQwr4Du7Oy8fPnywoULm5qamDtTqVRiWXpWVhaJRMrMzFy3bt3IkSNpNNqUKVOys7OZ+ycnJ5uZmY0YMUJOTs7T05N5NCqVGh4efu/ePT09PQEBAbx91eXLl21sbCQkJISFhXV0dDjP30lNTZ02bZqYmBiNRps9e/azZ8+Yz964cWPixIliYmIkJikpKQghBwcH5qVeTU1NW7ZskZeXp1KpkydPZskdMAsNDVVRUbGzsyNa/vnnHzc3t5EjR44YMUJbW5u5go+wsPBvv/2mpaUlIiKip6fH/F4CAwNJJNLbt2/xoYODw/fff5+WljZhwgQqlaqsrLxv377Ozs6AgAA1NTURERETExPmjdhZLkcIhYSEaGlpUSgUTU3N4OBgDh9aTwE3NTVt375dRUWFQqFoaGgcOnQI7wFPROjs7Hz79m0TExMqlaqqqurj49Pa2kp0aGxs9PLyUlVVpVAoysrKa9euJS5csmTJu3fvbGxshIWFd+/ejduLioqcnZ1pNBqNRps/fz5OMpaWlurq6j569CgjIwN/vXJycnB/Dt9Iq1evfvjwYW5uLod3DQAAoB8gU8MvtLW1mSc5c9be3g5zagDgH01NTeLi4iQS6cWLF4M7sre3t5SUFMtfXwN0+vRpEom0a9euQRwTgG+KjIwMiUQi6tQghGbNmvXbb7/1Y6iWlhYXFxcBAQHmaTV3796tqKhwc3PrdauBuXPnNjQ0xMbGXrp06ePHj7a2tlVVVfhUamqqvb29qKjo8ePHFy9eHBwc7OLiwnxtamqqi4uLhYXF/v37x40bhxC6cuUKjUbbs2dPSEgIjUZbsWIFzq2wS0lJsbGxaWhoOHjw4N69ewsLCy0sLIgfgFlZWfPmzdPR0UlKSoqIiBAXF58wYcKtW7dMTEzYh3J2dg4PD1+7du3Ro0fb2trs7OwyMzPZu9XU1GRnZ9vZ2RGPtT58+GBmZhYbG+vi4rJ3794JEyZQKBSi//379/38/JYvX3706FEhIaEVK1bcuXOnp48xMzNzzZo1u3btSk5OnjRpkp+fn7m5+a1bt4KDg+Pi4rq6umbPnv3+/fturw0MDNy4caOVldWpU6f09fU3btz4888/d9uzp4AZDMa8efOCgoKcnZ2Dg4NnzJjh6+vLUqD63r17q1ev9vb2TktLW7Ro0eHDh4mZLDi8X375ZeLEiXv37v3uu++oVCpxYXFxsZOTk6io6N69e2fOnIkQqqysnDJlSn5+/oEDB3bt2pWenm5lZVVTUyMpKXn27NnRo0fr6+unpaWlpaVpa2uj3r6RHBwcEEKwAAoAAAYfA/CHrKwsCQkJLr9qJBJp//79vA4ZAPD/REZG4v83fX19B3fkqVOnIoTCw8MHcczQ0FD2UMPDw7OzswfxLgB8xby9vdeuXUuj0YqKiohGVVXVCxcu9GmcvXv3IoQ6OjqmToFlWrIAACAASURBVJ06btw4on3lypWmpqYMBkNGRsbe3p5op1Aoixcvxq8fPHiAEDI2NibO4mI30dHR+HDSpEl6enodHR34cOfOnQgh4n9znCOIi4vrKbaysjKE0Pr165lvFxYWhg8nTJigpKTU1NSED6uqqsTFxefMmYMPPT09yWQyLhvMYDDWr18/YsQIIhJ7e3tFRUX8Gv+FHxUVhQ+rq6tFRUUdHR3Z44mLi0MInT17lmjZvHkzQuj+/fvsnSkUioCAwJs3b/Ahnv9CvJdDhw4hhIiz9vb2CKH4+Hh8iJ+EUanUyspK3IIrOv/222/sl1dVVVGpVA8PD+LW5ubmEhISra2t7FH1FDCejXXixAmixdfXFyH0+PFj5ghv3LhBdNDR0dHV1cWvY2JiEEL79u1jvyO+0Nvbm7lx27ZtFArl3bt3+BAnsA4fPowPtbS0LCwsmPtz/kbq7Oyk0Wh2dnbsdwcAADAQMKeGX2hoaDBPZOWM8d+vUAAAfnDhwgUqlTpmzJiLFy8O7sihoaGnT58e6m34qqur169f//DhwyG9CwBfDTKZnJ2dPWrUqNu3bxONx44di46O7sdoDAbDzc2toKDg77//Rgi1tbXFxMQsXLiQm2tnz55NvMabRn/48AEh9OnTp4cPH9rZ2dXX19fW1tbW1uL5LOnp6UR/fX19PMmiWwoKCpKSktXV1eynPnz4kJOTM3/+/BEjRuAWaWnp2bNnx8fHt7S0IIRqa2uFhYWJmR3i4uKtra1tbW3sQ8XGxgoICFhZWeEgSSSSrq4uc5CEf/75ByE0ZswYouX27du6urpWVlbdxj9p0qSxY8fi12PGjBEXF8efTLfIZLKNjQ1+TafTJSQkjI2NZWRkiMsRQh8/fmS/MCkpqaWlxdbWtvY/pqamdXV1BQUF7J17Chhnaph/zi9btgwhdO3aNaJFUFDQ0dGRONTU1CTeDv4mXLduXU/vzsfHh/kwNjbWwMCARqPhgA0MDEgkUrefOeLiG0lAQEBVVRV/dQAAAAwiyNTwC2lp6T4V2CsvLx+6YAAA3KuqqkpISLC2tp45c2ZRUVG38/b7TUdHZ+3atcxT+odCREREt39EAQC6ZW9vT6PR5s6d29jYSDR+99138fHx3D90YbZgwQIhISE8O+/27dufP3/+/vvvublQVlaWeC0kJIQQwvVNcOWRoKAgqf84OzsjhGpqaoj+7FsZJCcnOzk5KSsr02g0MTGx2tpaBoPBftPi4mKE0OjRo5kbR48e3dbWhh8jOTg4NDY2njp1qqOjo7Cw8PLlyxYWFkRah1lRUVFXV5eCggIR56NHj+rr65mrtGA4Z0RkTxBCJSUlampqPX0yioqKzIfCwsLsYxKkpKSYiwQLCQkx34j5g2WPHyHk7OxMxB8UFIT+7+fca8BFRUViYmJSUlJEC/5smdMf0tLSOAwiJCKekpISUVHRkSNHdvvW6HS6tLQ0y+0ePXpEBCwnJ8dgMLoNGHH3jSQjI9NtRg8AAMBAQKaGjzAX2OsVh0dDAIAvKTo6ur29fc6cOXPnzkUIXbhwgaUDg8E4derU+PHjqVQq6f/Cj1Jx4ZicnJy3b986OjqKiIioqKjga93c3EgkEnMxS4RQamrqnDlzRo4cSaVS1dXV3dzccOo2KSmJRCK5ubmxBEAikeh0erfB19TUODg4eHp6IoQ2bdqEo8L1Mnbt2kUikZgrdCKEUlJSSCTSggULiBY3NzcxMTGEUGJiopGRkZCQ0PLly4mzhYWFixcvxqEaGBgcP368q6uL648WAD41cuTIiooKNTU1lv2eXF1d2ffb5gadTp8xYwa+NioqaurUqfLy8txcKCws3G07zrB4eHgk/1+rVq0i+rCkgNPS0mxtbf/999+QkJBHjx7l5eWJiIh0O3ivGyC4urr6+vpu2rRJWFh47NixioqKZ8+e7SlOKpWazIb92RX+0cF8a8Z/a7i6xVyrpVdkMpmlhcuHZ/hzPn36NEv8xsbG3XbuNmBuNpTo6QvNYViM/RSDwcCVm5mdOHGip8FRb99IAgIC8IMdAAAGnVDvXcCXoq2t/fr1ay47EyUDAQC8deHCBQEBgfnz59Pp9FGjRkVHRx8/fpz54efOnTsDAwMNDQ337dvX0NBw5syZioqK6dOnm5qa6unpEd2ePn26d+/exsZGQ0ND5hn+LM6dO7dy5cquri5dXV0DA4M3b97cvHnz999/71/wDAbD2tq6ra0tOTl5zpw5ZmZmCKHx48f3aZDGxsa4uLh58+bJy8tPmjTJyMgIt//999+WlpZ1dXVWVlaSkpIZGRmenp65ubnnzp3rX7QA8AmcqVFXV4+NjWVuFxUVDQsLw0tX+srNzW3lypWpqak3btw4duzYACMksr3W1tZcXhIREYH3nMLzPpqampqbm7vtiTswb32FD8lkMjGT5c2bN7NmzTp69KiCggJO5vYUZ0tLi46ODvPkoG7hiSHMczeUlJTw7B4ewp+zpKQkN59zTwGrq6vHx8fX1NQQ02rwZ6uqqspNDEpKSnfu3Kmrq+Oy3KGKikptbS2X3xjcfCNVVVWxTNsBAAAwcDCnho+MHz+e+426e5qnCgD4koqLizMzM62srGRlZQUFBRcsWFBZWZmQkEB0aGpqCgoKotPpaWlp27dv9/f3v3r1KkJo9OjRBw8eZJ4J7+XlZWlpWVZWlpmZGRER0e3tPn36tG7dOjKZnJCQ8Pz587t375aUlLx69aqnR9+9kpaW9vHxMTc3RwjZ2tr6+Pj4+Pjo6Oj0dZylS5cePnz43bt3mZmZeIYOQmjNmjWfP39OTExMTk6OiYl5+fKllpZWREREYmJi/6IFgE/Q6fTKykr2OTVz5sypq6vr6Ojox5jz5s2jUChr1qxpb2/HC0wGQl5efsKECZcuXWLeKRJXKOzpEnxKUlISH+L6td32HDVqlLm5+dWrV4ndmmtqauLi4qZPn45nspSXl0dHR69bt05TU5NDmgYhNGfOHITQyZMnmRu7naCBFwQxf+COjo5Pnjzh7f7Qtra2VCo1NDSUeW1UTxNMegoYz8dkTmHjfwJmzZrFTQy4ADP3+fo5c+a8fPmSZaomEbOIiEhtbS3R3us3EoPBePfuHZHQAQAAMFhgTg0f0dbWFhMTq6+v56Yzl90AAEPq4sWLDAaD2LLU1dU1JCTkwoULxG/YhYWFra2tVlZWxJ8rZmZmJBIpIyODZaiurq7Q0NBuSzkQzp0719TUtG7dOjs7O6KRpRwDTxgYGGzZsoW55fnz55mZmd9999306dNxi7S09Jo1a7y8vK5cuWJra8uLMAEYNCNHjhQTE2PJ1Dg4OHh4eJSVlfXjD1cJCQkHB4fr16/PmjVrUGYoHDt2bMaMGcbGxkuWLJGVlX3//v3NmzczMjJ6Wgs5derU8PDwFStWuLm5vXjx4uzZs8rKyj0N/ssvv1hbW0+ZMmXZsmWdnZ3h4eHt7e2BgYH4LJ1OFxcX9/f3z8/PFxAQoFAoRkZG3U7KmDlz5uzZswMCAl6+fGlqatrR0ZGTk6OmpnbkyBGWnjihnJ6evnjxYtyyffv2yMjIadOmrV69Wl5evrCwUEFBAW+n9cXQ6XR/f39vb29zc/PZs2eLiIi8fPny7du3ycnJ7J17CtjW1nbu3LleXl7FxcV6enq5ublnzpxxc3ObPHkyNzHMnTvX3Nz8p59+ys3NHT9+/MePH4uLi69cudJTfx8fn8uXLzs5Oa1atUpDQ6OmpiY5OXnz5s04P2hmZhYSEhIQEKCkpDRlyhR1dXXO30jPnz+vqamxtLTs70cIAACge5Cp4SMaGhrcFxUmkUgNDQ2cH1UBAIYaXvpEPAC3tLRUUFC4fv068b8nrvLIvGlIRUUFg8Fgz8jY2toST7N7kpWVhRBiTtPwCSJXRUhLS0MIlZSUeHh4EI2FhYXEfwEY1kaOHFlXVzdy5MiysjLmbKmHh8fp06fxRs595ebmdv36dfZSU/1jYWGRmpq6Z8+e4ODgpqYmRUXFmTNniouL99R/yZIlr169Cg8PT0hIMDY2vnbtWmhoaE+PhSZPnnz//n1fX9+dO3cKCAhYWFhEREQYGhris6WlpZMnT05MTMzOziYu+eGHH86cOcMyDolEunr1akBAwPnz569fv06lUg0NDZl/aBBGjRplZGSUkJDAYDDwBGQlJaXMzMwdO3b8+eef9fX16urqBw4c6OunNHBeXl7y8vJHjx4NCAhACGloaLi7u3fbk0PAly5d8vf3j4iICA4OVlZW3rt3L94MmxuCgoLx8fG+vr5//fVXVFQUnU5fvXo1h/4yMjIPHjzYuXPnlStXqqqqpKSkLC0tia/dnj173rx5c+DAARERkVu3bqmrq3P+RsJbmDs4OHAZLQAAAG59kb3AAVdqamq43+EFP8rjdcgAfNOePHmCEBIWFjZlggsNREREEN3wNrp+fn6FhYXPnj1zcnJCCB06dIjoEBoaihDy9vZmv4WrqytCKDExER/i55bZ2dndxoNXFbm6urK0I4RkZGRYbufr60u0+Pr6IoROnjzJfBVuDA0NZW7ED4qdnZ1ZIoyLi2O56a5du3r68WVhYdFt/AAMI1OnTk1JSbG0tExLS2Nuf/PmDZVK5VVU/KC4uFhcXHzLli01NTUMBqOrq6uysnLRokUIoc+fPw9k5PDwcITQnTt3BilSMAg0NTWNjY15HQUAAHyFoE4NH5GUlGSuQsqZoKAg85phAMCXh7d5amtry2aCa0gx7wB17ty5mTNn+vn5jRkzRl9fPz4+fvPmzd7e3iyjcdjag8BgMBB3G4UQWlpauO/c79HYg8ehMiekCOnp6YMYEgA8QRQVZt5KGSE0duxYc3PznnbS+Rbcv3+/vr7ew8MDTxIkkUgyMjKqqqoCAgKMnqvkcGPx4sWampqHDx8epEjBQMXExLx+/foLrzgDAIBvBGRq+AsumMclyNQAwENdXV2RkZEUCqW2tpYlEzFmzJikpKRPnz7hnkJCQuXl5RYWFnfv3s3MzKyoqDh27JigoGA/bjpq1CiE0L///tvtWZzBYSlmSYTRVwMcDe8xzPOdWQAYIj1t1I0QCg4OxjPXvk0TJkwgk8kbN26MiYlJTk6+evXqxo0bDx8+vHLlShqNNpCRqVTquXPnHjx4EBMTM1jRgn5rbW3duXPnihUrcFloAAAAgwsyNfxFW1uby56dnZ2VlZVDGgwAgIP79++XlZU5ODiwb4zq4uLS0dFx6dIlfPjrr7/m5eUFBQVNnz7dzMyMy41Uu4ULTN69e7fbs7hwQHl5OXPjo0ePeh0Wz+Zrb28flNGwKVOmIITi4+P7tw8OAHyupzk1CCFtbW1NTU0/Pz9exMV7urq6N27caGtrW7Nmja2trbu7+5MnT06cOMFepKYfTE1NGxsb582bN/ChwABRKJQXL1788ccfvA4EAAC+TpCp4S+GhoZcFhVubW39/PnzUMcDAOgJXt/Ube1PXLqFWAD1/v17hNCgJCyWLl1KpVJ//fVX5o1F3rx5gxcl6ejokMnkrKys/Px8fKq6upqbPxfl5OQQQk+fPmVuNDAwQAhFRkYSP2qePHnC/S/lBgYGU6ZMeffu3datW4n3zmAwUlJSYKIN+ApwmFODEDp58mRsbOzx48e/fGD8wMHBISUlpbKysqOjo6amJiMjY/369f2bSAgAAAB8myBTw1+0tLRERUW56dne3s7+HA8A8GW0trZevXpVREQElwdmYWhoqKmpmZ2djTc5sre3RwjZ2tqamZlZWlpaWVnNnz8/LCyss7Ozr/eVl5cPDg5ubW2dMWPG+PHjra2t1dXVNTU1a2trEULi4uIrVqzo7Ow0Nzd3cnKaP3/+2LFj5eTklJSUOA/r6OhIJpP//PPP7777buHChdHR0QghGxsbHR2df/75R0dHx8XFxd7e3tTU9Pvvv+c+2j/++ENRUfHkyZOKioozZsywsrKSk5ObNm1aaWlpX984APwGZ2oqKioePnzIfnb06NG5ubn79++vrq7+8rEBAAAAYLiDTA1/GTt2LPcbdZeUlAxpMACAnsTFxdXW1jo5OfWUWsUZjQsXLjAYjOrqanl5+cbGxqysrIyMjLS0tJiYmB9++KGnnVw5W7Vq1d27d+3t7UtKStLT01taWn744QdiRdXJkye3b98+cuTIhISER48erV69+tq1a3p6epzHVFFRuXjxora2dnx8/P3798lkMkJISEgoMTFx4cKFbW1tN27cKCsrO3HiRFhYGPv+4j0ZM2bM48ePPT09xcTE0tLSHj9+rKCgsGvXLn19/X68cQD4yqhRoz59+mRmZiYtLd1th4qKCg0NjaVLl37hwAAAAADwFSANsA4/GFyNjY0yMjKtra3cdDY3N8/IyBjqkAAAA7F+/fozZ86cOHFi2bJluPJLc3Mz3qu7srKysbGRSqXyOkYAQJ8VFBQsXLjw2bNn4uLi//77r5iYGHufrKysdevWbdiwoX9pWQAAAAB8s2BODX8RFRXl/nl1v7d0AQB8GS0tLWFhYYaGhhs2bMBpGoTQiBEjJk2apK+v39XVBSsjABim8OonhJCGhsabN2+67TN58mRfX9+jR4/a29v3tMM9AAAAAAA7IV4HAFiNHTs2JyeHm55VVVVDHQwAYCA6Ojq6urqqqqqam5uZk7DZ2dkPHjxQUlLCW1kDAIYdIlOjqan5+vVrIyOjbrstWLBg3rx5x44dU1ZW1tHR0dfXFxER+bKRAsADNBpt9+7dvI4CAACGMcjU8B1DQ0MuMzWw9xMAfE5MTGzJkiXnzp3T19efM2cOjUarrq5+9uxZamqqkJDQ6dOnSSQSr2MEAPSHgICApKRkdXU1ztRw6CkoKLh582ZVVdXg4OC2tjY1NbUvFiQAvMLl/hgAAAB6ApkavmNkZESlUrmZJi0kJFRXV0dUEgUA8KHffvvNyMjowoULYWFhTU1NYmJi6urqP/744/r16zU0NHgdHQCg//C0Gk1NzYSEBM49hYSEnJ2dnZ2dv0xgAAAAABjuIFPDd7S1tbnM1AgLC3/69AkyNQDwMyEhIU9PT09PT14HAgAYZHV1dS9evNDQ0Dh16hSvYwEAAADAVwUqCvMdHR2dtrY2bnoKCgp+/PhxqOMBAAAAADs5OTkBAYFeVz8BAAAAAPQVZGr4jry8fFdXFzc9u7q6YPsnAAAAgCfGjh3b1tYmJSUlKChYWVnJ63AAAAAA8PWATA0/Gj16NDfd2tvbIVMDAAAA8ISsrCye2QrTagAAAAAwuCBTw4/09fW56dbS0vLhw4ehDgYAAAAA7IhMTUVFRUREBK/DAQAAAMDXAyoK8yNjY+Pr1693dHRw7sZgMEpKSr5MSAB84+rr6/fu3UsikQQEIME9yGg02u7du3kdBQB9Jisrm5ubixBasWJFXV0dr8MBAAAAwNcDMjX8SE9PT0RE5PPnz732LCsr+wLxAPCNy8vLc3Nze/v2rb+/P5lM5nU4XxtRUVFehwBAf8jJyeGZrfr6+mFhYbwOB4D/Jysry8zMLCwszN3dfYBDLVq0KC8v7/nz5yQSaVBiAwAAwCXI1PAjbW1tBoPBTU9Y/QTAUGttbV2xYoWrq+u+fft4HQsAgI8Qq590dXX//vtvXocDhpOoqKiFCxeyt2/YsIGvNn1vbm5ubm5mMBiQqQEAgC8MMjX8SEtLq76+npuesNkEAEPqwIED58+fP378uL29Pa9jAQDwFyJTM2bMmNLS0tbWVgqFwuugwPBgYGDg7+/P3PLw4cPY2FhtbW1ehdStmJiYrq4uWPYLAABfHmRq+JSioiI3K5tqa2u/QDAAfJuqq6uDgoKqqqp4HQgAgB8RmRr037QaIyMj3oYEhgtdXV1dXV3isK2tTV9fX19f38PDg4dRdQvSNAAAwBPww5dPaWlpcdNNRkams7NzqIMB4Nvk6uoaGBjI6ygAAHxqxIgRZDIZF5WDBVBgIA4fPvzmzZvTp08LCf3/z1DDwsIMDAyoVKqcnJyHhwfLY4N3794tWrSITqdTqdSJEydeu3aNw/icOxcUFMycOXPkyJEkJn5+fgghBwcHJSUl5s4hISFaWloUCkVTUzM4OHjg7x0AAEC3IFPDpyZMmMDNkuDq6up///33C8QDwLcmMTHx4cOHa9as4XUgAAD+RUyr0dPTe/78Oa/DAcNSYWHhwYMHV61aZW5uTjQePHjwhx9+0NTUPHHixNq1ayMjI6dNm9bc3IzPVlRUmJub37t3b8uWLUFBQTIyMvPmzTt//ny343PuXFdXZ2dn9+nTp+jo6ISEBF1dXXFx8atXr3ZbjTgwMHDjxo1WVlanTp3S19ffuHHjzz//PNifBwAAAIQQQgzAl86dOycmJtbrl09CQuLhw4e8DhaAr9C2bdsOHz7M6ygAAHzN0tIyLS2NwWBcu3btu+++43U4YFiyt7eXkZGprKwkWiorK6lUqrOzM9GSmJiIEDp69Cg+9PLyQgg9ffoUH3Z1dU2ZMmXkyJEtLS0MBuPBgwcIobCwMG464/k1SUlJ+Gx0dDRCKDMzk4hNUVERv66qqqJSqR4eHkRU5ubmEhISra2tg/yJAAAAYDBgTg2f0tPT43IzYNj+CYChEBwcvGHDBl5HAQDga8zbP+Xm5vI6HDD8XL58OSEh4X//+5+MjAzRmJSU1NLSsnjxYqLFxsZGXl6eWLV08+ZNAwMDfX19fEgikZYsWVJRUZGZmcl+C86dccVDGo2Gz4qLiyOE8Jo+FjgqW1vb2v+YmprW1dUVFBQM+GMAAADACjI1fGrcuHHd/jPJor29HVY/ATDoDhw4YGZmJioqyutAAAB8TU5ODj8v0dDQ+Pz588OHD3kdERhO6uvrPT09LSwsVq5cydxeVFSEEBo9ejRz4+jRo//55x+iA/tZhBDRgWU0Dp2nT58uLCx8/Pjx+vr6urq60NBQCQmJiRMndjsOQsjZ2VnqP0FBQQihmpqavr5xAAAAvYK9n/iUsLDwqFGjes3CNDc3c7NFFACgT6KiorZv387rKAAA/I55+ydXV9f8/PxJkybxNiQwjOzevfvTp0/x8fEspQl7rVTITSlDLjsrKytfunRp6dKlFy5cQAipqalFR0dLS0uz92QwGAih06dPs+x6MX78eO6DAQAAwCXI1PAvPT29XjM1DAajuLj4i4QDwLeiqampqKho6dKlvfYMDw9nLjlMoVBGjRplbGy8evVqJyenoYwRAMAXZGVliUVPRkZGT5484W08YBjJy8s7derUli1biHVJBHV1dYRQSUmJiYkJ0fj+/XvcjjuUlJQwX4IPVVVV2W/Ua+fy8nIZGZnU1FRFRcVRo0b1FLCKigpCSFJS0tramqt3CAAAYABg9RP/mjx5soBA718gln99AQADdOXKlQULFnDff/To0fb29vb29sbGxm1tbdevX58zZ87BgweHLkJ+c/fuXVyEEoBvDbH6CUGmBvRFV1eXh4eHgoIC3gybha2trYiISEREBNFy9+7d8vLyWbNm4cO5c+c+ffo0Pz8fHzIYjAsXLkhKSjLvHkXotfOxY8dcXFyMjIw4pGlwVFQqNTQ0tLOzk/mNcP+uAQAAcA/m1PAvQ0NDMTGxXqvVQJ0aAAbX5cuX165dy31/JyenU6dO4dednZ2hoaGbNm3y8/Nbt26dlJTU0MTIX7Zv366qqvr999/zOhAAvrRPnz7du3cPv4ZMDeDen3/+mZ2dbWdnh0u9EEaPHr1s2TJJScmAgIAtW7bMnz/fwcGhvLz82LFjGhoaGzduxN28vLwuXrxoZ2e3adMmOp1+/fr11NTU06dPjxgxgv1evXZWU1OLjIwUFRWlUqlCQkIqKipz585l39eCTqf7+/t7e3ubm5vPnj1bRETk5cuXb9++TU5OHoJPCAAAvnWQqeFf+vr6eEkwZ5WVlV8gGAC+Ea2trfHx8SdOnOjf5YKCghs3bjxz5kxBQUFOTo6tre3ghseH8vPzc3Nzu51yD8BXz87OjqjoQaFQ1NXVX7x4oaOjw9uoAP979eoVQujOnTt37txhbrewsFi2bBlCyNPTU0ZG5siRI5s2bZKQkJg/f/6hQ4fExMRwNykpqYyMDB8fn6CgoMbGRj09vcjISDc3t27vxblzfX29rq7unTt39u3bR1wyfvz4Bw8esOd9vLy85OXljx49GhAQgBDS0NBwd3cfnE8EAAAAC57uEQ56ISgo2OtXUFBQkNdhAvD1yMvLI5PJXHYOCwtDCG3YsIGl3cbGBiF0584d5sa7d+9Onz5dTExMVFR06tSpt27dYj5bWVkZGBhoYmIiKipKJpPV1dV/+umnhoYGokNiYiJCyNXVleVeCCEZGRn8GteDNDEx6ezsJDocOHAAITR//nwOb6TXu7948QIh9PPPPzc0NKxdu1ZaWlpQUPDNmzebN2+mUCjMP5HWrl2LL7l69aqVlRWdThcVFdXV1V27dm1eXh7nzxOAYae9vV1ISIg4XLRo0YULF3gYDwB90tnZaWhoaG1t/e7dO9zS2Nh47NgxhNCNGzd4GxsAAHzjoE4NX+PmMTWZTK6urh76WAD4JpSWltrZ2Q1khNbW1ufPnwsLCzNvh3Hx4kUbG5ucnBxra2tzc/Ps7OxZs2aFh4cTHcLDw318fD5+/Ghvb+/k5FRTU/PLL7/gJ6vcW7RokYODQ25uLk4hIYTev39/8OBBSUlJYn1Wt7i8e3l5uYuLyx9//DF27Fh9fX0VFZWxY8cuWbIEITRu3LhDhw4dOnRo3rx5CKGIiAhnZ+f8/HxLS0sbG5u2trYzZ878/ffffXo7APA/ISEhKSmpiooKfAgLoMDwUlpampeXt3DhQmIbbxEREV1dXYQQNw8LAQAADCFep4oAJ87Ozr1+BWk0WkFBAa8jBeAr4enpGRQUxGVnljk17e3tr1+/xpkLf39/oltlZSWNRlNUVCwtLcUtT5489b4usAAAIABJREFUIZPJoqKi1dXVuKW2tjYuLo6YC/P+/XtxcXGEUFlZGW7hZk4Ng8EoLi4WFRWVkZGpqqpiMBi4dsyvv/7K+Y30enc8p0ZKSkpPT6+kpIT5WhyYs7Mzc6OxsTFCqLCwkGh5/Phxa2sr5zAAGI7Gjx9PzBfbunWrpqbm4I7f2tqqqqpKzFYbRAsXLtTR0enq6hr0kfmTvb29oqIicUihUBYvXszDePhBZ2ensrLy2LFjz549e+/evdjY2P3799PpdD09vZaWFl5HBwAA3zSYU8PXJk+ezF7RjYWAgACx8QQAYIASExP7WlwmODiYRCKRSCQymaypqVlSUnLr1q1du3YRHSIjIz9//uzl5aWoqIhbDA0N7e3tGxsb4+PjcYuEhMTMmTOJ7d6UlJSmTp2KEHr9+nWfglFRUTlw4EBVVdWuXbtSUlKio6Otra17rSPA5d1rampOnTqlrKzcaxh4KOYfX0ZGRsLCwn16LwAMC4qKimVlZfj1rFmzJCUlub/Wz8+PRCK9ffuWpV1ISIgoI8JgMJqbm5ubm3sdLTU1lShvzA08LIOLinhfQF+DB4NCQEAgMTHR2Nh4x44ddnZ2bm5u0dHR7u7uaWlpLCtbAQAAfGFQUZiv6evri4iI1NXVcejT2dkJ2z8BMCg+fPhQVVWlp6fXp6vU1NQMDAwQQh0dHaWlpWlpafX19UJCQkTGJz09HSGUlJT08uVL4qp//vkHIVRYWMgyWkdHR1NTU1dXF943qqWlpa/v4scff4yMjDxz5szt27epVGpYWBiJROLyWs53p9Pp1tbW3Iwzf/78nJwcGxubY8eOOTo69vEdADCcKCgolJeX49eWlpZ5eXmDOz6FQikrK+NmKcqhQ4dMTEymT5/O5cgxMTFdXV1Eipa3+ho8GCxaWlqXLl3idRQAAABYQaaGr+nr67e3t3Pu09LSQvyOCAAYiLCwMG1t7b5eNXPmTOYqMM+ePbO2tnZwcEhOTrayskII4eftcXFx7NcSqZCampqDBw/+9ddfRUVFA3zELSAgEBYWZmhoWFxc7O/vP3bsWOLUnj17bty4QRzOnz9/z5493N9dTU2Nyxi2b9/e0NDwv//9b+bMmTo6Otu2bVu2bBmf/EEIwOBiztQICwvr6+vn5uaamJgM4i24SdN8+PAhOTm5r/flk/8r+xc8AAAA8BXji3+hQU8UFBR67dPe3v7+/fsvEAwAX72UlBS8bdNA6Ovre3l5dXV1EWV9ce7jwYMH7AtQ8cZMra2tU6dOPXLkiLq6+m+//ZaYmJicnMxNJD3NuHn69Cm+aX5+PnN7SUlJPpOSkpI+3Z375UsCAgIBAQFv37719PQsKSlZuXLl1KlTGxoauLwcgGGEOVODELKwsMjIyBjcW1CpVFwACyH04cOH5cuXKygoiIiIaGpqbty4saGhIT4+XktLq7W1NSAggEQiEXs5NzU1bd++XUVFhUKhaGhoHDp0qLOzkxjWwcFBSUmJ+S7h4eH37t3T09MTEBC4e/cubk9OTjYzMxsxYoScnJynp2dTU1O3QQYGBtLp9NevX8+ePVtMTExWVtbV1ZX5k7l8+bKNjY2EhISwsLCOjs6ff/6J27sN/ubNmyQS6ebNm8TlKSkpJBLp/PnzxO2UlJRaW1vd3d1pNNqUKVNwe1FRkbOzM41Go9Fo8+fPLyoq4vJD7veFAAAAwFCATA2/Y34e3pOefm0CAPTJ+/fvcQneAVJRUUH/TaVBCMnLyyOEiouLe+ofExPz7Nkzc3PzhISElStX2tjYWFtbi4qKMvfBK5i6urqYGz99+sQ+WmVl5ZYtW6SkpOzt7a9cucI8iebs2bPMeSK8+RQ3d+8fFRWVoKCgt2/f2tjYpKenBwQEDHxMAPgNc50ahJC5uXlmZubQ3c7FxSUhIcHLy+vEiROOjo4FBQWioqL6+voREREIoWXLlqWlpeE63wwGY968eUFBQc7OzsHBwTNmzPD19fXw8OAweGpqqouLi4WFxf79+8eNG4db7O3tRUVFjx8/vnjx4uDgYBcXl54ur6qqsra2trCwuH///qFDh27evLlgwQLi7JUrV2g02p49e0JCQmg02ooVK1JSUhBC3QbPjY8fP27YsOHJkydbtmzZsGEDQqiysnLKlCn5+fkHDhzYtWtXenq6lZVVTU1Nr0P1+0IAAABgiMDqJ343YcKEp0+fcu7z6NGjLxMMAF+xysrKmpoaDQ2NgQ+Fd0qSk5PDh1OmTLly5UpsbCxRIpQFrlljaWlJrERgMBgs/+PjzZhYljp2+//+li1bKisrQ0JCZs2apaOjs379emtraxqN1lO03NydAyEhIYQQh3WacnJyJ0+e1NHRefDgAZdjAjCMsM+p8fLy6tMIeHYbN9rb2zMzM729vbdu3crcrqioiFdIKSsrW1pa4sZbt27duXPnxIkTmzZtQgi5u7vT6fSAgID169cbGRl1O35ERERcXNzMmTOJFm9vb01NzYSEBDw+lUo9ePDgw4cPJ02a1O0ICxcu3LFjB0LIxMQkJycnNDT006dPo0aNQggxF0OZOXOmoqLi5cuXra2tuw2eGx0dHS9fvszKyiKKl//888+VlZWvX7/Ge04bGRnZ2dmFhYVt27aN81D9vhAAAAAYIpCp4XfW1taXLl1qbGzk0Af2fgJg4DIzM83NzQc+zuPHj0+ePIkQmjdvHm5ZsmTJnj17oqKiZs+evXDhQtzY2tp69erVRYsWIYTwnzFPnjwhBjl8+DDL3HsdHR0ymZyVlZWfnz9+/HiEUHV1tZ+fH8vdExISzp8/b2JisnbtWgEBgR07duzevdvHxyckJKSngLm5Owc4IVVQUECUJm1ra0tPT582bRpRyRgPjm8EwFeGJVOjpKRUXV394sULHR0dLkeYMWMGlz3JZLKWllZkZOSCBQsmTJjAuTNeOkQsm0IILVu2LCAg4Nq1az1lavT19ZnTNJ8+fXr48OGWLVvq6+txCy4lk56e3lOmZvbs2cRrTU1NhNDHjx/Z/99XUFCQlJSsrq7m/BZ6tXXrVuY95mJjYw0MDGg0Wm1tLULIwMCARCKlp6f3mnDp94Wctbe3P3r06OPHj7GxsS9fvlRXV8dTLL9uNBpt9+7dvI4CAACGPcjU8DsdHR38yJqDgf+uAwDod6YmLi4Or2zq6uoqLy/HNWJcXFyIaf/S0tLnzp37/vvvFy1atHfvXhUVlc+fPz9//rylpQVnapydnXft2pWYmGhhYaGlpZWfn//69etVq1b9/vvvxF3ExcVXrFgRFhZmbm4+ffp0MpmckpJiYmJSW1tLbN/b2Njo4eFBIpGCg4Nx0sTb2/vs2bOnT59evHixhYVFt/Fzc3cOtLS0tLW1X758aWZmpq2traKism3bthkzZsjJyU2aNElSUrKkpCQ1NVVYWNjT07MfHy8AfE5OTu7jx48MBoNITU6dOjU5OZn7TM3JkyeJKXiYq6trT52joqJcXFwmTpw4Y8YMHx8fDgWtioqKxMTE8D5uGJ4wgqfRdUtLS4tlBIRQUFBQUFAQczuHZUGysrLEa/zbC1EZJzk5+ejRo3l5eXV1dV1dXY2NjQPfIJw94JaWFua3zDnagV/Yk4yMjN27dz948IBEIikpKdHpdHFxcXl5eebP52s1KItnAQAAQKaG3xkbG3/+/JlzH2Fh4YqKipEjR36ZkAD4KmVmZvavkEpxcTFRg0ZcXNzc3HzlypWrVq1i3ht7zpw5Dx8+PHjwYEpKSnJyMo1GmzBhArEYSkpKKiEh4aeffnr06FFBQcHkyZP/+OOP5uZmllzJyZMnpaWlo6KiEhISZGVlV69e7efn5+zsnJOTgzvs3r27uLh49erVpqamuIVCoRw7dszJyWnNmjV5eXndlgTm8u49IZFIly9f9vDwyMvLe/Xq1bp160aMGHH48OHIyMi7d++2t7fLy8vPmTPHx8eHiAqArwwuVUNU53Vzc7t79+769eu5vNzBwYGlJh3zTw8WBgYGz58/j4qKOnTokK2t7fr164ODg7vtyWGQnlAoFOZDnEnx8PBgyRzhUlzd6qnueFpamq2traGhYUhIiKampqCgIJ4byD0iJc054MmTJx86dIi5UUJCotfB+30hu3v37mVmZh49etTHx+evv/6SlJTsxyAAAAAAZGr4nYCAgIqKCodapAghYWHh0tJSyNQA0G+fPn3KyMjo65wad3d3d3d3LjsbGBhERUX1dHb8+PFJSUksjSwPnCkUSmBgYGBgIHNjfHw88fro0aNHjx5lGWT27Nm9Prju9e7a2tocBhk3blx6ejpzy7Zt26C+A/h24AVQRKbGzs5u+/btQ3c7ISGhJUuWLFy4cP369SEhIWvWrDE0NGTvpq6uHh8fX1NTQ0wVwQVxVFVVubwRkZGxtrYeYMwRERGdnZ2XL19WU1NDCDU1NXWbeSHgyjVtbW1ECzfbXKqoqNTW1vYj2n5fyGL16tUpKSlycnJ37tzpdXkaAAAAwAHs/TQMTJw4sdc+paWlXyASAL5Wv/7666RJk/DfBgAA0CcyMjJv3rwhDuXk5EaNGsV9WW7uMSdMBQUFcVGYf//9FyEkIiKCEMJlVrC5c+cihM6dO0e04C2WZs2axeXt5OXlJ0yYcOnSpYqKCuYY+rFqCV9CTDC5ceMG8yDswePSNnl5ecTlHDLdhDlz5rx8+ZIl78yyZd7gXsgsKCiooKAgOjo6IyMD0jQAAAAGCObUDANTpkyJjY1taWnpqUNbWxtkagAYiOLiYu5nxwAAALOOjo7o6OjFixcTLfb29gkJCQYGBoN7o8ePH//444+zZ89WVVWtqKg4efKkoqIingxIo9F0dXWjoqIMDQ07Ojrc3d1tbW3nzp3r5eVVXFysp6eXm5t75swZNze3yZMnc3/HY8eOzZgxw9jYeMmSJbKysu/fv79582ZGRgadTu9T5FOnTg0PD1+xYoWbm9uLFy/Onj2rrKxMnGUP3tDQUFdX95dffhEQEJCXl4+NjWXeCr0nPj4+ly9fdnJyWrVqlYaGRk1NTXJy8ubNm52dnYfoQiwrK+vXX3/Nzc3Nzs6mUqncXAIAAABwBpmaYcDY2JhKpXLI1DQ3N3O/xycAgF1ubu7GjRt5HQUAYFhavnz57du3mVtkZGSOHz/u7e09uDdSVlZWVlYOCgqqrq6m0+mWlpYHDhwgyqmEh4f/8MMP69evV1RUXL16NULo0qVL/v7+ERERwcHBysrKe/fu3blzZ5/uaGFhkZqaumfPnuDg4KamJkVFxZkzZ4qLi/c18iVLlrx69So8PDwhIcHY2PjatWuhoaHEllLswQsJCV29enXz5s3Hjh1DCH333XdhYWHE+rKeyMjIPHjwYOfOnVeuXKmqqpKSkrK0tOx2adhgXYgQCggIKC4uzsnJGYpZVAAAAL5ZpIEX3gdDrbm5mUajdXR0cOjj7Ox85cqVLxYSAF+Trq4uMplMbFACAAB9kpaW5uvrm5qaytwoIiJSWVmJ1/WAr9X+/fufPXumpaV14MABXscCAADgqwJ1aoaBESNG4AXbHHAuOQwA4ODx48fGxsa8jgIAMFwpKyuz17tdunTp+fPneRIP+DIqKyvv378/btw4SNMAAAAYdJCpGR5MTEw4d8AFBQEA/ZCbm9vr/2IAANCT0aNHs69BXrJkCWRqvmIdHR3Hjx+fMGHC3r17eR3L4Fi0aJGuri7MtQcAAD4BmZrhwcrKikwmc+hQWVn5xYIB4CsDmRoAwEAICAjIycmVl5czN06ZMuXNmzcpKSk8CgoMoZycHC0trQ8fPhw+fLjfg/j5+ZH+IywsrKys7Orq2qdiNyUlJb///nu/A2DR3Nzc3NwMmRoAAOATUFF4eDAxMREVFWXewJIFiUSqq6sjygoCALiXmZm5YsUKXkcBABjG8LQaBQUF5sZDhw7NnDmzqamJV1GBodDV1WVqajpYpc327t1Lp9ObmpqeP39+6dKlW7duPX36VE1NjZtrIyMjY2NjV61aNSiRxMTEdHV1CQjAQ1wAAOAL8ON4eDA2Nm5ububQgUKhwEbdAPTP33//PWnSJF5HAQAYxrotVbNixQoPD4+goCCehASGiISERERExGCNtmTJko0bN27btu3PP/8MDw9vaGi4cOECNxe2tbVdvXp1sMLAIE0DAAD8A+bUDA8SEhI0Gq2ioqKnDlQq9ePHj3p6el8yKgC+Aq9evdLU1BQS6uWH4Z07d2JiYkREROAX2UFEo9F2797N6ygAGATdlqpBCPn6+qqrq2/ZsuXLhwSGgo+Pz65duxYtWjQUg0+bNg0h9OHDB6Ll3bt3O3bsuHPnTkNDg76+vq+v79y5cxFCpaWl1tbWhYWFCCESiYQQevTo0YQJEy5fvnzmzJlHjx41NzePGTPGx8dn+fLleKjAwMAjR45kZmZu3bo1JSVFVFTU2to6KCiImAjm4OBQUFBAPPZra2vz9fU9d+5cXV2drq7ujh07tm/fPn/+/CNHjiCEvLy8fvnll/r6ejExMdzfz89v375979+/JzZTDwkJOX78eHFxsYqKyubNmzds2DAUHxoAAHytIFMzbJibm1+/fr2nsw0NDW/evJk+ffqXDAmAr0BBQcG4ceM4dLh27drSpUspFEp7e/v27duFhYW/WGxfPVFRUV6HAMDgUFZWLioqYm+XkZGZNm2aubl5Zmbml48KDK6EhISrV6/u2rVriMbHyT5dXV18WFFRYW5u3tnZuWXLFmlp6evXr8+bNy8iImLJkiWSkpJnz55dvHixhIRESEgIQkhbWxshdOXKFRqNtmfPHgkJibCwsBUrVqioqFhbW+MBq6qqrK2tN23atG/fvvz8/E2bNr1//76n78yNGzf+f+zdeSCU2/848DO2se/7LiElS2Rfs7SpSKJStN+2WzdKRXtu+94N0XIpWm/qthAiUcmWVKhshVJZsocxvz/O7z7f5zOWxpJJ3q+/5jnPec7znplivOec9wkJCfHw8LCxscnKypo5c2aPnsuePXs2bdq0cOFCHx+f6OjolStXNjY2rlu3rrevDQAADDmQqRk0TExM7t6929LS0unZxsZG/NUKAKBHus/UlJSU+Pr64i8DZWRkBjIwAMAgoqCgkJSU1OmpqKioNWvW7Nu3b/369QMcFehf1dXVCgoKxCyVfvHlyxd+fv5v377l5+evX79eX19//vz5+NS+ffvKy8ufP38+evRohNBvv/1mZWW1du1aV1dXfn5+c3NzHh4eQUFBc3NzYrRLly4RjydNmiQnJ3flyhUiU4MQmjVr1saNGxFC+vr66enpgYGBnz59kpSUZIiqtLT09OnTLi4uxDovTk5O5tfxVVVVbd++fenSpYGBgQihxYsXm5mZBQQErF69Gr7tAAAAJkGmZtAwMzPj5eXtKlODEMrLyxvIeAD4NeTk5MyaNavTU7m5ubt37165cuWqVasGOCoAwODS1eonbP78+ZaWlpGRkePGjYMVlIPUhw8fbty40e81AU1MTIjHs2fPPn78OA8PDz68deuWtrY2TtMghCgUioeHx9KlSx89eoTXSXVPVlZWWFi4qqqK3Ojo6Eg8VldXRwhVVFR0zNQkJia2t7eTF3m5u7szn6mJi4trbm62t7cntsIwMjJ69OjRixcvxowZw+QgAAAwxEGmZtAwMTH5+vVrNx0KCwsHLBgAfhldzam5fv36jh07/P39XVxcBj4qAMDg0mlFYYKOjs7Dhw9///33Dx8+wF+qg1RDQwM7O3t/bflEOHPmjIyMTHt7e2lpaWBgoJaW1s2bNw0MDBBCRUVF9vb25M6KiooIocLCwq4yNQkJCYcOHXr27NnXr1/b29sbGhoYdt2WkpIiHuMCbZ0+I/yPWUlJiWiRk5Nj/knhlYAdf3tWV1czPwgAAAxxkKkZNNjZ2YcPH/7mzZuuOpSXlw9kPAD8Atra2goKCjQ0NDq2z5w5s7W1lSVRAQAGHSkpqaqqqpaWlq4Wd2hraycmJg5sUKCfOTs7T548+fHjx/04poWFxfDhw/Fjd3d3ZWXl1atXp6SkoP9KBTPv4cOH9vb2urq6J0+eVFdXZ2dn19HRYejTo8VH5AC+eyF5i1KcHgoKCmL49doxHgAAAF2BTM1gYm1t3U2mpqampr29HaZVA8C8ribU2Nvbi4uLD3w8AIDBi4uL68mTJ5aWlqwOBPwo9vb2AQEBM2bMuHr16o8YX1BQUFVV9dWrV/hw2LBhDEvq8KGysnKnl4eHh9NotCtXrqioqCCEGhsbydmTHsEzaMrKyogpYGVlZeQO7OzsCCHyknzynDI8GUdYWJhcIgcAAECPwF/1g8m4ceMEBAS6OsvHx1dcXDyA4QAw6OXk5BAlAAg0Gk1aWvrQoUMsCQkAMEhZWFg0NjayOgrwYyUmJn748OEH7eRVVlaWm5tLrDlycnJ6/vx5dnY2PqTT6RcuXBAWFjY1NcUtvLy8RCEY9N9MFmFhYXx48+ZNhqVPzLO2tqZQKJcvXyZaoqKiyB1waZtnz57hw69fv965c4c4a29vz83NHRgYSF5a1d7e3rtgAABgaII5NYOJiYlJN7/nODg4SkpKhg0bNpAhATCoXbt2jaHaIkIoKyvr9evXXZUZBgCATg0bNgwKxg0F7e3t1tbW3ezw0CORkZESEhJ0Or2srCw0NLShoWHTpk34lI+PT0REhIODw6pVq8TFxW/cuJGUlBQUFESUHDYxMTl58mRAQIC8vLyFhYWVlVVoaKiXl5e7u3tubu65c+cUFBR6F5WioqKnp+e5c+c4ODjMzc1fvHiRm5uL59FgM2bM8PPzW7Bggbe3d2tr69mzZ6WkpIhyiuLi4jt37ly3bp2pqamjoyMvL29eXt7bt28TEhL68FIBAMDQApmawURJSQmXf+tUW1tbSUnJQMYDwGCnrKyMCzcSkpKSpk2bxvDlIQAAfBdkaoaIx48fUyiU1tZWTk7Ovo+2ZcsW/EBKSkpbW3vTpk3EiiEREZGUlJQNGzYcPny4oaFh1KhRkZGR7u7u5GvfvHmza9cuXl7eO3fueHh45Ofnh4aGxsTEjBkzJioqKjAwsK6urneBBQUFCQkJRUREXLlyZfz48adOnSIXGFZSUvrnn3/8/Px8fX1FRUWXLl1qaGg4YcIEooOPj4+MjMyhQ4cCAgIQQmpqaosWLepdJAAAMDRRej0xErCEnZ1dfHx8p6coFMrmzZu3b98+wCEBMHg5Ozt7eno6OTkRLU5OTvPnz582bRoLowIADEbXr18PDw//559/WB0I+OHOnj27evXq2tpaVgcycGpqakRERLy9vQ8cOMDqWAAAYEiAOjWDjL29fVfl9+l0em5u7gDHA8CglpeXN2LECOKwoKDgxYsXvUjTNDY2CggIUCiU/v0/KC0tTaFQ2trauulTUlIiLS09c+bMfrwvAKAXYE7N0DF//vygoCBnZ2dWBwIAAOCXBZmaQcbMzIyXl7erswUFBQMZDACDHUOmZt26db0rT3Pz5s36+nqE0IULF/otOOYUFRVVVFQw7BobHx9PrgTZX06fPv306dN+HxaAXwNkaoaU2bNn29nZ2dnZPXnyhNWxAAAA+AVBpmaQMTExIQq2dUShUAYyGAAGtfz8fA0NDeKwra3t5s2bfn5+vRjqwoUL3NzcqqqqERER/RcgU6ysrM6ePcuw4MLX17ffMzVVVVXLly+HTA0AXREQEKBSqV++fGF1IGCArFixYty4cQcOHNiwYQOrYwEAAPCrgUzNIMPOzk7szthRRkbGQAYDwKDGMKHm6tWrrq6u3NzcPR2nsrIyJibG2tp60qRJRUVFP2j31q5QKBQvL6+xY8cSLdnZ2T/iR0F4eHh/7XUCwK9KVVUVJrcOKZs2bRo7dmx1dfWoUaNYHcuPJSwsTKfToUgNAAAMGMjUDD5jxozpau6MgIDA27dvBzgeAAYphkzNuXPnvLy8ejHO5cuXW1tbp06diisTd1wA5e7uzs/PjxCKjY3V09Pj4ODw9PQkzhYVFS1evFhJSYlKpcrJydnb2ycnJ5Mvb25u9vX1VVRU5OLiUlFR2bRpEzljkpeXR6FQzM3N8eGaNWuMjIwQQteuXaNQKBQK5bfffiM6379/39bWVkBAgJ+f39ra+u7duwyh1tfXb926ddSoUTw8PGJiYkZGRqGhodXV1RMmTFizZg1CaNWqVXjYixcvIoTi4uIoFAp5LxKMQqGIi4sTh0FBQRQKJT09/e3btxMnTuTl5SXvIVJQUDBnzhwJCQlubm5tbe2jR4+2t7cz9dID8JOBBVBDkK+v78KFC83NzUeOHNnQ0MDqcAAAAPwiYJfuwWfixIlhYWGdroFiZ2d/+/bt8OHDBz4qAAadvLw8Kysr/Pj9+/dZWVnjx4/vxTgXLlxgY2ObPn26uLi4pKTk5cuXjx49ysHxPz9dGxoabt++7ezsLCMjY2hoqKenh9tzcnKsrKyqq6vl5eXNzc0rKiri4+P9/f2JC7m5uV1cXNLS0qysrFpbWxMSEnbv3l1RUXH69OlOgxk+fLiHh8fp06e1tLTmzJmDECLuFRER4eHhISAgYG1t/e3bt4cPH06ePPnUqVPEzqkNDQ0WFhbPnj0TFBQ0MjJqamrKzs4uKyuj0+nW1tYtLS0JCQlTp041MTFBCOno6PT0hXr+/PnWrVsbGhp0dXVVVVVx46tXr8zNzb9+/WppaSksLJySkrJmzZqMjIywsLCejg8Ay0GmZmgyNDQ0NDQ8duyYra3tsWPHDA0NWR0RAACAwY8OBpumpiaGPwIJ3Nzcx48fZ3WAAAwOxsbGjx8/xo9dXV0tLS17MUhRURGFQrG2tsaHy5cvRwjdunWL3MfNzQ0hJCIicujQIYbL9fX1EUI7duxob2/HLeXl5TQaDT+WkpJCCGlpaVVWVuKWzMxMdnZ2Dg6O6upq3IJ3mzIzMyPGjI2NRQhCk32dAAAgAElEQVS5uLiQb/TlyxdBQUE5ObnS0lLckpWVxcnJycfHV1VVhVu8vb0RQo6OjnV1dbilvr6euBGu4MPwEwbfy83NjeF5IYTExMSIw8DAQPwKuLu7NzY2knuampqys7PHx8fjw8rKSlw86N69e3QABpvg4GBPT09WRwFYZvHixSoqKoaGhsQvFwAAAKB3YPXT4MPNzd3VrJnm5uaXL18OcDwADEYNDQ3Z2dnE6qfS0tI9e/b0YpyIiAg6ne7q6ooPcVKm0x2gtLW1//jjD3JLenp6RkbGyJEj/f39iSWNMjIybGz/85N58+bNoqKi+LGenp6xsXFbW9urV696FGdkZGRtba2Pj4+cnBxu0dXVHT9+fENDQ3R0NEKIRqOFhoays7OfOnUKr9VCCPHx8QkLC/foRt1ob28PDAzk4eEhWl6+fPno0SNHR8dx48bhFlFR0cWLFyOErl692l/3BWDAtLa2/vvvv6yOArDMqVOnzp075+zsPGnSpICAACgvDQAAoNdg9dOgNHny5NevX3dayuHFixcDHw8Ag86TJ08kJSVxGqK8vLykpAQv6ukpvPTJxcUFH5qbm8vKyt64caO+vp7Id2BENoccA0LI3t6++13bDAwMyIeysrIIobq6uh7FiWvfxMXF5eXlEY14mQYugJqbm/v161cdHR0ZGZkejcw8e3t7hrzPw4cPEULv3r0jF9PB8UBZVjAYubm5bdu2jdVRAFaytLS0tLS0sbHZvHlzQEAAQkhGRkZMTIyLi0tdXV1MTIzVAf5EBAUFN2/ezOooAADgJwWZmkFpwoQJoaGhnZaqgRXyADCjtLTU2toaP7569eqMGTN6McizZ89evXrFxcU1bdo0orGpqamxsTEqKsrDw4PcWUVFheHyiooK9F/mpRuSkpLkQzzjhk6n9yjUsrIyhNDt27c7nmpubmY+mL7o+ArgqLKysrKysjqNCoDBRVxcnE6nV1ZWwh/kQ5yRkdG9e/doNFpmZmZFRcXNmzeLi4v5+PjwmlaA8fHxsToEAAD4eUGmZlCysbGpra3t9NTHjx8HOBgABiNy7e2wsLDdu3f3YhC8yqmlpSU1NbXjKYZMDRcXF0MfnG3pfkINQqgXG4d3hO/1+PFjY2Pjbjp8NxhmdJVk6eoV2L1794YNG/p+XwB+Bpqamrm5ucR2bGAoY2dnHzt2LELI0dGR1bEAAAAYZKBOzaDEzs6upaXV6SleXt7i4uKBDQeAwaegoABvP1RcXJydnW1vb9/TEdrb2yMjI6lUak1NDUMBMFVV1bi4uE+fPnU/Ap4s8+HDh949hR7Ba5q6+eHQu2BwZodhJeZ3nzjzUQEw6OBMDaujAAAAAMDgBpmawWrKlCns7Owd2zk4ON6+fTvw8QAwuBBzah4/ftyxggwzHjx4UFZWNmHCBCEhIYZTrq6ubW1tly5d6n4EPL3l/v37vbh7N/DecK2treRGCwsLhFA3tU5HjhwpICCQk5PTVZ6l02EFBAQQQuXl5eTGtLQ0JkPFUUVHR7e1tTF5CQA/uREjRpCrQQEAAAAA9AJkagYrBwcHhnql2Ldv3yBTA8B3EZmaO3fuTJo0qRcj4KVP7u7uHU91swMUmaGhoa6ubnZ29t69e4m6M5WVlQyJj56SlpZGCL148YI81cXDw0NISOjixYuRkZFE47dv3yIiIvBjDg6OBQsWtLW1/fbbb42NjUSH169fk4d9/vw5+V6ampqcnJxPnjzJzs7GLVVVVcxXVNXW1rawsCgpKVm7di2RrKHT6YmJiTDRBgxSMKcGAAAAAH0HmZrBysrKqtNvoZuamnq6fS8AQ01VVRWFQhEREUG9zdR8+/bt2rVrvLy8U6ZM6XhWV1dXXV09NTX1uxsYnT17VlhYeMOGDSoqKuPGjRs1apSMjExSUlJP4yHT0NAYMWJEYWGhiYmJp6fnli1bEEKioqJhYWGcnJyzZ89WV1e3t7c3MjISExObN28eceHOnTtHjx59/fp1eXl5GxsbfX19cXHxQ4cO4bMTJ07k5OT8+++/p02bNmvWrMuXLyOEBAQEvLy8aDSaqanplClTpk+fPnz4cGlpaXl5eSajPXv2rJyc3PHjx+Xk5GxtbS0tLaWlpW1sbEpLS/vyIgDAKjCnBgAAAAB9B5maQUxbW7vTdrz1LwCgK8SEmtTUVDU1NVFR0Z6OcPv27ZqamilTpnS1dcXMmTMRE9NqdHV109PT582b19rampSUVF5ePnHiRH19/Z7GQ0ahUK5cuWJmZvby5csbN24Q65WmTp369OlTNze32trahISEN2/eGBgYnDhxgrhQQEAgJSVl48aNEhISjx49ys3N1dLScnJywmeVlJQiIiJGjBgRHR394MEDTk5O3H78+HFfX18JCYmYmJi0tLSFCxdGRUWNGjWKyWhVVVUzMzPXrFnDz8//8OHDzMxMWVlZf3//0aNH9+VFAIBVVFRUysvLv337xupAAAAAADCIUXq61Sv4eZw8edLHx6epqYmhXVhYuLq6miUhATAoRERE3L59+8KFCytXrszPz4+NjWV1RACAX4eent7Zs2d1dXVZHQgAAAAABiuYUzOITZs2rdMtdZuammpqagY+HgAGC2JOTVVV1ZgxY1gdDgDgl/Lly5c+rmHsi8DAQBEREaLCVPf27NlDoVD6Xt6upaVFRUXlt99+6+M4zODm5vbw8OjHAXv0iv3MsrKyhISEvjuXE+v3lxEAAED/gkzNICYnJ4drfDLg5uaGUjUAdIPI1Lx//77TQjMAANBrRkZGeK+072ppadm/f7+WlhYfH5+UlJSxsfHu3bv7ONm5paWlubl5gPdTo9PpTU1NHSf5Mmn//v28vLysqiPOklfsR2hra2tqaurflXd//fUXlUqFT5UAADDwIFMzuLm5uXX8ONja2vry5UsmRzhx4gSFhIuLS15e3tXVNSUlpb+D7ZNt27ZRKJQjR46wOpABsnDhQiqVWlJSwupABoe1a9dycHAw/88+Ojr67du3ra2tqamp5ubmPzQ2AMBQY2JiUlhYyEzP5cuXr1+/XlZW1sfHZ+bMmRwcHImJiZ3OlmXe6tWr6+vrR44c2ZdBeopKpZaVlf3999+9uPb169dbtmzZsGGDsrJyf8fFFJa8Yj/C2LFjm5qaFixY0I9jLlu2bNSoUfPnz4dqCQAAMMAgUzO4TZ8+vWNB08bGxszMzB6NIyYmZmtra2trO2bMmLq6uqtXr1paWgYGBvZfpJ1zdHSkUCiJiYk/+kaDS1ZW1rlz5xYtWqSkpMTqWH6sGTNmUCiUuLi4Po6zYcMGbm7utWvXMtlfXV3dwcEhPj7e1ta2j7cGAAAGenp6WVlZ3+32+fPnM2fOzJw58969e9u3bz9+/HhycvLdu3f7HgA7O3vfBxmwm+IVWL///nv/xtMjLHnFfoR+fyJsbGze3t5Pnz6Njo7u35EBAAB0DzI1g5uBgUGn33Kkp6f3dJy4uLi4uLgnT56Ul5fPmzevvb19zZo1P89GuRMmTDh8+LCVlRWrAxkIvr6+FApl48aNrA7kh3vy5AmFQhk7dmwfx5GUlFyyZMm9e/eYrA1cVFSkoqICmRoAwI/AZKamsbGRTqczlMpiY2Mjd/D19VVSUqJSqWpqart376bRaOTOQUFB2tra3NzcEhISEyZMqKioQJ2VngkJCcHdpKWlf/vtt8rKym6iSkpKsrGx4efnFxQUdHR0zMnJIU7RaLS9e/dqaGhwcXGRZ+Piswx1T4qKilxcXAQFBQUFBadPn15UVNTp7Wpqai5evDhjxgxhYWHcsmfPHiEhoVu3bhkZGfHy8oqJiS1ZsqSuro58FRcX1+nTpzU0NHh5eUeNGkXM5ZkwYYKUlBT5Vfr48SM7O/sff/yBH3t6esrKyvLy8qqrq69cubK+vr7TV+z06dPq6upUKnXYsGE7d+709PQ0MDDAp27dukWhUG7dukV0xtOgzp8/39Pn3tbWtmXLFlVVVR4eHkVFRVdX19zcXOJs9+/a/fv3bWxsBAQEBAUFDQ0NHz58iP77lRoaGor7pKWlzZ49W0pKipOTU1ZWdtOmTd0sjOomZhcXFxERkZMnT3Z1LQAAgB8BMjWDnqOjY8fGN2/e9HpAPj6+4OBgKSmplpaWGzdu9CG0/mRsbLxmzRo9PT1WB/LD5eXlxcbG2tnZycvLszqWH6u0tLSsrExDQ0NISKjvo3l5eSGEjh079t2era2tnz9/FhcXv3r16rhx4/p+awAAIBMREREUFPzu8lUFBQUxMbFr1651Wt6FTqc7OzsfPnzYxcXlr7/+srW19fPzI5fs3bJly7Jly/j5+Tdu3Lho0SI6nS4uLt5xnD///HPJkiXq6urHjh1bunRpZGSkjY1NVwVlEhMT7ezs6uvr//zzz61btxYUFJiZmRHpg4MHD27YsGHRokXJycnbtm1DCHl6eiYkJHQc58uXLxYWFtnZ2bt27fL3909OTra0tOx0V8rExMSmpqYJEyaQG2tra2fMmOHq6hofH79jx47w8HB3d3dyhwcPHmzbts3T0/PQoUMcHBxeXl737t1DCHl5eX369Ik8T/P69evt7e2zZ89GCLm6usbExPj4+Bw7dmzixIkvXrzoOCsZIRQREbFo0SIJCYmjR48uWbJk//79YWFhnb5cnWL+uW/fvv3PP/90c3MLDAycP39+QUEBPz8/PtX9uxYbG+vg4FBcXLxs2bJ169aJiYmJiop2HP/x48dFRUUrV648deqUtbX17t279+zZ04uYubm5rays4uPjW1pamH8dAAAA9BUdDHI3b97s+IeujIxMTU0NM5cfP34cITR+/HiGdvyxydvbm9zo4uKCELp79+6bN29mzZolJSXFw8Ojqal54sQJos8///wzf/58TU1NQUFBXl5eTU1NX1/fL1++kMdRVVXt6h+ki4sLuae3tzf57OHDh7t6IhEREfr6+tzc3JKSksuXLy8rK0MISUlJER3wN5xmZmbkqz58+IAQUlJSYhiturraz89v5MiRPDw8AgICNjY2d+/e7XjTgoKCxYsXq6mp8fDwiIqK6ujoeHt7l5aWdhUkM1avXo0QCg8P76pDXl7enDlzZGRkODk5paWl3d3dX758ydAH/5NobW0lN2poaCCEioqKehFVXl7ejh07rK2tFRQUqFSqvLy8k5PT06dPO/bEn9onTpzY2tp66NAhbW1tXl5eCQmJadOmff36lU6n79ixo6t3n42Nra6ujjxaZWWln5+fjo4OHx8flUpVUlJycXG5f/9+p0GOHj2ajY2tuLi4++eSn5+vrq5+9epVFRWVXrwUAADwXU5OTtevX/9ut7///puNjU1NTS0kJARPsSHgWRvHjh0jWvz8/BBCmZmZdDr948ePXFxcdnZ2bW1tDGPu3r0bIfTmzRs6nf7lyxdubm7yL1Y88fDQoUMdO9PpdAMDA3l5eSKSyspKAQGBqVOn4kNdXV1zc3NiqJEjRzo4OBCHVCp1zpw5+PH69etxqTV8iNMoe/fu7fgKrFu3DiFE/rmNQ9q0aRPRgrNC6enpxI3Y2NiImPFcmOXLl9Pp9KamJmFh4blz5xLXjhs3Tk1NjU6nt7S0sLGx+fr6doyB4UVQU1Mjvwj4Kyt9fX18+O+//yKE/v33X+Jy/FuP+K3N/HO3sLAwMjLq2P7dd01HR0dcXLyyspLhwsePHyOEQkJCOo7Z3t6uoaExcuRIoqVH79f+/fsRQo8ePeo4MgAAgB8E5tQMelOmTOmYqWlqaurpAigGDQ0NCCFOTs6Op4qKikxNTa9cuSIuLi4vL//69Wv8wQWbNWtWWFgYlUq1s7OztbVtbGzcu3evgYEBTp1gv//++9atW7du3aqmpoYQ8vT03PqfmTNnku/l4OCA27tf93To0KHZs2fn5OTY2NiYm5ufP39++vTpvX7uRUVFY8aMCQgIqK6utrW11dXVTUlJmThxYlBQELnb69ev9fT0QkJCeHl5nZ2dzc3Nm5qaDh48yMyk927gD4VdzfV4+vTp2LFjL1y4ICYm5uTkJCkpefHiRUNDwx9dAXrDhg1btmwpKirS1tZ2dHQUEBCIiooyMzPreF/89IcPH25vb7927dr6+noVFZWvX7/evXuXl5cXIaSlpYXfUyMjI4SQk5MT8e7v37+f+EYRIVRYWKitrR0QENDY2Dhx4kQXFxcBAYFr1649evSo0yBtbW3b29vJk9I7hZc+paWlLV26tC+vCQAAdIXJBVDz5s27e/euiIjI4sWLlZSUDh482N7ejk/hH2Xk9UTz5s1DCEVFRSGE7t+/39LSsmTJku7rksTFxTU3N8+ZM4dosbOzk5GRwYMw+PjxY3p6+vTp03l4eHCLqKioo6NjdHR0c3MzQqimpkZQUJDoLyAgUFtb2+l9//33X21tbUFBwZqampqaGm1tbQqFkpyc3LFnYWEhBwdHx6Js9vb2xONJkybhp0y0GBoa4v37EEKqqqoCAgIfP35ECHFzc7u5uUVFReHpJ1++fHnw4MGsWbMQQpycnBoaGpGRkd1/OiotLX3z5o2zszPxIkyePFlAQKCbS3r93LW0tDIzMy9cuED/32Xs3b9rHz58yM7OnjlzZqfzaLpCoVA0NTWrqqp6FzP+go3JOtkAAAD6BVO7SIKfnKGh4bt378gtDQ0NT58+7XUNjvfv32dkZCCERo0a1fHs9u3bTU1NT506JSkpiRCqrq4mzzTetWuXp6enhIQEPqTRaCtXrgwKCtq2bVtISAhuJAoHpqenv3nzxsvLy9rautNIHBwcHBwcEELbtm178OBBp33evXu3ceNGLi6uBw8eGBsbI4RKS0txFqAX6HT6zJkzi4qKli5devToUSqVihDCOaDVq1dPnDiR+EB54sSJ2tradevW7du3j7g8NTVVTEysd7dGCJWUlBQXFysoKMjKynYa2/z58+vq6vz9/Xfu3IkbAwIC/P39PT09X79+TS5w0L8mTJiwb98+nFnD/P39AwICTp48aWZmRu6J/zi5cuXKsGHDXr58iXfTaG5uvnLlCt6nzNnZ2dnZGSH09OlThNC6detMTU07vem6devKysoCAgI2bdpENKalpXU6Xx0hhN/0hISEFStWdPNciouLlZWVk5OT9+7dy9STBwCAHho5cmRAQMD27du/2xP/mnv8+LG/v7+Pj8/Lly/PnDmDECoqKuLn5xcRESF6Kioqov/+Wsa/9FVUVLofHFcbwReSx+n0T268SXbHzi0tLWVlZaqqqhMmTAgLC3v06JGRkVFCQkJGRkZX9dSKioqam5vJwSOEOl0BVFVV1WnGQUZGhuEx+fseOTk5cmcuLi6iNo2Xl1dwcPDNmzfd3Nxu3LhBo9FwpgYhdPHiRVdX17Fjx9ra2m7YsMHOzq7jfd+/f48QImeO2NnZpaSkOn2anWL+uQcEBBQVFXl4eOzcudPHx8fLywv/luz+XWPyrUcIFRYW7ty5Mzk5+fPnz21tbc3NzZ2uj2MmZvzBpqtEDwAAgB8BMjW/ghUrVsTFxdXU1BAtra2tiYmJvShJW19fn5aWtmbNmsbGRgkJCScnp459KBRKREQEnh+BEBIREXF1dSXO+vj4kDuzs7Nv3LgxKCgIl7v7ESIjI1taWubNm4fTNAgheXl5X19fvIyop2JiYtLT0/GSLmIH9NGjR3t7e2/atCk8PNzf3x834pVTxE2xXmeIMJzm0NTU7PRsamrqq1ev5OTktm7dSjRu3LjxzJkzBQUFCQkJP64+bsfpJ66urgEBAV++fGFox09BSEjo3r17REqFm5t77ty5HXuysbFpa2t3dVM8d2b58uXkxm7KD+Os0Hc3PsNzaoKDgxlyTN0oKCh4+/ZtZGSkuLh4HzfQBb0mKCi4efNmVkcBAFPs7e2XLFlSWFg4bNgwZvqbmJjEx8e7u7ufPXt269atSkpK3f+owbMw8HcJ3ejRz6vvdt63b19xcbGZmRmFQmFjY/Pw8OjqYwadTjc2NsarigidliRrb2/v9L7k2rcdy6Nwc3N3FaSxsfGIESMiIiLc3NyuXr2qp6c3YsQIfEpbW/vly5cXL17cvXu3vb398uXL//rrr04HYQiJi4urq9shhBiK/jD/3EVERO7evZuUlLR79+7FixeHhITEx8fz8/P3y1tfXV2NvwXZtWuXsbExNzf3kiVLXr161dWY3ceMvwciJnwBAAAYAJCp+RVYW1t3nEzRo426Y2JiGD4ZSEhIXL9+nbwUhbBo0SIiTdOpr1+/JiQkFBQUNDQ0EL/XP3/+zHw8PYKnZjDMyul1zgKvBp86dSqRpsEMDQ0RQniqEaarq3v16tVdu3aJiYmZmZkx9O+dT58+of++vOooNTUVIWRtbU2+Fxsbm52d3alTp/oyi4oZeXl5T58+/fjxY1NTE51Ox1/ukb/2RAg1Nzfn5eUhhE6fPt3VzBfsw4cPHz9+VFdX7/TfGCYnJ/fx48dVq1bt3r2bmfrK+HXDu590o6ioiI+P77tpGjqdHh4enp+ff/jwYU5OTg4ODiqVumLFiu9+PgY/SPf/ogD4qQgJCTk7OycmJjKZqcEcHR0vXbr09u1bJSWlYcOGRUdHV1dXEzMd8GQKZWVlhBD+kVhcXDx69OhuBsR3f/funb6+PtH4/v37TqPC0zQYpui+e/eOk5MTz2Gh0+kFBQXbt2+fM2eOgoJCN/kLJSWlmpqarmbLkomKinY6U6O8vJzI4+PazMxX2ffy8tq6dWt5eXl8fPyff/5JPsXBweHh4TFr1qzly5efPHly8eLFurq65A74mZLn7+BgiPp6eLkZOXmEp+EQmH/umKWlpaWl5fnz5+fOnRsaGrpmzZru3zXire9+2Lt371ZUVJw5c2b+/Pm4Ba9q79R3Y8Y7T/VovRUAAIA+gkzNL2LhwoVHjhxpbW0lWurr6ysrK5lciSMmJoY/rHByckpISJiYmMyaNYvYMpOBurp6N0Pt3bt3+/btHfeV+HFbBuDV6dLS0uRGhgwC8/CH1L1793a6NIb8gXLNmjX379+/f/++tbU1Dw+Ptra2tbX1okWLiMXzvYAnRpELAZDhPE7HhVH4k+V3MxS9lpWVtWLFClyqkAHD+ricnJy2tjYVFZXv5kFwJrH7zbwOHz7s5OR0/vz5CxcuaGtrjx8/ftGiReQVWAzwF4CNjY0tLS3d/AlRVFQkKSlpbm7eza23b9++f/9+Xl5eY2PjsLCwGTNmdP90AACAgbW1dWxs7IIFC7rq0NzczDA3BC/yxRkTJyenkydPhoWFEfNDw8PDEUKTJ09GCNna2nJycp45c8bR0bGbKRj29va8vLzh4eF4zSlCKD4+vry8fNWqVR07S0pKmpqaXrt2bffu3fj7mOrq6tu3b48bNw7HeevWrTdv3nh7e383bTp16tQDBw7ExcWRVxi1t7d3/FZJUVGxtbW1rKyMYUHTxYsXiQ2hIiMjUdfl2zqaO3eun5/fzp0729raiE2j6HQ68UKxs7M7OjqeOnXqw4cPDJkaRUVFVVXVqKioPXv24FJ9iYmJ5DnLeN33s2fPcDk8Op1+8eLF3j13ckhTp05F/83V7f5dk5eX19LSunLlypYtW7rZORFPvSE+yBUXFz9//ryr/t+NGS/I6lhOCAAAwI8DmZpfxJIlS4KCgsiZGm5u7vT09PHjxzNzuYGBQXR0NJP3ImrQdBQeHr5hwwZpaeng4GBbW1spKSl2dvaWlhYqlcpQMO8n0dVU3hkzZnRao4e8bpyPjy8+Pv7x48cxMTEpKSkpKSmpqaknTpyIjo7uPgvQDZyjqaur66ZPr1ff9G7eclZWloWFBTs7+4kTJyZOnCgvL4+TIPb29nFxcWPGjGHojP6r/vjdYdH3MjUWFhaFhYX//PPP3bt3o6Oj9+3bd/To0Zs3b+LSRR3h2pY8PDzdz1QvLi7+9u3bypUrOz1bXl4+ceLEYcOG5efny8rKwlonAEDvWFlZEatlO3Xr1i0/Pz8bGxsVFZXm5ubk5OS4uDhPT088dcLe3t7JycnHx6e4uHjUqFEZGRnBwcHu7u54ya2MjMzatWv37t1rY2Mzfvz4xsbGhw8fXrt2jeHrGWFh4YCAgD/++GP69OkTJkwoLy8/cuSImppaVz8ADx48aG1tbWFhMW/ePBqNFhoa2traSmztjFNICxcu1NXVpVAo/Pz8tra2xNoisg0bNly5cmXKlCkLFixQU1Orrq5OSEhYvXo13kGSzNTU9OjRo8nJyW5ubuT2wsJCT09Pc3PzjIyMkJCQyZMnM/y66YasrKy9vf3ff/9tYWFBzMTJzMz8/fffHR0dlZWVP3/+fPz4cTk5uU6rpG3evNnLy8ve3t7d3b2qqurChQvkbI6uru7IkSMPHjzIxsYmIyPz77//MkzAYf656+npjR8/fvTo0d++fYuMjOTg4MCpme++a3v37nV0dDQwMJg1axaVSn327Jmnp6ejoyN5cBMTEy4uLn9//7q6upqamiNHjqirq3f1jc53Y05OTubi4sKTiwEAAAyQgd9uCvwgDMVNODg4tm/f/t2rutqlu1PELt1ddcCrb27evEluzM3NRQjx8fF17I+/G0xISPjurXFllk536cbFdM6cOUNufPHiBfrfXbpzcnIQQmPHjiV3w6uZyLt0//HHH+h/N0ZlUk1NjZeXF0Jo3LhxPb2W8M8//3Tzdhw5cgQh5OHhwdC+ZMkShFBAQADRgj+sNzQ0kLvh3St6uks3TvZFRkaSGysqKvAKrKqqKnL7b7/91vG96BT+PBodHc1kGPX19bjSDXlTWAbPnz9Hne25zjAOHx8fHx/fu3fvOp4NCQnx9vaWl5dnMioAAOiGiopKYWFhV2fz8/OnTp2qqKjIw8MjKio6duzYgwcPtra2Eh2+ffvm7++vpKTEyck5bNiwbdu2tbS0EGfb29uPHDkyYsQITk5OQUHBadOm4ddGupAAACAASURBVI2lGfacptPpYWFh2traXFxcEhIS8+fP//jxI3GqY+cnT57Y2try8vLy8/OPHz+e2BubTqcnJSXhtVcEdnb2sLAwfJa86zOdTi8vL/fy8pKUlGRnZxcXF3dycnr79m3HF+HTp09cXFyenp4MIWVnZ3t4eAgICIiIiCxatKi2tpbowHAjOp0uJiY2bdo0cktYWBhCKCgoiGipqKhwc3OTkJDAFYJdXFxyc3O7ehGCgoJUVVWpVKqhoWFWVpa+vj6xSzedTs/NzXVwcBASEhISEpo3b155eTkbGxuxSzfzz93Hx0dJSYmDg0NYWNjc3Jzhw1U37xqdTo+OjjYzM+Ph4aFSqcbGxjk5OfQOu3RfuXJFXV2di4tLTU3twoUL58+fJ38oYv79am5uFhUVtbe37/gUAAAA/DiQqfl1nDx5kqF8jJub23ev6t9MDV4w/+rVK3IjXiXeaaYGTx6+devWd2/dTaYGj+/l5UVuPHr0KEOmBn/rJSUl1d7eTjTip0/+2x5vjGptbf3dkDrC68aVlZV7cS329u3bbnINuMKuvLx8W1sb0Uij0fD6+Xv37hGNeIkQ+Y3AiapeZGrwNJ+Kigpy46JFixBCw4YNY+iMCyo/e/bsu8PiNWLl5eXMR3Lnzp3uMzWXL19GCDF8XmcQExMjLy/f6Xu0efNmCwsL8h8MAADQF/Pnz2cmcz0oXLt2De8n0NzcTKfT29raiouLNTU1x4wZ08eRPTw8eHl5a2pq8GHHvEkvbNy4kZOTs7Kyso+xYTo6OuRMzVBz4cIFhNCNGzdYHQgAAAwtP2pPXzDwli1bRuxSid28eXOAY8AJgnPnzhEtaWlpxMTpjvCa5+Tk5L7cdPbs2VxcXJGRkWlpabilrKysY5UZWVlZaWnpioqKiIgI3PLmzZuO3SZOnKirq5uYmIg3wCLaa2trQ0NDcfVi7PTp0/n5+eRr8WL17uv4dE9VVVVBQaGkpKTTKcp4S4vS0tJdu3YRjfv37y8oKFBWViav4cdlCI8cOUKn0xFC9fX1a9as6V1IuIJgQkICPqTRaAEBAaGhocRdCDQa7fnz51QqtdOFYwxwhWl6F2viCgoKzp0719zcTLRUVVUFBAQghHB2r1NPnjxBHWpLM3jw4IGgoGDHKdxv3rxJSkqys7Mj/+sFAIC+KCwsJH7jDHa3bt2SlpbGy20QQuzs7EpKSiIiIrjCbl9s2rSJRqPhL076RXNzc1hYmJOTE1TA7bv29vYDBw4YGBgwrK4CAADww7E6VQT609q1a8kVOoSEhDIyMrq/pH/n1KSmpuIA9PT05s6dO27cODY2tmXLlqEu5tQ8efKEQqFQKJSpU6f6+Pj4+vqeP3+eOJudnb31P1ZWVjhOooWYt0yn0/ft24cQ4uLimjRpkouLi6CgIF7JT55TQ6fTcYKDjY3NxMTE0tKSl5cXrxtimMPy9u1bvBpfXFzczs5u1qxZpqam+LPp9evXiW44JA0NDVdX1zlz5ujo6CCEeHl5U1NTmXkxu4IXEDGsNiI8fvwYl3LU1dWdPXs2zpXw8PAwrCBLSkrCBVY0NTUdHBwkJCSsra1xHq2nc2p27NiBEOLg4HB0dJw1a5aioqKUlBReu7Rnzx5yz5cvXyKEDAwMmBkWv3qjR49evXq1r6+vr69vfX09cfbMmTMIIUFBQQcHh3nz5k2cOBHPF5s9ezaNRutqTD09PQqF8vr1627uu379egsLi4MHD5IbCwoK3NzcAgMDmYkcAACYdPHixSlTprA6iv5x6tQphNCqVavu3r0bFxcXFhaG1y8Tq5/64uDBgyIiInhRal/m1Ny8efPs2bN2dnacnJzMzO5k0lCeUxMaGiooKPjy5UtWBwIAAEMOZGp+KfX19XirAoyLi2vv3r3dX9K/mRo6nf7s2TNHR0cpKSm8HdKxY8dwIdtOMzV0Ov3atWsmJibEVs0uLi7EKbzPRVfISRM6nX7hwoUxY8Zwc3NLSEgsXbqUWOtE7kOj0bZs2SInJ8fFxTVixIgTJ07gfRY6rjaqqanZsWOHnp4ePz8/Dw/P8OHDp0+f/vfff9fV1RF9YmJili5dOnr0aGFhYV5eXg0NjaVLlxYUFDDzSnb/AiKEHB0du+rw6tWrWbNmSUlJcXBwSEpKurq6Zmdnd+x2+fLlkSNH4t1V16xZU19fr6Gh0YtMDY1GO3jwoIaGBjc3t4KCwqpVqz59+oRrA5HXW9Hp9PPnzyOElixZwsywRUVFM2bMkJKSwltLiIqKks/m5OSsXbt2zJgxoqKiHBwc0tLSU6ZMYXjHGeA8kZ2dXff3dXNz09DQSE5OJlp27dplZ2d39epVZsIGAIAeYWdnJ69XHdSOHj2qra0tICCAfyxPnjy5+88DvdOXTI2joyMXF9eoUaMY6uX10VDO1AAAAGAVCv2n3JEH9NrChQv//vtvYhmUsbFxp5sr//Lq6+sFBASkpKTwHt6Di5WV1aNHj0pLS6WkpFgdy6Dh6+u7b9++qKioadOmddPN1NQ0LS2tsbER5zSPHz9+8+bN2NjYgQoTADC0eHh4TJw4cc6cOawOBAAAAACDCWRqfjUfPnwYPnw4UWAFf5vH2pBYYlBnah4/fmxqaurt7X3gwAFWxzI4VFdXKysra2lppaSkdN9TUlJSSkoK11dOSEiYMWNGZWXlgMQIABiKbt++/eeff373RxMAAAAAABlUFP7VyMjITJgwAdcoQQiJiIgMzTk1g5qJiYmbm9vJkycHY5qJJQ4cOFBXV4d3Me8GjUarrKysqqrCh05OTq9eveqXAEpKSjZu3GhgYCAuLk6lUhUVFS0sLPbs2VNSUtIv4//y1q1bJyIikpqayupAAOhnkydPrqysXLlyJasDAQAAAMBgApmaX1BoaChR9qWqqgoXZwWDy99///3u3TvYt4JJ69evr6ysHDt2bPfd3r17JyIiMmnSJISQtra2j49Pv6wvO3DggLq6+p49e3JycqSlpXV0dFpbW5OTkzdu3AjrqpiUlpZWU1Pz4sULVgcCQP/Ly8uLjo4uKChgdSAAAAAAGDRg9dOv6dixY5s3b66trUUIcXNzNzU1sToiAFgvMTFxzpw5W7ZsERYWvn79Ot5VvY/27t27YcMGHh6eP//8c9GiRUSStLCwMCoqasWKFXjXMNC93NzcpKQkLy8veLnAL+n06dPXrl27c+cOqwMBAAAAwOAAmZpf1pgxY7KyshBCQkJCYWFhU6dOZXVEALBYWFjY2rVro6KibG1tPTw8Tp8+3ccB8/PztbS0aDRaTEyMvb19vwQJAPj1lJeXy8vLGxkZmZubszoWAPqNoKDg5s2bWR0FAAD8mjhYHQD4UWJjY+Xl5Zubm79+/Xr8+HHI1ABQUlJSVVU1duxYGo3W9zQNQujYsWNtbW2enp7MpGnc3d2vX79eU1Nz/PjxM2fOFBUVCQkJOTg47Nu3T1ZWliHOHTt2xMTEfPr0SUpKavLkyVu2bCH3CQoKWrZs2YMHDyorK/ft25ednc3BwWFoaLhz504TExPyUPX19fv37798+XJRUREfH5+5ubm/vz95mVheXp6mpubOnTtnz57t4+MTHx9Po9F0dHS2bt3q4OAQGxsbEBCQkZFBp9ONjIz27NnDsMSsv0J1d3e/dOlSbGysnZ0d0ZiQkLB169aMjAwuLi5jY+OtW7devnz58OHD79+/l5eXRwj5+/sHBAQEBgb+9ttvxFWJiYk2NjYuLi5Xr14lGuvq6gICAi5dulReXi4tLe3i4rJ582YREZHvvmsA9AtZWdn6+noHB4fPnz9raWmxOhwA+gcfHx+rQwAAgF8WZGp+WWJiYk+ePLG0tKytrX358uWnT58kJSVZHRQArJSVlSUtLW1ra3v+/Pl+GRCvZVi4cCGT/VtaWhwcHN69e+fp6SkoKHjnzp0LFy6kp6fn5OTgXcMRQi9fvrSysqqsrDQ2Nra0tCwsLAwODr5x48bDhw+HDx9OHs3Pzy8rK2vBggVubm7Pnj0LCwtLSUnJzs5WV1fHHRoaGqysrDIzM4cPH+7s7FxVVRUdHX337t1Lly45OzuTh3rw4EFwcLCdnd327dufP39+7ty5yZMnb968OSAgYN68eU5OThkZGefPn7e1tc3NzZWTk+v3UDu6e/fulClT6HS6lZWVlJRUamqqpaWluLg4ky81WWNjo7W1dWZmpra2toGBwfPnzw8fPhwTE/PkyRMBAYFeDAhAL/Dy8iYnJ9fW1goKCrI6FgAAAAD89Ojgl3bp0iVhYWGEkLGxMatjAYDFRo0aRaVSDx482C+j1dTUIITY2NgaGxuZ6e/m5oYQkpaW/vz5M25pb2/HU1T+/fdfohtuCQsLI1pwUXALCwuiJTAwEP8AT0pKIhp9fHwQQt7e3kTLunXrEEKLFy+m0Wi45dmzZwICAkJCQlVVVbglNzcXD3XkyBHiwlWrVuHGM2fOEI3z589HCO3Zs+dHhIpfnNjYWHxIo9GUlZURQhcvXsQtLS0tLi4ueKj379/jRj8/P4RQYGAg+XVOSEhACLm4uBAtmzZtQght27YNH7a1tbm7uyOENm3aRAcAAAAAAODnA3s//eJmzpx569YtaWnpFy9eEH99ATA0FRQUjB07du3atf0y2pcvXxBCgoKCPDw8ROOrV6+sSVxdXRmu8vLyIiaGUCgUR0dHhNDz589xS2ZmZlpa2tixY+fOnUtcMn/+fAMDg4cPHxLdMGNjYwsLC+JwypQp5KFoNFpISAgnJ+e+ffvY2P7/j3odHZ2lS5d+/fo1PDycPBSVSl2yZAlxiLfHEhYW9vT0ZGgktmfqx1A7SklJKS4u1tfXxxkchBAnJ+fu3bu76t8NOp0eEhIiKirq7++PW9jZ2XGqiLw8CgAAAAAAgJ8HrH769ZmZmT148MDPzy84OPjq1aseHh6sjggAFsjJyWlubg4ICOivAel0OkKIQqGQG2trax88eEAcEguFCLq6uuRDCQkJhFBdXR0+fPToEULI0tKS4SobG5v09PQHDx5oa2szOVRubm5NTY2+vj6eVUce6sCBAw8ePPj999+JRlVVVXK+CeeSlJWViRQP0VhfX9/voXaUmZnZcXA1NTUhIaGvX792dVWn8vPzP3/+LCUltWLFCqIRb4dXWFjYo6EAAAAAAAAYGJCpGRLU1dWvXLnS2Njo7e0tJSXF6nAAYIHW1taYmJjy8vL+GlBUVBQh9PXr15aWFi4uLtyIlxkihGpqajotWMvQiBM99P/24Pv48SNCSFpamuEqGRkZhBBD8P04lJCQUFdPkMGPCLWjT58+EUORSUhI9DRTU1ZWhhCqqKgIDg5mONXW1tbW1sbBAb8HAQAAAADAzwU+oQ4hvLy8RM0IAIagM2fO+Pr64holfScqKiorK1teXp6dnc2wI1I3yLNUOuoqhdHp/J0fPRRDnx8Xave3IOPm5v7uVc3NzR3jGT9+fHR0dC9iAAAAAAAAYOBBnRoAwFChoKBQVVVF3tG5j8aNG4cQ6q+dpNB/q6U+fPjA0I5bOs4xGZihBn58vDwKz6wh+/z5M/kQp3La29vJjQxX4UiKi4v7Eg8AAAAAAAADCTI1AIChQk1NTVFRseMqmF7DSZ+goKC0tLR+GdDKygohRK50g+EWc3Nz5ofS0NCQkpLKycmpqqrq41A/OtSOxowZg/4rhUPIz8+vqKggt+A9thlWWjG8F5qammJiYvn5+a9fv+5LSAAAAAAAAAwYyNQAAIaKMWPGlJSUhIeHW1pa9sskCzMzM09Pz5aWFnt7+1OnTjU2NhKnaDRaLwbU1NS0tbXNzMw8e/Ys0RgeHp6WlmZoaKinp8f8UBQKZeXKlW1tbT4+PkQwL168CA4O5ufn73tl8X4MtSMzMzNFRcWUlJSbN2/ilpaWFrwnNxkuWhwZGVlbW4tbsrKyyPEghNjY2JYvX44QWrhwYWVlJdGen5+fkpLSlyABAAAAAAD4QaBODQBgqBg9ejSNRrOzs2tsbFRXV29paen7mMHBwU1NTZcvX166dOnq1auVlZWFhISam5vfvHnTuwFDQkIsLCwWLFgQHBysqqpaVFT0+PFjcXFxhgQEM9avXx8fH3/27NmkpKSxY8fW1NQkJCS0tbWdP38eLy/qo34MlQE7O/tff/3l5OTk7Ow8btw4CQmJtLQ0YWFhS0vLpKQkopudnZ2mpmZubq6mpqapqWltbW1CQoKHhwdDAH5+fg8fPkxMTFRUVNTX1+fg4Hj37l1BQcHOnTvNzMz6GCoAAAAAAAD9DubUAACGCiUlJSqVmpGRsWTJkjNnzkyaNKnvY1Kp1EuXLt26dcvFxUVMTKygoCAzM7O8vFxLS2vZsmUhISE9HVBFRSUtLW3p0qWlpaVXrlx59+7dwoULMzIyRo4c2dOhuLi4oqOjAwICODk5//nnn6dPn9rZ2SUlJfVXTeV+DLUjR0fHmJgYExOTlJSUuLg4Gxub2NjYhoYGch8ODo7Y2NhZs2a1tLTcvHmzrKzs2LFjISEh5B3HEUJUKjUmJubQoUMaGhrp6el4Ks2SJUtmzpzZ9zgBAAAAAADod5Ru9kkFAIBfyZcvX+Tl5efMmXP69GmEkKGhoY6OTi+SKYBVdHV1s7Oz379/Ly8vz+pYAAAAAAAA+FFgTg0AYKgQFxen0+nZ2dn48OnTp5mZmY8fP2ZtVAAAAAAAAABABnVqAABDiKKiYklJCXGYkZHBxsZWV1fHx8fHwqgAAAAAAAAAgABzagAAQ8iwYcPY2NjevXtHtLx7905RUZGFIQEAAAAAAAAAGWRqAABDiJKSkoKCwtOnT4kWeXn5yMhIQUFBFkYFAAAAAAAAAATI1AAAhhB5eXkhIaHU1FRyo4ODw/nz59XU1FgVFWDSs2fP6HQ6lBMGAAAAAAC/NsjUAACGEBqNlp2dTZ5Tg9nZ2VVUVEydOvXLly8sCQwAAAAAAAAAMNilGwAwhLx//97U1PTz58/Nzc0Mp2pra+Xl5bm4uE6dOjV9+nSWhAcAAAAAAAAAMKcGADCEKCgofPjwgY2NLSoqiuGUoKBgbW3t6dOn//jjj69fv7IkPAAAAAAAAACAXboBAEOLioqKvr7+hw8fOj07bdq05uZmKSmpyZMn29raOjo6ws5QAAAAAAAAgIEEq58AAEOLvb29gYFBaWlpeHh4N928vLyuXbvGx8cnISExd+5cUVHR2NhYBQUFCoUyYKGCASYoKLh582ZWRwEAAAAAAIY6mFMDABhaVFRUhISELl682H23c+fOnTt3rri4+ODBg9euXWtpaamrq9PW1qZSqQMTJxh4fHx8rA4BAAAAAAAAyNQAAIYYFRWV2tralpaW8vJyWVnZ7jsrKysfP358YAIDAAAAAAAAAAQVhQEAQ42ysnJxcbG5uXlycjKrYwEAAAAAAAAARpCpAQAMLSoqKkVFRaampgkJCayOBQAAAAAAAAAYQUVhAMDQUlFRoaOjc+/evTFjxlRXVwsICLA6IgAAAAAAAAD4PzCnBgAwtEhJSdXW1qqpqU2ZMiU+Pp7V4QAAAAAAAADA/4BMDQBgyMGlasaNG3f//n1WxwIAAAAAAAAA/wMyNQCAIYeHh+fJkye2trYwpwYAAAAAAADws4FMDQBgyJGRkXn+/PnIkSOrqqo+fvzI6nAAAAAAAAAA4P9ApgYAMOQ4ODi0t7cjhGBaDQAAAAAAAOBnA5kaAMCQM3z48Ldv3yKEvnz5Eh4e3utxQkNDKf9hZ2cXExOztrY+deoUjUbrv2B/UuvWrRMREUlNTWV1IAAAAAAAAPxqIFMDABhyiEzNzJkzeXl5+ziaoqLi+PHjLSwsBAUFHzx4sHTp0smTJ7e2tvZHpD+Ftra2HTt2fPr0idyYlpZWU1Pz4sULVkUFAAAAAADArwoyNQCAIYfI1EyZMiU5ObmPo02ZMiU6OjoxMbGoqCghIUFERCQmJub06dP9EelPITo6euvWrQyZmsDAwKCgIA8PD1ZFBQAAAAAAwK8KMjUAgCGHjY1NSUmpqKhIQkJCUVExIyOjv0a2trbeunUrQuiff/7przFZi06nnz17tmO7pqbm0qVLqVTqwIcEAAAAAADArw0yNQCAoYiYVjNp0qQ7d+7048hGRkYIoZKSEnLj/fv3bW1tBQQE+Pn5ra2t7969Sz7r7u7Oz8+PEIqNjdXT0+Pg4PD09MSnsrKyXF1dFRQUeHh4VFVVXVxcbt26hU/l5eVRKJTNmzffunXLwsJCSEgID56UlMQQUl1d3YYNG1RUVKhUqpKS0tq1a6urqxn6FBUVLV68WElJiUqlysnJ2dvbJycnp6enjx49GmedRo8ejSvy4N2y3N3dKRRKXFwcQmj58uUUCiU0NJRhTD8/PwqFsn//fubDAAAAAAAAAECmBgAwFKmqqhYUFCCEJk2adOnSpX6sAczBwYEQ4ubmJloiIiLs7OzS09Otra1NTU1TU1MnT57MkNdoaGi4ffv25MmTq6qqDA0N9fT0EEKvXr2ysrKKiooaMWLElClThIWFo6Ki/v33X/KFgYGBzs7OKioqO3fuXLly5bNnz+zs7O7fv090aGxstLa23rt3r6Cg4NSpU7m5uQ8fPmxubl5XV0f0ycnJ0dfXDw0NbW9vNzc3FxERiY+Pp9FoHBwcHh4eSkpKCKE1a9bs3r179+7dAgICDE/Z1dUVIXT9+nWGdtwyY8YMJsMAAAAAAAAAIIQQHQAAhp4DBw54e3vjx4qKin/99VcvBgkJCUEIrVixgtx46NAhhNDcuXPx4ZcvXwQFBeXk5EpLS3FLVlYWJycnHx9fVVUVbnFzc0MIiYiIHDp0iDzU2rVrEUJnzpwhWt6/f0+Mk5ubi3+MHz16lOiQmppKoVBGjBhBtGzatAkhtG3bNnzY1tbm7u6OENq0aRPRR19fHyG0Y8eO9vZ23FJeXk6j0fBjMzMzhFBOTg45NhxzbGwsHlNSUpJKpdbW1hId8vLyEEL6+vrMhwEAAAAAAACg0+kwpwYAMBQRq58QQsHBwQwTVXotOTl5165dCKFFixbhlsjIyNraWh8fHzk5Odyiq6s7fvz4hoaG6Oho8rXa2tp//PEHuYWNjQ0hxMnJSbTIy8sT42A8PDxLliwhDg0NDc3MzPLy8rKyshBCdDo9JCREVFTU398fd2BnZ/fx8UEIXb16Fbekp6dnZGSMHDnS39+fQqHgRhkZGXx3ZrCzszs7O3/79o28jgxPqMHTbZgJAwAAAAAAAIBxsDoAAABgAXKmZsKECTNmzGhoaODj4+vFULdv3y4uLm5paSkqKsJjbt++3dLSEp/Fe0vFxcXhOSZYYWEhQggvvyLgpAaZk5PToUOHVqxY8fXr10WLFnVavnf48OHklVYIIT09veTk5IyMDD09vfz8/M+fP0tJSa1YsYLo0NTURMSAEHry5AlCyN7enkjT9IKrq2twcPD169fxXBv0v5kaZsIAAAAAAAAAYJCpAQAMRa2trcTqIYTQjBkzrl69StTx7ZHi4uLi4mIuLi4JCYkZM2asXLnSysqKOFtWVoYQun37dscLm5ubyYcqKioMHczMzCIjI5ctW7Zy5cpt27atWrVq9erVQkJC5D4dq8aIiYkhhL58+ULcvaKiIjg4mKFbW1tbW1sbBwdHRUUFQkhWVrYHz7kDa2trCQmJO3fufPv2jUqllpWVpaWl6enpDRs2jMkw+nJ3AAAAAAAAfiWw+gkAMBSNGjWKnZ2dTqfjQzs7O1x0phdwnZpv376VlpZeuXKFnKZBCOFbPH78uOPqU7xOisDFxdVx8JkzZxYXFx88eJCbm3vr1q2jR49+8eIFuUNbWxvDJeQWfPfx48d3uvwV50dwn75MqEEIsbOzOzk51dXVxcfHI4Ru3LhBp9OJWULMhAEAAAAAAADAIFMDABiKODk5FRQUioqK8KGHh0daWhqeXdK/ZGRkEELFxcW9HkFAQGDt2rVv37719vZ+//794sWLyWc/ffrE0B9PYJGQkGDy7pKSkgihDx8+9DpCDOdl8CbiuGANkanp+4sAAAAAAADA0AGZGgDAEDVixAhy7ZhVq1adP3++3+9iYWGBEOp7xWIqlXrgwAFpaem0tDTyrJmSkhJykoVOpyclJSGE8HZOmpqaYmJi+fn5r1+/7mpkY2NjhBB5Y28GeM5La2tr9xHa2NiIiYnFxMR8+/YtISFBR0dn+PDh+BQzYQAAAAAAAAAwyNQAAIYohkyNl5fXuXPn+v0uHh4eQkJCFy9ejIyMJBq/ffsWERHx3Wvv379PrmVTXFxcVVUlKipKXi5Ep9PXr19Po9HwYXBwcEFBgaampq6uLkKIjY1t+fLlCKGFCxdWVlYSV+Xn56ekpODHhoaGurq62dnZe/fuJZaDVVZWlpeX48fS0tIIoefPn3cfLQcHh5OTU2Fh4aVLlxobG8kFkpkJAwAAAAAAAIBBpgYAMEQxZGq0tLS4uLiePn3av3cRFRUNCwvj5OScPXu2urq6vb29kZGRmJjYvHnzvnvt+vXrJSQk7O3tPT09p06dqqWl1dLSsn79enKf8ePHP3/+XENDY9asWVZWVsuWLePk5Dxx4gTRwc/Pz9raOjk5WVFR0dLScty4ccOHDx8xYkRCQgLR5+zZs8LCwhs2bFBRURk3btyoUaNkZGTw3ByE0LRp0xBCq1atmjNnjrOzczfzYnB2JiAgAHXYyoqZMAAAAAAAAAAIMjUAgCGLIVODEBo9evSCBQv6/UZTp059+vSpm5tbbW1tQkLCmzdvDAwMyMmUrmzatMnQ0DAjIyMiIiItLU1fX//8+fM+Pj7kPi0tLf+vvXsPirr6/zh+Pt9YQFYuS2IoYKUNSuAtEZCLpEgoppaCF0TK1anUcVwJJ0qxGWhMq2EcY6YsSVNDEC/pmIAKBGpoIBczwwsiYoaagkiIC8rvj21oXS4G8mN32ufjD2f2VY2PgwAADh1JREFUfM75nPfuHzrz8lyysrL8/PyOHDlSUFDg7++fmZk5fvz4lg5mZmYZGRnx8fGDBw8uKCjQrGF56623Zs6c2dJnxIgRBQUFERERjY2Nubm5165dmzRpkmb/lBBizpw5q1atsra2Tk1NPXv2rEwma6/ggIAAW1vb8+fPDx061NnZWfvRvykDAAAAgBBCalnrDgBG5ebNm66urjon8ioUivLychsbG31V9e+Vlpa6uLj4+PgcO3ZM37UAAAAA6DasqQFgpOzs7B48eHD79m3txsjIyPj4eH2VBAAAAAAkNQCMV+sNUEuXLl23bp2+6gEAAAAAkhoAxksmk6Wnp2u32NjYTJs2beHChfoqCQAAAICRI6kBYLyGDx9+8eJFncadO3empKTU1dXppSQAAAAARo6kBoDxmjRpUnV1det2lUqlc8m0ARoyZEhzczPHCQMAAAD/MSQ1AIyXm5vbmTNnWrfHxcVVVlbu2LGj50sCAAAAYOS4pRuAUbOxsamoqLC2ttZpb2pqkslk/A0JAAAAoIexpgaAUWtvWY2Jicnu3bstLS17viQAAAAAxoykBoBRay+pEUJMnz49LS3Nycmph0sCAAAAYMxM9F0AAOjT0KFDf/nll/aejhw50sTEZNiwYQEBAWVlZc7OzpIk9WR5RsjKyiomJkbfVQAAAAB6Q1IDwKi5ubmlpqa291Qul5eXl0dGRpaVlVVUVHh6epqZmfVkeUZILpfruwQAAABAnzhRGIBRu3Xr1qBBg27evCmTyTroVl9fb2Fh0WNVAQAAADBanFMDwKg9/fTTvXr12rNnT8fdiGkAAAAA9AySGgDGbuHChRcuXNB3FQAAAAAgBEkNAIwaNerUqVP6rgIAAAAAhCCpAQCSGgAAAACGg6QGgLFzcnK6d+/en3/+qe9CAAAAAICkBgBYVgMAAADAYJDUAABJDQAAAABDQVIDAGLEiBH5+fn6rgIAAAAASGoAQIgBAwb88MMPnR21adMmSZJkMtlvv/3W+ml4eLgkScXFxd1RoBBCVFRU2Nvbz5w5s7te2IG9e/daWlpu2LChB+YCAAAAoI2kBgCEp6envb19ZWVlF8Y2NTUtX76820tqrby8/Pr163l5ed3+5sTExJ9//lm7paSkpK6ujnVGAAAAQM8jqQEAIYTw9vb+6aefujBQLpdnZGR0YUlOZ/n7+2/evHnPnj3d+9rbt28vXrxYJ6lZtmxZQkLC2rVru3cuAAAAAI9FUgMAQjxBUhMZGan5s7GxsbuLeoQkSW+++ebo0aO797Xbtm1Tq9U6jQqFYsmSJQ4ODt07FwAAAIDHIqkBACGeIKkZNmxYSEjI+fPnP//88457njt3btmyZc7OzmZmZhYWFiNHjvziiy80j6qqqp566iknJ6fm5mbtIXV1debm5gqFQq1Wl5aWSpLk6+ur3eHQoUPjx4+3srKSHhUVFaXpkJ2dPXv2bAcHB5lMZmNjExAQkJWVpXlUXV09ceJElUolhFi6dKlmYHJyshDiyy+/lCRp1apV2nNVVFQsWLDA0dHR1NTUycnpnXfeuXbtmnYHzajc3Ny9e/eOGTPGwsLCyspqwoQJ/x87tgAAAID/KhN9FwAABsHd3b2kpKSxsVEmk3Vq4F9//fXpp58eOHAgNjZ23rx5dnZ27fVUqVTp6enDhg2bPn16dXX1kSNHFi9e3NTUtHTpUnt7e19f39zc3IKCAu1VMwcPHrx///7s2bNNTU1bvzAtLW3y5Ml2dnYqlUoulyclJZ0+fdrFxWXixIlTpkwRQtTX14eGhtbW1np5efn7+5eVlWVlZR09evTEiRMvvfRSc3Pzyy+/rFars7Ozp06dOmbMGCHE8OHD2yz+119/9ff3v3XrlpeX19ixYy9durRx48Z9+/YdPXr0hRde0O65cuXKoqIipVI5a9as4uLirVu3Hj9+vKSkxNnZuVM/LAAAAGCcWFMDAH/r2rKahoaG5557bvny5Xfu3ImJiemg52effVZUVFRSUrJjx4709PTdu3cLIRISEjRPQ0NDhRB79+7VHqL5GBIS0uYL16xZ09zcvH///tjY2Pfeey83N1ehUNy7dy8+Pt7f318IYWFhkZqaWlFRkZubm5SUdPLkSZVK1djYuHHjRiGEra1tdHS0t7e3ECIwMDA6Ojo6OtrFxaXNuebPn3/r1q2tW7fm5eUlJSWdOHHim2++qaqqUiqVOj2PHTuWlpa2YcMGlUq1ZcuWd999t6Gh4auvvnr8TwkAAACApAYAWly5cmXnzp2dHdXU1CSE+OCDD/r167dp06aSkpL2erq6uo4YMaLl47Rp03r37n3x4sWHDx8KIaZPny5JknZSo1arDx48aG1t/corr7T5wrNnz5qamnp4eGg+Wltbu7m5Xb58WXtT0rhx4/r169fyMTw8XAhx7ty5Tn3HwsLC/Pz80aNHz5s3r6Vx/vz57u7uR48ePX36tHZnLy8vPz+/lo+a1T06fQAAAAC0h6QGAP4WFhZma2vb2VGak2V69+69Zs2aBw8eaI596Zharb5z505NTY2dnd3Dhw81B/r279/fx8entLS0tLRU0y0zM7O2tnbq1Kltbn0SQvTt21etVtfU1LS0XL9+XQhhbm7eunN9fX1NTU2vXr2EEA0NDZ36jpqlRmPHjtVpHzdunBAiJydHu1E7jRJCaLaD3b17t1MzAgAAAEaLpAYA/hYUFKQTOnTKG2+8MWrUqB9//FFzkbYkSTodSktLw8LCnnnmGTMzMxsbG4VCUV5ert1BZwNUx1ufhBALFy4UQiiVyjNnzpSXl69evfr8+fNBQUHaeVNaWlpgYKClpaVcLlcoFK6url34alVVVUIIe3t7nXbNah2dc4UVCoX2R83voHNSMgAAAID2kNQAwN/8/PxOnjzZ+srqf0mSpPXr1wshoqKi7t+/r7OwpbS01MPDIyUlZcqUKSkpKZmZmdnZ2X369NHuM2PGjJYNUJoDaKysrIKCgtqbMTIy8v333//++++HDh06cODAuLi4oKCgrVu3tnT47rvvgoODCwoKVCrVvn37srOzt2/f3rWvJtpKWzQtOpnU//7HvywAAABA13H3EwD8Y8KECUeOHAkODu7acF9f31mzZqWkpMTHx8vlcu1H69evv3v3bnR09Mcff9zSqDnjpoWDg8OYMWPy8vKqqqquXr16/fr1uXPnmpmZtTedJEk3btzo27dvYmKilZXVwIEDHR0dtTvExcUJIZKTk1vinuLi4i58LwcHByHEH3/8odOuadE+BwcAAADAE+J/PgHgH4GBgYcPH36SN3zyySfm5uZr1qypra3Vbr906ZJ49KiXy5cvax8xoxEaGtrc3Hz48OH09HTR4dYnIcSpU6cSExOjoqJeffXVsWPH6sQ0bU7aOqkxMTERQjQ2NnYwkeYmqdZbwzQtvr6+HYwFAAAA0CkkNQDwD0dHx8TExCd5w4ABA6Kiourq6nT2GfXt21cIUVRUpPnY0NDQ5tnDmg1QWVlZmZmZvXv3njhxYgdzVVZWilYLczqY9Nq1a7GxsTp9NKfPdHw3k4uLS0BAQGFh4ebNm1sat23blp+f7+HhMXLkyA7GAgAAAOgUkhoA+EdISIi1tfXly5ef5CXR0dH9+/fXWaWyaNEiSZJWr1792muvhYeHDx48+OLFiwEBATpjnZycPD09MzMzT548OWXKlDZvcWrh7e1taWkZExMzatQoX19fPz+/4ODg2NjY6upqTYclS5YIIYKDgyMiImbMmOHi4uLj46Nzv9WkSZNkMtm33347bdq0OXPmtHdP+ddff+3g4KBUKr28vObOnevt7R0REdGnTx/t7AYAAADAkyOpAYBHzJw5U3N5U5fJ5fK1a9fqNPr4+OzatcvV1TUjI+Pw4cMBAQE5OTmBgYGth4eGhlZWVt67d6/jrU9CiAsXLri6uj548KCwsPD48ePHjh1LS0v78MMPvb2979+/L4RYsWJFbGysQqHYuXNncXHxihUrtmzZ4uHhof2SZ599NikpaciQIenp6Tk5OTKZrM25nn/++fz8/Lfffvvq1aupqalXrlxZsGDBqVOnXnzxxU78NAAAAAAeR+LmVADQduLEieXLl+fl5em7kMdITk4OCwtTKpWxsbH9+/cXQjQ2NlZWViqVypycnEOHDrUZAwEAAAAwcKypAYBHeHl5VVZW/v777/ou5DESEhKEEPHx8ZqYRgghk8kGDhw4YcIEIcTNmzf1WRwAAACAriKpAQBdtra2UVFR+q7iMdRqdXNzs06idOPGjaSkJEmS3N3d9VUYAAAAgCdhou8CAMDgbNu2LSIiQt9VPMaiRYvy8/O9vb1DQkIcHR1ra2vLy8szMjLq6+tXrlzp7Oys7wIBAAAAdAXn1ABAG3x9fdetW+fj46PvQjqyf//+hISEwsLCmpoaU1NTR0dHT09PpVI5btw4fZcGAAAAoItIagCgDcnJydu3bz9w4IC+CwEAAABgXEhqAKBtgwYN8vPz27Jli74LAQAAAGBEOFEYANpWVlZ2+vTpoqIifRcCAAAAwIiQ1ABAu+Li4mbPnq3vKgAAAAAYEZIaAGjX5MmTX3/9dW68BgAAANBjOKcGAB4jPDz84MGD7u7uw4cP13ct/x1WVlYxMTH6rgIAAAAwOCb6LgAADN327dt37dr10UcfWVtbm5ub67uc/wi5XK7vEgAAAABDxJoaAAAAAAAAQ8E5NQAAAAAAAIaCpAYAAAAAAMBQkNQAAAAAAAAYCpIaAAAAAAAAQ0FSAwAAAAAAYChIagAAAAAAAAwFSQ0AAAAAAIChIKkBAAAAAAAwFP8HRQBm8eHW2kgAAAB/aVRYdENvcHlyaWdodAAAAAAASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXMsIGxhdW5jaGVkIGluIDIwMTggYXQgdGhlIFVuaXZlcnNpdHkgb2YgR2VuZXZhIGJ5IFJhcGhhw6tsIFNhbmRvei657wKLAAAANXRFWHRUaXRsZQBJbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lc+uYdMcAAACIelRYdFJhdyBwcm9maWxlIHR5cGUgaXB0YwAAeJw9TTkOwzAM2/WKPEHWQcdzp24d8gPHBgoUaNH/D6UzlIJICaAouT+O2/b5vufzNWS7ABPfw6LFqcH6w0vpaqWpWoajo1SvjgawnVtQJ4YpGaY1BDuSg2PZEoOa1a2bMmDi5Emu0Ct/Md/JD750IKle1+UQAAACnmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSfvu78nIGlkPSdXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQnPz4KPHg6eG1wbWV0YSB4bWxuczp4PSdhZG9iZTpuczptZXRhLycgeDp4bXB0az0nSW1hZ2U6OkV4aWZUb29sIDkuNDYnPgo8cmRmOlJERiB4bWxuczpyZGY9J2h0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMnPgoKIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PScnCiAgeG1sbnM6ZGM9J2h0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvJz4KICA8ZGM6Y3JlYXRvcj4KICAgPHJkZjpTZXE+CiAgICA8cmRmOmxpPlJhcGhhw6tsIFNhbmRvejwvcmRmOmxpPgogICA8L3JkZjpTZXE+CiAgPC9kYzpjcmVhdG9yPgogIDxkYzp0aXRsZT4KICAgPHJkZjpBbHQ+CiAgICA8cmRmOmxpIHhtbDpsYW5nPSd4LWRlZmF1bHQnPkludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzPC9yZGY6bGk+CiAgIDwvcmRmOkFsdD4KICA8L2RjOnRpdGxlPgogIDxkYzp0eXBlPgogICA8cmRmOkJhZz4KICAgIDxyZGY6bGk+SW1hZ2U8L3JkZjpsaT4KICAgPC9yZGY6QmFnPgogIDwvZGM6dHlwZT4KIDwvcmRmOkRlc2NyaXB0aW9uPgo8L3JkZjpSREY+CjwveDp4bXBtZXRhPgo8P3hwYWNrZXQgZW5kPSdyJz8+mUIvmAAAAABJRU5ErkJggg==
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
"Qui traite des coquillages" (Lancelin 1803, p. 133).
"So long as we have no perceptual experience of the genesis of the living from the lifeless we obtain a clear partition of //Concrete Science// by dividing it into branches dealing respectively with //Inorganic// and //Organic// Phenomena.The
sciences which deal with inorganic phenomena are termed, as a whole, the //Physical Sciences//." Pearson (1892), chap. 10, p. 459.
"That which treats of the phenomena themselves" (Spencer 1864, p. 6).
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
"Le bon sens veut qu'on distingue les connaissances qui n'ont été réunies en corps de doctrine que dans un but technique ou pratique, d'avec celles qui intéressent surtout la spéculation, et que nous cultivons pour elles-mêmes, pour la satisfaction de notre raison et de l'instinct de curiosité qui fait partie intégrante de notre nature", Cournot, Antoine-Augustin (1851), //Essai sur les fondements de nos connaissances et sur les caractères de la critique philosophique//, Tome 2, chap. XXII, §. 342, p. 265.
"On est naturellement amené à ordonner les connaissances techniques dans une série particulière, parallèle à la série ou aux séries où viendront se ranger les sciences spéculatives, qui nous intéressent surtout par la notion qu'elles nous donnent des lois de la nature." Cournot (1851), Tome 2, chap. XXII, p. 266.
"Cette analyse nous conduit à disposer la table des connaissances humaines en trois séries parallèles (voir le tableau ci-joint): la série théorique, la série cosmologique et historique, et la série technique ou pratique. Et en même temps le mode de subordination et d'enchaînement des faits, des lois et des phénomènes, en allant des plus simples aux plus complexes, nous donne lieu d'établir une suite d'étages ou de groupes: la combinaison des divisions par étages et des divisions par séries constituant une table à double entrée." Cournot (1851), Tome 2, chap. XXII, §. 344, p. 268.
Main source: Jean-Baptiste-Julien Omalius d'Halloy (1834), "De la classification des connaissances humaines", //Nouveaux mémoires de l’Académie Royale des Sciences et Belles-Lettres de Bruxelles// 9, pp. 1–15.
"Il me paraît [...] rationnel de diviser simplement ces connaissances d'après le but vers lequel elles tendent, puisque, dans ce cas, on les considère sous le point de vue qui leur a donné naissance; car, si nous imaginons une science, un art ou un jeu, c'est dans le but de nous procurer un avantage ou un plaisir quelconque. Aussi me semble-t-il que les groupes que l'on obtient en divisant les connaissances humaines d'après cette considération, sont beaucoup plus naturels que ceux que l'on obtient lorsque l'on veut partir, soit des facultés que nous mettons en usage, soit de la nature même des connaissances." Omalius d'Halloy (1834), p. 2.
"Toutes les connaissances humaines peuvent se rapporter à cinq buts principaux, savoir: 1) Calculer le nombre, les dimension, la force ou la valeur des choses: ce sont les //sciences mathématiques//; 2) Connaître les phénomènes et les corps de la nature; ce sont les //sciences naturelles//; 3) Appliquer la connaissance de la nature et du calcul à l'avantage ou au plaisir de l'Homme: ce sont les //arts//; 4) Connaître l'état social, tant actuel que passé, de l'Homme et les règles propres à maintenir ou à améliorer cet état: ce sont les //sciences morales et politiques//; 5) Développer et employer la faculté d'exprimer nos idées de manière à augmenter les avantages ou le plaisirque nous pouvons en retirer: c'est la //littérature//." Omalius d'Halloy (1834), p. 3.
"Quelques nombreuses que soient les branches des connaissances humaines, quelque variés que paraissent être les moyens que nous avons pour parvenir à la vérité, on doit les réduire à trois grandes classes ; le raisonnement, le témoignage des autres hommes, et l'expérience acquise par nos propres sensations ; d'où résulte la division la plus naturelle des connaissances humaines en sciences //rationnelles//, //testimoniales// et //expérimentales//. Chacune de ces trois classes a une manière d'opérer et de raisonner qui lui est particulière." Candolle (1813), p. 1.
"Mon opposition, à représenter les connaissances humaines, soit sous la forme d'un //arbre pourvu de ses rameaux//, soit à les comprendre dans //une classification analogue à celle d'Ampère// [...] a eu pour conséquence de me faire intituler cet opuscule: //Distribution// (et non classification) //des connaissances humaines du ressort de la philosophie naturelle// ; parce qu'en effet, me conformant absolument à la //méthode// A POSTERIORI //expérimentale//, je reconnais en fait, comme l'expression de l'esprit humain, la circonscription des sciences telle que l'ensemble des esprits l'admet. La recherche des relations mutuelles de ces connaissances m'a conduit à en distinguer quatre catégories:
1ère catégorie: les// sciences naturelles pures//, la physique comprise ; 2ème catégorie: les //sciences mathématiques pures// ; 3ème catégorie: les //sciences mathématiques appliquées// ; 4ème catégorie: les //sciences naturelles appliquées//." Chevreul (1866, p. 532).
"II est très-difficile d'établir une division méthodique, à-la-fois précise, exacte et complète, de toutes les sciences; car, elles rentrent les unes dans les autres; elles se touchent par tous les points; elles s'entrecroisent dans toutes les directions; elles sont les branches d'un même arbre, les avenues variées et multipliées à l'infini d'un inextricable labyrinthe, les membranes et les filamens d'un meme corps; enfin, les parties subdivisées, détachées, séparées, et en même temps combinées, coordonnées et identiques d'un seul tout." Jullien (1819), p. 32.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Gessner, Conrad (1548), //Pandectarum sive partitionum universalium libri XXI//, Zürich: C. Froschauer.
* Gessner, Conrad (1549), //Partitiones theologicae, Pandectarum universalium//, Zürich: C. Froschauer.
* Gessner, Conrad (1574), //Bibliotheca Universalis//, Zürich: C. Froschauer.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Albert, J.F.M. (1847), //Recherches sur les principes fondamentaux de la classification bibliographique//, Paris: Albert, p. 12 ff.
* Edwards, E. (1858), //Notes on the classification of human knowledge//, Liverpool: Brakell, p. 6 ff.
* Mandosio, J.-M. (1997), "Les sources antiques de la classification des sciences et des arts à la Renaissance", in D. Jacquart (ed), //Les voies de la science grecque//, Genève: Librairie Droz, pp. 331–390.
"In the core, 3 groups of sciences: the abstract, the 'natural', the human. They are one in some respect, three only by virtue of historic but not a necessary differentiation. [...] Further division into groups of two produces the usual sequence of the sciences, embodying of the advantages of Comte's proposals." Malisoff (1937), pp. 263–264.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
*
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
*
"Come we now to //Cosmetick medicaments//, or the //Art of Decoration//; which hath indeed, parts Civil, and parts effeminate. For cleanness and the civil beauty of the Body was ever esteemed to proceed from a modesty of behaviour, and a due reverence in the first place towards God." Bacon (1623), Book IV, p. 130.
"Cosmographie, c'est-à-dire la description du monde", Christofle de Savigny (1587), plate B.
"Which, wholy and perfectly maketh description of the Heauenlym and also Elementall part of the World: and of these partes, maketh homologall application, and mutuall collation necessary." John Dee (1570), //The Mathematicall Preface//.
"Description du monde, ou science qui enseigne la construction, la figure, la disposition et le rapport de toutes les parties qui composent l'univers." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La Cosmographia, e, parte sotto lá historica per la intelligentia de lá natura di lochi é, de lé cose gesti in quelle, parte sotto geometrica & astrologia per el uero sito de li lochi particulari che in cosmographia sé disegnano." Collenuccio (1535), p. 17.
"Consequences from motion, and quantity of the great parts of the world, as the earth and stars", //Leviathan// (1651), chap. 9, p. 52.
"La //cosmologie// se prête à de nombreuses divisions: elle est l'ensemble des sciences relatives à une foule d'êtres qu'on peut bien ramener à un seul et même genre, mais à la condition d'y reconnaître un plus ou moins grand nombre d'espèces et même de variétés." Charma (1859), p. 9.
"La cosmologie est la science du monde ou de l'univers considéré en général en tant qu'il est un être composé, et pourtant simple par l'union, et l'harmonie de ses parties; un tout qui est gouverné par une intelligence suprême." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Cosmologie. Ce mot signifie à la lettre Science qui discourt sur le monde; c'est à dire qui raisonne sur cet univers que nous habitons et tel qu'il existe actuellement." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Cosmology is the science of the universe, or of the connected totality of material objects." Hooper (1906), p. 132.
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
\define descr() $(dtitle)$<br><i class="far fa-calendar-alt"></i>
\define new_name() $(lname)$.png
<table class="centertable borderless">
<tr><td> 1) Enter new datasheet's data:</td><td></td><td>2) Create a new view named after 'Map title':</td></tr>
<tr><td><table class="centertable borderless"><tr><td></td><td class="center"><$link to="$:/temp/newdatasheet"><i class="fas fa-edit"></i> Template</$link></td></tr><tr><td>Map title:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_title/><$list filter="[title{$:/temp/newdatasheet!!new_title}has[map_id]limit[1]]"><$list filter="[title[$:/temp/newdatasheet]justcreated[no]]"> <i class="fas fa-exclamation-triangle" style="color: #cc0000" title="A datasheet with this name already exists!"></i></$list></$list></td></tr><tr><td>First name:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_firstname/></td></tr><tr><td>Last name:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_lastname/><$list filter="[last_name{$:/temp/newdatasheet!!new_lastname}!last_name[]limit[1]]"><$list filter="[title[$:/temp/newdatasheet]justcreated[no]]"> <i class="fas fa-exclamation-circle" style="color: #c17d11" title="This last name duplicates another scholar! Please create a 'desambiguate' field containing '_firstname' in the new datasheet."></i></$list></$list><$list filter="[regexp:new_lastname[.*\(.*]]"> <i class="fas fa-exclamation-circle" style="color: #c17d11" title="Parenthesis detected: nobiliary particles belong to the end of the first name!"></i></$list></td></tr><tr><td>Aka:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_aka/>  </td></tr><tr><td>Dates:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_dates/></td></tr><tr><td>Country:</td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_country/></td></tr><tr><td>Map ID: <$button class="clickbutton tc-btn-invisible" tooltip="Open fields editor"><$action-navigate $to="Fields editor"/> <i class="fa fa-bars"></i> </$button></td><td><$edit-text class="rcfield" tiddler="$:/temp/newdatasheet" field=new_id/><$list filter="[map_id{$:/temp/newdatasheet!!new_id}!map_id[]limit[1]]"><$list filter="[title[$:/temp/newdatasheet]justcreated[no]]"> <i class="fas fa-exclamation-triangle" style="color: #cc0000" title="A datasheet with this ID already exists!"></i></$list></$list></td></tr><tr><td></td><td><$list filter="[title{$:/temp/newdatasheet!!new_title}has[map_id]]"><$link to={{$:/temp/newdatasheet!!new_title}}><i class="far fa-address-card"></i> {{$:/temp/newdatasheet!!new_title}}</$link></$list></td></tr></table></td><td></td><td><div style="overflow: auto; width:100%; height:230px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;">{{$:/tiddlymap_toolbar}}</div></td></tr>
<tr><td align="center"> <$list filter="[title[$:/temp/newdatasheet]!new_title[]]"><$list filter="[title[$:/temp/newdatasheet]!new_id[]]"><$list filter="[title{$:/temp/newdatasheet!!new_title}!has[map_id]]">
<$button class="clickbutton tc-btn-invisible buttonfield"><$set name=dtitle value={{$:/temp/newdatasheet!!new_title}}><$action-setfield $tiddler={{$:/temp/newdatasheet!!new_title}} first_name={{$:/temp/newdatasheet!!new_firstname}} last_name={{$:/temp/newdatasheet!!new_lastname}} dates={{$:/temp/newdatasheet!!new_dates}} country={{$:/temp/newdatasheet!!new_country}} aka={{$:/temp/newdatasheet!!new_aka}} map_id={{$:/temp/newdatasheet!!new_id}} description=<<descr>> text={{$:/temp/newdatasheet!!text}}/></$set><$action-setfield $tiddler="$:/temp/newdatasheet" $field="justcreated" $value="yes"/><$action-sendmessage $message="tm-notify" $param="$:/cdatasheet_msg"/> <i class="fa fa-plus-square"></i> Create the datasheet </$button></$list></$list></$list>
<$list filter="[title[$:/temp/newdatasheet]new_title[]][title[$:/temp/newdatasheet]new_id[]]"><$button class="tc-btn-invisible buttonfield nodragdrop lightgreyfont"> <i class="fa fa-plus-square"></i> Create the datasheet </$button></$list> </td><td></td><td align="center"> <$list filter="[title{$:/temp/newdatasheet!!new_title}has[map_id]]"><$button class="clickbutton tc-btn-invisible buttonfield"><$list filter="[title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]"><!-- ⓘ The variable 'bckg_img_name' is returned from variables 'bckg_img' and 'prefix_map' via macro '$:/substring_backimg'--><$set name=lname value={{!!last_name}}><$list filter="[regexp:title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]+[has[isview]]"><$set name=bckg_img value=<<new_name>>><$set name=prefix_map value={{$:/prefix_maps}}><$action-setfield $tiddler=<<current>> $field="config.background_image" $value=<<bckg_img_name>>/></$set></$set></$list></$set></$list><$action-setfield $tiddler="$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder" $field="text" $value="Blank"/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_title" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_firstname" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_lastname" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_dates" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_country" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_aka" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_id" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="justcreated" $value="no"/><$action-sendmessage $message="tm-notify" $param="$:/sdatasheet_msg"/> <i class="fas fa-save"></i> Save data & clear the form </$button></$list><$list filter="[title{$:/temp/newdatasheet!!new_title}!has[map_id]]"><$button class="tc-btn-invisible buttonfield nodragdrop lightgreyfont"> <i class="fas fa-save"></i> Save data & clear the form </$button></$list> </td></tr>
</table>
"Qui traite des cristaux et de leur formation" (Lancelin 1803, p. 133).
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
"The science of cells." Hooper (1906), p. 172.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Thompson, Daniel Greenleaf (1884), //A System of Psychology//, London: Longmans.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 262.
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
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - image 1</$link></div>
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="img1" text=<<p_img1>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; margin-top:30px"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="600"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div></div>
</div>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop" style="margin-top:-300px"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
</$wikify></$wikify>
<!-- Source legend -->
<div class="center" style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div>
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - image 2</$link></div>
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="img2" text=<<p_img2>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; margin-top:30px"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="600"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="300"/></$button></div></div>
</div>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop" style="margin-top:-300px"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
</$wikify></$wikify>
<!-- Source legend -->
<div class="center" style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div>
\define popup3_name() $:/temp/popup_{{!!map_id}}_3
\define p_img3() {{$:/prefix_img!!text}}/{{!!img_3}}
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - image 3</$link></div>
<$wikify name="pup3_name" text=<<popup3_name>> >
<$wikify name="img3" text=<<p_img3>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; margin-top:30px"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image source=<<img3>> height="600"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image source=<<img3>> height="300"/></$button></div></div>
</div>
<$reveal type="popup" state=<<pup3_name>> >
<div class="drop-down-pop grey1 nodragdrop" style="margin-top:-300px"><$image source=<<img3>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_3}}</div></div>
</$reveal>
</$wikify></$wikify>
<!-- Source legend -->
<div class="center" style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_3}}</div>
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hume, David (1739), //A Treatise of Human Nature//, edited by D. F. Norton and M. J. Norton, Oxford: Oxford University Press, 2000.
* Hume, David (1748), //An Enquiry concerning Human Understanding//, edited by T. L. Beauchamp, Oxford: Oxford University Press, 1999.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 188–189.
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
Main source: Dewey (1885).
"The Sciences which depend upon the Ideas of Space and Number are Pure Sciences, not Inductive Sciences: they do not infer special Theories from Facts, but deduce the conditions of all theory from Ideas", Whewell (1840), //Philosophy of Inductive Sciences//, vol. 2, p. 446.
⚠ Default view: do not edit!
"Avec un nombre plus ou moins considérable de familles, on constitue un peuple, la nation, dont l'étude théorique donnera une //démologie//." Charma (1859), p. 15.
"Science des procédés utiles à la bonne administration du peuple", Charma (1859), p. 16.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Diderot, Denis (1750), //Prospectus de l’encyclopédie//, Desray, 1798.
* D'Alembert, Jean Le Rond (1751), //Discours préliminaire de l’Encyclopédie//, Paris, Vrin, 2000.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Evans, W. Hugo (1941), "A Practical Application of Dalembert’s and Diderot’s Classification of Human Knowledge", //The Modern Language Review// 36(4), pp. 460–466.
* Groult, Martine & Auroux, Sylvain (2003), //L’Encyclopédie ou La création des disciplines//, CNRS.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 1, pp. 86–97.
* Malherbe, Michel (1994), "Bacon, Diderot et l’ordre encyclopédique", //Revue de synthèse// 115(1-2), pp. 13–37.
* Pappas, John (1963), "Diderot, d’Alembert et l’Encyclopédie", //Diderot Studies// 4, pp. 191–208.
* Proust, Jacques (1988), "Diderot et le système des connaissances humaines", //Studies on Voltaire and the eighteenth century// 256, pp. 117–127.
* Schandeler, Jean-Pierre (2017), "Le Prospectus de l’Encyclopédie dans le Discours préliminaire : variantes du texte et ambitions de géomètre", //Recherches sur Diderot et sur l’Encyclopédie// 52, pp. 127–141.
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
\define descr() $(dtitle)$<br><i class="far fa-calendar-alt"></i>
\define img-title1() $:/temp/imgdatabase/$(imgtitle)$_1
\define img-title2() $:/temp/imgdatabase/$(imgtitle)$_2
\define img-title3() $:/temp/imgdatabase/$(imgtitle)$_3
\define title-transclude() <div style="position:relative; display: flex; flex-direction: row; justify-content: center; font-size: calc(12px + 1.8vw); margin-top:-50px"><$link to={{!!img_scholar}}>{{!!img_scholar}} - chart 1</$link></div><div style="margin-top:30px"><$tmap class="mapframe" height="calc(14vw + 40vh)" view="$(title_trans)$"></$tmap></div>
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Start diagrammatic timeline">
<!-- Clean database -->
<$action-deletetiddler $filter="[regexp:title[:/temp/imgdatabase/]]"/>
<!-- Images 1 -->
<$list filter="[has[uploaded]has[date_img_1]regexp:diag1_type[List(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!date_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value={{!!diag1_type}}/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#4e9a06"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value={{!!img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value={{!!src_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="text" $value="{{||database-image1}}"/><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_1]regexp:diag1_type[Tree(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!date_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value={{!!diag1_type}}/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#b361bf"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value={{!!img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value={{!!src_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="text" $value="{{||database-image1}}"/><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_1]regexp:diag1_type[Table(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!date_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value={{!!diag1_type}}/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#f57900"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value={{!!img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value={{!!src_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="text" $value="{{||database-image1}}"/><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_1]regexp:diag1_type[Network(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!date_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value={{!!diag1_type}}/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#c4a000"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value={{!!img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value={{!!src_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="text" $value="{{||database-image1}}"/><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_1]regexp:diag1_type[^Circle(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!date_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value={{!!diag1_type}}/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#3465a4"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value={{!!img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value={{!!src_img_1}}/><$action-setfield $tiddler=<<img-title1>> $field="text" $value="{{||database-image1}}"/><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<!-- Images 2 -->
<$list filter="[has[uploaded]has[date_img_2]regexp:diag2_type[List(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title2>> $field="date" $value={{!!date_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title2>> $field="diag_type" $value={{!!diag2_type}}/><$action-setfield $tiddler=<<img-title2>> $field="color" $value="#4e9a06"/><$action-setfield $tiddler=<<img-title2>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title2>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title2>> $field="img_2" $value={{!!img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="src_img_2" $value={{!!src_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="text" $value="{{||database-image2}}"/><$action-setfield $tiddler=<<img-title2>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_2]regexp:diag2_type[Tree(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title2>> $field="date" $value={{!!date_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title2>> $field="diag_type" $value={{!!diag2_type}}/><$action-setfield $tiddler=<<img-title2>> $field="color" $value="#b362bf"/><$action-setfield $tiddler=<<img-title2>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title2>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title2>> $field="img_2" $value={{!!img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="src_img_2" $value={{!!src_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="text" $value="{{||database-image2}}"/><$action-setfield $tiddler=<<img-title2>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_2]regexp:diag2_type[Table(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title2>> $field="date" $value={{!!date_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title2>> $field="diag_type" $value={{!!diag2_type}}/><$action-setfield $tiddler=<<img-title2>> $field="color" $value="#f57900"/><$action-setfield $tiddler=<<img-title2>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title2>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title2>> $field="img_2" $value={{!!img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="src_img_2" $value={{!!src_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="text" $value="{{||database-image2}}"/><$action-setfield $tiddler=<<img-title2>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_2]regexp:diag2_type[Network(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title2>> $field="date" $value={{!!date_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title2>> $field="diag_type" $value={{!!diag2_type}}/><$action-setfield $tiddler=<<img-title2>> $field="color" $value="#c4a000"/><$action-setfield $tiddler=<<img-title2>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title2>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title2>> $field="img_2" $value={{!!img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="src_img_2" $value={{!!src_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="text" $value="{{||database-image2}}"/><$action-setfield $tiddler=<<img-title2>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_2]regexp:diag2_type[^Circle(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title2>> $field="date" $value={{!!date_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title2>> $field="diag_type" $value={{!!diag2_type}}/><$action-setfield $tiddler=<<img-title2>> $field="color" $value="#3465a4"/><$action-setfield $tiddler=<<img-title2>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title2>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title2>> $field="img_2" $value={{!!img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="src_img_2" $value={{!!src_img_2}}/><$action-setfield $tiddler=<<img-title2>> $field="text" $value="{{||database-image2}}"/><$action-setfield $tiddler=<<img-title2>> tags="hide_title"/></$set></$list>
<!-- Images 3 -->
<$list filter="[has[uploaded]has[date_img_3]regexp:diag3_type[List(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title3>> $field="date" $value={{!!date_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title3>> $field="diag_type" $value={{!!diag3_type}}/><$action-setfield $tiddler=<<img-title3>> $field="color" $value="#4e9a06"/><$action-setfield $tiddler=<<img-title3>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title3>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title3>> $field="img_3" $value={{!!img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="src_img_3" $value={{!!src_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="text" $value="{{||database-image3}}"/><$action-setfield $tiddler=<<img-title3>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_3]regexp:diag3_type[Tree(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title3>> $field="date" $value={{!!date_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title3>> $field="diag_type" $value={{!!diag3_type}}/><$action-setfield $tiddler=<<img-title3>> $field="color" $value="#b363bf"/><$action-setfield $tiddler=<<img-title3>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title3>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title3>> $field="img_3" $value={{!!img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="src_img_3" $value={{!!src_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="text" $value="{{||database-image3}}"/><$action-setfield $tiddler=<<img-title3>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_3]regexp:diag3_type[Table(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title3>> $field="date" $value={{!!date_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title3>> $field="diag_type" $value={{!!diag3_type}}/><$action-setfield $tiddler=<<img-title3>> $field="color" $value="#f57900"/><$action-setfield $tiddler=<<img-title3>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title3>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title3>> $field="img_3" $value={{!!img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="src_img_3" $value={{!!src_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="text" $value="{{||database-image3}}"/><$action-setfield $tiddler=<<img-title3>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_3]regexp:diag3_type[Network(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title3>> $field="date" $value={{!!date_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title3>> $field="diag_type" $value={{!!diag3_type}}/><$action-setfield $tiddler=<<img-title3>> $field="color" $value="#c4a000"/><$action-setfield $tiddler=<<img-title3>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title3>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title3>> $field="img_3" $value={{!!img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="src_img_3" $value={{!!src_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="text" $value="{{||database-image3}}"/><$action-setfield $tiddler=<<img-title3>> tags="hide_title"/></$set></$list>
<$list filter="[has[uploaded]has[date_img_3]regexp:diag3_type[^Circle(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title3>> $field="date" $value={{!!date_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title3>> $field="diag_type" $value={{!!diag3_type}}/><$action-setfield $tiddler=<<img-title3>> $field="color" $value="#3465a4"/><$action-setfield $tiddler=<<img-title3>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title3>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title3>> $field="img_3" $value={{!!img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="src_img_3" $value={{!!src_img_3}}/><$action-setfield $tiddler=<<img-title3>> $field="text" $value="{{||database-image3}}"/><$action-setfield $tiddler=<<img-title3>> tags="hide_title"/></$set></$list>
<!-- Circular maps -->
<$list filter="[has[uploaded]!has[img_1]!has[img_2]!has[img_3]has[map_date]regexp:system_type[Circular(?i)]]"><$set name=imgtitle value={{!!map_id}}><$action-setfield $tiddler=<<img-title1>> $field="date" $value={{!!map_date}}/><$action-setfield $tiddler=<<img-title1>> $field="img_scholar" $value={{!!title}}/><$action-setfield $tiddler=<<img-title1>> $field="diag_type" $value="circle"/><$action-setfield $tiddler=<<img-title1>> $field="color" $value="#3465a4"/><$action-setfield $tiddler=<<img-title1>> $field="caption" $value=""/><$set name=dtitle value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="description" $value=<<descr>>/></$set><$action-setfield $tiddler=<<img-title1>> $field="img_1" $value="—"/><$action-setfield $tiddler=<<img-title1>> $field="src_img_1" $value="—"/><$set name=title_trans value={{!!title}}><$action-setfield $tiddler=<<img-title1>> $field="text" $value=<<title-transclude>>/></$set><$action-setfield $tiddler=<<img-title1>> tags="hide_title"/></$set></$list>
<!-- Load timeline --><$action-navigate $to="Timeline of diagrammatic types"/>
<i class="fas fa-chart-line"></i> Timeline of diagrammatic types
</$button>
Cf. Martianus Capella, //Satyricon//, Liber IV.
"La Dialetica insegna el modo del diffinire del dividere e, da demostrare, che sonno quelli tre mezi per li quali se insegna é, troua tutto quello che sé pó sapere ne laltre dottrine, e pero la Dialetica e, chiamata scientia instrumentale a tutte laltre scientie." Collenuccio (1535), p. 10.
"Dialing, including the Furniture, and projection of DIALS, Horizontal, Declining, Reclining, Deinclining, &c. Moon-dial, Ring-dial, Horodictical, &c. Instruments; as Declinator, Analemma, Scales, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Censorial; viz Deontology; knowledge concerning what is proper to be done", Bentham (1816), Appendix IV, Section VIII, p. 178.
//Didactica// (or //Canonica//) is about the modalities of teaching. Cf. Saviani (2009), p. 221
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* De Valadés, Diego (1579), //Rhetorica christiana ad concionandi et orandi usum accommodata//, Perugia: Petrutius.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Flade, Dietrich (~1550), "Classification of the arts and sciences", handwritten leaf preceding the title page in a volume of the //Commentaria in Isagogen Porphyrii//, Lovanii, 1547 [Trier StB: R'/ 4°/V62].
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
* Freedman, Joseph S. (1999), //Philosophy and the Arts in Central Europe, 1500-1700: Teaching and Texts at Schools and Universities//, Farnham: Ashgate.
"La Dioptrique est la science de la vision qui se fait par des rayons rompus." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
Main source: Trivero (1899).
Source: //Encyclopaedia// (1630)
Main source: Lorhard, Jacob (1606), //Ogdoas scholastica continens diagraphen typicam artium//, Apud Georgium Straub.
Main source: Ramus, Petrus (1576), //Professio Regia: hoc est, septem artes liberales//, Basel: S. Henricpetri.
"Toute vraye doctrine & science doibt proceder par les choses generalles, & descendre degrez à degrez aux specialles: & n'est poinct possible de bien dresser un art par autre voye", Ramus (1555), p. 122.
Source: Ringelbergh (1538).
Main source: C.W. Shield (1882) //The order of the sciences//.
"Like all but two of the 490 works which he wrote, his treatise //Libri novem disciplinarum// has been lost for ages, but it exerted an influence, through the writings of Capella, Cassiodorus, and others, on many generations to which it was unknown. The nine disciplines of which he treated were the seven so-called “liberal arts,” with the addition of medicine and architecture", Flint (1904), pp. 88-89.
Main source: Zabarella (1586).<br>
"Science deals with what already exists, but art is concerned with creation." Mikkeli (1997), p. 213.
"La divination, c'est l'art prétendu de connaoître l'avenir par des moyens superstitieux." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Qui traite des minéraux en petit" (Lancelin 1803, p. 133).
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Dominicus Gundissalinus (~1155), //De divisione philosophiæ//, edited by Ludwig Baur in //Beiträge zur Geschichte der Philosophie des Mittelalters//, Münster: 1903.
* Grant (1974), "Classification of the sciences: Domingo Gundisalvo", in //A Source Book in Medieval Science//, Harvard University Press. English transl. of //De divisione philosophiæ//, pp. 59–76.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Fidora, Alexander (2003), //Die Wissenschaftstheorie des Dominicus Gundissalinus: Voraussetzungen und Konsequenzen des zweiten Anfangs der aristotelischen Philosophie im 12. Jahrhundert//, Walter de Gruyter.
* Grignaschi, Mario (1990), "Le //De divisione philosophie// de Dominicus Gundissalinus et les //Questiones II-V in Sectum Metaphysicorum// de Jean de Jandun, in S. Knuuttila //et al//. (eds), //Knowledge and the sciences in medieval philosophy//, Helsinki: Luther-Agricola Society, pp. 53–61.
* Hugonnard-Roche, Henri (1984), "La Classification des sciences de Gundissalinus et l’influence d’Avicenne", in Jean Jolivet, //Études sur Avicenne//, Paris: Les Belles Lettres, pp. 40–75.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in //Medieval Analyses in Language and Cognition//, pp. 165–190.
iVBORw0KGgoAAAANSUhEUgAAA5QAAANQCAIAAADYLbe9AAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzde1xM+f848PeZaS5dpovuSSVRCkUhWipULmmpJUvu1yzLuuwiP7kuWWHXut8vK9dkk6IbIRHKYhOlFJXuujfNzPn98f7seZzvzDRNUVO8nn/so3mf93mf1zkza17zPu/zfhMkSSIAAAAAAADaPZIkGYqOAQAAAAAAAHlB8goAAAAAADoMSF4BAAAAAECHAckrAAAAAADoMCB5BQAAAAAAHQYkrwAAAAAAoMOA5BUAAAAAAHQYkLwCAAAAAIAOA5JXAAAAAADQYUDyCgAAAAAAOgxIXgEAAAAAQIcBySsAAAAAAOgwIHkFAAAAAAAdBiSvAAAAAACgw4DkFQAAAAAAdBiQvAIAAAAAgA4DklcAAAAAANBhQPIKAAAAAAA6DEheAQAAAABAhwHJK2gGCwsLgiCOHDnSZkcsLS21srIyNzfPz89vs4MCSXPmzCEIws/PT9GBAAAA+NpB8toqHBwcCIIgCMLR0VFGtcjISOI/165da7PwOpDnz5+np6dnZWUlJSUpOpZWJBQKT58+PX78eFNTUxUVFQ0NDSsrK39//wcPHig6NAAAAKB9geS1dT148CArK6uxrSEhIZ9+CD8/v169en16O+2Tvb29h4eHq6uri4uLomNpLS9fvrS1tZ02bVpYWFhOTk5tbW1FRUV6evqBAwccHR1nzZrF5/M/pX2SJE1MTBYtWvS5AgYAAAAUCJLXVtStWzeE0Llz56RuraurCwsL4/F4RkZGLT5EbW3t1atXW7x7+6eqqhoVFRUXF6elpaXoWFpFVlbW0KFDX7x4wWazly1bdv/+/Q8fPrx//z46OnrSpEkIoePHj0+cOPFTDnH37t3c3NzPFC8AAACgYJC8tiJPT0/UePfqtWvXKisrx40b9ymHOH/+fFVV1ae0ABRr3rx5RUVFPB4vISEhODjY0dFRT0/PyMhoxIgRISEheHjx1atXDx061LL2SZI8duzYZw0ZAAAAUCRIXlvR8OHD2Wz2s2fP/v33X8mtOKmdPHlydXW11N2rq6s3btxobW2trKzcqVOnMWPGxMTEUFuzsrI8PT1nzpyJEHrx4gU1dvbu3bu4Qn19/alTp0aNGqWnp8disbS0tIYMGXL8+HGxo+AHccLDw0tLS3/88ceuXbtyuVwTE5MffvihsLBQamBMJjM7O3vatGkGBgZsNtvU1HThwoX0yt9++y1BEDNmzJDcNz4+niAIFotVXFyMEGpoaNi9e7eTk5OhoSGbzTY0NPTy8goJCamrq8P13717h88rIyNDrKnExMRJkyYZGxtzOBxDQ0MnJ6cNGzYIhUK8taioaPny5XZ2dlpaWlwut1u3bjNnzoyLiyNJUupJyfb48eMpU6bgYxkYGPj6+iYnJ4vVwaE6OTkhhNLT0z09PTU0NJSUlBISEhprNjU1Fb+nv/7668CBAyUrzJ49e8KECQihrVu3ikQiqhy/a9euXauurl6zZk23bt04HI6ent748eNTUlKoarGxsX369Dlx4gRCaO/evfhKKikp0Q+Rn5+/bNkyS0tLZWVlLS2t4cOHnz9/Xv4rU1dXFxwc3L9/f3V1dVVV1b59+27fvr22tlay5vPnzydPnmxkZKSkpET8X1ZWVikpKfjv7OxsyX2dnZ0Jgli6dKn8gQEAAPhikaAV2NvbI4QiIyO9vLwQQmvXrhWr8PHjRy6Xq6en19DQwGKxEELh4eH0CgUFBdbW1vg90tDQYDD+9zNj69atuMKtW7c8PDx69uyJEFJTU/P4z/Pnz3EFHx8fvAuHw9HV1aVaWLlyJf1As2fPRgitWLECD3JQV1fX1NTENU1NTd+9e0evjOts2rQJ19HS0uJwOLhyt27dPn78iKtdunQJh11XVyd24njkpYeHB0mSIpFozJgxeHcVFRVdXV2CIBBCSkpKubm5uD51v/v169f0drZv344r09nY2OCtRUVFpqamuFBLS0tDQwP/bWVl1Yx38T979uyhAtPV1cXvF0EQu3fvplfDoZqZmWVkZOjq6lJRZWVlNdby6tWrEUI8Hq+2traxOlTum5CQQBXid23Dhg19+/ZFCKmqqvJ4PFyNy+UmJyfjan/88YeHh4e+vj5CyMTEBH9CRo8eTbVz9+5d/FYSBKGtra2srIwbmThxYkNDAz0MfMQpU6bQC/Pz83v37o13oX9ybGxs8vLy6DXv3buHG+/Ro8e4ceOsrKxwTRaLZWFhMWvWLJIk8dBt6hNOKSwsxJ/e+/fvN3aVAAAAfCVEIhEkr60CJ69hYWG4e9XCwkKsAu4M++GHH0iSxImRWPI6atQohJCrq2tGRgZJklVVVYGBgTjJuHv3LlVtz5499KSNLiEhYe7cuffu3RMIBCRJVlZWzp07F2dg+fn5VDWclOAgHzx4gAsTExONjY0RQt9++y29TZy8MpnMoUOHpqenkyTZ0NBw4sQJnFsEBQXhavX19XiI6pUrV+i7i0Qi3Ozx48dJkoyNjcVp682bN3GF0tLS48ePr1+/ntpFavIaExODL9qUKVNevHhRX1+fl5d36dIl6nD/7//9P5yqUntlZWVt3bo1JCRE8kLJlpCQgM9u8+bNlZWVJEnW1NT8/vvvDAaDIIiYmBixUDkczpAhQ6ytraOiooqLi7Ozs4VCYWONjxgxAiFEzyYlCYVCnPZRl5f8711TUlIyNjaOjY0ViUQkSSYmJhoYGCCERo0aRW8B/4zBHza64uJinNf6+Pi8f/8eH+v69et6enoIoXXr1tErS01ecfy9evV69OgRLnn+/PmAAQMQQs7OzjgqrF+/fgihGTNm4KshFArxp3HChAlUne3btyOEbG1txeI8fPgw/lUg4yoBAAD4SkDy2lpw8nrp0qXq6mpVVVWE0MOHD+kVPDw8EEI4DcXJGT15ffjwIUJIW1u7tLSUvhd+4n7ixIlUiYzkVVJtbS3ugwwNDaUKqeRVLMKoqCicK79584YqxMmrpqZmWVkZvbK3tzf6rz8V8/f3Rwj5+vrSq+GJnzgcTnl5OUmSe/fuRQg5OTnJiFlq8jp06FCE0MiRIxvbC99qDwgIkNGynFxdXRFC06dPFytfvnw5QsjR0VEyVH19/aKiInkaNzc3Rwj99NNPsqvh3s05c+ZQJdS7Fh8fT6/5xx9/4N8D9Iy5seR1w4YN+EcLn8+nl4eHh+NGSkpKxI5IT15v376Nf8mIdYoXFBSoqKgghKKionDJu3fvcLT0z9Lbt2/xB6yqqgqX5OXlMZlMhFBaWhq9QfxD7pdffpF9lQAAAHwNRCIRjHltRSRJqqio4JED9Me2ioqKYmNjzczMBg8eLHVHfNvdzc1N7BH7YcOGIYRkjKGUjcvldu3aFSGEx5vSmZub9+/fn17i7u6uq6tLkuTNmzfFKo8dO5a6QYzhzrb3799TJdOmTUMIhYeH00f0XrlyBSE0cuRInEPjXtinT5++fPlS/rMoLy+/c+cOQujHH39srA5uGQ8Jlb9lSWVlZbdu3UIISY7fnTVrFkIoKSkJJ2F0ixcv1tHRkaf98vJyhFCTEyngq40r03Xp0kVsBjH8RtTU1JSVlTV59NDQUITQlClT8EAIypgxY/T19WtqanAWK3v3b775xsLCgl6ur6+PR4NQ82zk5eUhhAiCoMZyIISMjY0JgiBJMjMzE5cYGhrirlz6BB0VFRW4hx7PvQAAAABA8trqvv/+e4TQhQsXqAduLl68KBAIJk2aJDlqE3v06BFCKCoqyuL/wv2shYWFpHxPHQkEgvj4+N9//33dunWrVq1atWpVQUEBQoh6qolCjUGkEARhY2ODEHr+/LnYJlxOp62tjRCinrJCCDk6Ovbo0UMsAcLJK5WFjB49esCAAVVVVQ4ODhs3bpRMqaV6+vQpPn2xbJtu6dKlmpqaT58+7dWr18mTJ+vr6+VpWVJqaio+lp2dndgmKysrLpeLEMLd5HTOzs5yto8/AE2+m41VaOyNQP/3vZBKIBDgd1by1AiCsLW1RdJOjQ4/GSa5O0IIj8SldsfjEEiSpL/FxcXF+LzU1dWpQvybh/7EWEREBJ/Pt7S0lHogAAAAXyFIXludh4eHlpbW+/fvcX8hos0z0Ngu+Mn98vLyzP+rqKgIISQSiSSzT0l///23iYnJsGHDli5dumnTpqCgoKCgIJy8ShLrScU6deqEEJLsw5NaGUnkWDgRoXrR/v333/T0dBUVlbFjx+ISJSWl69evT5w4sbq6OjAwsEuXLgsXLszJyZF9XiUlJQghBoMho3fTxMQkISGhb9++2dnZM2bMMDMz27ZtWwt6YfGxmEym5CkzGAx8fSTnZMC5mjwa61IV01gHbWNvBJIjIS4vL8efIqmXERc2Nt0Ehi+OPLubmpr26dMHIYR/fWF40IilpaWZmRlVOH78eHV19ZcvX6ampuIS/IPH19dX9ukAAAD4ekDy2urYbDYedIhz1tzc3Hv37vXq1Yt6TFsSzjzEnpihE5vtSNKDBw+8vb3z8/NHjRoVHx9fXl6On56R7KvDpGbDOAzJ7mE8MLFJU6dOJQgiKirq48eP6L8sxNPTEw8CxrS1tc+fP//48WNfX1+BQLB///5evXpdvnxZRrM41MY6rSm9e/d+/PjxlStXnJycCgoKVq9ebWdnJ3XOMhlk94w2dn2oiR2ahG+4yx41IRQK8TRhPXr0ENsk5xshFRW21LNr7NQkW5Bz93379nE4nM2bN3/zzTfz5893dnbeuHEjh8PBKSxFWVn5u+++Q//95qmvr4+MjEQwZgAAAAANJK9tAY8cuHTpUkNDw7lz50iSlNHtiv7ruKIPIW2u33//XSgU9u3b99q1ay4uLhoaGjiTaGhokFq/tLRUshDf5G3x0lYmJibOzs719fV45EBYWBhqJAvp16/fuXPn0tLSXF1dKysrp06d+vr168aaxf2dQqGwyWGdBEGMGzfu7t27MTExeAYrHx8fgUAg/yngPlSRSCR5fahC+qxYzYWHqN69e1fGXX5q66BBg1p8IElaWlp4qCvuzheDC2WfGr44cu7u5OR07do1BoORmJh4+PDhFy9ejBs37v79+8OHDxfbF3fY42HfMTExVVVVffr0wVPCAQAAAAiS17bh4uJiaGhYUlISHR2N+19l9yTheYWo5QZkaKz3C3cxOjs703sBGxoaqOe+xbx48UKsRCgUPnv2DCEko4e4SdOnT0cIhYWFffjw4fHjx+rq6qNHj26ssoWFRVRUlLm5uew1b/ENaITQ48eP5Qxj+PDh0dHRCCH6/Wh59O3bF/duPnnyRGwTnqILIYRnlmgZfDe8srJSxiJY+Fa7ubl5Y4/3NUnqh4TBYOCPmeSpiUSip0+foqZODY85ltydKqTvXlJSMnfuXENDw6ysLJFIVFxcfOXKFTw0VszQoUPNzMwyMzOfP38eERGBoNsVAADA/wXJa1tgMBh4efqNGzempKQMGjQIP/XfGFw5PT392rVrsltWU1ND/40+pGOz2QghsYWOjhw5UlNTI7WdvLy8+Ph4esnff/9dXl5OEIS7u7vsGGT47rvvVFRUYmJiIiMjSZIcN24ctaiBVGw2u3PnzgghGf2jurq6jo6OCKF9+/bJH0mXLl3wWAuq5aSkpGvXrsmeukFNTQ0/OC+ZXOKSvn374umuWsbGxga3v3r1avyUnpjDhw/jQRRr1qxpcqREYxr7kODU+a+//uLz+fTy8PDwoqIiNptNjU6WCu9+//79tLQ0enlBQQG+108tk4EQOnPmTHZ29qxZs+gTDkhFEMTUqVMRQjdv3rxx4waCAa8AAADEtMIMXOB/87xevHiRKklKSqKu+Z49e+iVcaHYIgXjx49HCKmrqx8+fLi0tFQkEpWUlNy8eXPOnDknT56kquGZUxFC169fxyX19fUkSS5cuBAhpKmpiRfcEggEV65c0dDQwLnj/v37qRaoGUNNTEyo5Q/u3LmDp7v38fGhR4XneT18+LDY+eJp5Lt16yZ5KaZMmYIQwoumRkRE0Ddt3Lhx9+7d2dnZ+GVDQ8ORI0dwT+ft27dxodR5XnFuhBCaN2/emzdvhEJhfn5+TEwMNUp46tSpISEh1DSl5eXl+IKoqalVV1fTz8XZ2VkyZrqUlBR8e33dunUVFRUkSdbW1uJFChBCf//9N1WzscXAZHv79i1eKYDL5f7yyy/JyclFRUV5eXnR0dFUj6O3t7fYXlKXDCBJkhpuQS1RRpJkUFAQQqhTp054vTQ+n49nga2srMQ/ory8vHJyckiSFIlEkZGR+Hb/smXLmjwiHp9qZWVFLW/x4sULPBaif//+eHUM7Ndff0UIDRkyJD09vaysrKysrKKigr6KgeRZ4M9M//795b+YAAAAvniwSEFrkUxeyf9mpFdSUvrw4QO9XGryWlZWNmTIEKm/N+ipp0gkwrfRCYLo3LmzmpoaPujr169xfxuDwTA2NsY563fffffzzz9LTV4nTJiAuzx5PB71DLuZmRleeInSguSVmiZWW1tbbDJ8nNfiJLtLly5Upyx9RYDGMsLNmzdLXhkqAHwuBEHo6ekZGhrihJggiKNHj+IK1dXVOPvcsWOHZMxizpw5g/NXJSUlPT093KuNENq0aRO9WsuSV5IkX716hVdGlUQQxNy5c8WuG9nM5DUnJwevGsBisbp06cJms6k1FJ4+fYp/pRAEoaOjQz1L5+npKba0r9QjlpWVUYMZ1NXVqeHRFhYW1G8SLCsrS3LwNIfDcXd3pxazpaOaDQ4ObtbFBAAA8GWDRQraFO5IGz58uDxTKWlqasbFxR06dGjIkCEaGhpMJlNLS8vJyWn79u14UAFGEER4ePi4ceN0dXULCwuNjIy6dOmCELKwsEhISBg5ciReJ8nCwuK33347f/58Yw/9aGpqPnnyZNGiRZ06daqpqTE2Nl64cOHDhw+NjIw+8ayHDx+OU0lvb2+xyfBXr169aNGi7t2719fXf/jwQV9ff/jw4SdPnjx+/HiTzQYEBMTHx48bN87AwEBJSYnH4zk5Oa1btw5vPXbsGE7Hy8vLP378aG5u/t133yUkJOCVBRBCz549E4lEBEHgtbhkmzJlSkpKytSpU/X09MrKyrS0tHx8fO7cubN27dpmXw5punfvnpqaevLkSS8vLxMTEy6Xy+PxLC0tFyxY8ODBg0OHDoldt+bq0qVLRESEk5OTsrJycXGxnZ0dnqEWIdSnT5/nz5+vXLmye/fulZWVLBbLxcXl5MmTf//9t+wBHpimpuatW7f++OOP/v37C4XC+vp6W1vbTZs2paSk0IcHkCQZExODs2S6+vr6mzdvuri4SD6fhx/bIggCxgwAAAAQp+gEGigY7lHz8/NrpfYbGhpwsh4bG9tKh2gB/BSUi4uLogP5KuDPmLe398uXL6mxBHV1dQ8ePMCThQUGBort8vvvvyOEhgwZ0taxAgAAaN+g5xX8Dynfkl0tEBsbW1hYaGhoKLaQqWLhxZ+ojljQerKyso4ePaqpqRkSEmJpaUnNTcvhcAYMGIDnjKNWiKXgSTnwHHMAAAAAHSSvoHUdOHAAITR79mz5p+5vA/fv39fV1aUPwACt5M2bNwghHo9HjRWmy87ORgiJjU5JTU1NSkpSVVWlRkUDAAAAlHaUT4AvjEgk2rlzZ1hYmKqq6vz58xUdzv/x+vXrwsJCeYZ1gk9kZWXFYDByc3MPHTpE7+Cvqqratm3b6dOnmUwmPUnNzMzEA17nzJmjrq6ugIgBAAC0b02sMgpAC0yePPn27dtlZWV4otnt27cbGxsrOiigGJ07d169evWWLVvmz5+/cePGHj16MBiMoqKily9f8vl8Npu9f/9+/NzY0KFD2Wz2hw8fEELm5uZSJ5QAAAAAIHkFn5+urm55eblAILCxsVmxYsWMGTMUHRFQpM2bN/fv3//w4cNPnjzB68ZpaGjY29u7uLjMnTsXzzWrrKzM4XCKi4s7derk4eHx22+/4bneAAAAADFE6z2pAwAAAAAAwGdEkiSMeQUAAAAAAB0GJK8AAAAAAKDDgOQVAAAAAAB0GPDA1qd69eoVjBsGQFF0dHS0tbUVHQUAAIC2A8nrJ3n16pW1tbWxsTG1WDwAoC0tWrRo0aJFio4CAOn4fL6lpaWHhwdergUA8FlA8tpyxcXFdnZ2/fv3v3//vqJjAQAA8EnWr1+/YcOG169fW1hYfK42SZKsra3FM14DAD4XSF5bztLSksVirVy5UtGBAAAAaI84HM779++ZTKaiAwHgiwIPbLVQeHi4UCgkCMLc3FzRsQAAAGinIHMF4LOD5LWFDh48+PHjx6qqqp49eyo6FgAAAK0rISHB1dVVTU1NXV3d09Pz2bNn1CahUBgUFGRpaclmswkavJXL5fr5+VGV37x5M2nSJF1dXWVlZSsrKxgLC0ALQPLaQhEREQihTp06cTgcRccCAACgFd26dWvEiBFVVVW//vprYGBgZmamk5NTWloa3hocHLxq1ao5c+bcvXt3/fr1CKHp06fHx8dLtlNQUDBo0KDw8PAJEyYEBgY6ODjANwgALQBjXlvi7NmzPB6vsrKye/fuio4FAABA61q5cqW+vn5CQoKysjJCaObMmWZmZqtWrbp69SpCKCQk5JtvvsHPPwwYMODChQv5+fkuLi6S7Wzbtq2wsPD27dtDhw5t2zMA4IsCPa8tcfDgwcrKSoSQvb29omMBAADQigoKCh49euTt7Y0zV4RQp06dPD09o6Ki6urqEELl5eXq6upUfR6PV1FRIbWpyMhIa2tryFwB+ESQvDZbdXX127dvEUJqamqDBw9WdDgAAABaUXZ2NkLIxMSEXmhiYsLn89+/f48QGjly5K1btxITE4VCYUxMzOPHj93c3KQ2lZOT07Vr19YPGYAvHAwbaLb79++Xl5cjhJhMJv3XNgAAgC8P9ehVY7Zv356dne3k5EQQBIPB8PPzW716tdSaJEnCIFcAPh0kr812//796upq/LehoaFigwEAANCqcF9pTk4OvTAnJ4fFYnXu3BkhRJJkZmbmhg0bpkyZ0qVLFzab3VhTxsbGuB8XAPApYNhAs8XFxQkEAoRQQ0ODgYGBosMBAADQivT09AYPHnz58uWamhpcUlZWFhERMWzYMLww+LVr116/fr18+fJu3brJyFwRQqNGjUpJSXn8+HFbxA3Alwt6XpstJSUF/1FTUwPJKwAAfEn27dvXqVMn6uXs2bMNDQ2Dg4NdXFyGDBkybdo0oVB45MiRhoaGbdu24Tq4a3b27Nl2dnYEQaipqQ0fPtzKykqy8V9++SUkJMTV1RU3m5mZaWRkFBgY2DanBsAXA5LX5nn37h3udkUI8Xi8JsdCAQAA6EB27dpFfzly5EhDQ0NHR8fbt28HBASsWbOGwWA4OTmdPn3azs4O1xEIBGZmZufPnz9//jwuYTKZx48fnzp1qljjxsbGiYmJq1evPnnyZGVlpbm5+ebNm9vgpAD4whAkSSo6ho4kNDR05syZeBoUU1NTGL0EAABfs9DQ0O++++6vv/7y9vbmcDhCofDdu3ejRo1SVlaG4QEAtAaSJGHMa/PcvXsXz/CKEIIxAwB0CBkZGYQ0xsbGig6taZMmTSIIIiYmpjUaX7lypZaW1oMHD1qj8a/EtWvXDAwMvv/+ezyNAJPJNDU11dLSYjKZig4NgC8WDBtonlu3blF91R3imw8AgKmoqPTt25deoqenp6hg2onk5OTy8vLnz58PHDhQ0bF0VIMGDTp+/PiPP/44evRoFouVl5d3/vz5xMTEU6dOKTo0AL5YkLw2z4sXL6i/zczMFBcIAKB5unbtevfuXUVH0b7s378/ISHBz89P0YF0YHPnzq2trT169OiJEydqa2t1dHTs7e0jIyNHjhyp6NAA+GJB8toM//77L5fL5fP5CCEOhwM9rwCADq1nz549e/ZUdBQd3o8//vjjjz8qOgoAviIw5rUZnj9/Tk0voKKiYmpqqth4AACfS1VVVWBgYM+ePblcrra29rfffpucnEyv8PLlS4IgduzYUV1dvWDBAm1tbSUlpYyMDITQpEmTuFyuQCD4888/rays8C/bJUuWVFVVVVRULF++3NTUlM1mm5qarl27lpquBIuPj580aVLnzp1ZLJampubw4cPj4uKaFTmfzw8ODrazs1NXV9fW1u7fv39gYOCHDx/ETs3GxkZZWVlbW3vgwIFHjhzBm6QOqI2Lixs+fDiPx1NTU3NxcYmMjKRvPXDgAEEQCQkJV65cGTRokIqKirq6+ogRI+7fvy/1kko9LkKosrJy1apVXbt25XA4pqamy5YtKysro+8eGhrq7Oysq6urpqZmY2OzYMGCp0+fNuvKAAC+VNDz2gxPnjzB8wwghEiSVFFRUWw8AIDPorq62tnZ+cmTJxYWFuPHjy8tLY2KioqMjDx//vz48ePpNfPy8iZMmBAbG2tnZ8fn86lfsPX19bNnz05KSpo6dSqDwThx4sQff/yRk5OTl5dXXV09f/58kUh09OjRLVu21NTU7Ny5E+9VU1MzYcKEiooKR0dHZ2fnzMzMuLi4O3fuJCUl9evXT87g/f39jx07ZmZmNmrUqPLy8tTU1C1btsyZM4c6tSFDhqSmpqqrqw8cOLC2tvbp06fv379vrLWzZ8/6+fnxeDwXF5f6+vo7d+6MGTPm0KFDVINYQEBASkrKrFmzfH19U1NTT506de/evadPn/bo0UOe49bU1Li4uDx58qRPnz4ODg7//PPPrl27bty4kZSUxOPxEEKnT5+eNm2ahoaGq6srSZIvXrw4ePCgs7Ozra2tnJcFAPAlI4HcPDw8qOumoaHx4MEDRUcEAGja69evEUI2NjaNVVi5ciVCaO7cuUKhEJekpqbyeDwNDY3S0lJckpaWhhDS0tKysbHJycmh7+7r64sQMjEx+fjxIy7Jy8vDz55bWVlVV1fjwszMTCaTqaam1tDQQPdVR8cAACAASURBVO0bFxeXl5dHvVy6dClCaN68eWKNR0dHS428vLycIAgLC4v6+npcIhAIkpOTqQrLly9HCHl6elZWVuKSqqqqsrIyqY0XFxerq6t37tz53bt3uCQlJYXFYqmqqlLXYf/+/fjfwISEBOooK1asQAgtX75czuOuWbMGIbR+/Xoq5kmTJiGE1qxZg0tw7p6ZmUk1+OTJE+ocAQBfM5FIBD2vzZCenk79LRKJ6KuwAADauaysLBcXF3rJ7Nmzp06dKhQKDx8+zGKxtm/fzmD8bySVra3t/Pnzd+zYcfr0afpwxrKystDQ0C5duki2P2PGDHV1dfy3oaGhnZ3dgwcP5s6dS92iMTc3t7KyevHixdu3b7t164YLXV1d6Y34+fnt3r2b/k+NbDhgBoNBTczEZDIdHBzw33gtKCaTeejQITU1NVyoqqraWGshISEVFRUbNmzo3LkzLrGzs/Pw8Lh27VpUVNT3339P1XR0dBwyZAj1cuzYsTt27Pjnn3/kOS5JkocPH+7UqdPatWupmFesWHHu3LlLly5t2bKFOi8Wi0UdQmymiE9XUlJSXFz8edv8XHR0dLS1tRUdBQDtFySvzfDu3Tvq74aGBi0tLQUGAwBolpqamtu3b9NLRowYgRBKS0srLy+3t7fX1NSkb3V1dd2xY8ft27fpyauOjo5YBkzp1asX/aWOjg5CiEpS6YVVVVVSw+Pz+crKygihuro6OU+Kx+O5ubndvHlzzJgxwcHBNjY29K1paWkfP360tbU1NDSUpzU8G0NMTMzLly+pwjdv3iCEMjMz6TWpxaUwXV1dhBA1B7bs46anpxcVFenr6//www9UYW1tLXUshJC3t/ejR49GjBixe/fuUaNGyRO8PG7evBkaGspgMK5fvy4QCEiSxKMU2ptFixYtWrRI0VEA0H5B8iqv3NxcDodDPWxRV1cHPa8AdCA2NjbPnz+XLC8oKEDS1hzBiVdeXh69EK9iL5WGhoZkodR/JUjauoaRkZE7d+5MSkqSmtHK4+zZs7Nnz7569eqNGzfc3NwCAgKcnZ3xJvzYlpGRkZxN4TGpERERkpvE8mmxn+74SVbqvGQfFx/lw4cPBw8eFNskEAgEAoGSktIvv/xSVVW1ffv20aNH9+zZ8+eff542bRrVL94CFy9eXLlyZV1dnb6+/qBBgxYtWuTu7m5hYQGPLgDQEUHyKq9Xr17R72FxuVxq5gEAQMcllnhRcInY/+ZsNruxdqSmVrL/lfjrr7/8/Pw0NTWXLl3av39/dXX19+/fN3fWVW1t7bCwsAcPHvz2229XrlyJjo5eunTprl27GjsFGXD9+/fvOzo6yq4pO4+UfVy81cPDIyoqSkb7W7ZsmTdv3u7duw8fPjxz5syjR49GRkZSgxDkl5SUFBcX9/vvv4eEhAwbNqy5uwMA2iGYKkter169ovc9tM+bTQCA5sLjO/Pz88XKcYmcN9xbZtOmTQihc+fObdq0ycvLy8XFRey+v/wGDhx46dKlhw8fmpqa7t69+8aNG+i/JcQkT60x+GSzs7NbFgNF9nHlP4qpqemuXbsyMjJGjBhx9+5dPBy2WbZv3z5lypRLly5FR0dD5grAFwOSV3k9ffqUnrxKvUUIAOhwLC0t9fX1nz17VlpaSi/HA2S/+eab1js0HuI5dOhQqiQ1NfVTGrS3t1+1ahVCCM+6am1tzePxnj17VlhYKM/u+Bms8PDwT4mhyeP27NlTW1s7PT391atX8rRmYGCwZ88e9N9JyS85OTkiIuLPP//Ec3I1a18AQHsGyau8qAdpMbFnOwAAHRRBEIsWLRIIBCtWrBAKhbjw+fPnBw8eVFNTa9WlU3EPZUpKCn6Zl5e3cePGZrWQlZVFf7iKag23rKSkNGvWLIFAsGDBgpqaGlyhvr6+sazRz89PQ0Pj3LlzISEhVGF9ff3Zs2ebFZXs4zIYjIULFyKEZs+eXVJSQu2Vnp5+7949hBCfz4+Li6MP5KCflJxOnDgxY8aMoKCgz/i8FwCgnYAxr/ISe9gWPzUMAPgC/Pzzz7GxscePH09ISOjfv395eXl8fLxAIDhz5gx+jr6V/PDDD2vWrBk9erSXl1d1dXVMTIyXl9fHjx/lb+HFixdjx461srLq06cPi8V69uzZP//8Y2xsTE1rtWnTpri4uCtXrhgbG9va2lZUVLx69WrKlCkHDhyQbK1Tp06nTp2aOHHi5MmTAwMDTU1NKyoqXrx4UVdXN3ny5GadmuzjBgQE3Llz59atWyYmJvb29kpKSjk5OZmZmZs2bXJycuLz+cOHDzcwMBgwYICmpmZOTk5CQgKbzcaT4MqjZ8+eNTU1YWFhn32CLQBAewA9r3IRCoVFRUX0klb9SgMAtCU2mx0VFbVlyxYWixUaGvrw4cMRI0YkJCTgmfNbz8qVKzdu3KilpXXhwoXU1NSVK1eeOHFiwIAB8rfQv3//pUuX8vn8sLCwK1eu1NXVzZ8/PykpiZoNgMfj3bt3b/Xq1bq6uomJiWlpab169Ro3blxjDXp5eT18+NDX17eioiI+Pv7169cODg5//vlnc09N9nE5HM6NGzd27txpaWn56NEj3OE6b968iRMnIoSUlZWDgoIMDAxiY2PPnTuXlZXl5eWVkJAwePBgeQ7dp08fLS2tO3fuQOYKwJeKkHzGFkhKS0tzdHSk1oZFCP38889BQUEKDAkAAICYtLS0qVOn7t+/v3///oqOBQDQKkiShJ5Xubx69Yo+7QuLxYJhAwAA0N64u7uPGTOmLTPXbdu2EQSRkZGBX44cOdLY2PjTm92/f7+Wlpacz7R9lh0B6EAgeZVLenp6dXU19ZLNZsMKBQAA0K6sW7du+vTpGzZsaNnufD7/t99+69Wrl6qqqr6+vqOj49atWxV1c5LP59fV1VHL4shw6tQpamWyZu0IQMcFyatc3r17R/+3gMvlSq7HAwAAQFGCgoKOHz++efPmFrewcOHCn3/+2cjIaMWKFRMnTlRSUrp165aiFqNZsmRJVVWVtbW17GoNDQ2LFy+mJ69y7ghAhwazDcjlwYMH9JcCgYDD4SgqGAAAAHQNDQ0BAQGf0t1YVFR07NixiRMnnj9/nioUiUSfI7oWYjKZTdaJiIigP4wh/44AdGjQ8yqXd+/e0V8SBAErbAEAQDtha2t75MiRT2mhpqaGJMl+/frRC/EquHhU67Nnz+ibrK2t5ZnNoKam5pdffjE1NeVwON27d9+6dSs1lzBCqKSkZN68eSYmJgwGg/iPi4sLkhhKm5yc7Obm1qlTJw0NjX79+gUHByOENm/e7OPjgxByc3MjCMLT01NyR4RQXFycq6srj8dTV1cfMGDAnTt3WniNAGg3IHmVi9g8WSKRSF1dXVHBAAAAoAQEBPTo0WPGjBmf0kiXLl20tbUvX75cW1srtmnq1KkMBoO+UkNaWlpaWlqTc9+SJDl+/Phdu3b5+Pjs3bt3+PDhAQEBCxYsoLccGhq6Y8eOe/fujR8/HiG0Y8cOvJwYXUlJiZubW3l5eVBQ0JYtW6ytrXF/ire397p16xBCu3btunPnzvbt2yVjiI6Odnd3z87O9vf3X7lypba2NjywAb4EJGhKcXExl8ulXzQVFZV3794pOi4AAPjaFRQUaGhofJamTp48yWAwunfvfvjwYdwRS/Hw8DA1NRWJRPjlxo0bCYLIzc0lSXLr1q0IodevX1M1O3fujP++du0aQuiPP/6g2gkICEAIPXnyhCTJ8vJyhNDatWvxJryU7q+//opf0puNjY1FCEVGRkrGjNdCi46OpkrE4rG1tdXR0SkpKfnEiwNA+yESiaDntWk5OTliI1wFAgEMGwAAAMUKDQ21t7e/cOHCZ2lt2rRpkZGRWlpac+fONTU1DQ4Opsa8zpgx4+3bt3gxBYTQ5cuXhwwZ0uSUWDh5pa8wPG3aNIRQWFgYQggnr9RNPDU1NYSQ5ABWhFCPHj3YbPaOHTvy8vKadUb5+flPnz6dOHEi9LaCLwwkr03LyckRK+Hz+TBsAAAAFMvf3//Jkyfu7u6fq0F3d/cHDx4kJib27t17xYoVc+bMweXjxo3T1NT866+/EEKZmZlPnz6lFuCVISsrS01NjVrtDCFkYmKCEMKTA5iYmFhbW58+fTonJ6ehoWHnzp0IITc3N8l2jI2NT506lZyc3LVr15kzZ6anp8t5OvjLq2vXrnLWB6CjgNkGmpabm1tXV0cvgakGAGi3Hj58WFxc3K1bN0UHAlpXQECAr6+vnp7eZ2950KBBsbGxkyZNOn78eGBgoKmpKZfL9fX1vXjx4p49ey5dusRisSZMmNBkO7Kn2SII4uLFi+PGjTM1NSUIQk1NLTg4eNiwYVIr+/r6enh47Nu3b9euXWfPnj169Ci9Q7cxJEki+MICXyJIXpuWkZFRX19PL1FWVlZUMAAAGS5fvrx582bJZ27AF0YkEhUWFtbW1m7dulVVVbU1DuHp6Xn+/PmMjAxTU1OE0MyZMw8ePHjr1q0rV664u7tra2s32YK5uXlUVFRZWRnV+Yq7Qs3MzPDLmpqaDx8+hIeH29raGhsby052NTU116xZ4+/v7+7uvnjx4ilTpjQ5By0e2JCdnd1kqAB0LJC8Nk1ynT0VFRWFRAIAkCEhIWHZsmVv375VdCCgjaSkpFhZWeXm5n56U3V1dWIP5t6+fRvR7rkPHDiwZ8+eFy9eTE5OPn36tDxtjhs3bt++fadOnVqyZAkuwTuOGTMGvzx06FCPHj3wFFcykCRJ5alaWlpDhw599OhRbW2tiooK/jLCw2clGRsb9+rV6+LFi+vWrdPQ0JAnZgA6BEhemyb5sxWPrAcAtB8kSS5fvpwapAi+Bn379l26dGnPnj3T0tI+salr164FBAS4urp27dq1rq7u7t27MTEx06dPNzc3p+rgtWe5XO63334rT5tubm7jxo1bsWJFdna2jY3N48ePDx48OGnSJEdHR1yha9euJ0+eXL58ua6uLoPB0NHR8fLy0tHREWtnz549t27dcnV11dHRef369aFDhzw9PXHaam9vz2azg4KC6uvr2Wy25GCGoKAgT09PBweH77//nsPhpKamTp8+vcl0GYD2TsETHnQEmpqaYhfN3t5e0UEBAMQ5ODgkJycrOgrQ1gICAkaPHv2JjaSnp3t5eZmYmCgrK3fq1Kl///7BwcENDQ30Ovim/6RJk+iFMqbKIkmyvr5+7dq1pqamLBbL3Nx8/fr1fD4fbxKJRMeOHWOxWPQvFw0NjX///Ves2aSkJLzKAIvFMjU1XbJkSXl5OXWIw4cPGxkZsVgsX19fyXhIkoyKinJyclJWVuZwOI6Ojs+ePfvEawWAYolEIoIkyTZKkzsmkUikpKQkdpWGDRuGJ94DALQTJSUllpaWxcXFig4EKMCCBQuePHny8OHDVj3KzZs3PTw8/v7777Fjx356a0uWLLlw4UJ4eHjfvn2ZTGZDQ8OjR4+GDh36448/4gW0AABSkSQJU2U1IScnR/JpAMm+WACAYkVGRo4aNUrRUQDFOHDggLGxMXU7vpUcPHjQyMjoc33MwsPDXV1dHRwcmEwmQojFYvXq1YvJZOKXAAAZYMxrE3JycpSUxK8STPgMQHsTGRlJPQcDvkKhoaHLly9fvnz5Z++2fPjwYXp6+v3790NDQ/ft2yf5jdAygwYNunr16s6dO21tbRsaGjIzMw8ePMhisWbNmvVZ2gfgCwbJaxNycnIEAoFYoTyTpAAA2lJoaKi/v7+iowCKtHbtWmdn5/Pnz/v6+n7GZm/durV27VoDA4MtW7Z8xs/YgQMH9PT0/vzzz/z8fJIkjYyMnJ2dL1y4YGVl9bkOAcCXCsa8NmHLli3r1q2jFglECDGZzM2bN69atUqBUQEA6MrLy7t16/bvv//q6+srOhagSDExMZMnT2YymVevXh0wYICiwwEAfH4w5rVp6enp9MwVIcRms2FtWADaFYFAwGAwIHMFI0aMSE1N9ff3HzJkSFBQkKLDAQC0Ckhem5CRkSFWwmQyeTyeQoIBAEj14sULGxsbRUcB2gUjI6N169bV19c/evTIx8fn/fv3io4IAPCZQfLaBD6fL1bC4XBgzCsA7Qokr0DSkSNH3r9/P2TIEHd394SEBEWHAwD4bOCBrSZILtwiFAo5HI5CggEASAXJK5CkoaGRlJT0999/P3r0aOTIkV5eXqNHj7aysurWrZu2tnZJSQnMCozp6OhAjwzoWOCBLVn4fL6KiopQKKQXamhoREVFtfaEggAA+Tk7O2/atGno0KGKDgS0U7m5ucHBwdHR0enp6fhfdTzjoeQ03l+hRYsWLVq0SNFRACAvkiQheZUlJyfH2tq6urqaXqiurn737t3evXsrKioAgBgdHZ309HToPQLyqKysfPPmjUgkMjIygof8AOhwSJKEYQOy5OfnS85HLRKJ4Mc6AO3Hhw8flJSUIHMFcuLxeLa2toqOAgDQcvDAliwFBQWShUKhEJJXANqPe/fude/eXdFRAAAAaCOQvMqSn58vOduAQCCA5BWA9uPw4cOwYjMAAHw9YNiALHl5eXV1dWKFDQ0NkLwC0H5wOJyZM2cqOgoAAABtBHpeZcnKypJ8oI3FYhEEoZB4AACS3r59a2pqqugoAAAAtBFIXmXJzc2VLIRJXgFoV7Kzs83MzBQdBQAAgDYCyass+fn5koWQvALQflRUVIhEIk1NTUUHAgAAoI1A8iqL1PVXlJWV2z4SAIBUMGYAgE80efJka2trGZO+c7lcPz+/tgyJbv/+/VpaWq9evVJUAKAdguRVlo8fP0oWQvIKQPsBySsAYvh8vo6OjqGhodjykI2pra2tra2lkteEhIS4uLjWDLAJp06devPmDfWSz+fX1dUJBAIFhgTaG0heG1VaWspisSTLYaoBANoPGPAKgJjr16+XlpYWFBRER0fLU//KlSuZmZkMxv/yga1btyoweW1oaFi8eDE9eV2yZElVVZW1tbWiQgLtECSvjSooKGCz2ZLlkLwC0H5AzysAYk6fPt2nTx8LC4vTp0/LuQuVuRYUFMTHx7daaE2LiIioqKgQK2QymQoJBrRbkLw2Kj8/n/r/mY7H47V9MAAAqSB5BYCurKwsIiJi1KhRo0aNCgsLq6yspG/lcrlHjhyJi4uzsbFhMBixsbEIoZEjRxobGyOEoqKiLC0t6+vrt2zZQhCEmpoatSObzT569KilpaWKioqNjc3JkyepTdu2bdPT03v37p2Xl5eqqmqnTp2mTp368ePH0NDQfv36KSsrW1hY7N69mx5GTU3NTz/9ZGhoyOVyHR0dqXR58+bNPj4+CCE3NzeCIDw9PXH7BEFkZGRQu8fFxbm6uvJ4PHV19QEDBty5c+ezX0bQzkHy2qiCggKpg2wgeQWg/YDkFQC68+fP19fX+/j4eHt719TUXL58WaxCQkLChAkTnJycNm7c2KtXL/qm3r17487aadOm3blzhz7q4Pbt2+vXr58+ffrOnTuVlJRmzJhx8+ZNamtRUdHo0aPd3Nxu3bq1ZMmSM2fOjBgxYvHixcuXL4+Li3N0dPzpp58uXrxI1ffx8Tly5Mj8+fN37tzJ5/Pd3d0TExMRQt7e3uvWrUMI7dq1686dO9u3b5c8wejoaHd39+zsbH9//5UrV2pra8MCe18jEjRi+/btUse8zpkzR9GhAQD+R09P78OHD4qOAoD2YvDgwaampiRJCoVCfX19V1dX+lY81WNERAS90MPDo3PnzvhvPEFkQECA2F4MBuP169f4Je4EXbhwIX65detWhNCqVauo+jgnpo5SWVnJYrGmTp2KX16/fh0hdO7cOfyytLRUVVV11KhR+GVISAhCKDo6mmoNt08d3dbWVkdHp6SkpCVXB3wRRCIR9Lw2Kjc3t6GhQbJcQ0Oj7YMBAEiqq6urqKjQ09NTdCAAtAuZmZmJiYn4zjuDwfDx8bl165bYaju9e/cePXp0c1seMGCAhYUF/rtbt248Hq+goIBeYdSoUdTf3bp1QwiNGDECv1RTU8M/MvHL8PBwBoMxdOjQ8vLy8vJygiCsra3v3r0rTxj5+flPnz6dOHEi9LZ+5SB5bVR2drbUcnV19bYNBAAgXXp6Otn45JQAfG3OnDmDELK3t8/IyMjIyBgwYABJkriQYmlp2YKWO3fuTH/JZrPF5uHS1dWl/lZSUuLxePQnnpWUlKj6WVlZIpHIyMhI6z/JycmVlZXyTOyVk5ODEOratWsLTgF8SZQUHUD79f79e8lCFotFH8MOAFAgJpMp9p0KwNcMj1idMmWKWOHq1auply1bJJLL5cquIDbKTurjzhhJklwuNzIyUqxcxi70fRGscwkgeZWhsLBQslBJSQmmygKgnSgrK4PkFQAsMTExMzPTz8/v22+/pQpDQ0NDQkIePXrk4OCgwNjoTE1N6+rqevbsqa+v39x98awIjd0XBV8PGDbQqNLSUslCJpMJySsA7URBQYGBgYGiowCgXTh9+jRBEOvXr/+OZu3atei/Hll5qKioIITKy8tbL04vLy+E0J49e+iFIpFIngCMjY179ep18eJFqetfgq8H9LxKx+fz6+vrJcsZDAYkrwC0E5C8AoDx+fwLFy64uLjgh6Uo1tbWAwcODAkJCQ4OVlJq+htfXV3d2tr63LlzdnZ2AoFgzpw58uzVLKNHj/b09NyyZcvLly8HDhwoEAgePXrUtWvXHTt2IITs7e3ZbHZQUFB9fT2bzZ4wYYLY7kFBQZ6eng4ODt9//z2Hw0lNTZ0+fTqeERZ8PaDnVbrCwkIjIyPJci6Xq6mp2fbxAAAkQfIKABYREVFaWjpnzhzJTbNnzy4qKpIcY9qYI0eOGBoaLly4MCgoqDUeiCQI4vLly+vWrUtJSVmzZs2vv/5aWFjo4eGBt3bu3Hnv3r15eXkzZ86UnKQWITR69OjIyEh9ff0dO3Zs2rTp3bt3sED0V4iAZ3Wlevz48fDhwyVvTGhqav79999DhgxRSFQAALrZs2c7OTnNmjVL0YEAAABoIyRJQs+rdMXFxVLLSZJUVlZu42AAAFJBzysAAHyFIHmVrqioSOqccyRJ4uHkAACFg+QVAAC+QpC8SldUVMTn8yXLRSIR9LwC0E5A8goAAF8hSF6l+/Dhg9TkVSgUQs8rAO0EJK8AAPAVguRVOrHFoClCoRB6XgFoD4qKirS1teVZlQcAAMCXBP7dly4/P19quUAggJ5XANoD6HYFAICvEySv0kldGxb77DM2AwBaAJJXAAD4OkEeJp3UtWERQmw2u40jAQBIBckr+MqVlJQ0NqvjV05HR0dbW1vRUYBWBMmrdI2tmwzJKwDtBCSv4CskFAofPny4fv36jIyMiooKDQ0NuBkoadGiRYsWLVJ0FKAVwYdeCpFIVFtbK3UTJK8AtBMFBQWdO3dWdBQAtJ3nz5/fu3dv7dq1/v7+P//8s5mZWbdu3RQdFAAKAMmrFEVFRVwuV2r+yuVy2z4eAICkmJgYLy8vRUcBQBu5du2av78/Qig8PNzR0VHR4QCgSPDAlhTFxcUsFkvqJkheAWgnlJWVHRwcFB0FAG3h1atXmzZtmjx5cm5uLmSuAEDyKkVRURFBEFI3wSSvALQTdXV1ZmZmio4CgLZw8uRJOzu7oKAgRQcCQLsAwwakKCoqIklS6iZIXgFoJ4qLi3V0dBQdBQCtbuvWraGhoWlpaYoOBID2ApJXKYqKiqSuDYsQUlVVbeNgAABSlZSUwGw44Iv36tWr3bt3f/jwQdGBANCOwLABKQoLC+vq6qRuguQVgPagurqayWTCGHTwZbt9+/aoUaPi4uIUHQgA7Qskr1K8e/eusU2QvALQHjRrzEBGRgZBEARBaGtrCwQCqXX8/f1xnfXr1396eJMmTSIIIiYm5tObamNv3741MDCYOHHiZ2zTwMCAIIjGrvwncnR07N69e2VlZWs0rlhpaWlTp049duyYjY2NomMBoH2B5FWKvLy8xjbxeLy2jAQAIFXLBryWlpZKTSiFQmFoaGjLIsnMzNy+fXvL9lW42NjYCxcu0EuysrI+fPhw//59RYXULHw+/8mTJxkZGTIW9O645syZc+7cOWdn58/YJpfL9fPz+8RGkpKSCII4cuTIZwkJgBaA5FUKGaOL1NTU2jISAIBULRjw+s033yCELl68KLnp1q1bhYWFQ4cObUEk+/fv77jJ6y+//CKWvDo7Ox8/frzFqXwbY7PZERERly9f/vLm6j927Fh+fn7L1pDj8/k6OjqGhoZCofCzBwZAewDJqxQlJSWNbVJXV2/LSAAAUrWg57VPnz66urphYWENDQ1imy5cuMBkMj08PJobRk1NTUhISHP3aieePn36+PFjsUKCIGbMmNG/f3+FhNQCbm5u3t7eio7i83v//r2Tk5O5uXkL9r1+/XppaWlBQUF0dPRnDwyA9gCSVynKy8ullispKamoqLRxMAAASS1IXuvq6ry9vSVHDuAxA87OzpIj2ktKSoKCghwcHNTU1Nhsdrdu3VasWFFdXY23njp1yszMLC8vr6SkBI+XtbKyou/OYDAOHz7cp08fLperp6fn5+cnOSQpMzNzypQpurq6XC63T58+v//+u0gkorYeOHCAIIi7d+9GR0cPHjxYRUVFW1vb29s7MzNTJBLt3bsXN66jo+Pn5yd2yyg9PX3JkiU9evTgcDgqKip9+/bdv38/tXXp0qUDBw5ECF2+fBkHv2DBAoTQy5cvCYLAvdSUqqqqwMBAGxsbZWVlbW3tgQMHUreM6+vrz5w5M2LEiE6dOrFYLENDw0mTJmVlZcn7riCEEEpJSZkwYUKXLl2UlZW7devm4+Nz7do1eoWsrKy5c+eamppyOJzOnTu7ubndvXsXb5IcUEuS5MGDB+3s7JSVlXV0dHx9fcUmmTIwMBg2bFhxcfHChQs7d+7MZrO7du26Zs0ayUlm0GgRGAAAIABJREFUEhISvLy88Ltjbm4+adIk+jso+73j8/nBwcF2dnbq6ura2tr9+/cPDAyUf9KAQ4cObd26Vc7KYk6fPt2nTx8LC4vTp0+3rAV5MJnM1mscgCaQQAKDIT2n53A4f/zxh6KjAwCQ69at27Bhg5yVX79+jRD6/vvvY2NjEUIzZ86kb7158yZC6ODBg3gG+MDAQGrTtm3bEELGxsbe3t7e3t5aWloIIW9vb7z16tWrW7ZsQQipqKhs3bp169athw4dwpt8fX0RQl5eXiwWy83N7bvvvjM0NEQIWVpa8vl8qv0XL15oaWkxGAwXF5dx48bp6uoihKZOnUpVwOnm5MmTtbW1V65cuWPHjmHDhiGEzMzM5s2bp62tvWrVqt9++23IkCEIIXt7e5FIRO07cuRIhFCfPn0mTZrk4eGBUw3qX7A9e/bMnj0bIdSrVy8cfFRUFEmSOM9zcnKi2qmqqrKzs0MIqaurOzs7DxgwgMPhrF+/Hm9NSkrCm0aNGuXr64t7Crt06VJdXU21oK+vjxBqaGiQ+u68ePGCx+MpKSmNGDFiwoQJ/fr1YzAY8+bNoyr8888/+MobGxsPGzbMxsaGIIhbt2411vj8+fNxDD4+PngxKh6Pl5KSQo9HS0vLxsbG0dFx27ZtmzZtsrCwQAjNmjWLHtjJkyfxd4G1tfWwYcO6dOmiqqpKnVeT792sWbPwOzVx4kR3d3c9PT0mk5mTkyP1IoiZNWuWi4uLPDUllZaWcjicVatWLV68WEVFpaKigr6Vw+FMmTKFenn16lUHBwexn23x8fF46969e3v06MFms7t37/7nn39Se+Eh0YGBgWPHjtXQ0FBWVvbw8Hj58iVVwcPDY8qUKdnZ2cOHD2exWGvXriVJMjc3d9myZebm5iwWS1NT8/vvv8/NzW3ZOYKvnEgkguRVXFlZGYfDkZq8qqqqHj58WNEBAgDIhQsX7t27V87KOHn97rvvBAKBnp6elpYWPYOcM2eOkpJSUVER7uiiJ6/l5eURERFCoRC/zM3NxY9svn//HpfgEQja2tpiR8TJq6qq6pMnT3BJWVlZ165dEULh4eFUtcGDBzOZzNjYWPyypKTE0tISIXTz5k1cgpNXBoPx+PFjXCIUCm1tbRFCbDY7LS0NFwoEgu7duyOEkpKSqMafP39Oz9jCwsIQQj169KBK8D1lHx8feuSSyevy5csRQp6enpWVlbikqqqqrKyMqhAaGkqldPX19QMGDEAInT17lqogO3ldtmwZQujYsWNUSW5u7rt376iX9vb2CKGNGzdSqXleXh71pog1jk9q5MiRtbW1uGTv3r0IocGDB4vF4+bmRjXy4cMHFRUVJSUl6rxwCYfDuXHjBrUjPSrZ7115eTlBEBYWFvX19biCQCBITk6WegUkqaurFxYWyllZDP7MJCcnx8fHI4SOHz9O30pPXu/fv89gMKZOnXr//v3Tp0/zeDwHB4fr16/jfHfr1q0EQcyZM+fQoUN4YMb27dupHfF3oouLy59//rlx40Z1dXUjIyPq6nl4eDg5OfXu3dvLy2vz5s2JiYkkSaamppqbm//8889Hjx5dvXo1i8VqcYIOvnKQvErx+vXrxqYU4PF4f/31l6IDBACQEydOPH/+vJyVcfKKszR8czwiIgJvamho0NbWdnNzI0lSMnmV5OnpSe+akp28+vv70wsDAgIQQlu2bMEvnz9/jhD69ttv6XV27NiBEKL6HXEiMnToUHqdX375BSE0duxYeuEPP/yAEDpy5IiM4NXU1BgMBpWxyZO8CgQCDQ0NJpOZl5cno2XJU6BfRtnJ64oVKxBCp0+flro1OTkZ933SO5XpxBr38fFBCNGzdqFQqKGhQf/JgXfBPc0UV1dXhNC9e/fwy99++03yHaQ0+d5VVFQQBNGjRw+BQCC1BRkOHTo0d+7c5u5FGTx4sKmpKUmSQqFQX1/f1dWVvpWevC5dupTFYlFZ/sKFC5WVlXHAJSUlXC53wYIF9GY1NDRwLo6T1169elGXHT/ht2PHDvwSDx9fuXKljDjnzZuHEGpxjg6+ZiKRCFbYEldUVNTYsAEGgwHLwwLQHrR4ea0JEyYcOHDg4sWLo0ePRgjFxsaWlJTgXFMGgUBQU1MjEonw/evGFjER4+DgQH9pZGSEEKJmJL1z5w5CKCcnB+fTWGZmJvVfSq9evegv8WBfsefrcWFVVZVkGHw+Hycourq6WVlZfD5f/sUd0tLSPn78aGtri4c9yECSZHV1tUAgwD/+5bxECKFx48bt3Lnzhx9++Pjx45w5c8RufOFhCW5ubgRByNMaHgu7d+9e+ohMvO+bN2/wW4DhsRAUfN+fenfwcd3d3aUepcn3jsfjubm53bx5c8yYMcHBwfJP1Prhw4dNmzbhTtMWyMzMTExMxJ3ZDAbDx8dn//79ubm5Xbp0kaxcXl7OZrOpDwOPx6uvr+fz+crKyjExMXV1dW5ubtQTIAMHDkxMTHz+/Hm/fv1wibe3t5LS/1KIsWPHKisrx8XF4X56bNWqVTJCtba2RgiVlpbiKw9As0DyKq6oqKixTQRBQPIKQHvQsnleEULOzs56enphYWGHDh1isVgXLlxgsVjjx4+XWrmsrOzXX38NDQ3NysoiSbK5x9LT06O/xL+KqXbev3+PEEpJSUlJSRHbUSzzwx2HYjp16iRZSA/y5cuXGzdujI2N/ZQ5UPEDRvScT4xQKNy3b9+JEyeePXsmOY2DPJycnEJCQvz9/RctWrR+/frFixcvWbKEOuUmAxALBteXOgWp2FXFv0MoOMGlLqDs48rz3p09e3b27NlXr169ceOGm5tbQECAPDO2enh4uLm5tXjmrzNnziCE7O3tMzIyEEIDBgzYt2/fmTNnVq9eLVl55MiRJ06c+PPPPxcsWPD27duLFy86OTnh7zj8yB3uxqYrKyuj/qb/nlFSUjIwMMCXBdPR0RH7iFZVVW3bti08PPzdu3f19fX409KC/60AQDDbgKTq6mr6E6N0XC4XpsoCoD1ocfLKZDK9vb3Ly8tv3rzZ0NAQFhaGn5SXrFlfX+/s7Lxjxw5zc/OjR49GR0fHx8ePGDFC/mPJ7uDEX9tbt26VvClGPUqPSb0XJLsn8uXLlwMGDDh//vzYsWPPnz8fGxsbHx/fgiuGg5RxLH9//x9//LG6ujooKCgyMjI+Ph4PA2iWiRMnZmdnBwcHc7ncwMDA3r174/vy8gRAh//p5nA4Um81ir13jd1hk+e48rx32traYWFhSUlJPj4+sbGxLi4uP/30k+z4X7x4IRAIjh49Ks/JSoWnF5gyZUr37t27d+8+Y8YMqlCSr69vQEDA4sWL2Wy2hYVF586dT5w4QT/BAwcOxP9fVLcrQkjst4rY96bkoyM+Pj7btm3z9vaOjo5OTU3F3cMAtAz0vIp7//59Yze8amtrqbskAAAFavGwAYTQxIkT8cgBBoNRWlra2FKoV65cefbs2eDBg2/cuEElOrt3725hxBJwx1V2dvbnapBu9+7dlZWVq1atok+31IIFWnHncX5+vtSteXl5R44cUVdXT0hIoLqZU1NTWxAwj8dbtmzZDz/8EBAQEBwcPHfuXDywUnYAYlgslo6OTnFxcUFBQcum96fIPq78793AgQMvXbr0+PFjHx+f3bt3jxw5UsZ0wnv37sVjl1smMTExMzPTz8/v22+/pQpDQ0NDQkIePXokNogFe/369ZgxY3bu3GlkZERfgsfU1BQhpKmp6eLi0tjh6BeHz+cXFBSIjW+hy8vLu3nz5rRp0wIDA3FJY51EAMgDel7FFRYWyrj5Jf9YMQBAK6murmYymS3+n3Ho0KH6+vpXr149c+YMm80eN26c1Gpv3rxBCH3zzTdU5kqS5D///EOvw2QyCYJo2e1yPL9VVFRUC3LKJuHg6WuGZWdni81gjX+Kyw7e2tqax+M9e/ZM6tiD7OxskiStrKzoAyRalrxiHA5nx44dBgYGycnJ+LLgua7i4uLkbAFf1fDw8BbHgOHj4rnVGjuK/O+dvb09HgAqe93d/fv3+/v7NzvW/5w+fZogiPXr139Hs3btWtRI52teXt6FCxf8/f179Oghtnikm5sbl8vdv38/fY0usXSTvt5HaGhofX09nsdNKtyVq6mpiV8KBILr16+38DwBgORVUkFBQWObRCIRJK8AKFyLxwxg1MiBs2fPuru7U1+oYnBCRh/UGBQUJDb9PkEQenp6FRUVb9++bW4Yffr0GTJkyP9n707jmri6BoDfIQkJWwDZZAdREBREwQooCgqKLagVV9CCK1qpC65FrW3RghWrrXWr1rpVsYBSfVQUiygKtrgALqiooFIWgWxAwpbM+2H6TtMAISAwQM7/Q3+ZO3funEQrh5s7575+/ToiIoLMgXAcT0tLe//pWJnga2trV65cKdOHmJt89OiRnDkwOp0+f/78xsbGJUuWCIVCorGuru758+fkXV68eEE+53Tz5s1ff/21TaGmpqZKf9lVWFjI4XD69OlD5NYffPCBs7NzTk7O9u3byfWRlZWVTbd7IISHhyOENm/eLP1rRkVFxfnz59sU1dy5c1ks1k8//ST97FR+fj4Raqt/dgUFBU+fPpUekPizkFkGLW39+vWKLIptSX19/W+//ebl5SWzXtbBwWHEiBGnT59ummfr6+traWlFRUV98803MTExu3btSktLI09FRUXduHHDw8MjKiqKmAsfN26c9OX9+/f39fXdu3dvVFTUokWLTExMiMrBzTI1Ne3fv//Ro0f37Nlz5MiRcePGwdeY4L20v1ZBLyXnOx0NDQ0FS0wDADrP3bt3XVxcFO8vXSqLQM7kHT9+nGyUKZXF4XCImkoeHh7z5s0bNmyYpqYmUXn+8uXL5FVExR8rK6tPPvmE3L+AKF+QkpIiHQZR92r9+vVky4sXL0xNTRFChoaGY8eO9fT0JJKb9PR06Us2btwoPQ5RxSkqKkq6kfg2dteuXcThrVu3MAyj0WiTJ08ODg62sLAYNGgQkXyQpZEkEgmxJdgHH3zwySefbN68GW+uzqtAIHB0dEQI6erqenl5EZ9DWFgYcZZ4Ht/Gxmb+/Pm+vr4qKirLly+XeZvyS2W5uLhoamr6+Ph88sknAQEBRMH8HTt2kB0ePHhA/IJhaWnp7e3t4ODAYDBOnz7d0uDEHKeKioqLi4uPj4+TkxOdTh83bpz8eIg/Muk/2Z9//pnYe8zJyWnMmDFEmd6SkhJF/uyIqd+BAwfOmDEjODjYyckJIWRmZsbhcJr9EHAcHz169I0bN1o62yqiWFWzxRx/+uknhND58+fx/5bKevnypa+vr8yPOentIU6ePDls2DAmk8lkMgcPHrx7926iPTMzE8MwPp+/devWvn37qqmpjR8/XmaTAlNTU5kwcnJyiAfCjIyMPv/8cyLLJ2sVA6A4qPPaDKIgdrPU1NSgKB0AlPvuu+/Mzc0V7980eSVKYDKZTD6fTzY2rfOanZ09btw4NpvNZrPHjx+fk5NDVFCSTnF4PN6MGTP69OnDYrHIousKJq84jpeVla1cuZLYdkhDQ8PZ2XnTpk08Hk/6knYkrziOJyYmkjvTzps3r6KigtgwjExecRx/+PDhyJEjNTQ0tLW1N2zYgDeXvOI4LhAIPv/8c2KzJTU1NTc3N/ITKC8vDwkJMTQ0VFNTGzZsWHx8fGNjo4aGhuLJa2Ji4tixY3V1dYkn1kePHn3y5EmZPi9evPjkk09MTExoNJqOjs6kSZOeP38uZ/CkpKSxY8dqa2vT6XRjY+OPP/5Y+s9CweQVx/HU1FQ/Pz8dHR0ajWZsbLx48WKhUEielfNnV1paSpxSVVVVV1e3tbUNCwuT3uNAxsuXL/v169fS2c5QWFiopaW1atUqYmcBiURSUVERFBSEEJLZlAuA7kYikWA4FKr4r/79+8sUWSSpqqpWVFS0tIUBAKBr/PzzzxcuXCC2jAKgF1i/fj2Dwdi6dWuX3fH48eMhISHPnj2ztbUlGzdu3BgTE8PlcqGuDujOcByHRSey+Hx+S6caGxthzSsAlKurqyO+sQWgd/j+++/J9aZdw9XVlcFghIeHL126VEdHh8PhXL9+/cCBA/PmzYPMFXR/kLzKanaLGgKO4wwGoyuDAQA0xeVyZSrMA9Bz/fXXX0OGDCHqG3QZBweH8+fPx8TELFq0iMfjaWlpOTg4/PDDD2FhYV0ZBgDtA8nrf4jF4rq6upbOwtORAHQHPB6PWLYIQC8QFxc3a9asrr+vn5+fn59f198XgPcH2dh/cDgcFoslEomaPauqqtrF8QAAmuJyucRj8gD0AqdPn266zSwAQA6o8/ofHA5HzvQqrBkAoDuAZQOg10hMTNTX13/P/cAAUDaQvP4Hh8ORs+E1zLwC0B1A8gp6jUOHDsnZmAoA0CxYNvAfXC5Xzlkmk9llkQAAWgLJK+g1njx5cujQofZdW1lZWVFR0bHxUE5fX19PT4/qKEB3B8nrf3A4HOmtnGVA8gpAdwDJK+gd7t27Z2hoaG5u3vRUY2Pjo0ePcnNzhUJhVlYWk8l89OgRh8PR0dF5/vy5urq6RCIhdizvZU8Sh4eHE3v8AiBHr/pL//44HE5DQ0NLZ6HIKwDdAY/HI/YLbcmbN28sLCy6LB4A2icpKWnKlCkIoerq6nfv3u3du/f58+dPnz79+++/mUxmfX29ubm5jo4On8/39PT84IMPtLS0LCws6urqLC0tVVVV9fX1Bw0a1MuSVwAUAX/p/6OyslJOqSxIXgGgHI7jAoFAW1u7pQ7btm2zsrJydXXtyqgAaIeDBw9+9NFHffv25XA4NBqN2Mh3zpw5BgYG9vb2xsbGVAcIQDcFyet/lJSUyDmrpqbWZZEAAJolf83A2rVr7927V1xc3JUhAdAOjY2NlZWV169f37ZtG5HCUh0RAD0GJK//UVZWJucsJK8AUE5O8vr48eNffvml9z3CAnqrzMzM1atXL1iwgOpAAOhhoFTWf5SXl8s5C8krAJSTk7xevXr1448/7uJ4AGg3d3f38vLyFy9eUB0IAD0MJK//Ib9Ulrq6epdFAgBolpyntRISEubNm9fF8QDwPkJCQo4dO0Z1FAD0MJC8/gefz5dzVlNTs8siAQA0q6WZ1+fPn1dUVHh4eHR9SAC0W1VV1Y8//kh1FAD0MJC8/kdVVZWcsxoaGl0WCQCgWS0lrytWrJgwYULXxwPA+1ixYoWampqc+uIAgKYgef2PmpoaOWdh5hUAyrWUvN65c2fVqlVdHw8A78PExMTFxeXy5ctUBwJATwLJ67/4fL6qqmpLZ1VUVOCBLQAo12zy+vr1a21tbWtra0pCAuB9LFmy5MCBAx04YE5OzoIFC2xsbNTU1HR1dZ2cnCIiIl69etWBt+gQOI5v3bpVIpFQHQjoeSB5/ReHw2EwGC2dpdPpsEkBAJRrNnnNzMx0d3enJB4A3tNHH3109erV3NzcDhltx44dw4YNS0hI8PDw2LBhQ1hYmJmZ2aFDh3Ac75DxO9Bff/21efNmSF5BO0Cd138Re5y0dJZGo8HMKwCUa7baQEZGBjyqBXquzZs3Hz9+PDY29j3HOXfu3Lp160aOHJmUlKSvr0+219bWdsPJl9OnT1MdAuipYOb1X/LrZKmoqHTD//kBUDbNzrxC8gp6tHXr1nVIzYHIyEgtLa3ExETpzBU12du8oKAgMDCQzWaz2eypU6cWFBRInz106JCTkxOLxerbt++SJUsqKyvJUzExMYaGhkVFRZMmTdLQ0OjTp8/cuXP5fP7Zs2eHDRumpqbWv3//3bt3S48mFApXrVplbGzMYrHc3NyuX79OtI8fP/77779HCDEYDAzDiMQ9JibGzMysrq5u4cKFbDbb09MzJiYGw7CHDx9Kj+ng4DB06ND3/7hAzwXJ6784HI6c7y8geQWgO2iavDY0NOTm5rq4uFAVEgDviclkzp07d/369e8zyLNnz54+fTp9+nQjIyM53SoqKjw9PXNycrZu3bpp06Zbt26NHj2anLv55ptvFi9ebGtr+8MPP4SFhZ0+fdrb21skEpGXl5eXf/jhh76+vmlpaStWrDh58qSPj89nn322evXq1NRUNze3VatWxcfHk/0DAwMPHz4cFhb23Xff1dfXjx8/PiMjAyH01VdfTZ48GSGUlpaWnp4+e/Zson9ZWdmyZcsePHiwatWqZcuWzZ07V0VF5dSpU+SAeXl5eXl5QUFB7/NZgR4PB/9v//79chYGaGtrnz17luoYAVB2VlZW+fn50i379+93dnamKh4AOkRRUZGlpWVCQkK7R0hMTEQI7d27l2x59+5d/v8rKSkhGtetW8dkMl+/fk0cXr16FSG0fft2HMcrKipYLFZgYCA5QkpKCkLou+++Iw6jo6MRQhs2bCA7DB48GCF08eJF4rCqqorBYMydO5c4vHTpEkIoLi6OOORwOBoaGhMnTiQOw8LCEEINDQ3kaMT4I0eOrK+vJxsnTJhgaWkpkUiIw6+//hrDsLdv37b7gwI9nUQigZnXf1VWVtbV1cnpADOvAFCusLBQZuY1MTHRx8eHqngA6BCmpqZJSUlbt25t9wgCgQAhpKWlRbZ8/vnnA/5feHg40XjhwgUnJyc2m83j8Xg8npOTE4Zht27dQghdu3attrY2ODiYHMHHx8fY2DgpKUn6RhMnTiRf29jYEN2IQ01NTUNDw7KyMvJeKioqo0ePJu6FYZiDgwNxLzkiIiKkH54ODQ19/fr17du3icPExERPT08zM7M2fTigl4EHtv5VVlYmZ9kAhmGQvAJArZqaGg0NDT09PelGDodDfucIQM/l7Oxsamp68eLFjz76qB2XE2krmTgihJYuXern54cQkv6SvaCgoLa2VuY3QGLZALH41cLCQvqUhYWFTJktAwMD8jWdTtfS0pKuMkmn08k9FwoKCiQSiYmJiUyoYrFYzuPRdnZ20odTpkzR0dH59ddfR40a9fLly5ycnP3797d0LVASkLz+S37BDnV1denfaAEAXU8gELDZbJnGvLw8e3t7SuIBoGPx+fy1a9e2L3klcr78/HyyxcXFhVgLPmfOHLIRx3E3NzfiC3qStrY2QgjDMEVuJFNTUkWlxa9wcRxnsVhNt2CQcwlCiMlkSh+yWKyZM2fGx8fv2bMnISGBwWBMnz5dkThBLwbJ67/y8vLknK2pqZHzmyIAoAs0TV4LCwuNjIygjB3oHfbs2RMSEiJ/YrIlDg4O5ubmZ8+e3bFjR9Pf8UiWlpY8Hs/Ly6vpqX79+iGE3rx5I/3449u3b4n2drC0tKytrbW3t5f/DFmr5s2bd/DgwbS0tHPnzo0fP17muxeghGDN67/kl8rCcVzm10EAQBfj8/nEFBEJpl1Bb+Ls7Ozo6BgXF9eOa1VUVNavX19RUREUFFRVVdVSt0mTJj19+vTatWvSjcQXj76+vurq6idOnCDb//jjj+Li4vbNBBP3Qgjt2bOn6b0QQurq6gghHo/X6jgjRoywt7ePj4/PysqCOgMAwcyrND6fL+csJK8AUK7pzOuTJ08cHByoigeADrdy5Uo3Nzfpp6YU9+mnn2ZlZR07dszGxiYgIMDKyopOpxcWFjY0NJB9NmzYEB8fHxAQMH/+/AEDBnC53OvXr69YsSIwMFBHR2fbtm2rVq2aOnWqn59fcXHx7t27pR/2aqsPP/zQ399/27ZtT58+HTFiRGNj4927d62trYmqru7u7rt27Vq5cuWHH35obm7u6ekpZ6iQkJCvvvqKxWIRBbaAkoPk9V9yflVFCInFYnhgCwBqNTvzChvDgt7E1dV1w4YNUVFRmzdvbuu1GIYdPXo0ICDgwIEDSUlJPB6PyWSamppOmzYtNDSU6KOnp5eZmRkZGZmQkFBZWamrqztq1ChnZ2fi7MqVK/X09GJjYz/77DNtbe2pU6dGR0dramq2771gGJaYmLht27aTJ0/+/vvvLBbL2dl5yZIlxNlp06YtXbr01KlTZ8+ejYmJkZ+8BgUFbdiwYdasWRoaGu0LBvQmGN799jumioaGhlAobOksi8V6+/atzLYlAICu9Msvv6Snpx85coRs8fDw2LlzJ+SvoJcxNDQ8ePDgxx9/THUg3cXVq1cnTJhw/vz5gIAAqmMBFMNxHNa8/kt6E5GmJBIJLBsAgFqw5hUoiZSUlNjYWKgJRTp48KCJiYl0iVmgzGDZwD+IfUHq6+tb6iAWiyF5BYBaMmteX716heO4jo4OhSEB0BmGDBly+/ZtS0vLCRMmtPth/17gr7/+evbsWWZm5tmzZ/ft20enQ9ICEILklcTj8eQnrxKJRLoOMwCg6wkEAmNjY/IwKysLiuaAXuzs2bMDBw6U84Op10tLS9u0aVPfvn23bdu2dOlSqsMB3QUsG/gHj8eTX1cPirwCQDmZZQNisdjNzY3CeADoVC4uLteuXZPe0UrZrFu3rr6+/s2bN5GRkVTHAroRSF7/wePx5O/5Ad9WAEA5mWUDhYWFVlZW1IUDQKcbPXr0woULbW1tqQ4EgG4Ektd/8Hg8+YUXZDbEAwB0PZmZV0hegTKIjo5euHDh9u3bqQ4EgO4Cktd/8Pl8sVgspwMkrwBQDmZegXIKDg6OioqaOXPmjRs3qI4FAOrBV+H/4PF4jY2NcjrA01oAUA5mXoFyMjU1LSkpcXd3X716ta6ubkpKCkKosrKyoqKC6tC6C319fXh8U3lA8voPLpdbV1cnpwMkrwBQDmZegdLS0tJ69OhRTk7O0qVLaTSanp6epqYmjUaDh4kJ4eHh7d7GFvQ4kLz+o7y8XP6aV0heAaCc9MxrcXGxgYEBrOcBSmXIkCEZGRn19fU3b95UV1cfOnSompoa1UEB0NUgef1HeXm5/A6QvAJAuaqqKi0tLeI1TLsCpaWqqurj40N1FABQBh7Y+kerK4dYLFbXRAIAaBasGQAAAIAgeSUC+9ufAAAgAElEQVRxuVz5HWBvWACoBU9rAQAAQJC8kvh8vvwOMPMKALVg5hUAAACC5JVUVVUlvwMkrwBQS2bmNTMzs7a2lsJ4AAAAUAKS13/U1NTI7wBPdAJALZmZV4SQubk5VcEAAACgCiSv/xAKhfI7qKurd00kAIBmycy84jg+YMAACuMBAABACUheEUKopqam1TrPMPMKALVkZl6Li4tNTEwojAcouf379+vq6j5//pzqQABQOpC8IoQQj8drtdQ5zLwCQC3p5LW2tlYkEunq6lIbEuj+zp49O3LkSF1dXR0dHUdHx5UrV5aVlRGnbt68mZqaqvhQx48ff/XqFXlYX19fW1srf19xAEBngOQVIYR4PB6d3sp+DZC8AkAtme21YNoVtOrkyZOBgYE8Hm/JkiWLFi2ysrL67bffNDQ0iLPR0dGKJ68NDQ2fffaZdPK6YsWK6upqBweHjo8bACAX7LCFEEI8Hk9FRV4ej2EYJK8AUCs3N5dMWP/++29TU1Nq4wHdX0xMjJWV1d27d8l1XxKJhPjXvrS09Pr16y4uLgoOdfHiRYFAINPY6nozAEBngJlXhBDi8/k4jsvpQKPRYJMCAKiloqICM6+gTWpqagYOHCj9xAKRuSYnJ9vZ2dXV1W3btg3DME1NTeJsfHy8j4+Ptra2qqqqvb39sWPHiPatW7cGBgYihHx9fTEM8/f3RwjFxMRgGPbixQty8NTUVG9vby0tLTab/cEHH6SnpyOESktLQ0JCTExM1NXVbW1tw8PDq6uru+oDAKB3gplXhBDi8XgSiUROB0heAaCcrq4uWV4AklegCGdn59TU1FevXvXr10+63dHR8cSJE5MnT/7kk08WLVpETqAmJCSw2ewvvvhCW1v70KFDoaGhlpaWXl5eU6dOFYvFX3755a5du1xdXfv06dP0XikpKRMnTjQ3N1+6dKmWllZGRgbRbfr06fn5+evWrWOz2Q8fPszJySHXLQAA2geSV4QQ4vF4DQ0NcjpA8goA5aqqqrS0tIjXkLwCRXz99ddpaWnDhg1btWpVWFhY3759iXZTU1MiYTU3Nx81ahTZ/8yZM+TrDz/80NTUND4+3svLy8HBwc7ODiE0ePBg6f7S1q5dq6ure+/ePenUtqGhISMjY+3atREREZ3xBgFQTrBsACGEuFxufX29nA4qKiqQvAJALUheQVs5Ojr++eefPj4+X3/9tZWV1ZIlS5quW22JiYmJjo4Oh8NRpHNJSUlOTs6MGTNkJmUZDIadnd3p06fv3r3b5ugBAC2A5BUhhCoqKuQvG8AwDLaHBYBa0skrPLAFFGRra5uQkPDy5cvQ0NCffvrJ3d1dzq7C169fDwgIMDc3Z7PZmpqaPB5P/uMQpDdv3iCErK2tm56Ki4tjsVjDhw/38fG5du1au98IAIAEyStCCL17905+B5h5BYByMPMK2s3KyurAgQN79+598uSJ9NoAaenp6b6+viUlJfv27cvKysrOzla8yAyR4zb7Y8LJyenx48cnTpwoKSnx9fVdtmxZu98FAIAAyStCCFVWVrbaB5JXAKhVXV1NPhUOyStoh4CAAISQdH0AaSdOnBCLxfHx8QEBAXZ2diYmJiKRSMGRzczMEEKFhYXNnqXT6XPmzMnNzV28ePG+ffuys7PbEz0A4P9B8ooQQlwuV34HDMMgeQWAWuTMq0AgoNFo8Mg2kE8sFss8iXvjxg2EEFF5gJhV5fF45Fli9lRHR4c4PH/+vPSagab9pZmZmQ0ePDg+Pp7P50u3S49Ao9GIGlslJSXv874AAFBtAKGW/z2SBmteAaAWmbzCtCtQBJfLdXBw8PX1HThwIJ1Of/z4cXx8vL29/axZsxBCbDbbwcEhLi7O2dm5sbFx4cKFY8aMOXz4cGho6KxZs/Ly8o4ePWpubk6O5uLioqqqun379rq6OlVV1enTp8vcbvv27f7+/q6urrNnz2YymdnZ2SEhIcbGxsuXL/f397eysiovL9+zZ4+pqamHh0eXfhAA9D44wHFjY2P5n5K2tnZWVhbVYQKgvBoaGuh0OvF69+7dxsbG1MYDuj+RSDR//nxbW1stLS0NDY1BgwatWLGioqKC7JCRkTF48GAGg2FlZVVfX4/j+KZNm/r27ctkMt3d3e/du7dw4cKZM2eS/Q8dOmRiYsJgMIjG6OhohFB+fj7ZITk5eeTIkWpqakwm083N7eHDh2VlZTNnzjQwMKDRaEZGRoGBgXl5eV34GQDQC0kkEgxX7FHK3s3c3LyoqEhOBwMDg+vXrw8aNKjLQgIASONyuTY2NkTdosOHD1+5ciU+Pp7qoAAAAHQ1HMdhzStCCJWWlsrvUFtbS6fDEgsAKCNdakAgEFhYWCh+7YsXL7DmEA/Z9DJEqfw///yT6kAAAKCzQEKGGhoa5Bd5RQjhOA4PbAFAIenk9d27d4aGhm0dQV1dfejQodIt7Rik+8vKyuLxeI8ePRoxYgTVsQAAQKeA5BUJBAJVVVU5ZasRQhKJRFVVtctCAgDIkK6TVVZWNnDgwLaOYG1tfevWrY6Oq9vZv3//zZs358yZQ3UgAADQWSB5RQKBoNUlAZC8AkCt9595VRL29vb29vZURwEAAJ0I1rz+UzNSfh+JRALLBgCgUGcnr9XV1Vu2bLG3t2exWHp6epMnT87KypLu8PTpUwzDYmNja2pqlixZoqenR6fTyXL3xOWDBg1SU1PT09MbMWLE4cOHpYPfsGGDtbU1k8m0tLSMiIiQX1u6vr5+586dzs7ObDZbT09v+PDhW7ZsKSsrk4m22dvNmjULwzCZbUhfvnwZHBxsYGDAYrGcnJy+//576bVSBw4cwDDs5s2b586dc3d3V1dXZ7PZPj4+mZmZzX5K7X6bDx48mD59urm5uZqamo2NTWBg4P/+9z85nwMAADQLZl6RQCDAMEx+H7FYDDOvAFBIOnktKyszMjLqwMFramrGjBlz//79/v37f/zxxxwOJzk5+fLly2fOnPn444+lexYXF0+fPv2PP/5wdnaur6+3tLQkLvf09MzOzmaz2SNGjBCJRDk5OX///TdxiVAo9PLyun//vpOTk6ura25u7q5du65cuXLnzh3yHclYunTpkSNHrKysJk6cyOPxsrOzt23btnDhQjJaObdr6smTJ6NGjeLz+aNHj9bR0bl9+/bKlSvv3bt3/Phx6W4bN2588ODB/PnzZ86cmZ2dffz48du3b+fk5Nja2ipy31bf5pMnT8aMGSMSiby8vHR1dV++fJmUlKSvr0/U7QcAgDaguFpXN/C///1PW1u71Q9KIpFQHSkAyuvHH39ctmwZ8ZrJZNbW1ip+bX5+PkJo0KBBLXVYu3YtQmjRokVisZhoyc7O1tLS0tbW5nA4REteXh5CSFdXd9CgQW/evJG+fPXq1Qghf3//qqoqoqW6uprL5RKvIyMjEUJffvklcdjY2EgUyY+MjGw2GB6Ph2FY//796+rqyEuk60zLv93MmTMRQikpKWR/Dw8PGo32xx9/EIeVlZV2dnYIoatXrxIt+/fvJ/6Vu3nzJnnVmjVrEEKrV6/uqLcZERGBEDpy5Ag54Nu3b4uKipr9EAAAoCUSiQRmXpFAIGi12gCNRmt1dhYA0HnImVc+n89isdqxjKegoMDLy0u6ZcGCBXPnzhWLxYcOHWIwGN9++62Kyj8rqYYMGRIWFhYbG3vixInly5eTl3C53LNnz0pvvCQWiw8fPkyj0X766SfykTJy61ocxw8dOtSnT59NmzYRLTQabc2aNXFxcQkJCdu2bWsaJxGDiooKuZyJRqO5uroqcrumHj9+nJGRMXny5LFjxxItffr0WbRo0Zo1axISEnx9fcmebm5unp6e5GFAQEBsbGxubm5HvU3ifTEYDPIWHV6qrLKysqKiomPH7Br6+vp6enpURwFAjwHJKxIIBI2NjfL7tLooFgDQqcjktd0LXoVCIbG1PcnHxwchlJeXx+PxXFxcyE3tCd7e3rGxsTdu3JBOXvX19WUy4Ly8PD6fP2TIkGY36nv27Fl5ebmRkdGyZcvIRpFIhBB69epVs3FqaWn5+vpevXr1o48+2rlzp8zeKPJv11R6ejpC6M2bN0uWLCEbX758Sf6X5OzsLH1oYGCAEKqqquqotzllypTvvvtu2bJlfD5/4cKFHfUUQVFR0Y8//lhTU/P777/jOF5XV9enT58OGbkrhYeHh4eHUx0FAD0GJK9IIBA0NDTI7wM7FABArerqamKda7uT10GDBj169KhpO7FHSd++fWXaiSytuLhYutHa2lqmG/EclYmJSbM3JZaElpWVHTx4UOZUY2NjY2Njs/+2nDp1asGCBb///vuVK1d8fX03btw4ZswYRW7XUgAPHjx48OCBzCmZ+oC6urrSh8R3Tfj/b8H4/m9z5MiRp0+fXrp0aXh4+JdffvnZZ5+tWLFCkSVbcnz77bfbtm0bMGDAkCFDQkNDp06dam1t/Z5jAgC6P6g2gDgcTqszr5C8AkAtcua1w5/WksnSSESLzHqhpg9uNttN5uyECROaXbnV0j8senp6SUlJd+7cCQwM/OOPP7y8vFatWqXI7VoKIDo6uundZarekksm5Izznm9zxowZhYWFO3fuZLFYW7ZscXR0bPbXiVYVFhbGxsbq6upeunQpIyPj7t27P//889dff+3s7AyZKwDKAJJXVFlZ2Wof6XVaAICu9/7LBlpiamqKECopKZFpJ1pa/XaeCKbp5QTi8sLCwnYENmLEiISEhL/++svS0nL37t1Xrlxp9XYdG4C0jnqbWlpaERERL168WL169du3bxctWtTWSI4fP+7m5vb999/HxMSkpaXJLKsAACgDSF4Rh8NptQ8krwBQq/NmXu3s7IyMjB4+fCjzTwGxQHbUqFHyL3dwcNDS0nr48OG7d++anrW3t9fT03v27Nnz58/bF56Li8uGDRsQQkTVVfm3a4p4Bis5ObnV75fk69i3yWQyY2Nj+/btm5WV1abAiouLv//+++PHj799+zYsLEzxCwEAvQkkr0h+tXACJK8AUKvzZl4xDAsPD29sbFyzZo1YLCYaHz16dPDgQU1NzVb3WaXT6fPnz29sbFyyZIlQKCQa6+rqiDRORUXl008/RQgtWLBA+kueZ8+e3b59u9kBCwoKnj59Kt1CLFcl3rX82zXl5OTk6en5+vXriIgIMk3EcTwtLa1N07Hv/zZTU1OlV9kWFhZyOJw+ffoovijr7NmzwcHBq1evHj9+vOKRAwB6ofesttULuLi4tPop9evXj+owAVBqjo6Oubm5OI5PmzYtPj6+Tde2Wue1rq6OqCFgY2Mza9YsPz8/JpNJo9FOnz5N9iHqvI4cObLp5QKBwNHRESGkq6vr5eU1bNgwTU3NsLAw4mxtbS0xuLq6uqenp7e3t42NDUIoKiqq2WAuXLiAEBo4cOCMGTOCg4OdnJwQQmZmZmTFWfm3a1rn9cWLF8TSCENDw7Fjx3p6ehJ5cHp6OtGBqPO6ceNG6TCI9ztixIiOepsuLi6ampo+Pj6ffPJJQEAAUWZrx44dLf2hyPDz8xszZsz58+cV7A8A6K0kEgnMvCKBQNBqH9heCwBqdd6yAYSQqqpqcnLytm3bGAzG2bNn//rrLx8fn5s3bxJl9lulpaV1+/btzz//3MDAICMjIy8vb/DgwVOmTCHOMpnMK1eufPfdd3Z2dnfv3iVmIhcvXjxjxoxmRxs+fPjKlSvr6+uTkpLOnTtXW1sbFhZ2584dshqA/Ns1ZWNjc//+/ZUrV2pqaqanp9+/f9/ExGTTpk1EJqq493ybkZGRH3zwwb17906dOpWVleXi4nLy5EliK4RWTZo0qb6+/vPPPw8ICGhTzACAXgnDmzxjq2xMTExaffrByckpJyena+IBADSlr6//7NkzPT09CwuL5ORkBwcHqiMCXeTly5dr1qwJCgqaPn061bEAAKiH4zjMvCJy/ZYcMPMKALWqq6s1NTXFYnFRUZGlpSXV4YCuM2vWLFVV1V6fudbX11tbW0vvJQEAaAkkr/9sAyMfi8XqgkgAAM1qaGiQSCRMJrOystLAwEDObqiglzly5Iidnd2ZM2fad3laWhr2/xgMhpmZWVBQ0J9//tmxQXYIHMdFIpEiP48AAMpee18ikbS6vRaCmVcAKEUueK2srIQt4JVHcnLy8uXLq6ur33OcoKAgd3f3urq6ly9fJiQkxMXFxcTErFu3rkOC7ChMJvPvv/+GrcgBUISyJ698Pl9VVbWurk5+t47ahhsA0A7l5eXE/4OQvCqVefPmEUUP3pO3t/fChQuJ1zExMZMnT16/fv2QIUMmTJjw/oN3IMhcAVCQsi8bEAgEitRwVVNT64JgAADNevToEfENCSSvymPcuHHz5s0zNzfv2GHZbPapU6dUVVW/+eYbhFBMTAyGYQ8fPpTu4+DgMHToUOKsvr7+8+fP/f39NTU1jYyMZs6cWVxcTPbMysoKCgoyMjJiMBgmJiaRkZHkVMidO3cwDLt///6KFSuItS5eXl6PHz9++PChn5+fpqamiYnJ0qVLpeeVWSyWdF3hV69ezZo1y8DAQE1NbeDAgQcOHCDaT506NXToUE1NTaL22cWLFzv2IwKg+4PkVSB/R28CzLwCQCEdHZ0hQ4YghCoqKvT19akOB3S6X375hc/nE/llhzM2Nvb29r59+7ZAIJg7d66KisqpU6fIs3l5eXl5eUFBQcRhZWWll5fXyJEjb9y4ER0d/b///W/atGlk58zMzIKCgvDw8J9++snLyys6OjomJkb6XsHBwXV1dZcuXTp48OD9+/cnTZrk5+c3duzYlJSUlStXHjhwYP369c0GWVpa6u7ufuHChenTp2/ZssXV1ZX4MXTt2rXg4GA7O7sff/xx7dq1dDq9qqqq4z8jALo5ikvNUu3WrVs6Ojqtfkrz58+nOlIAlNfvv/8+adIkHMe3b9++bt06qsMBnau2tlZHR4fL5b7/UNevX0cIHTp0SKadqC+bnZ2N4/iECRMsLS0lEglx6uuvv8Yw7O3btziOR0dHI4QiIiLIC5cuXYoQKisra3oviURiZ2fn4OBAHBLb+bq7u5MdwsPDEUJr164lW9zc3MzNzclDJpMZHBxMvF6xYgVC6MaNGzJ32bx5M0JIJBK17YMAoBeBTQqQQCDAFah0C8sGAKAQUScLwbIBJXD//n0LC4tvv/1WkWmFdiMKVhBf2YeGhr5+/ZrcxjYxMdHT09PMzIzs7O/vT762tbVFCJWVlTUdE8Mwe3t7Docj3ejn50e+JrYc8/X1lW5pdiiE0OXLlx0cHEaPHi3TPnjwYITQxo0boS4BUGaQvAokEkmr3aBUFgAUqqmpIbINSF57vaVLl164cGHRokWdehc+n48Q0tbWRghNmTJFR0fn119/RQi9fPkyJydn9uzZ0p2ld3Sj0+kIIbFYTBy+evVq3rx5AwYM0NHR0dTUvHDhgsxsiIGBgcy10n+B6XQ6OZSMN2/eWFtbN22fMWPG2rVrd+/ebWFh8cUXX8jkygAoCWWvNiAQCFr6t0Oaurp6FwQDAGgWOfOqyJrX3bt3i8Vi6dky0FPs3bu3b9++H3zwQWff6N69e6qqqv3790cIsVismTNnxsfH79mzJyEhgcFgyGyI0FKpRC6X6+HhgRDaunWrm5sbi8VavHjxkydPpPs0fSBYkacsEEI4jrf0rMW3334bFha2Y8eO7du3Hzp06OrVq23d6ReAng6SV0GrdV5VVFTggS0AKKTgzOvff/89ZswYIyOjysrKQ4cOdWGAoGMUFxdraGg8fvx40KBBnXeXR48e3bp1y8/Pj/xKbd68eQcPHkxLSzt37tz48eMVnN2/fPlyWVnZkSNH5s2bR7TU1NR0VJBmZmaFhYUtnbWxsTlw4MBnn33m4eGxefPmpKSkjrovAD2CsievfD6/1eSVRqPBJgUAUKimpob4hld+8jp16tQ1a9bABps9mkgkYrPZiuwd0z6FhYXTp09XUVH54osvyMYRI0bY29vHx8dnZWWdOHFCwaGIFQLk2tzCwsLc3FziL+r7mzhx4t69e+/du+fi4iJzUwzDiNeDBg3q379/SUlJh9wRgB5E2ZPXioqKVvvAzCsA1KqurjY1NUVyk9cLFy4YGRlB5trTqampXbhwQV9fX5F/nBV07dq16urq6urq3Nzc8+fPSySSQ4cOubm5SfcJCQn56quvWCzW5MmTFRzW3d1dVVV106ZNVVVVPB5v9+7dtra2LT2A1Vbr168/ffq0t7f3ggULjI2NX758aWJismXLlpCQEBaLNWLECCaTeevWrfv378fGxnbIHQHoQZQ9ea2srGy1j4qKCsy8AkAhctmAnDWv8+fPv3btWtfGBTqFn5/fzp07nZ2ds7OzO2TAM2fOnDlzRk1NzczMLDQ0dMWKFfb29jJ9goKCNmzYMGvWLOJvmiL69ev366+/bty4cdGiRZaWllu3bsVxfPXq1R0Ss5mZWUZGxueff37s2LGqqqp+/fpt3boVIeTj47Nz587jx4/TaDRra+tdu3atXLmyQ+4IQA+CKVIoqhfz9fVt9QeehobGDz/8MH/+/K4JCQAgY8aMGdOnT/f19bW2tuZyuU07/PLLL9HR0c+fP+/62EAn2bFjx4EDB16+fNk1t7t69eqECRPOnz8fEBDQNXcEALQPjuPKPvNKFEyRD5YNAEAtYuZVzpqBvLy8zi6uBLrY2rVrBQKBpaXl69evu+B2Bw8eNDExmThxYhfcCwDwnpQ9eRUIBK32wTAMlg0AQKFWk9czZ86kp6d3cVSgs0VFRVlYWPj4+HTegpC//vrr2bNnmZmZZ8+e3bdvH1GKFQDQzSn7JgXEDivyQfIKALWIOq8tJa9//vmnsbGxhYVF1wcGOtuCBQvy8/M7b/y0tLQFCxb873//27ZtG7H1KwCg+1P25FWRsnwYhsGyAQAoRMy8tvS01p49ezp1K1FAIRUVleTkZAzDkpKSCgoKOnz8devW1dfXv3nzJjIyssMHBwB0EmX/ikTB7aFh5hUACsmfeRWLxZ6enl0fFega9vb2OI5bWVlpamoGBARER0dTHREAgGLKPvNaV1enSDeYeQWAQvLXvL5+/Xrs2LFdHxXoSoWFhdOmTXv37p2Tk9ObN2+oDgcAQCWlLpUlEAgMDAzq6+vld9PW1k5JSRk+fHjXRAUAkMFkMquqqry9vS0tLU+dOiV9qqGhQUNDo9X/i0HvwOFw5syZ8+zZM319fX9//82bN1MdEQCgqyl7qSyBQMBgMBT5sQfLBgCgSkNDA47jqqqqtra2zs7OMmdv3rw5evRoSgIDXa9Pnz6XLl26devWH3/8sW/fvtjY2ODg4Llz5/br18/IyKiysrID9+Xq0fT19eVspAxAT6fsySuNRmu1G/GDswviAQA0RW6vVVtba2RkJHMWklclNGrUqFGjRm3ZsuXu3bsrV64cO3Ysi8WqqakxNDQUi8Xa2tpUB0i98PDw8PBwqqMAoLModfJaVVWFYVir3SB5BYBCxNNaCCEul6urqytz9saNG19++SUFYYFuwNXV9datWwghgUDw5s0bsVisq6sLRdMA6PWUPXlVpJtEIoHkFQCqkDOvTZPXu3fv3rx5c8yYMRSFBroLNps9ePBgqqMAAHQRpa42UF1drcjzapC8AkAhOclrdnb2ggULFPn+BAAAQK+h1MlrVVWVRCJptRskrwBQSM6ygezs7KaPcAEAAOjdlD15bWxsbLUbJK8AUEj+zCskrwAAoGyUPXltaGhotZtYLIbkFQCqEDOvVVVV6urqMuVBIHkFAAAlpNTJK5/PF4vFrXaTSCQMBqML4gEANEXMvHI4nD59+ki3v3jxwtjYmJiUBQAAoDyUOnmtrKxUpJsitWABAJ2ESF5hzQAAAACCUievXC5XkW6QvAJAIWLZACSvAIDeISYmBsOwFy9edMbg+/fv19XVff78eWcM3n0odfLK5/MV6UanK3U1XACo1dLM69WrV01MTKiKCgDQK3l5eWEYNmDAgKanXrx4gWEYhmExMTFdH5iC6uvra2trFXkYvUdT6uRVIBAo0g2SVwAo1NLM64sXL4YOHUpVVACA3kpDQ+PFixf37t2TaT99+nT3X2S/YsWK6upqBwcHqgPpXEqdvCq4wxYkrwBQqNmZV7FYLBAIYNkAAKDDjRo1islkxsXFybTHxcX5+flRElKbKMNaR6VOXqurqxXpBskrABRqNnl99uyZnZ0dhVEBAHorDMP8/Px+++036T04c3Nznzx5MmnSJJnOBQUFgYGBbDabzWZPnTq1oKBA+mxqaqq3t7eWlhabzf7ggw/S09PJU+Xl5dOmTWOz2UZGRjNnziwuLiZPZWVlBQUFGRkZMRgMExOTyMjIuro64tSdO3cwDMvIyFi6dKmBgQGbzfb09Pzzzz/Ja5suqD1w4ICTkxOLxTIwMPDz8ysrK0MIlZaWhoSEmJiYqKur29rahoeHK5gRdRNKnZYJhUJFukHyCgCFyGUDZmZmZCMkrwCATiIUCkNCQn7//feMjIyRI0cSjXFxcVZWVq6urtI9KyoqPD09WSzW1q1ba2trY2NjR48enZubS/ymnZKSMnHiRHNz86VLl2ppaWVkZEjX+5szZ86IESN27tyZl5f3ww8/vH37NiMjgziVmZlZUFAQHh5uZmaWkpISHR3NZDK3bNlCXjtlypQJEyZcuHCBy+WuWLHC19e3oKBAT0+v6Xv54osvoqKi3N3dP//889ra2vv37+vr6yOEpk+fnp+fv27dOjab/fDhw5ycnO6/IkKaUqdlIpFIkW5Q5BUACjVb5xWSVwBAJ5FIJAEBAerq6nFxcWTyeubMmZkzZ8psKb9jx46Kiornz59bWFgghIYOHTp+/PhDhw6tW7cOIbR27VpdXd179+7J1KgmjBw58vjx48Tr8vLykydPvnv3znv8ObwAACAASURBVNDQECG0fPny5cuXE6dCQ0Pv37//22+/SSev5ubmJ06cIF5XVVXNnDkzNTV1+vTpMrcoKyvbvn27j49PcnKy9FqChoaGjIyMtWvXRkREtP9jopRSLxuora1VpBtsrwUAhfh8vpqamsyygadPnw4cOJDCqAAAvRWO4xoaGgEBAQkJCUS2+ueff7569Wr27NkyPS9cuODk5MRms3k8Ho/Hc3JywjDs1q1bCKGSkpKcnJwZM2Y0m7kihIKDg8nXxLOnxBf6MjAMs7e353A40o3+/v7ka1tbW4RQaWlp02tTU1Pr6+sXL14sswqWwWDY2dmdPn367t278j6Ibkx5k9fGxkaZX6FaAjOvAFDoyZMn5eXlsOYVANCVZs2aVVpaev36dYRQXFycvb39kCFDZPoUFBRkZWXp/r++ffviOE6UkH/z5g1CyNrauqXxTU1NydfEHBm55eerV6/mzZs3YMAAHR0dTU3NCxcuSK++RQgZGRmRr4mVjc1uFyonhri4OBaLNXz4cB8fn2vXrsn9JLoj5V02UFVVxWAwyEXQcsDMKwAU6tu3r6OjIySvAICuNHHiRG1t7bi4OG9v799++y0sLKxpHxzH3dzcoqOjpRu1tbWJUwghJpPZ0vgsFqvZdi6X6+HhgRDaunWrm5sbi8VavHjxkydPpPsomJbIicHJyenx48dxcXHR0dG+vr6ffvrp3r17FRmzm1Dq5JVOp0PyCkA3JxQK1dXVpZPX8vJyOp0uU/YVAAA6EJPJnDJlytmzZ2fMmFFcXNx0zQBCyNLSksfjeXl5NT1FPGBaWFjY1vtevny5rKzsyJEj8+bNI1pqamraOohMDI6Ojk3P0un0OXPmzJ49+9NPP923b9+iRYt6UPFB5V02UFVVpWAtNEheAaBQ0+QVpl0BAF1g1qxZHA4nPDx82LBhze65NWnSpKdPn8p87U6sSDQzMxs8eHB8fLyCe3mSiOlSHR0d4rCwsDA3N7d98Y8bN47BYBw5ckRm1YH0IY1GI1bQlpSUtO8ulFDqmVcMwxTpCckrABSqqakRi8WampoqKv/8sn3p0qWioiJqowIA9Ho+Pj76+vrPnz/fsWNHsx02bNgQHx8fEBAwf/78AQMGcLnc69evr1ixIjAwECG0fft2f39/V1fX2bNnM5nM7OzskJAQ6WetmuXu7q6qqrpp06aqqioej7d7925bW9tmn+VqlbGxcURExPbt2729vSdMmCAUCtPT0xMTEwsLC5cvX+7v729lZVVeXr5nzx5TU1NirUJPodTJq4I95axZAQB0qoaGBgzD3r59S2auCCFzc3NPT08KowIAKAM6nR4YGPjTTz/NnDmz2Q56enqZmZmRkZEJCQmVlZW6urqjRo0iv3z/8MMPL1++HBUVFRsbK5FIhg4damVl1epN+/Xr9+uvv27cuHHRokWWlpZbt27FcXz16tXtewvR0dHGxsYHDhzYsmWLmpqat7e3urq6ubm5ubn5rl27OByOvr7+qFGjtm7dSizV7Skwmclk5XHu3LnQ0FCBQNBqz48//vjs2bNdEBIAQAafz7e0tDx37ty8efPI1WObN29mMpmbNm2iNDQAAAAUwHFcqde8NltaoimYeQWAKsQOBRiGSVd7KSgokFOABgAAQO8GyWvrWqpnAQDobMTTWnw+X/orrVevXvXr14/CqAAAAFBIqZPXhoYGRXrCzCsAVGk2eYWZVwAAUGbKm7zyeDyYeQWgmyOWDUgnryKRiM/n9+3bl9rAAAAAUEV5k1eZnYLlUFNT69RIAAAtaTrzCtOuAACg5JQ3eSV2H1YELBsAgCpNk1dY8AoAAEpOeZNXBTe9oNFokLwCQBUieeXxeOR+MzDzCgAASk55NylQpMIrQkhFRQV22AKAKk3XvELyCgDoKJWVlRUVFVRH0U3p6+vr6elRHUXzlDd5VXCHLUheAaAQMfNaVFQkvWxgzJgx1EYFAOjpampq7ty588UXX1RWVlIdSzcVHh4eHh5OdRTNU97ktbq6WpFuKioqDAajs4MBADSr6ZrXv/7664svvqA2KgBAj/bq1avhw4ebm5svW7Zs0aJFVIcD2kx5k1ehUKhIN5h5BYBCMssGeDwel8sdPHgw1XEBAHoqiUTi6Oh4584dR0dHqmMB7aS8D2yJRCJFukHyCgCFZGZei4qK+vfvD/9LAgDabdCgQUuWLIHMtUdT3uS1rq5OkW4YhsFPSgCoIlNt4O3bt+bm5lQHBQDoqUQi0evXr3fu3El1IOC9KGny2tDQIJFIFOkJySsAFKqpqWGxWI2NjcReIZC8AgDeR1RU1ObNm6mOArwvJU1eq6qqFE9JIXkFgCrE2nTyaS1IXgEA7SaRSGJiYuzs7KgOBLwv5U1e6XSFHlbDMAyqDQBAFaFQKJFIyOS1qKjIzMyM2pAAAD0Ul8tlMpkTJ06kOhDwvpQ3eVVRUfS9w8wrAFSpqanBcRxmXgEA7y89Pd3Pz49YgwR6NOVNXjEMU7AzJK8AUEUoFDY2NkLyCgB4f6dOnQoKCurimzo7O7u6unbsmCtXrsQwTMFy9V0mKCjIwcEBx/EuuJfyJq8K9sRxHJJXAKgiFArr6+uJUgMIklcAQHuVlZWdPXt2+vTp8rt5eXk1XZt069YtDMMOHDjQadH1BiKRSCQSdU3yqqSbFFRXVyv4+ULyCgCFiOSVmHnlcDhqamrwlR8AoB1mzJgxZcoUqqPozc6dOyeRSBRfk/k+lDR5raqqEovFivSE5BUACtXU1NTV1RHJK0y7AgDa7dGjR/n5+V1/X8XXKFI7ZofomswVKe2ygbq6OgWTVxaLBckrAFQRCoUikQiSVwDA+7h//76VlVWfPn3efyg/Pz8jIyPpFKK0tJRGo61atYo4/Pnnn21tbZlMZr9+/aKiojQ1NaUvZ7FYhw8fTk1NHTRokIqKyh9//EG037x509vbW1NTk81m+/v7P3z4UPqqjIwMd3d3FotlaGg4f/78xsZGor22tlZXVzcwMFC682+//YZh2O+//07cbs+ePTt37uzXr5+amtqgQYOOHTtG9iwqKlq9erWNjY2qqqqurm5QUFBRUZH0O50xY0Z6erqrqyuLxTI3N//qq6/EYvG2bdusra3V1dVdXFyuXbsm3V9mxcWBAwecnJxYLJaBgYGfn19ZWRnxcYWEhJiYmKirq9va2oaHh7dj8a6SzrxyudyGhgZFegqFQiiVBQBViOSV+AcR6mQBANonJSXF19e3Q4YKDQ29cuXKtWvXJkyYQLQQX5cTj4KdOnVq4cKFHh4eERERPB7vm2++qaqqcnFxkR7h5s2b69evDwwMnD179uDBgxFCaWlp48ePHzJkyDfffNPQ0HD48OGRI0f++eef9vb2CKH8/HxfX189Pb2tW7dqaGh8//33z549I4ZisVgzZ848evQouYc2QigxMVFXV5esCBYVFWVpaXnw4EF1dfXo6OjQ0FBjY+Px48cjhCorK5OSkqZNm2ZnZ/fixYvY2NiSkpLr16+ToWZkZOTm5sbExBgZGcXGxn755ZeXLl2i0+l79+5VU1OLiIjw9/fPz89vdlrhiy++iIqKcnd3//zzz2tra+/fv6+vr48Qmj59en5+/rp169hs9sOHD3NycjQ0NNr8x4ArpcjISAU/HzU1tdLSUqrjBUAZ1dbWMpnMBQsWHD58GMfxVatWbdq0ieqgAAA9z7hx465du6ZIzzFjxvTt2zf/v+Li4hBC+/fvx3FcJBLp6OjMnTuXvGTs2LEDBgwgXg8YMMDMzEwoFBKHxPSni4sL2ZnJZCKELl68KH1TV1dX6asqKyu1tLQmTZpEHC5atAgh9PDhQ+KwrKyMxWIhhKqqqnAcz8zMRAj9/PPPxFmRSKSpqblw4ULydqqqquXl5eS1CKFPP/202fe+ePFihNC7d++IQyI7T05OJg7Ly8uJdLmiooJoSU5Olr71hAkTTE1NidelpaWqqqo+Pj6NjY3St6ivr1dRUVm/fn0LH79CJBKJki4b4HK5CvbEcRxmXgGghFAoVFdX5/F4RLWBq1evCgQCqoMCAPQwOI6npqaOGzdOwf6lpaUD/mvWrFnkWWKyMykpSSQSIYQqKipu3Lgxe/ZshFBRUVF+fv7HH39MPlf60UcfaWlpyYzv6Oj44YcfSt/u7t27U6dOJa/q06ePv79/cnJybW0tQig1NdXR0ZGYo0UIGRoaent7k5e7ubkNHDjw1KlTxGFycnJ1dbV0RTA3NzdiypO4Vltbu7S0tNk37uDggBDicDhkC4PB8PHxIV7r6+tra2sPGzZMT0+PaLGxsUEIEQmxjNTU1Pr6+sWLF9NoNOl2BoNhZ2d3+vTpu3fvNhuDgpQ0eeXz+Qr2FIvFsOYVAEoQySv5jIWJiYm/vz+1IQEAepzDhw+bmJgo3r9Pnz7x/xUVFSXdITQ0tKqq6vz58wih33//XSwWE8nr27dvEUKWlpZkTxqNZmRkJDO+zP60hYWFCCELCwvpRgsLi/r6+r///psYVnpMhJCpqan0YUhIyPXr10tKShBCiYmJJiYmY8aMIc/KBECn08kFu9XV1Zs2bRoyZIienp6mpua6desQQrhULSZdXV3p7JNOp5OZK3GIEGr2CaI3b94ghKytrZueiouLY7FYw4cP9/HxkV4y2yaQvLZCIpHAzCsAlKipqdHQ0CgpKSEesygpKTE2NqY6KABAD8PlcoODgxXvr6amNu2/vLy8pDtIT3YmJCQMHTp04MCB5FmZUgBN57+IlQMt9W+W/DHnzp2LEIqLi6uvr79w4cLMmTOln/qXMwEXGBgYExMzderUlJSU7OzsiIgImQ5N8x8F6wkQGbDMOyU4OTk9fvz4xIkTJSUlvr6+y5YtU2RA2TDacU0voPgmBZC8AkAVYuaVTqcTPxiKi4vbNH0CAAAIoTt37ri5uXXsmMRjW8XFxX/88Qf5HT0xIUpMl5KKi4vlD0VMTxJTlaQ3b94wGAxiQFNTU5kxZQ5NTU3Hjx+fmJiYmprK5/MV3EWsuLj46tWrwcHBW7ZsGTZsWP/+/SUSiSIXKoJ4uJaYVG6KTqfPmTMnNzd38eLF+/bty87Obuv4Spq8Kl6XAcOwLqtbBgCQRiSvVVVVWlpa9fX11dXVHVLpBgCgVDIzM93d3Tt2zLlz5zY2NkZFRTU2NpIrYi0sLGxsbJKSkshyRmlpaTweT/5QhoaGHh4eiYmJQqGQaOFyuRcvXhw7dizxYJa3t/eDBw9evHhBnBUIBKmpqTKDhIaG3rlz5+zZswMGDFBwN1picpTcv7CxsfHSpUuKXKiIcePGMRiMI0eO4P/dEEr6kEajESvBiAUPbaKkpbIUT14hcwWAKsSygZqaGk1NzcLCQph2BQC01evXr5lMZt++fTt2WBMTE19f32PHjnl6ekqX8Nu8eXNoaKivr++sWbM4HM7x48eHDx/e6ozmzp07vby8PD09P/nkE7FYfPjw4YaGhpiYGOLs2rVrT5065evr+9lnnzEYjF9++cXDw+PKlSvSI0yZMkVLS+vkyZNr165V8C2Ympr279//6NGj/fv319DQOHbsGLGGtUMYGxtHRERs377d29t7woQJQqEwPT09MTGxsLBw+fLl/v7+VlZW5eXle/bsMTU19fDwaOv4SpqZkb/ftErmQTkAQJchqiyz2WwEawYAAO3SGdOuhKCgIJFIJPMdfUhIyIEDB4qKilauXJmUlHTy5ElF7u7m5nbjxg1dXd3IyMgtW7ZYWFikp6c7OzsTZwcOHHj16lVDQ8PIyMjdu3dHRESEhYXJjMBkMqdOndo0HvkSExMdHR3Xr18fGRk5cuTIpKQkxa9tVXR09O7du8vKyrZs2fLDDz/o6Oioq6ubm5ubm5vv2rVr7ty533zzzZAhQ65du0ZWqFUcJjOjqyT09fUrKysV6amhodGOvR8AAO/v9OnTZ86cuX///ps3bxITE0+fPp2QkEB1UACAnmTFihU2NjbLly/v8JEjIyNjY2NLS0u7yXImDw+Purq6e/fuUR1Ip8NxXElnXonaaYqAmVcAqMLlciUSCVElEWZeAQDt8Ntvv7VU1vR91NbWHj9+fMqUKd0kc83Nzc3MzFywYAHVgXQRJV3zWldXp2DPDlwCAgBok4yMjFevXhHLBqBOFgCgHSwtLTu2PvSFCxcqKyt//fXXd+/ebdy4sQNHbgccx3/55Ze6urqdO3daW1uHhIRQG0+XUcbMTCwWN1tTt1mQvAJAFUdHx4aGBuJZ3eLiYulNZQAAQBG5ublDhw7twAF/+umnq1evDhgwIDExcciQIR04cjtIJJI1a9bU1dWNGDFi3759Ghoa1MbTZZQxM6uurlZVVVVw8hWSVwCoQuy+CA9sAQDa5/nz5+bm5uS2qx3iwoULHTjae6LRaNK7uSoPZVzzWlNTo/hKVtihAACqEFVBiDWvsGwAANBW2dnZ5DP7oDdRxuS1uroaklcAuj+RSCQWi2HmFQDQPpC89lZKmrwqvvUALBsAgCpCoZBIXuvq6mpqarrJU70AgJ7iwYMHHbvgFXQTypiZ1dTUKN4ZZl4BoIpIJGpsbNTS0oI1AwCAdpA/8/r27Vt1dfWKioquDAkoQl9fX09PT04HZUxe27TpgKqqaudFAgCQQygUNjQ0sNnsBw8eKLirCAAAEB49elRfX9/SxrC7du0qKytLSUlp03wW6Brh4eHh4eFyOihp8qr4vmIw8woAVUQiEZG86unpDRw4kOpwAAA9ydGjR+3t7Zs9tWbNmpSUFCcnp/Xr18+YMaOLAwPvTxmT15qaGsXrvMLMKwBUIde8crlceFoLANAmfD4/NDS0afvTp0+TkpIuXbpka2vb5UGBjqGkD2wpnrwymcxODQYA0BKRSFRXV6elpVVWVmZkZER1OACAnuTJkycODg5N23/++eeQkBDIXHs0ZZx5ra6ubmhoULAzzLwCQBWhUIjjOJvNhuQVANBWeXl5TZcN/PrrrwkJCQUFBZSEBDqKMiavVVVVsGwAgO5PJBJJJBI2m/3u3Ts7OzuqwwEA9BilpaVMJlNXV1emfdWqVdeuXaMkJNCBlHHZAJfLVbwzLBsAgCpCobC6uhpmXgEAbdXStOv48eOdnJwoCQl0IGWceeXz+Yp3huQVAKqIRKL6+npY8woAaKumC17fvXu3adOmK1euUBUS6EDKOPPapuQVlg0AQBVizSuTyYTkFQDQJk1nXidMmDB69Gh4Tqt3UMbktaqqSvHOampqnRcJAKAlDQ0NKioqbDYbIfTu3TtDQ0OqIwIA9Bgyyevr16+5XO6xY8coDIla9fX11tbWS5YsoTqQjqGMyWubdtiCZQMAUEIoFLJYLC0trYaGhurq6qYPXgAAQEvu37/fr18/8vCXX36ZN2+eIhd6eXlhGIZhGI1GMzAwCAgIuHXrVqeF2YnevHlz5MgR8hDHcZFIJBKJKAypAynjmldIXgHo/oRCIZPJhKe1AABtVV5eXlNTY2VlRbYcPXr0xo0bCl6uo6MTFRVVV1eXn59/8uTJy5cvJycn+/j4dEqsneb06dMXLlyYP38+cchkMv/++28ajUZtVB1FGZNXoVCoYE8MwyB5BYASIpFIVVWVqJMFawYAAIorKioaPHgweXj9+vWysjJ1dXUFL9fQ0AgPDydeL1261NXV9euvv+5ZyWt9fX1iYqLMQzu9JnNFyrlsQPFpcxqNxmAwOjUYAECzhEIhg8GAmVcAQFvl5+cPGDCAPKTT6UOHDjUwMGjHUEOGDBk8eHB2djZx6OfnFxgYePnyZRcXFxaLZWVltWHDhrq6OulL9u3bZ2dnx2QybW1t9+7dS7avWbMGwzDp736//PJLDMOKiorIwWfMmJGenu7q6spisczNzb/66iuxWLxt2zZra2t1dXUXFxfpIrVZWVlBQUFGRkYMBsPExCQyMpKIpKioyMHBISsr6/bt28QSiLt37yKEWCzWnDlzyMtramrWrFljZWXFZDLNzc3DwsKI9lOnTg0dOlRTU9PQ0HDs2LEXL15sx+fW2ZRx5rW2tlbBnioqKpC8AkAJkUhEp9OhThYAoK1kkteLFy9Onjy53aOpqqqqqPw705eampqZmfndd9/Z2NicO3cuOjpaRUXlm2++Ic7GxMRERkYuWLBgzZo1ycnJ4eHhQqFw7dq1Ct4rIyMjNzc3JibGyMgoNjb2yy+/vHTpEp1O37t3r5qaWkREhL+/f35+vrm5OUIoMzOzoKAgPDzczMwsJSUlOjqayWRu2bJFR0fn6NGjwcHB2tra+/btQwgNHDhQ5kYSicTf3z8tLW3atGlDhw4tLi4m5mWvXbsWHBw8c+bMFStWlJeXp6SktOkZ966DKx86XdGUncVi7dmzh+p4AVBGaWlpVlZWAQEB27dvX7duHdXhAAB6jJCQkKNHj5KHAwcOzMvLU/DaMWPGmJqakoelpaUaGhre3t7E4YQJExBC58+fJzvY29s7ODgQrysrK1ks1pIlS8izHh4e2tradXV1OI6vXr0aIVRVVUWe3bJlC0Lo7du30oMnJycTh+Xl5UQeUlFRQbQkJycjhH7++eemYUskEjs7OzISHMft7OxGjhwp3YfJZAYHBxOvz507hxD66quvZMbZvHkzQkgkErXyMVFKIpEo3bIBsVis+N6wMPMKAFVEIpFYLMYwDGZeAQBtIj3z+vz5c4lE0nTqUQ6xWFxUVPT06dNz585NnDixpqZm3bp15FkajTZx4kTy0NbWtrS0lHh97dq12tpaX19f3v8bMWIEn89/9OiRgrdmMBjk4lp9fX1tbe1hw4bp6ekRLTY2NgihsrKyphdiGGZvb8/hcBS80eXLlxFCS5culWkn1gpv3Lixm9clULplA9XV1aqqqjIrVFqCYRhsUgD+j707j4tx+x8AfmamZmlfKe2LItooUSI3LegSIUvEtV3EteXak6UF4drd7KEolGu70oIkpZJQSdo3Ses0MzXN/P44r/v8nu+0mEk1Zc77D6+Z85x5ns/M7dZnznPO5yBC0dTUJCUlNWLEiNzc3BEjRgg7HARB+g188rpz5058zSx+VFRUwPvyAAB1dfUbN244OztjRxUUFPD3b8XExLARsfz8fACAm5sbzwn535ReXl4ev6xKTEwMy1zhUwAAdrnPnz/v3bs3ISGhqqqKzWYzmUwlJSU+L1RUVCQpKdl2HvDs2bNfv34dFBR05cqVlStXrlu3TkFBgc9z9iZRTF75X3CHRl4RRFjg934pKamEhAQbGxthh4MgSP9QV1fX3NyMpWV5eXn79u0T6AyKioqXLl0ik8mDBg0aNmwYgUDAH+1kSIvL5QIAzpw5Y2hoiG83NTVtt3/b0c22KQd+ui1eTU2NtbU1AGDfvn2jR4+mUqnLly//8OFDR7G1DbWjYkoHDhxYsWLFwYMHAwMDg4ODHz9+bGxszOdpe43IJa90Op3/5JVAIKDkFUGEAu4NKy0tTSaT0cgrgiB8wg+7trS0vH37Fj9uyg8qleri4tKFS2tpaQEA5OTk7Ozs2h6FuUdzczPWUlxc3IWrQA8fPqysrLxw4QK2+QKdTuf/5erq6o8fP66rq5OVlW17VE9P78yZM2vWrLG2tt65c2dkZGSX4+whIjfntbGxsaPvMe1C0wYQRCgYDAaHw5GWlqbT6dgtPARBkM7hk9cnT570Zn1WBwcHKpV6+vRp/NIaDocDH8B61VjVrbq6ugcPHnT5WnCUV05ODj4tKCh4+/YtvoOEhERtbW1HL580aRKXy8VvwYU/LTRs2DB9ff3y8vIuB9lzRHHklf/OaOQVQYSlqamJw+FISUlVVVV1rUAjgiAiKDMzE/u6GxMTY29v32uXVlJS2rt3r7e3t7W1tYuLi4SERHZ29qdPn+Li4gAAM2fO3L59+2+//bZx48aWlpaLFy8OHDiwrq6ua9caM2YMmUzesWNHQ0NDbW3t0aNHDQwM8Gu5xowZc+rUqf3796urq9va2vJM/HV1dbW2tt64cWNqaqqpqWllZWVBQUFERISnpyeVSrWysqJQKAkJCWlpaYcOHfqRz6SHiFzyKtDesCh5RRBhYTAYbDabRCLRaDT0vyGCIHyKiorCRlufPHly+fLl3rz6pk2bVFVVDx8+vH//fgDA4MGDly5dCg9paWndvn17+/btf/75p4KCwooVK0aNGiXolAaMrq7utWvXtm/fvmzZMi0trX379nH/q8YF7dq1Kzc3d9++fRISEg8ePOBJXkkk0qNHj7Zv33779u2wsDAlJaUlS5YAACZOnAhXa5FIJB0dnSNHjqxbt66Ln0VPIuCHiEVBeHj40qVL6+vr+eksJyd3+/btCRMm9HRUCILw8Pb2DgsLO3HixMaNGz99+iTscBAE6R/Gjx+/d+/ecePG5eXlGRsb878hPNJfcLlckZvzSqfT+a/zCtpb+ocgSC9gMBgtLS0sFov/4i8IgiAFBQXa2toAgIcPH1pZWQk7HKRHiFzy2tjYiJJXBOn7mpqaWCwWk8lEE14RBOETh8MpKSnR1NQEAHz69MnV1VXYESE9QhST15aWFj47c7lcVG0AQYSCwWCwWCw6nY5GXhEE4VN+fr6Ojg58nJiYCCuhIj8fkUteGxoa+B955XK5aOQVQYSiqamJyWQ2NDSgkVcEQfiEzRlgs9np6emWlpbCjgjpESKXvPK/SxtAySuCCE9jYyOFQqmurkYjrwiC8AkbeUXDrj83kUteO6nZ2xaaNoAgwtLY2CghIYGKvCIIwj+UvIoIkUteaTQa/50VFBQ62vwXQZAeRafTJSUlv379ikZeEQThE5a83rhxA5v8ivx8RG6TAoEKRlZVVcHNiBEE6WV0Oh1tr4UgiECwOa+5ublTpkzpvDOdTmcymV+/fu2NyH4uSkpKioqKQgxA5JJXgbaHRXNeEURYmEzmgAED0MgrgiD8gyOvBQUFysrK7vtfTAAAIABJREFUampqHXWrq6tbvHhxS0vLp0+fRG2rpm7h5eXl5eUlxABQ8toZDoeDklcEEQoGgyEjI5OTk4NGXhEE4QeTyaytrVVRUYmIiLCwsOioW2FhoZGR0cyZM01MTNasWePo6NibQSLdQuSSVwaDwX9nDocjJiZyHxGC9AUsFktGRoZOp8vKygo7FgRB+oFPnz5JSUkBAFJTU0eOHNlun+zsbD8/P39//7Vr1/ZudEh3ErkFW4Imr2jkFUGEgsVikclkNOyKIAifysvL4Rrr169ftzvyevDgQQ8PDzMzM5S59nciN6zIZDL574xGXhFEKJhMJoFAEBMTQxNeEQThE5fLHTZsGOggeQ0PD4+MjPTz80PzBH4CIpeZNTc3898ZlRpAEKGora0lEAgEAgGNvCIIwqeioiJNTc3Pnz8rKCjIycnhD9XU1MybN6+mpgbOK0D6O5GbNiBQ8kokitzngyB9BJVKrays/Pbtm7ADQRCkfyguLtbQ0Lhy5Yquri7PoV27dh05cgRlrj8N0UrOWCwWgUDgvz8aeUUQoWAymUQiUVxc3NDQUNixIAjSP8CR13v37jk5OeHbX79+HRkZaW5uLqzAkG4nWskrnU4XaAEWSl4RRCgYDAaRSKRQKCh5RRCETzB5LSsrmz9/Pr797du3cnJyNjY2wgoM6Xailbw2NTUJlI+i5BVBhILBYBAIBBaLJdxNXBAE6UeKi4ulpaWbm5tVVVXx7XCdlrCi6nanT5+Wl5f/+PGjsAMRJtFKXul0ukDTWFGpAQQRCiaTyeVymUwmSl4RBOFTUVFRTU2NiYkJvvHmzZuZmZm//vqrQKdqbm5WUlJSVVVtbW3t1hi74sqVK58/f8aeNjc3M5lMNpstxJCEDiWvnUHJK4IIBYPB4HK5DAZDQUFB2LEgCNIPVFVVycjIZGVl8SSvAQEBe/fuFfRsDx48+PbtW0VFRXR0dPfF2BUtLS1r1qzBJ69//PFHY2OjkZGREKMSOtFKXpuamgTqj6YNIIhQwOS1oaEBjbwiCMIPOOH17du3+OQ1KyuLyWR6eHgIeraQkBATExN9ff2QkJBuDVNg9+/fr6+v52lEyYloJa90Ol2g/mh7LQQRCiaT2draWl9fj5JXBEH40W7yeuTIkfXr1wt6qpqamvv370+aNGnSpEmRkZENDQ34o3Q6fdOmTdra2hQKRUNDY8WKFdihZ8+eTZgwQUpKSkZGxsXFJTMzEzt07949AoFw7949rCU+Pp5AIFy9ehU+TUlJcXBwUFBQkJWVHTFiRFBQEABg3759bm5uAAAHBwcCgeDi4gIACAgIIBAInz59wk4VGxs7YcIEaWlpGRmZUaNGPX/+HABQUVHh6ek5aNAgCQkJAwMDLy+vxsZGQT+KPku0bovT6XQul8t/f/TlBkGEgsFgsNns2tpalLwiCMIPWOT1wYMHxsbGsKWkpOT8+fN///23oKe6ceMGi8Vyc3NrbGw8fvz4rVu3Fi1aBA9xOBwXF5f4+PiZM2eam5uXlZVheUJ8fLyjo6Opqamfn19LS8u5c+dsbGxevXo1dOjQ716xurrawcFh8ODBgYGBLBYrKSmppKQEADBjxozW1tbdu3cfOXLEwsKi3WlU0dHRkyZN0tDQWLlypbS0dGJiIuw2a9as3NzczZs3y8jIZGZmZmRkSEpKCvpR9Fkil7xyOBz++6ORVwQRCpi8NjQ08GyTgyAI0q6ioiICgSAnJ0ehUGDLpUuX1qxZ04VThYSEaGlpWVhYcDicgQMHXrlyBUte7969Gx8f7+vru2vXLp5XeXt7Dxw48NmzZzQaDQCwePFibW3tLVu2REVFffeKGRkZdXV1e/fudXZ2BgB4eXnBdiMjI1gucPjw4WPHjm33td7e3vLy8qmpqfjUtqWlJTEx0dvbe8OGDYK+/X5BtKYNNDU1CbRyEC3YQhChaGho4HK5aNgVQRA+xcbG5ufna2hoYC3Xr1/H39PnU15eXmJiIrxZTyQS3dzc4uPji4uL4dGHDx8CAFauXMnzqoqKitevX8+YMQNmrgAABQUFFxeXR48eMZnM717UwMCATCYfOnSorKxMoGjLy8szMjJmz57NMygLd3gJDQ19/fq1QCfsL0QreaXT6QJVl0AjrwgiFA0NDQQCASWvCILwCRYncXBwgE+Tk5OlpaX5uWXPA05CHTly5KdPnz59+jRq1Cgul4vNTC0qKpKUlFRWVuZ5VUFBAQBAU1MT36ipqdnc3FxaWvrdi6qrq1+5ciUlJUVHR2fx4sU5OTl8RltUVAQA0NHRaXsoLCyMSqVaWlpOnDjxyZMnfJ6wvxCt5LWxsbGlpYX//ih5RRChYDAYZDIZJa8IgvCJTqfX19djBaQ2b948bty4LpwHlheYP3/+4MGDBw8eDCcMYDUHuFwuNi0BT6Cd5yEGg4F/6u7uXlhY6OPjc+/ePRMTEyxd7hxcxtNuSCYmJu/fvw8JCSkvL3dwcFi9erWgEfZlopW8tq030TmUvCKIUDQ0NIiJiaHkFUEQPpWWlhYWFmJDrS9fvty9e7egJ0lMTMzLy/Pw8AjHmTt3blZWFrz/rq6uXlNTU1dXx/NCOPYJx0ExRUVF4uLiampq4L/1383NzdhRbCoCRk5Obtu2bR8/fjQxMVmzZg0/68vV1dXBf+O+bYmJiXl4eLx9+3b58uWnTp168+bNd0/YX4hW8tr2B65zKHlFEKFoaGggkUgoeUUQhB/l5eUDBw7MysqCyeu9e/ccHR27sLg+JCSEQCDs3r17Js6OHTvAf4OvkyZN4nK5Fy5c4HnhgAEDrK2tb926hZWTh/W2fvnlFyqVCjsAALD0kcvlhoWFYS/H56ny8vLjxo2rra2FQ7MSEhIAgNra2nYDVldXHz58eHh4OE96gz8hiUSCNbbKy8sF/UD6LNFakCToyCuZTO6hSBAE6URjYyNKXhEE4VNpaamysjKZTIap3o0bN9zd3QU9SXNz882bN+3s7PT09PDtRkZGVlZWoaGhQUFBrq6u1tbWGzduTE1NNTU1raysLCgoiIiIAAAEBQXZ2dnZ2touXLiwtbX13LlzLS0tAQEB8CRmZmZGRkZBQUFEIlFVVfWff/7Bz4U9fvx4fHz8hAkTlJSUcnNz//77bxcXF/heRo4cSSaTYQktMpk8a9YsnrADAwNdXFwsLCzmzp1LoVDevHnj6empqqq6du1aFxcXbW3tqqqq48ePq6mpWVtbC/qZ9F1cUTJp0iSBPhz4HQtBkF7m4OCgpKTk7+8v7EAQBOkHIiMjx4wZ4+zsDJ+SyWQWiyXoSW7fvg0AuHbtWttDsFjs3bt3uVxufX39mjVr1NTUSCTSwIEDt23bhnVLSkqyt7eXkJCQkpJycnJ6/fo1/iRZWVmOjo6ysrKysrILFy4sKysjEokhISHwhXCXAXFxcS0trT/++KO2thZ7YXBw8KBBg8TFxd3d3blcrr+/PwAgNzcX6/Do0SMbGxsajUahUEaPHp2ZmVlZWenu7q6srAyDdHNzy8rKEvQD6bM4HA6BK0jR/v5u3LhxcOcJPk2bNi0yMrLn4kGQnpOQkDBt2jRLS8tHjx4JOxaBjR079uPHj/v371+2bJmwY0EQpK87efJkaGiolZVVUFDQnj17rl69+vHjR2EHhfQULpcrWnNeBd0eFk0bQITi0aNH06dPh1+1paSkDAwM5syZc+3aNX7qBWJiY2O/ffv277//1tTU9FyoPaSpqYmL6rwiCMKf0tLS5uZmOOH1zZs3P2tlfgSDktfOtFt+AkF61Lp16+CG2uXl5Ww2m06n5+bm3rhxw8PDIzs7m//zTJ8+fcSIEcuXL5eXl++5aHsIk8nkcDgoeUWQ75o3b56RkVGP3kSlUqkeHh7deML09HRZWdlr16511wlLS0tLSkrgiv64uLg5c+Z015mRvkm0kleesmrfhaoNIL3s0aNHf/31FwBg5syZiYmJFRUVhYWFjx8/Xr9+/bx588zMzPg/lbGxcWpq6tmzZ3ss2B7EZDJbW1vb3cgbQbrRzp07Cf8hEon5+fnCjkhgDAaDwWD8YPKKfQhkMllLS2vJkiU8VZ+6F5vNZjAYLBaru05YWlrK5XJ1dXVfvHgxbNgwtK30T0+0qg0ImryikVekl924cQMAYGNjc/PmTazqtaamJrZtjIhgMpktLS1o5BXpaXv37oUjl5MnT7569Wp/vE1x584dDodDJP7oUJSlpeXChQvr6+tTU1MvXrx4//79N2/eqKiodEuQba/FYDDgQGm3KCkpYbFYw4YNCwoKgmWhkJ+baI28Cvo9DyWvSC+rqKgAANja2vKzXwuXy71+/bqjo6OSkhKFQtHW1nZ2dsbuxF29epVAIMAS1jzgWi4lJSUqlTpkyJDt27fzFBEsKSkhEAgWFhYAgPj4eCcnJzk5ORqNNnz4cH9//3b3WC4sLFy7du2QIUNoNJq8vLyZmdnq1at59un+7nUxzc3NDAYDDZ8gvWDw4MEAAGVl5f6YuUI/nrkCAAwMDLy8vLZt23br1q0TJ05UVlaeOnXqx0/bkW7MXAEAJSUlXC6XQqFcvXr1119/7cYzI33Td0Ze+d9gt18QaL0LAIBOp/80n4C2tjbKxfs+uGU2P/cu2Wz2rFmz8NUwCgsLCwsL9fX158+f38kLjx07tm7dOi6XKyYmRqPRcnJy/Pz8bty48fTpU7gTDCYnJ+fatWsLFiwgEAgKCgoNDQ3v37/ftm1bWlpaeHg4vmdsbOz06dOxOspMJrO2tvbdu3e7du3qwnUBAM3NzSQSCZY5RJBe5uzsLCkpuXTp0h07drx//15FRWXOnDm+vr74X6HBwcHHjx//+PGjnJycq6vr/v37sRsFAQEBJ06cyMvLW7169c2bN01NTZ8/f97a2nro0KELFy7k5+fjdymHt/vDw8PPnj2bkpLCYDD09PS2bNni6emJne3QoUOJiYkbNmyIj4+XlJS0s7M7cuTIoEGDsGjfvXtXUlICn9LpdB8fn4iIiPLy8gEDBkyePBnOHZo6dWpycnJ6erqqqup3P4H58+evXr0avyETmUw+f/78gQMHiouLdXR0Nm/eDCMMCAjYunXr27dvjY2Nsc5GRkYUCiU9Pb2iouLPP/+Mjo6ura1VV1d3dHQMCAiQkpJKSkoaM2ZMcHDw0qVL4Us+f/68bdu2mJiYxsZGLS2tdevW/f7772w2e8+ePdeuXSsrK1NWVraystqzZw+2hxYG/uYxNDQ8d+6csrLysGHDvv/fGOnnOkteb926tXbtWmlp6V6LpqcJehfyyZMnApXW6rOYTKa7u3tgYKCwA0G+w9nZOSQkJCIiIiYmxt7evpOee/bsiYyMJJFIe/bsWbhw4YABA4qKimJiYkaPHt3Jq5KSktavX0+hUE6cOLFgwQIymZyWljZv3rycnJzffvvt33//xXdubGxctGjRqlWrfH19FRUVm5qaNm3adPr06YiIiOTk5FGjRsFuVVVVs2fPrq+vt7CwOHTo0KhRo5qbm9PS0t6/fz9w4MAuXBcA0NLSIiUlJfDHhyDdJDY29uXLl4cPH9bT07tz546/vz+RSPTz84NH/fz8tm/f7ubm5uXlVVpaevTo0cTExFevXtFoNNihsrJy9erV6enp69evh8lWUFDQli1bDhw4MH78+IcPH+7evdvT03PRokWwf0REhIyMzK5du2RlZYODgxctWqSlpWVnZwePVldX29nZrVmzxtfXNyMjY82aNcXFxYmJiW3D5nA4Li4u8fHxM2fONDc3LysrwwY4U1JSKisrCwsL+UlexcXF4SRgrOXp06fR0dErV65UUFA4ffr0okWLVFVVHR0dFyxYsH379uvXr8PiowCArKysrKysAwcOAABmzZqVm5u7efNmGRmZzMzMjIyMdne9qqioGDNmTGNjo6enp6am5rt37+D3BF9fX39//82bNxsYGOTn5//zzz/t/looKSmRk5MzMDBITEzctm3bd98d8hPoLHl99+7dsmXLurA7MNLXREVFXbx4UdhRIN/n7u7+999/P3361MnJydPTc8OGDe2OItTX1x89ehQAsG/fvi1btsBGfX19fX39zs+/d+9eDoezdevWJUuWwJYRI0acPXvWzs7u8ePH2OaKmLFjx544cQI+lpCQOHr0KCyDEBsbiyWvJ06cqK6uHjhw4JMnT2RlZQEANBptwoQJEyZM6PJ12Ww2Sl4RIaqtrb1y5Qq8AW1paRkZGRkVFQWT1+rq6r1797q5ucF9lQAAtra2Dg4OZ86cWb9+PWxhs9nZ2dlJSUnYqt/Q0NCxY8d6e3sDAEaNGnXz5s3y8nIsPYWT3aHJkyerqamFh4djRwEAc+fO3bp1KwBg5MiRr1+/Pn369JcvX+COo3h3796Nj4/39fXF3/TAAsjNzbWysuLn7cfGxnK53BEjRmAtBQUFOTk58DeMg4ODvr5+VFSUo6Ojmpqag4NDaGion58fnOwUERFBIBDmzp3b0tKSmJjo7e393cJVAQEBX758efr06bhx4/DtT58+tbCwwL4z+Pr6tvvylJQULpdraGh48ODBc+fO8fMGMdXV1V+/fhXoJT8TJSWlfrq0QLQWbCFIH0cike7du7d69eqQkJALFy5cuHDB1tb2jz/+mDFjBn4WbFxcXENDg7i4+MqVK/k/eWNjIxzj5Nk40dbWVlxcvKWl5dmzZzxJ5IIFC/BPyWSyqalpeXk5fmPDu3fvAgA8PT1h5tot1+VwOGjCKyJEJBIJvyOjgYEBdhfuyZMnTCYTPzln4sSJqqqqkZGRWPIKANiwYQO+Xk1tbS12ox8AIC0t3dF25YMGDZKTk/v27Ru+Eb8IycDAAABQWVnZNnl9+PAhAKDdXwt2dnb4bLitpqamkpKS6urqly9f+vj4KCgo4LcIGTVqFPbdWE9PT1paGk7QBwAsWrRo7ty5L168GDt2LADg1q1btra2cLa9oaFhaGjozJkz4QT6jjx8+NDIyIgncwUADB8+/Ny5c9euXZs3b14nywASExO5XC6JRDIwMOjotxCmsrKytLTUz8/v3bt3ZDK5oaFBlCfUeXl5eXl5CTuKrkDJK4L0LVJSUpcvX16/fv2xY8fCwsKeP3/+/PlzKyursLAwbW1t2AfORePnNzVeenp6a2srAGDy5Mk8fwk4HA74b7kYXttxX/g1HZs+zuFwMjMzAQCWlpbddV0Oh4OSV0S4FBQUxMT+/++jmJgY/BkG/01J19TUxPfX1NT8/PkzvsXQ0BD/1NnZ+cqVK4mJiVZWVnFxcampqXAkFYqLizt8+PCbN2/q6uo4HA6dTucpfYXNwIHBAACwePCKiookJSXh1HlB3blz586dO/CxpaVlcHAwfoIBz8R0MpmMBeDq6ionJ3ft2rWxY8fm5eVlZGScPn0aHgoLC5s1a5alpaW9vf2WLVsmTpzY7qWLioranSW1f//+/Px8Dw+PvXv3btq0adGiRfj/KBgKhUIkEktLSzuvynL58uWgoKDc3FwikWhra7tu3ToNDQ0lJSU+R6ORPgUlrwjSF5mZmV24cCEoKOjYsWMHDhx49erVhAkTMjIyZGRkAADV1dUAgLbjLp378uULfMDzVxaDX0cCdZRBYn9Za2tr4d+wToIR9LpMJhMuEevohAjS0zrZXpGfSiCgTbGaAwcOFBQU2NjYwLmkHh4eWPL6/PlzBwcHMzOzU6dOGRgYkEgkU1NT/uPBgyvu+enZlp2d3caNGyUkJPT09LS0tHiOUqnUjl5IpVLd3d3Dw8OPHz8eEREhLi4+a9YseMjExOT9+/dhYWH+/v4ODg6rVq06efIk/2HLy8s/fPjw2bNn/v7+y5YtCw4OjomJaTuhqLy8vKqqKjY2tqN5BSUlJW5ubiwWa8OGDSYmJvjpEEg/hZJXBOm75OXlfXx8pk2bZmNjU1BQcO7cOTh7DOaLfP4RxcCMk0AgsNlsPmvrfLecDTb60kkwgl6XwWCg5BXps3R1dQEARUVFI0eOxBqLi4the0e4XG5eXp6vr+/8+fM1NDTwyWhISEhra2t4eLiOjg4AoKmpSdCS5Bh1dfXHjx/X1dUJdE8GUlNT63KF1MWLF589ezY+Pv7OnTuOjo74aZRiYmIeHh5z585dtWrVqVOnli1b1nazFXV19YKCgo5OPm7cuHHjxl29enXBggXnzp1bt24dT4fCwkI5ObkPHz7MnDmz7cs3bdpUVlbW1NQE7xEhPwfRqvOKIP2RmZnZ5MmTAQBJSUmwBSZ2gq4zUFJSAgBwuVye8qs/Ql5eHqatnQQj6HXhdkGCjisjSO9wcHCQkJAICQnBWmJiYsrKyqZMmdLJq+7du5ebm7tx40Y9PT2eYVT47Q67y3H37t0ub5c1adIkLpd74cKFtodiY2PPnDnTQ7vIWllZDR06NDw8PCUlZd68ebARfy0SiQQz4/Ly8nbDTk9PT01N5WnHn2Hq1KkdvbygoIBAIIwfP56nncPhWFlZJSQkGBsbo8z1J4NGXhGkH4CzBbBhThMTEwBATk4OnU5vt/RMu8zMzIhEIofDSUhI6K69v8XExIYOHfrhw4fU1NTp06d3y3XhhNquzdtDEEHl5uYCAKqqqmpra/mZaS0nJ7d///7169fPmDHD2dm5rKzs6NGjgwcP7nzhCxxVXbJkiZmZGYFAkJKSsre3HzJkCABg/Pjx586dW7Ro0Zw5c7Kysi5duqShodG19+Lq6mptbb1x48bU1FRTU9PKysqCggJYFWH+/PkVFRWmpqZjxozp2sk75+np6evrS6VSp02bBlvS0tLWrl3r4uKira1dVVV1/PhxNTU1a2vrtq/9888/Q0NDJ0yYsGTJElVV1by8vEGDBvn4+Jibmzs5ORkbG7NYrNDQUDExsXZ/ydTW1urp6bVdjnb+/HkJCYmIiIh+uqAe6QQaeUWQvo5Op8fFxQEAsDLg9vb2EhISLBbr/Pnz/J9HTk4OLmg4cuRIu6s9ugaOiFy+fJlOp3fLdeHIaw/tS4kgeDt37jQyMgIAPHjwQFFRkZ/9QQAA69atu3LlSl5e3po1a06dOjVjxoznz593XtyNzWZra2vfuHFj69atW7Zs8fLyGj58OBy+9fDw2LFjR3Jy8uLFi588eRIZGenk5NS1t0MikR49euTl5RUfH79169arV69i68ZGjx49aNAgmEP3hHnz5jEYjKlTp2JfpzU0NDQ0NI4cObJgwQI/Pz9TU1Osmh4PdXX1xMREBweHy5cvb9++PT4+Hv5HcXBwuHHjxuLFizdt2sRisf7555+2dazr6uqIRGJLSwvPyOu1a9f27t0bFxeHMtefE7dju3fv9vHx6aQD0l9ERkZOmzZN2FEg32dqarpr167Y2NiKigomk1ldXf3kyRNYQUZCQqKwsBDrCcu7ksnko0ePVlVVtbS0FBQU3Lhx49y5c7AD/LuopqaGP39KSgos3zNlypQ3b96w2WwWi5WdnX38+HFHR0esW3FxMfz9kJubyxMhrBC0ZMkSrKW8vBzuq2lra5ucnNzS0lJTU/P69ett27ZVVVUJdF0oISEBAPDw4cMf/jgRpE+4desWgUC4fv06k8nkcrlsNrugoGDo0KEjRowQdmjdBpbDg3MeelN2draUlBSBQOBwOLCFwWA8fvxYRkamlyNBeg2Hw0HJ6/+Ds+9tbGx66PyhoaEwIXj58mUPXaIjKHntLzpaKSwpKcnzV6G5ubndOXZYWtlu8srlcq9fv97uyuWBAwdifQRKXrlc7r///tvu7IXi4mKBrgvdu3ePQCC8evWqSx8hgvQ5ixcvVlVV5Wm0tra2tLQUSjw9YcaMGYMGDWppaenl68bFxdFotJEjR8KnhYWFampqpqamvRwG0ps4HE5Xpg28efOGQCAQCAS4xw+PxsbG4cOHw8XCeXl5XTg/goisa9euzZkzx9jYeMCAAWJiYjIyMubm5n/++WdWVhbc7AcjLi4eFRV14cKFcePGycnJkUgkRUXFadOmLV68uPNLzJ079+3bt0uXLtXW1iaTyRQKRVdXd+HChdiXqy5wdHR88+bN8uXLdXV1yWQylUo1MjLy8fGBS7UEvW5NTQ0AAI7mIshPYMyYMeXl5WvXrn306FFMTExISIiLi0tiYuKaNWuEHdqPSk5ODgkJWbVq1e3bt3fs2NFuHdYeVV5ezmKxGhsbAQDNzc26urqbNm2ClbD7lObmZh0dnd9//13Ygfwkuv/n7Lfffnv//j2RSAwLC9PT0+v28yPIT8zNzc3NzY3PziQSafHixR1lqx4eHh4eHu0eMjQ0DA4O7uTM6urq3A5WJV+9evXq1att2/X19c+ePdt5wN+9LlRXVwdQ8or8RJYtW8ZgMM6fP3/p0iUGg6GkpDRy5MiHDx86OzsLO7QfFR8fv2PHDhUVlf379wu04V93+fjxI4lEggu5Bg4cmJSU1PluXvxobW29ePHi5cuX3717x2AwNDU1p06dumXLFvy38e969uwZm83+5Zdf4FMul8tgMLpcBA3h0c3J66FDh8LDwwEA/v7+jo6O3XtyBEFEQV1dHZfLRckr8jNZu3bt2rVrhR1F99u8efPmzZuFGMDHjx/FxMRsbW0dHBz27Nnz45lrc3Ozq6sr3LF26dKlkpKS7969++uvv65fvx4XF8ezcVon/P39R44ciSWvFAqltLT0u5WzET51Z/IaFxcHF5G4u7sL96cZQZD+q6qqikAgoN/yCIJ8V0FBQUtLS2RkJACgW6Zh+Pv7P3z40MvL66+//sI2VUlKSpo4ceLs2bPT09P52WmloqIiLi4Ov40F4GPPF4R/3VYqq7i42N3dvbW11cTEpN0KyYig+yEhiGj6+vUr+i2PIAg/ioqKaDRadHR0dHT0j5+tpaXlxIkT2trahw8fxiepo0eP9vb2fvv2LSyqAABwdnaeMmVKUFCQgYEBhULR0tI6cOAAPPSaNTCkAAAgAElEQVTo0SNDQ0MWi7V//35Y0xe2U6lU/FQuOp2+adMmbW1tCoWioaGxYsUK2H79+nVzc3MpKakBAwb88ssv9+/f//H39fPpnuSVxWK5ublVVVUpKChERkZKSEjgjzY2NsIFXrDQz9mzZ0ePHi0rK0uj0YYOHfrnn39++/atozNnZmYuX75cX1+fRqPJyclZWVkdOnSoqakJ3ycsLAye/9atWzwvDwgIgIfa3q95+fIlPMRnpczQ0FAHBwclJSUqlaqnp7d69epOtrOLjo6eMmWKkpISjUYbPHjwH3/8UVZWRqPROupfUFDg5eVlYGBAo9EI7fn06RO+f1JS0ty5c9XU1CgUiqqq6qxZs54/f87Pu0CQvq+mpgYlrwiC8KO6uppOp1+7dq1bzpaZmfn169fp06fD0n54s2bNAgBgySsA4MGDB0ePHt29e3dsbOz06dP//PPPgwcPAgCMjY1hsZeFCxc+f/683ayaw+G4uLgEBQVZWlrCPcCpVCoA4MmTJ/Pnzzc0NDxx4oS3t7eYmFhDQ0O3vLWfTPdMG/Dy8kpJSSGRSDdu3OikBnJWVtaaNWv++ecfrCU7Ozs7OzsiIiIpKanthjoBAQHbt2/ncDjwKZPJTE5OTk5OPnHixKNHj+DeJAAArDRxUlISz2KXR48ewQdPnjzhOfmLFy/gg7bbcvBobm6ePXt2VFQU1vL58+dTp05dvHgxLCwMVmjH2717t6+vL/b006dPx44dCwkJ2bFjR7vnf/HixeTJk+vr6wEAsrKyBAKBZ063uLg4fgmnv7//9u3bsfU0FRUVERERERER27Zt279/f+fvBUH6vrq6urZ/ORCkW1RXV5eUlMBEAfkJMBiM0aNHt7txVxfAEklYdoFnYGBAIBBycnLwjRcuXIA7sNjY2GRkZAQEBPzxxx9qamrw67eGhsbYsWPbvdDdu3fj4+N9fX137dqFb3/27BkA4NKlS/BH1Nvbu1ve18+nG5LX4ODgc+fOAQACAwMnTpzYSc/Vq1d//vx57ty5CxcuVFFRKSgoCAgIePXq1efPn3fs2MGzVPnSpUtbt24FAIwYMWLjxo1GRkYMBiM+Pj4wMLCwsNDe3j4zMxPu8K6qqmpgYPDx40ds53eooaEhMTFRRkaGzWZnZWWVlpaqqalhRxMTEwEAGhoa3y2J4OXlFRUVRSAQVq5cOW3atAEDBrx+/drHx6esrGzOnDlpaWn4H/SoqCiYuWpra/v6+pqYmDQ0NNy9e/evv/7auHFj25M3NzfPmzevvr5eV1c3LCzM0tKSw+HcvXvXw8ODTqe7ubkdPnxYXV0du39x/fr1bdu2AQAcHBxWrlypo6NTWFjo7+//6tUrPz8/AwMDT0/Pdt9FfX19VlaW9H/4mbWDIELR2NjYbkVYBPkRX79+9fLyiouLExMTk5aWFnY4SDeoqKgAAHRX5goAgNsE8tw9hsTExMTFxWFNLohEImHrsQAAkydPjo+Pz8jIsLS0/O6FHj58CABoW59h+PDhAIDt27fv27evk7u1SFc2KUhPT4evPXLkyNu3b2FZ9blz53Z0Hvyg96ZNm/CHmEwmTChlZWWx7TG4XG5jYyNca2xhYQG3JMGkpaXBUZkVK1ZgjcuWLQMA0Gg0fIXkO3fuAAAcHR3hj9fFixfx54GbT3p4eGAt7W5SkJqaCueqHjt2DN+ekZEB293d3fHtQ4cOBQDIycnhy7NzcTsUgP/dpACbzhIZGYnvDzNUKSkpOp2O/7gGDBgAAHBzc8N3bmpqgnthq6urt1sjOjIyUkFBQUZGRlJSkkKhEAgELS0taWlpZWVlTU3NIUOGWFhY2NnZTZ061cPDw8vLa8eOHYGBgSdOnLh06VJ4ePjDhw8TExNTU1OzsrIKCwurqqrwUSFI99LX1x80aJCwo0B+Kjk5OUuXLh07dmx2drawY0G6TWpqKgDgzp073XVCWC4pKCio7aHa2loAwKRJk+BTJycnFRUVfAc4VQAGU15eDgCA90gxFApl/vz58LGzs7OkpGS7MXh7exOJRCUlpZ07d1ZXV//4m/r5cDicHxp5ZTKZ8+bNY7FYAIDJkyd/t7+kpKSPjw++hUKhzJ0799ChQ3V1dcXFxZqamrA9LCwMFirfu3cvz55D5ubm8+fPv3Tp0pUrVwIDA+FGyXZ2dsHBwQwGIyMjA1vfB+cMwK2QY2Njo6OjFy1aBA99/vwZfmObMGFC5zGfPXuWy+VqamquXr0a325iYjJx4sTo6Oi7d+82NzfDgaK0tLSsrCwAwIoVK9TV1fH958yZ4+/v//btW57zv3v3Dj6wsbHBt48ZMwYA0NjYmJaWht13uH379pcvXwAAgYGB+M40Gu3333/fvn17SUlJUlJSu/cpWltb4cwEqLCwEADQyWQasf8QiUQCgSAhIdHU1MThcDgcTmtra2tra0tLi7i4OKw2T6FQaDQajUaTkJCQlJSUlJRUVFQkk8lSUlLS0tLwEJVKxf7leYo1kslkNNkRYTKZaMgB6UYHDx48f/68u7s7P2WGkX5kxIgRRCLRw8Pj/v372ATCHwHvxMK/jzyKiooAAPhSWTD5wTQ3N/N/IW7H+ykeOHBgxYoVBw8eDAwMDA4Ofvz4sbGxMf9nFhE/lLweOXIE5lIAgI0bN06ePBnex+/I2LFjsWV3GG1tbfigpqYGS17j4uIAAFQq1d7evu15fv31V1jqOSEhAe6QiZ/2iiWvcGK1tbU1HCKNiYnhcrnwMf8TXuFkWWtr67b32YcOHRodHc1gMHJycuDPFpyKAACYNGlS21NNnDixbfLa2toKH/D8HGO3TfH/e8BgVFRU2k51gCO+AID09PSOJtkIhM1ms9ls7CmsG8+jpaWlpaUF3mfpBJFIFBMTI5FIJBKJQCDAT5JAIGBfoSAFBYXy8nJYI0kch0wmYykyhULBMl0NDY2GhgbYQqVSyf/BXoX9iyXZJBIJZuTYg07AgH/8k+xEdXX1169fe/QS/RGdTodfShHkx928eTMyMjI0NNTc3FzYsSDdj0KhGBgYbN68edu2bdOmTfvBsxkbGysrK9+9ezcoKIhnt7Dbt28DAJycnLCWurq6pqYmbI4BTHl5xq06oq6u/vjx47q6unZ/1+np6Z05c2bNmjXW1tY7d+6EhcAQvB9KXr98+TJlypTFixfPnDnzy5cv69evv3z5cif9251dim2J3tLSgjW+f/8eAKCrq9vuug1sjmlmZiZMXtXU1PT19T99+pSUlASHSLOzswsKCkgkEkxeSSRSZWVlZmamiYkJ+C/L1NTU1NXV7STgxsbGz58/AwDCwsLCwsI66lZdXQ0f5ObmwgdYKonX7rWw95Kenj5u3DisPSMjAz4YPHgw1ghz34qKik6qbmHB9B0cDoefr6RlZWUAAJjO4n8Y+Ef8DyzRAP4rTwYTZSKRiC3+43K5bf/FP4CPpaWl6+vr254N4Aqf4Z8qKyt/NxnF/7fjcrlaWlpdeKc/N1RtAOkuNTU1CxcuLCkpEWhvJKQfUVRULC8vLy8vl5KSKi4u/sHNTcTExLy8vHx8fLy9vQ8fPoz9un716tXBgwdNTU3xuy9xOJybN2/CO7psNjsiIkJRUdHU1BT8N2sWzjRo16RJk86fP3/hwoX169fj27EhNgDAsGHD9PX14QwEhMcPJa/z5s0LCQkhEonz58+/du3alStXPDw84Mq7dsnIyPB55s43N8fa8Yna+PHjYfIKn8I5AyNGjIAT8y0sLF69ehUdHY1PXr97l6GTGl542Agl9pPabuTtvv0pU6aoqqqWl5evW7fu9u3bcBz69evXsOLG+PHjsdFowF9iih8u7eNI/4EZp7i4eGtrK/b/Lc8EFzhdAf4Lx011dXVLS0vxY6WwLAM2ZEuhULCBWziHAfxXuoFMJmP/4sdisX/hA0lJSTjyjdUsA+2lsFjM4uLi+LT7u5V9xcXF4a6GCJ6amtqPb5ODIACAw4cP79ixA2WuPzEdHZ2EhAQAQFRUlI6OTif5Ip+2bNmSmJh49OjRuLi4yZMnS0pKvn379vbt28rKymFhYfh7sNra2n5+fh8/ftTW1o6IiPjw4cPBgwfhiJuMjIyRkVFYWJiZmRmbzV66dCnPOK6rq6u1tfXGjRtTU1NNTU0rKysLCgoiIiI8PT2pVKqVlRWFQklISEhLSzt06NAPvqOf0g8lr5aWlvA/5JEjRx49elRdXb1ixYp37961u1IPCLK9BPyrz+1gd/V22+3s7M6fP//p06fq6mpFRUU4ZwD7kmRvbw+T140bNzY0NMCZpt+d8Ird01+5cmUne4bBtV9t4+fB87MLkcnk8PBwZ2fn9PR0fX19bW3tlpYWuNhLXV2dZ7sHGI+5uTm8f9EuOTm5jt9QF8EUEGZvbW/6wymwMGnGkkU5OTkOh4Pd04f3+iUkJOCkWPgvnO0KUSgUmNxTKBRsngD2L/4BWof+09PT0/vxv0AIUlZWdvLkyfj4eGEHgvQgXV3d5OTk1NRUe3v7AwcOODk54UuxdgGZTL5///7Zs2dDQkJOnjzJYrE0NDS8vLy2bdvGU9CTy+XevXt3xYoVR44cGTBgQGBg4KZNm7Cj586dW758+apVq9TU1JYsWcJzFRKJ9OjRo+3bt9++fTssLExJSQn2mThxYlBQ0JUrV0gkko6OzpEjR9atW/cjb+dn1T11XpWVlYOCghYtWpSfn79jx47Dhw//4AkVFRULCgrg+GtbWDt+ii02jJqWljZ+/HhYLA1LXidOnOjn55eQkMBms1+/fg1vH393wit2/ubmZmxubiewySv19fVtp//i10vh2djYxMbG2tjYsNnsz58/UyiUIUOGTJ061dvbW1FRkSeeoqKi+vp6foLhQSKRYF4LRzFlZGSqqqrgoiv8RFIJCQkpKSm40EpGRkZWVlZGRkZKSkpZWZnL5dI6hWpzIt1CVVU1JSVF2FEg/d69e/fExMTg3TbkZ2VoaMhms8+dOzdy5Mjly5fHxMSMGzcOJgBdRiKRVq1atWrVqs67sdnsIUOGPH36tN2jY8aMyczMxLcwmUz8U2lp6WPHjh07dgzfuHDhwoULF3YpatHSPckrAMDT0zMkJCQmJuavv/6aO3cuP3XOOmFiYpKamvr582dsIT9ednY2fDBs2DCsUUNDQ1dX9/PnzxkZGdLS0k1NTdLS0nDNPgDA2tpaQkKCTqenpaXBUl9aWlqd7KcAycrKqqmplZaWvnnzhp+w9fX14YPc3FwrKyueox3tyFVVVTV79mwOh3P//v12V3phhg0b9ubNm/z8/I5meXdi6NChPj4+Uv+RlpaG46ACnQRBeoG6uvrdu3eFHQXS7+Xk5GzZskXYUSA9y8TEpLW1Fa5OAQDcuHFj8uTJs2fPvnnzpnADQ3pUd1aqP3PmDJVK5XA4S5Ys6dqCGwycOMtisdrujAUAuHfvHgBAXFycp7wUHHx99+5dcnIyAMDOzg4bC6RQKHAN/vPnz+FqMD7LasBI0tLSPn78+N3OWK78+PHjtkdhCYW2/vrrr4KCgl9//bXzzBULBk4S/24wPJSUlBwcHMaMGWNsbKyjo6OkpIQyV6RvkpeXJ5PJJSUlwg4E6cc4HM7Ro0c3bNgg7ECQnjVo0CAymZyWloa1PHjwoLa2dsaMGUKMCulp3Zm86uvrwzKumZmZPIVIBeXm5qaqqgoA2LlzJ89Ie0ZGxvXr1wEAs2fP5rmrDqcBZGdnv379GuDmDECw6tarV69gKdbvTniF1q5dCwDgcrnLli3j2bUVAMBisbBhYACApaWlgYEBAODkyZNVVVX4no8ePXr16lW7l4Bn4Geuqru7+8CBAwEAO3fuxL5o4rUtxYUg/Q6NRlNQUMBKICNIF0yaNKlbigYifRxMFWg0Gv5v8ePHj/Py8njqyiM/k26bNgBt2rQpNDT07du3+/btmzVrFr6cr0DIZPLp06ddXV3T0tLGjBnj7e1tZGTEYrGePn0aEBDQ3NwsLy/fNj+Gg6kfP36EG7jxJK9w69r09HQ4Zfa7E14hc3PzdevWHT169NmzZyYmJitWrBg6dCiHwykrK0tOTr579+78+fOPHj2K9ffz85s5c2ZlZeXYsWN37txpbGzc1NQUHR194MABDQ2N4uLitpeAFcSuX7+uqqpqaGgIl7VRKBRVVVULCwv8HtxUKvXMmTPTp0+vrKwcMWLE77//Pnr0aAqF8vXr1zdv3vzzzz8SEhJ8znBAkD5LQkJCTk4uMzPT2dlZ2LEg/VV2dvYPTnxE+gUVFZXm5mYdHZ34+Hj8Vu0ZGRlLliw5efIkzwZD3QVWNEKEppMNuPjZHrbt0VevXsEF6WPHjoU7vmLbOPFslQZdvHgRHk1JSeE5FBwc3O7SchUVlbadIWwlk7a2Ns8hDoeDjdRqaWm1fW2728NyuVw2m912A2LMunXrePpv3bq1bbfhw4fD6Qrgf7eH5XK5ZWVlHRX7VFBQOH36NM/5L1y40NHOHGZmZu1+LJGRkdOmTWv3EIL0NRcvXrS1tV24cKGwA0H6q/j4+PHjxws7CqSXUCgUXV3dOXPm8LTn5+draWlt3LixvLxcKIEhPYTD4XTntAFo1KhRXl5eAICEhIQzZ878yKmWLl36/v37lStX6unpUSgUWVlZCwuLffv25eTkdFQGEpvJ2rbcLIFA+OWXX+BjPoddIRKJdOrUqRcvXixYsEBbWxtWDx00aNCkSZPOnDmzb98+nv5+fn4PHjxwcnKSl5en0WiGhoY7d+58+fKlkZFR2xIEjY2Nx44d66iG/7dv31auXMkzw3Xx4sU5OTkbNmwYNmyYpKQkiURSUFCwtrb29fWFs4ERpF+j0WgEAiEmJkbYgSD91eXLlz09PYUdBdJLBg0apKqqGhMTw/OXVFtb+8GDB+fPnzcxMTl9+rSwwkN6AoHbQS1VAICvry+Xy929e3cvxiNampqaLC0tP3z4MHHiRH9/f2NjYzikyuVy6+vrExISPDw8amtrbWxsYBHmLouKirp48SLaYg7pF+7evRscHPzgwQOs0DKC8K+5uZlCoXA4nO/uEoL8HMaPH19YWEggEH777bedO3e27fD06VNXV9edO3eiBXw/By6X281zXhGBHDp06MOHDwMHDoyKisKv/ScQCLKyslOmTFmwYMHx48exXWcRRBTQaDQWizV06ND379/jy+EhCD9OnTrl6OiIMlfRoaen9+LFi4iIiI42qB8/fnxaWpqnp+fOnTtVVVWdnJxmz54N79NWV1d/d0/vPkhJSYlnwbqoQcmrMMGSXsbGxh1VrYKbcsF9TRFEREhISDQ1NZmbm6enp6PkFRFUQkLC8uXLhR0F0ntkZWUlJSUNDAyio6M76qOjo/Ps2bPs7Oxz587V19c7OTnB/SDFxcV7YlvKnubl5QXnZ4oslLwKE9wQ9ePHj3CnK56jFRUVoaGhAABU8AURKTQajcFgwOTVw8ND2OEg/czt27fDw8OFHQXSe2CB+ZKSEhMTk5cvX2IF19saMmTIoUOHAAB///13fn5+TU2NhIQEvkYB0l90/4IthH+urq4AgKKiolmzZqWmpsLJ5k1NTZmZmYcPHx4xYkRFRQWVSm23fAGC/KzwyauwY0H6maioqKlTp6I5AyLFzc1NQkLi48ePDg4OnQy+8tDR0RkxYgTKXPsplLwK0+zZs+HtraioKAsLCwqFQiQSJSUlTUxMYHUPDQ2Nf//9F/3fhYgUlLwiXXbo0KFRo0YJOwqkV6mpqTGZzJycnLFjx6KdpUUESl6F7OzZs0+ePJk/f76+vj6NRiMSiTIyMoaGhrNmzbp8+fLHjx/HjRsn7BgRpFfB5FVOTk5OTq6goEDY4SD9SUpKyooVK4QdBdKrVFVVGxoa4MjrmzdvqqurhR0R0uPQnFfhs7e3h1vXIggC/luwBQAwNTVNTk7Gdh5BkM69evXKzMxMxFdhiyYVFZUPHz4AANzd3f/999958+YJOyKkZ6GRVwRB+hY48goAUFRU3LVrl7DDQfqNx48f8+wKjogILS2tiooKJpPp4uKCNusRBSh5RRCkb4EV4thsdkBAwLdv34QdDtJvoORVZKmpqdFotODg4F9//fWff/4RdjhIj0PJK4IgfQ4cfFVWVpaQkCgsLBR2OEg/wGAwUlNTUWFB0aSurm5tbV1bWyslJTVy5MinT58KOyKkZ31nzmt1dXVOTk7vhIL0nNLSUg6HI+woEIRfMHmVlpa2tLRMSUnR0tISdkRIX3fq1KnBgwcLOwpEONTU1PLy8rKysgAAjY2Nly5dghtodS9nZ+d3796VlJR0+5m7S9+PsLt0lrzOnDnTxcWF/6ppfV95eblA/SkUioKCQg8F05vYbLavr6+wo0AQfmFrtkaNGpWcnDxz5kxhR4T0da9evZo6daqwo0CEQ01NrbW1FVuz9f79+y6cJCIiYtasWe0emjZtWmRk5A+FiHSrzpLXYcOG5efn91oovUBeXr62tpb//vb29k+ePOm5eBAEaRe2ZsvS0hJ970L4kZOTs2PHDmFHgQiHurp6Y2MjHHmdP3++paVlF05ibGzs7+8PH9+4cePNmzf79+8nEokAAAMDg26MFvlxolUqi0ajCZS8slisngsGQZCO4JPXlJQUYYeD9HW1tbVFRUUmJibCDgQRDjU1tbKyMg0Njby8PD09PR0dnRcvXtjY2Ah0EkNDwy1btsDH7969e/PmzebNm+H6UaSvEa0FW5KSkgL1R8krgggFlrxKSkoqKSmlpaUJOyKkT3v27Bnaz0WUqamplZaWDh06FA6+zp49++bNmz1xITKZnJiYOGbMGBqNpq2tvWXLFp484dSpU4aGhhQKxcDA4OTJk1h7QECAuro6i8VaunSpjIyMra0tACApKYlAIKSlpf3xxx/KysqSkpJ2dnbv37/PzMx0dnaWkpIaNGjQypUrGxsb8ZcIDg42MTGhUqkqKiq///5755sydNK5tbU1MDDQ0NCQTCYTcAICAggEQmZmJv48RkZG5ubmP/LRdS+UvHYGJa8IIhRY8goAUFFRCQ0NFW48SB+HklcRR6VSJSUldXR04LRXW1tbfOLYjRoaGlxdXW1tbY8fP25ubh4YGIif1xQQEODl5TVu3LgTJ04YGxt7eXkdPHgQO1pZWbl69er09PT169evXr0aa58/fz6LxXrw4MHZs2fT0tKmTp3q7Oz8yy+/REdHr1u37syZM3/++SfW2c/Pb/ny5QYGBseOHVuxYkVoaOiECROw35Y8Ou8cFBS0ZcuWpUuXJiQk7N69GwDg6ekZFxe3YMECIpF4/fp17DxZWVlZWVl9a+sHrigR9CbCkCFDhB0ygoiiqVOnRkVFwcfnz5//7bffhBsP0sdZWlomJycLOwpEmExMTPbs2bNo0SL4dMKECTExMV0+2/z58wEALS0t+EYnJycAwPnz5+FTNputpaVlZGQEn1ZXV1Op1N9//x3rb21tLSsry2KxuFwunE1rY2PT3NyMdXj58iUAYMyYMViLl5cXAMDb2xtrGT16tIaGBnz89etXKpXq5uaGHYVL6g8fPoxFqKamxmdnMzOzsWPHYkeNjIwcHR2x82hpaXE4HPh0z549BAKhuLiYvw+vx3E4HNEaeZWRkRGof0tLSw9FgiBIJ/Ajr9bW1omJicKNB+nLvn79+vr1666t0UF+Gvn5+XV1dW/evIFPf/vtt4sXL3b7VQgEwty5c+FjEolkYmJSUVEBnz558oTJZDo4ONT+x8rKqq6u7t27d9jLN2zYIC4uznNOZ2dn7LGenh4AwMHBAd9SWVmJvwRMrKGJEyeqqqq2Wwnhu51ra2vxSZG0tHR9fT18vGjRosLCwhcvXsCnt27dsrW1VVdX//4H1FtEK3mVlZUVqH9zc3MPRYIgSCfwyeuQIUO+fPmCttpCOpKammpnZyfsKBAhs7W1HTly5Nu3b+FTDw+P0NDQ1tbW7r2KgoICjUbDnpLJZOwSsDqTm5ub/H+OHDkCAKipqcH6Gxoatj2nsrIy9hiuD1NUVMS38FxCU1MT/3JNTc3Pnz+3Pe13Ozs7O8fHxycmJra2tj558iQ1NRVLml1dXeXk5K5duwYAyMvLy8jIwFL2PkK0ltHJyckJ1B+NvCKIUOCTVwCAjY3Nixcvfv31VyGGhPRZr1+/tra2FnYUiJBpamrW1dXp6OjAggMAgBEjRmzduvXAgQPdeBUqldrRIS6XCwA4c+YMT4ZqamqKPaZQKG1f2HYsFtbnaotAIPAf6nc7HzhwoKCgwMbGhkAgEIlEDw+PrVu3wkNUKtXd3T08PPz48eMRERHi4uIdVcAVFjTy2hmUvCKIUPAkr2jmANKJV69eWVlZCTsKRMg0NDSKi4tNTEywwVc/P78HDx70WgBwI0A5OTm7/yUvL99dl9DV1QUAFBUV4RuLi4u1tbW70JnL5ebl5fn6+ubm5jY1NV26dAk/qLx48eLq6ur4+Pg7d+44OjriB4P7ApFLXjv6QtMulLwiiFBgO2xBpqam//77rxDjQfoylLwiAABNTc2ioiJjY2OsxtPEiRNlZGTgoqhe4ODgQKVST58+jZ+r0L0bszs4OEhISISEhGAtMTExZWVlU6ZM6ULne/fu5ebmbty4UU9Pj0wm87zcyspq6NCh4eHhKSkpfavOAABA1KYNSElJiYuL818Ai81m92g8CIK0i0aj0el07KmTk9OUKVM4HI5AXz4RUVBQUCAhITFgwABhB4IIGUxeXV1d8ZX11q9fHxQUFBER0QsBKCkp7d2719vb29ra2sXFRUJCIjs7+9OnT3Fxcd11CTk5uf37969fv37GjBnOzs5lZWVHjx4dPHgwrFEgaGcdHR0AwJIlS8zMzAgEgpSUlL29/ZAhQ7AzeHp6+vr6UqnUadOmdddb6C6i9ZdASkpKoN0yun2uN4Ig/OCZNkAkEu3t7WNjY4UYEnG3ypwAACAASURBVNI3oWFXBGo7bQAAMGvWrGfPnnl6evZODJs2bbp69Sqbzd6/f//27duTkpJcXV279xLr1q27cuVKXl7emjVrTp06NWPGjOfPn0tJSXWhM5vN1tbWvnHjxtatW7ds2eLl5TV8+HD8SO28efMYDMbUqVMFrZHfCwhwirGICA8PX7p0KVYM4rtIJBIafEWQ3nfmzJmMjIzTp09jLf7+/g0NDX5+fkKMCumDpkyZMmrUKB8fH2EHgghZa2srhUJhs9k0Gq2mpga/smrQoEGpqamqqqpCDK+vuX379syZM69duzZjxgwKhdLa2lpSUjJp0iQajZaamgr7PH782MnJ6e7du31tsSyXyxW5kVeBFuuhkVcEEQqekVcAwC+//NLlkddPnz7BbQ8VFRU7+jq6cuVK2AfuNPNz8/b2lpeXf/XqlbAD6QbR0dF9rYgPIhQkEmnAgAHl5eU8g68AgH379u3YsUNYgfVN9+7dU1FRmTt3LiyAQCKRtLS05OXlSSQS1ufs2bODBg2aNGmS8MLsEEpeO0MikdAOsQjS+3gWbAEArKysMjMzeRoF9e3btydPnrRtb21tvX37dtfOmZeX124tnvPnzycnJ3ftnD0tJSWltrYWXzu9n8rKytLX1zcwMBB2IEifAKe9Dh48mOeLGYvFunPnDqx7ikBjxowpLy9fu3bto0ePYmJiQkJCXFxcEhMT16xZk5ycHBISsmrVqtu3b+/YsUOgyZa9RuSSV4GmSZBIJLRPAYL0vrYjr+DHBl8BAGPHjgUAhIeHtz0UHx//5cuXcePGdeG0p0+fbpu8fvv2bdWqVX02eT19+vSZM2c8PDyEHciPSktLGzFihLCjQPoKmLyKi4vD6vqYlStXqqmpYVthIQCAZcuW/fXXX0+fPp09e7azs/PmzZsBAA8fPlywYEF8fPySJUvu3bu3f//+lStXCjvS9olc8ipQ3QoikYiSVwTpfe0mr/n5+T+y36OJiYmysnJkZGTbEng3b94kkUhw43KBNDU14Zc2Y0JCQvryr46hQ4euWLGi3Xrp/QtKXhE8uGZr5cqVbUepQkJCFi1a9PHjR6EE1jetXbs2IyOjvr6+paWlvLz83r17cKPazZs3Nzc3FxUVbdu2TdgxdkjkkleBprESiUQ0bQBBel+7yevy5ctVVFS6fE4mkzljxoy2MwfgnIHx48e3XVFbXV0dGBhoYWEhJSVFJpP19PQ2bdqE1fC6cuWKtrZ2WVlZdXU1nC87ZMiQmpoaZ2fndevWAQDWrFkD28PCwuBLuFzu2bNnzczMaDSakpKSu7t7VlYW/ooqKiouLi4AgCNHjmhraxOJxI7y9ebm5qCgIDMzMxkZGUVFRUtLSx8fH2wPdABAY2Ojj4/PsGHDaDSaoqKilZXVuXPn4KE5c+YQCASezyE2Ntbe3l5aWlpKSsrOzu7hw4f4o3PmzKFQKAwG48CBA0OGDKFQKAMGDPDw8CgrK+MJLD8/f9myZVpaWhQKRU1NzcHBISEhATva0NCwZcsWHR0dCoWipaW1YcMG/OaZAAD430JZWVlKSmrYsGG///57RkZGu58AACA9Pd3c3Lyjo4iogSOvFhYWr1+/5jlkZmbm4+Mzffp0tN3JT4IrSurq6tpW4u2ElJRUfn6+sKNGEJGTnp5uZmbG05iXl6erq9uFs+Xm5gIA5s6dGxMTAwBYvHgx/ujjx48BAGfPng0MDAQA+Pj4YIcCAgIAAOrq6jNmzJgxYwbcKWfGjBnwaFRU1P79+wEAEhIS/v7+/v7+f//9d3V1tb+//4QJEwAAU6dOhe0fPnyAL1mxYgUAQENDw83NbfTo0QAAaWnp9PR07IoDBw40NzeH1x0+fLiFhUVCQkK7b+q3334DAGhra8+ePdvR0XHAgAEkEqmoqAgebWxsNDMzAwDIyMiMHz9+1KhRFApl9+7d8Ki7uzsAIDo6GjvbtWvXCASCjIyMi4sLrLVOIBCCg4OxDvAlY8eO1dTU3Llz58GDB+F7NDQ0bG5uxrq9ffsWfkrq6uq//PLLsGHDCARCfHw8PEqn0+FAqYmJycyZM+FcVSMjo/r6etjhypUrAABZWVlXV9dp06bp6+sDAK5fv97Rf1lZWdna2tqOjiKi5ubNm7/++iuXyzU3N09LS2u3D5FIrKio6N24kG7G4XBEK3ltbW0VaMGWtLR0Tk6OsKNGEJGTnZ1taGjYtt3Q0DA7O1vQs8HkdebMmWw2e8CAAfLy8vh8a+nSpWJiYlVVVf7+/jzJa21t7f3791tbW+HT4uJiaWlpAEBpaSlsgTMQFBUVea64fft2AMDx48fxjdHR0QAAZ2dnBoMBW06ePAkAsLa2xvoMHDhQQkJCUVHx6dOnnbyj2tpaAoGgr6/PYrFgC5vNTklJwTps3LgRAODi4tLQ0ABbGhsba2pq4GOe5PXr168yMjJqamolJSWwJT09XVxcXFJS8tu3b/iXqKioVFVVwRYOh2NpaQkA+Oeff7Drjhw5EgCwZ88eDocDW8rKyrAPEN6FxHJoNps9Z84cAMC2bdtgC0xt8/LysBOmpaVh75FHl7/MID+ruLg4MpnM5XKXLl2K/+qFl5eXRyaTP3/+3LuhId2Jw+H0xUVkPYdIJIqJifG/6SuBQEDTBhCk97U7bQAAMHny5AcPHvwfe/cZEMX1vg34zC7LLrAN6SAgIghYEMQIKsVCURFRRJCiaLCG2LtGk1gQe2wBu6KAKEUhNgQVFbBh1NhixwqC0uuy836YX+a/L2UZEBhgn+vT7syZmWcQ9d6zZ87p3r17E86J4ziTyRw7dmxoaGhSUtKIESMQQiKRKC4ubvDgwaqqqrUPEQgERDNC586d7e3tExMT//33X21t7cYWEBoaihAKDg4mZ6CcMWPG8uXL09LSPn78SJ6wtLR00aJF0p8eI1YaYzAY5Lw2TCbTysqKeF1dXb1//34mk7l3715yQnIp04xHRkYWFhb+9ttvOjo6xJY+ffo4OzsnJiaeP39eciKqgIAA8geFYZirq+vt27cfPHhADHW4c+fO3bt3zczMVq5cSXYTkJNr4ji+b9++Tp06kZMWMZnMhQsXRkVFnTp1iujDJu6LxWKRV5QyKuD69euSqwEB4ODgwGKxSkpKiJEDgYGBtdt07do1NTXV1dU1MjKS+IXPzc1t9Uo7CFVVVRUVFVouLVvhFSHEZrMbFV7b8lMXAHRUCgoKdc6KNWLEiJ9//nnOnDlNXifW09MzNDT05MmTRCpNTk7Oy8sjuhWlEIlEpaWlYrGY+E68vLy8CZcmhn7u3r1bciZFIuS9evVKMg17enpKPxWPx3N0dLx48eLIkSO3bNnSo0cPyb1PnjwpKCgwNzenOCs7UdilS5eePn1Kbnz16hVC6OXLl5ItiaEIJDU1NYRQUVER8TYjIwMh5OjoWOcXXM+ePfvy5YuGhsZPP/1EbiQ+ohDXQgiNHTv2zp07w4YN2759e4OzS0ZERCgqKlK5QSA7DAwMXr9+bWVltW/fvvra9O/fPzAw0MrKSlFREcdxWLygyYKCgupcmbYVyFx4VVBQKC4uptgYel4BoAWHw6mzO2TYsGEIoePHj/v7+zftzPb29urq6vHx8Xv37mWxWNHR0SwWa8yYMXU2/vbt2/r162NjY4mx7027IqG6upp4mop8akpSjTRMrDkuXURExI8//nj69OkLFy44OjquWLHC3t6e2EVciHrf8IcPHxBCf/31V4OFEdmdRIRU8icj/brEVbKzs8PCwmrsEolEIpFITk5uyZIlxcXFGzduHDFihKmp6eLFiydOnFjfBxU2mx0QENDw7QFZQoTXUaNGkctE1WnevHnz5s37+++/NTQ0ILy2R7I12wBCSEFBoVHtoecVgNbH4/HYbHadHx03btxY51ytFBEjB/Lz8y9evFhVVRUfHz9s2LBOnTrVbllRUWFvb7958+auXbseOHAgKSnp8uXLRHpuAmKSPjabXecQrhqnpfJcqYqKSnx8fEZGhoeHR3JysoODw7x584hdRJqkPr6faJ+enl67sLVr10q2lN7hLf26xF5nZ+c6fwLEROgMBmPdunUvXryYO3duVlbW5MmT7e3t6+tuePDgQe/evSneI5ARXbp0efPmDUJIKBRGRERIb9ynTx9Iru2UzIVXKQO/asNxHMIrALSovcgWYdSoUZcuXapzRCxF48ePRwidPHny0qVLX79+Jd7WFhcX9/DhwwEDBly4cGHy5MnDhg1zcHBo1D8gklgslqqqakVFRfPOlN6/f/9Tp07dunVLX19/+/btFy5cQAipq6sjhD59+kTxJMT/38R/+d9D+nWpX0VfX3/btm0vXrwYNmzY9evXieGwNRQVFeXl5XXp0uV7CgYdD9HzihCaPHmy5MxxoIORufBKPr5AEQwbAIAW9YVXhJCXl9eJEyeafGY7OzsNDY3Tp08fO3ZMXl7e3d29zmbEQMxBgwaR3Y04jtdYM53JZGIYVnsYPdGPWGO7ra0tQighIaHJldenb9++S5cuRQilp6cjhMzMzHg83sOHD3Nycqgc3lyFEZN/1bcKmqmpqYqKyrNnzyhOFK+pqblz5070303VAN2uoE5keB0wYABM6dqByVx4JWa6oQh6XgGgi5KSErkcQA2KiopSnsZoEDlyICIiwsnJSSgU1tmM6Ee8d+8euSUkJKTG8ugYhqmrqxcWFr59+1ZyO7GYQo2kSzzZ8Msvv0huz83NPXPmTGNv4fXr15IPV5F1EjXLyclNmTJFJBLNmDGD/ABQUVFRX2r08/MTCARRUVGSq4VVVFQ0+K1rDT/88EOfPn3u378fEhJCDoTNy8sjFjJgMBizZs1CCP344495eXnkUc+ePbtx4wZCqLKyMiUlRXJsseRN1QDhFdSJHDYA4bVjk7nwyufzqTeG8AoAXaT0vLq5uRUXF4tEoiafnHycv74xAwghDw8PDQ2NpKSkgQMHTpkypW/fvuvWrSOWBpA0evRohJCDg8OkSZM8PDyIjcOHD2exWEeOHBk9evSECROio6MRQkOGDFm6dGl2draFhYWVlZWjoyMxIcCOHTsaW/+jR49MTU1NTU29vLz8/PzMzc337t3buXNnclqrNWvW9OrVKy4urnPnzoMHD+7bt6+qqurWrVvrPFunTp2OHj3KYrF8fHyMjY0dHR379++voqIyceLExhZ26NAhoVBIrKFFLFKgpaWVmppK7F2xYoWDg8P169f19PTs7OyGDBnSrVs3ExOTy5cvI4QqKyuHDh2qra09evToSZMmDR482M/PT15enliurAYIr6BOZM+rtrY2i8Wq8akSdBgyF14FAgH1xjiOw7ABAGghJbw6Ozt/+/aN+pjO2uzt7TU0NNhsNhE966SsrHzhwoWhQ4f+888/MTExqqqqN27cmDZtWo1mGzduHD9+fGFhYXR09NevX4mN+vr6ERERJiYm58+fv3r1KjlxaXBwcHx8vIODw4sXL65cufLly5dRo0YR3/g3Sr9+/ebOnVtZWRkfHx8XF1deXj59+vSMjAxyNgAej3fjxo1ly5apqamlpaU9efKkZ8+e9Q2QQAi5ubndunXLy8ursLDw8uXLz58/t7Ky2rVrV2ML69Onz507dyZOnFhVVZWamvrx48fhw4cTKxcghNhs9oULF7Zu3dq9e/c7d+4QHa7Tpk0jPkIoKCiEhIRoamomJydHRUW9fv3azc0tNTV1wIABtS8E4RXUSSAQMBgMYs1h6HztwLDvnP+l3Zk7d+4ff/xBsbGiouK2bdtq/3cFAGhpjo6OS5Ysqe/p/jVr1lRVVf3++++tXBVoI5SUlD5//tyoYWBARvTt23ffvn2Wlpa7du169uwZMXIadCQ4jstcz2t949vqJBaLoecVAFpI6XlFCI0ePXr79u2tWQ9oO27evCkWiyG5gjqRw15fv379PdPqtSOVlZUGBgYzZsygu5DWI3PhlcfjSa49KF11dTWMeQWAFtLDa+/evU1MTL7nsS3Qfn379s3BwYHuKkAbxWQyr169ihCaNGmShoZGYw93cHDAMMzIyKj2rhcvXmAYhmHYhg0bmqHQ75Camio5rQeO42VlZd8zgWC7I3PhlcvlErPYUAHhFQC6SA+vCKFdu3bVuVoV6PAeP35sZmZGdxWgjeratSsxsUbv3r0/ffr05cuXxp5BSUnpxYsXtdfoioyMbPJMz80rODhYMryy2ewPHz4cOXKExpJamSyGV8mFxaWDYQMA0KXB8PrDDz8oKSkdOnSo1UoCbQSEVyCFk5MT2es0dOjQ5OTkxp5h0KBBbDY7KiqqxvaoqCgXF5dmKPH7fP78mZigQxL1YNMxQHhtQHV1dcsVAwCoT4PhFSG0devW9evXf8+CBaA9gvAKpDAyMnr+/DnxetiwYZcuXWrsGTAMc3FxiY6Olnyi/cGDB48fP3Zzc5Ns+f79+wULFhgaGsrLyysrK/v4+Lx//57cm5eXN23aND09PQaDgf2HGPGyYcMGVVXVf//919XVlcvlamhoeHl5ETMiky5fvmxjY6OgoKCpqTl37lzi38Pz58937969oqJi3bp1GIaR6y5xOBw/Pz/i9efPnydNmqStra2oqGhsbBwUFESssZyRkYFhWGZm5pw5c9TU1JSUlBwcHB49evTw4UMXFxcul6utrT1z5sz6FmRuU2QuvAqFQirrhpPy8/NbrhgAQH2kLFJA6tOnz6NHj5owHSlo1yC8Ail0dXVzcnKIb02bFl5LS0u9vb2zsrIkZ9qKiorq0qWLlZWVZMu8vLz4+Phx48aFhobOnDnz1KlT/v7+5F5/f//Y2NjNmzffuHFjzJgxCKHNmzeTsx/k5eU5ODgMHDjw6tWrwcHBiYmJ48aNI49NTU11dnZWUlL6448/fH19d+/eTcxO3atXr/DwcITQxIkTr127lpSUVLt+T0/PCxcuLFy4cMeOHcOHD//nn38kRzv4+vpWVFScPXs2LCwsMzPTzc3NxcVlyJAhSUlJc+fODQ0NXbJkSWN/YjTAZczNmzcbNdXrlClT6C4ZAFm0adOmhQsXNtjs33//dXNzmzp1aiuUBNqCDx8+aGtr010FaNN69uz58OFD4rWCgkJGRgb1Y+3t7QcNGlRcXKyoqBgUFERu79q165IlSx4+fIgQCg4OrvNYYmLNnJwcHMeJnq+VK1cSu4i1mtevX0+8DQ4ORgjNnz+fPHbmzJkIoezsbOLtDz/80KNHD5FIRLxdvnw5QujmzZs4jhNTXK9YsULy0mw229fXF8fxyspKBoOxZMmS2uURKy3b2NiQW4hl/xYtWkRusba21tXVpfajoo1YLJa5nlcej4c3ZmpbmXp8D4C2g8qwAYSQkZGRp6fnq1eviOeLQYcH3a6gQd26dXvx4gXx2tvb+9q1a406HMdxJSWlUaNGnTp1SiwWI4Ru3rz56tUrcgW7+hC/mcRiJUR4JRf1JL7fLywslGzv6upKvjY2NkYIZWdnI4RycnJu3brl5ORUVFSUn5+fn59PrPRx/fr1BotnsVjdu3ePjIy8c+dOnQ0kh+0aGhoihBwdHSW3EDW0cTIXXrlcbqOGsZaXl7dcMQCA+lAMrwghPz8/W1vb6dOnE50ToGOD8AoaJDnsdcKECRcvXmzCSby9vclHo6KiokxNTc3NzWu0KS4uXrlypbm5uYqKCpfLXbx4MUKI6CDT09MzMzMLDw/PysqqqqoiFmeWjIkIIcmZvIh5kIh8Qqxwu23bNuX/EEtPEyuHNSgqKorD4fTr16/OURNqamo1LqqioiK5pV086kN10qgOg8fjNWpJdOh5BYAW1MMrQmj16tV+fn4hISHm5uYrV640MzOjPiMeaF/++usva2truqsAbZqRkdHt27eJ146OjqNHjy4rK1NQUGjUSYYPHy4QCKKiogYPHhwdHT19+vTabTw8PJKTk3/55ZdRo0bx+fwDBw6QU8BiGHby5El3d3d9fX3iyaotW7YMGTJE8vD6nsAh4u+MGTO8vLwkt+vr61OpvHfv3o8ePYqKigoODnZ0dJw1a9bu3bvJvbWnumcw2l8/psz9+87j8Ro1dSv0vAJAi0aFV4SQoaFhSEjI2rVrV69eXVBQAMsvdVRv374lvskFoD5GRkYRERHk29GjR58+fdrb27tRJ2Gz2e7u7rGxsePHj//48WPtMQMfP368ePHixIkTV69eTWyp8ZtZWlqanZ2dkJBgbm7euXNnDMMoXpoMqU1ejENOTs7Pz2/ChAmzZs3as2fP1KlT+/Tp07RTtU0yF16ZTKacnFxVVRXF9hBeAaBFY8MrQkhZWXnLli1btmxpoZJAWxASEkLxy1MgsySHDSCEunXrtm3btsaGV4SQt7f3kSNHgoKCLC0ta6+5RfSPkmvOi0Sis2fPSjbYu3evsbGx5MBWirS0tKysrE6cOPH777+T3/ITl8MwTFFREdU/FRKO42RKZjKZrq6ue/fu/fTpE4TXdo/D4VAPr7BIAQC0aEJ4BbJAXV392bNndFcB2jQdHZ3c3NzS0lIi561YsaJpC7oOGzaMmI1106ZNdV6lW7duhw8f7tatm5KS0pEjR2qMVjIwMDhy5MiCBQvU1NQYDIaqqqqbm5uqqiqVS2/fvn3o0KGWlpZ+fn4aGhrv3r1LTEy8ceOGqqoqn883MzOLiorq06ePSCQKDAyUvG5mZubs2bNdXV27dOny5cuXnTt36ujoDBgwoAm335bJYnhVUFAoKiqi2BjCKwC0gPAK6qSurk7MOgSAFEpKSsnJyaNGjUIIcTic8ePHR0RE+Pj4NOokcnJyHh4ee/furTH2lBQTEzNr1qwlS5bw+fwpU6ZMnz69S5cuxC4cxzU1NXEcJx7VIggEgvT0dFNT0wYvPXDgwNTU1FWrVu3evbu0tFRHR2fEiBHkaKj9+/dPmzZt1qxZOjo6P/74o+SBurq6urq627Zt+/r1q6qq6qBBg9auXduoGULbBaxR80Z1DF27diUe5aOiW7dukt8+AABax4sXL4YPHw5/+0ANt2/f/umnn27dukV3IaBN8/PzGz58uK+vL/E2KSlp06ZNTZt2oGnmzJkTHR2dkJBgYWHBZDKrqqru3LljZ2c3e/ZsGNr0nXAcb3+PmH0/cjk1Khr1dBcAoLlQWWELyCDoeQVUmJqaPnnyhHzr6Oh4//795OTkVisgISFh8ODBVlZWxIr0LBarZ8+eTCazUQvUg/rI4rCBRj2GTH10LACgGcGwAVAnDQ2NdjGJOqAXMceq5Jbt27ePHDmy1R7CtrGxOX369NatW83Nzauqql6+fBkWFsZisaZMmdI6BXRsshheGzX4A3peAaAFhFdQJw6Hw2KxioqKYDY0IEWNnleE0IQJE+7evbtly5YFCxa0QgGhoaHq6uq7du369OkTjuPa2tr29vbR0dEmJiatcPUOTxbHvPr4+ERGRlJszOfzCwoKWrQeAECdWCxWWVkZLDcAaujWrduFCxeIlS0BqA+DwaiurpacXTU/P19PT6/GGq2g3ZHRMa/KysrUGzdqOS4AQDOCzldQJxj2Cqio3fkqFAqtrKycnZ3pKgk0F1kMr506daLeGMa8AkAXCK+gThBeARVmZmaPHz+usTElJaWwsDAjI4OWkkBzkcXwKhAIqH8RWV1d3aLFAADqA+EV1Ame2QJU1O55JaxcudLd3b316wHNSBYHk/F4PBaLRXE8AI7j1dXVMLcFAK0PwiuoE/S8Aiq0tLQSEhJqb3dxcSktLV24cOGoUaN69uyZm5vb+rW1O6qqqioqKnRX8X9kNLxSD6NycnIVFRXEEnMAgNYE4RXUSV1dHVavAA0yNDSsc3gAk8ksLCw0NDS8ceNGZmamgYFB69fW7gQFBQUFBdFdxf+R0fDKYFAdL8FgMCC8AkALCK+gTurq6jdu3KC7CtDWOTk5lZSUVFZWysvL19778uXLS5cuDRgwAP5/b49kccwrl8ulHl6ZTGZFRUWL1gMAqBMssgXqBMMGAEV9+vT5+++/69s7bNgwSK7tlCyGVx6PR312W6LntUXrAQDUCXpeQZ3ggS1AkfTwCtovGQ2vYrGYYmMMwyC8AkALCK+gTtDzCiiysLC4d+8e3VWA5iej4ZX6BFgQXgGgC4RXUCc5Obnc3FwZXB4SNBb0vHZUMhpeqa+bhWFYZWVli9YDAKgThFdQJ6FQyGAw4F9m0CAIrx2VjIbXRv2rBz2vANACwiuoj6amZl5eHt1VgLaOw+Ho6+s/e/aM7kJAM5PF8IoQYrPZFFvCsAEA6ALhFdRHU1Pz8+fPdFcB2gGxWHz16lW6qwDNTEbDK4fDodgSx3EIrwDQAsIrqI+WltanT5/orgK0A8bGxvn5+c11toyMDAzD9u/f31wnlM7Hx8fMzAyGd9cmo+G1UVO7QXgFgBb37t27du0a3VWAtgh6XgFFzs7O79+/b+xRKSkpY8eO1dTUZLPZenp6w4cPj4mJaYnypCsrKysrK2swvGZlZR08eLB1SmojZDS8KikpUWwpFoshvAJAix9++KFHjx50VwHaIgivgCJra+s6F4mVYvHixUOHDk1NTXVzc1u+fLmzs/PTp0/v37/fQhVKERcX9/LlywaXVYqMjJS18CqLy8MihLhcLsWWMGwAALooKytTnxgEyBQtLa0nT57QXQVoB/r165eZmVldXc1kMqm0P3bs2KZNm+zt7ePj44VCIbERx/GqqqqWLLNeDSbXysrKmJiYOpfA7cBktOeVx+NRbAk9rwDQBZaHBfWBnldAHfXOVxzHf//9dy6Xe+LECTK5IoQwDJNMhziOL1q0SFNTk8/n29ra3rx5U/Ikr1+/9vDw4PP5fD5/7Nixr1+/JndxOJw9e/aEhoYaGhpyOJyePXvGxsbm5eUFBgaqqqoKhcIRI0a8fPmSbO/i4tK5c2fybUREhIWFBZfLVVdXHzJkyF9//fX+/XszbhzJdQAAIABJREFUM7Pbt2/fuHEDwzAMw+7cuUMc6Ofn9/bt22HDhsnLyy9btkxZWdnDw0OyzujoaAzDTp8+TfXn2JbIaM+rQCCg2BLCKwB0gfAK6gPhFVBnY2OTnp4+cODABls+ffr0+fPnEydO1NDQkNJsw4YNGhoaK1asKC8v37Bhg6Oj4+vXr1VUVBBCubm5tra2HA5n7dq15eXlmzdvtrOze/DggbKyMnHszp071dTUDh48WFVVNXv27AkTJpiZmVlaWsbGxn7+/HnGjBlubm6PHj2qfdFLly75+vp6eXnNmTPny5cvSUlJRUVFQqHw8OHDvr6+AoFgz549CCETExOi/Zs3b0aNGmVgYLB69eohQ4Z8+/bt8OHDBQUFZP6JiYlRVlYePnx4Y36WbYWMhlfJT1TSQXgFgC4QXkF9YLYBQJ2FhcWOHTsWLlzYYEtiLMoPP/wgvRmTybx8+TIx52anTp0CAwNTUlI8PT0RQps2bcrNzf3333/19PSISzs5Oe3bt2/x4sXEsW/evMnIyCAS5Jo1a8aNG8disQ4cOEDsvXfv3oYNG169etW1a9caF01NTUUIHT58mJguadGiRcT2QYMGKSgo8Pn8QYMGSba/cePGokWLNm7cSLzFMCwsLCwmJmbKlCkIofLy8rNnz3p7e7fT8QYyOmygU6dOFFtWV1dDeAWAFhBeQX2g5xVQN2LEiOfPnz99+rTBloWFhYhC95aHhwc5W7yFhQVCiPxtTEhI6N27N5/Pz8/Pz8/P7927N4Zh169fJ4+1sbEh+z4NDQ0RQo6OjuReYkt2dnbti/bs2RMhtGLFirKysgZvhLB06VLytbW1tYmJSUREBPH2/PnzxcXFPj4+FE/V1kB4bQCEVwDoAuEV1EdRUZHJZBYVFdFdCGgHhEKhr69vUlJSgy2Jh7mLi4ulN9PR0SFfEz2X1dXVxNvXr1/fvn1b+T+ampo4jn/79o1sr6amRr6Wk5NDCBHjDSS3kGeTNH78+EWLFm3fvl1PT2/VqlVfv36VXqSqqmqNqDNp0qTLly8TX1nExMRoa2vb29tLP0mbJaPhlc/ns1gsio1hmnQAaAGLFAApYOQAoM7R0ZFKeCU6Pv/55x/pzaSsc4TjuLW19eX/344dO8gGtbNHg/MJkDZu3Pjvv/96eHiEhIT06NHj4cOHUhrXXknU398fIRQVFVVZWZmQkODl5UX90m1Ne637O3G5XOrhlXoXPQCgGUHPK5ACRg4A6iiG1169eqmpqZ06darJH5v19fXz8/Md/n/E0IJmYWhoGBoampmZWVpa+ssvvzTqWB0dHScnp5iYmJSUlIKCgvY7ZgDJbHjl8XgUp3xD0PMKAE0gvAIpILwC6jgcjpWVleTY0zrJyckFBQV9/vx56tSplZWVTbiQm5vb06dPL126JLlRLBY34VQ1SK6z1aNHj27dupHfPCgqKlJcAjcgICAjIyM2NtbIyMjKyur7q6KLjM42wOPxqPeWQ88rALTAMIzD4ZSVlSkoKNBdC2hzYNgAaJQePXocPXq0xiP5tS1dujQ9PT0iIiItLW306NEaGhp5eXkPHjwIDAwcP358g1dZunTpyZMnR40aNWXKFCMjo2/fvl2+fHnOnDk15lhtgkmTJnE4nP79+7PZ7OvXr2dmZm7evJnYZWNjs2fPnnXr1nXu3NnW1rb2TAUkd3d3Ho937NgxcrKCdkp2wyuGYRQbQ3gFgC5E5yuEV1Db169f37x5M2fOHLoLAe3D+PHjvb299+7dK72ZvLx8YmLi3r17w8PDDx06VFxcLBQKzc3NieGwDVJRUUlPT1++fPmpU6fy8vKUlZUHDRrUp0+f769/2LBhW7ZsOXr0KJPJNDAw2LZt29y5c4ldq1atev78+dq1axUVFc+ePSslvLLZ7LFjxx48eLBdjxlACGGSHdGy4969e4MHDy4oKKDS2MXF5dy5cy1dEgCgti5duly9elVfX5/uQkCbs3nz5itXriQmJtJdCGg3+vbtu2/fPktLS7oLodOAAQMqKiru3r1LdyFNh+O47I55pT4Gpby8vEWLAQDUB4a9gvqYm5s3bVQikFk+Pj7kRKey6cGDB+np6T/++CPdhXwv2Q2vIpGIYmMIrwDQBcIrqI+2tvbHjx/prgK0JzIbXnEcP3jw4J9//jl27FgDA4NJkybRXdH3gvDaMFikAAC6QHgF9dHR0fnw4QPdVYD2pLCwEMfxq1ev0l1IaxOLxQsXLly4cKGent7Zs2eVlJToruh7yegDW4qKihBeAWj7ILyC+giFwoqKCpiMAlDXvXt3MzOzly9ftt+VpZqGyWQ2uCJX+yKjPa+orsUn6gPDqgCgC4RXIAV0voLGWrNmzYEDB+iuAnwv2Q2v1D+sQ3gFgC4QXoEUMOwVNNaAAQNwHP/111/pLgR8F9kNr4qKihRbQngFgC4QXoEU0PMKmiA8PPyff/5p77P0yzgZHfOKEKI+YLmqqqpFKwEA1AfCK5ACel5BExgaGp46dcrc3Dw7O3vTpk0UF1aVHaqqqioqKnRX0QDZDa88Ho9iSwivANAFwiuQQltbG3peQdOcO3fO29tbW1vbyMiI7lralqCgoKCgILqraIDshlc+n0+xJfV5CQAAzUtJSQnSCaiPjo7OrVu36K4CtEva2tqpqal0VwGaSHbHvAoEgmZvCQBoXtDzCqSAYQMAyCbZDa/dunWj2PLz588tWgkAoD4QXoEU8MAWALJJdsMrdRiGwbBXAGgB4RVIAT2vAMgm2Q2vysrKDAal25eTkysvL2/pegAAtUF4BVJwOBwOh5OXl0d3IQCAViW74VUgEMjLy1NpyWAwYIVYAGgB4RU0KDY2lu4SAACtSnbDK5/Pl5OjNNkCk8mEnlcAaAHhFUjn6elJdwkAgNYm0+GVyWRSaQk9rwDQBcIrkE5XV/fdu3d0VwEAaFUyHV4xDKPSEsMw6HkFgBaKioqlpaV0VwHaLj09vaysLLqrADTgcDh+fn717d2wYQOGYS9evGj267q4uHTu3LkZT3jv3j2BQHD8+HGK7aXfuIyQ6fCK4ziVlhiGQc8rALSAnlcgHfS8tgQzMzNdXd3a2319fZlMZgtNH4nj+Nq1a8VicUucvC0TiURlZWUQMxpFpsMrxb8k0PMKAF0gvALpILy2BE9Pz/fv39+5c0dyY1VV1V9//WVra6upqdkSF71169Yvv/wig+G1X79+ZWVlU6ZMobuQ9kSmwyv1dV8hvAJACxaLhRCCiZZBfSC8toRx48YhhOLi4iQ3pqSkFBQUtNwTcpGRkY09hOKDK21fh7mRViPT4ZXi/4g4jkN/PgB0gc5XIAWHw+Fyubm5uXQX0qH06tWre/fuNcJrXFwcg8Hw8PBACJWWls6bN09LS4vD4VhbW1++fJlslpeXN23aND09PQaDgf3HwcGB2FvfgU5OTn/88QdCiMViYRi2efNmhND79+8XLFhgaGgoLy+vrKzs4+Pz/v17yZKqqqpmzpyppaXFZrP79Olz9uxZKTd1+fJlGxsbBQUFTU3NuXPn1jeYfsOGDQKBIDExsX///oqKiioqKtOmTSsqKpJsIy8vn5aWRpytS5cuS5cuJUICMdD24cOHko3NzMwsLCwQQiKRaNWqVYaGhgoKCnp6ep6enk+ePEEIZWRkYBi2f/9+8pC3b9/6+PioqqpyOJx+/frFx8dLuS/pjf/5558RI0aoqalhElauXKmsrEz8UZKio6MxDDt9+rSUa7UhuAyjuEiBQCCIjY2lu1gAZJSOjs779+/prgK0XZaWlnfv3qW7io5m5cqVCKGnT58Sb8Visaampp2dHfHWxcWFy+WuXr169+7dFhYWcnJyN27cIHYNHz5cRUXlxIkTaWlpY8aMQQht3rz5wYMH0g9MS0sbPXo0QujKlSvXrl0j/sr//fffXbt2Xbx48YEDB5YtW8ZisRwcHMgK2Ww2Qqhr164hISHbt283NTWVk5NLS0sj9gYHByOEnj9/Try9evUqi8UaOnRoWFjY/Pnz5eTkRowYUeeNEwey2exNmzalpaXt2rWLw+FINnZ2dlZVVVVTU1u0aNG+ffvc3d0RQsuWLcNx/P379wwGY+nSpWTjx48fI4Q2btxI/EiZTOayZcsOHTq0atUqCwuLrKwsHMfT09MRQvv27SMOycnJ0dbW1tDQWLt27Z49e5ydnRFC4eHhkjfu6+tLpXF+fr6Wllbfvn1TUlIuXLhgZmbG4/FiYmLevXs3ffp0Npudn59Pnnb8+PHKysoVFRUUfjtoJhaLZTq8KikpUQmvPB4vMjKS7mIBkFG6uroQTYAUo0ePjo+Pp7uKjubvv/9GCAUHBxNvb9y4gRDauXMnjuNEB2dUVBSx6+vXr0pKSsOHD8dxPD8/HyG0cuVKYldOTg5CaP369cRbKQfiOD59+nSEUFVVVX0lTZs2DSGUk5NDvGWz2XJycuQn20+fPsnLy7u6uhJva4TXH374oUePHiKRiHi7fPlyhNDNmzdrX4U4cPny5eSWX3/9FSF0584d4i0REA8cOEC8FYlE+vr6ZmZm5F59fX2xWEy8/f333zEMe/fuHY7jtra2/fv3r33FGuF14cKFCCEy7ovFYltbWzU1tfLycvLGyfAqvTHRC3vp0iVib3R0NEKIyPfERcm7KCsr43K5gYGB9f3w2xSxWCy7wwYQQoqKilSa4TgOY14BoItYLH7+/DndVYC2C4a9tgRzc3MjIyNy5IDkmIGEhAQGg2FnZ5efn5+fn49hmJmZ2fXr1xFCRHjl8/nEUVwuFyFUWFhIvJVyIBVmZmYIoa9fv5JbBgwYoKOjQ7zW1NS0t7e/cuUKXmseoZycnFu3bjk5ORUVFRGX7tu3L0JIyqUdHR3J1yNGjEAIpaSkkFswDJswYQLxmslk9u7dm5yBISAg4O3bt0TWRwjFxMTY2toSU2v17NkzMzPz+PHjtSuUlJiY2Lt37169epHX8vPz+/LlS1paWmMb1/jj4PF46L8/DmtraxMTk4iICGLX+fPni4uLfXx8pBTWpsh0eKXY81pdXQ1jXgGgS+/evcl/fAGoDcJrCxk3btzt27c/fPiAEIqLixs4cKCWlhZC6PXr12KxWFtbW/k/t2/fLioqqq6u1tPTMzMzCw8Pz8rKqqqq2rp1K5IIglIOrLOA4uLilStXmpubq6iocLncxYsXI4Qkkx9RD6lz587FxcUFBQU1zvP69WuE0LZt28jrEin827dv9d275JmJ18TPgdCpUycFBQXyrby8PHkL7u7uQqGQmLT15cuX9+/fJ2PuunXrhg4d6ufnZ2pqun///voeGX/9+rWenp7kFuLtq1evGtt4yJAh8vLyf/zxR1FRUUFBwZ9//ikQCPr160e0nDRp0uXLlz99+oQQiomJ0dbWtre3r+8H0tZQWh+1oyI+hTRILBZDzysAdOFyucXFxXRXAdouXV1d4jtu0Lw8PT2Dg4PPnDljb2//8uXLOXPmENtxHOdwOOfOnavRnnhC6+TJk+7u7vr6+hiGcbncLVu2DBkypMED6yzAw8MjOTn5l19+GTVqFJ/PP3DgwIYNGyQb1Hjqur5ptoi8O2PGDC8vL8nt+vr69d27ZI9VZWVljb0cDqe+AzkcjpeX18mTJ3fu3Hnq1CkWi0XOz6CsrHzu3LnU1NTg4OCpU6fu27cvOTmZ6JyWRHH5JCqNdXV1T5w44e/vT4RpAwOD6OjoTp06EXv9/f1XrFgRFRX1008/JSQkTJkyheKDQG2BTIdXit051dXVEF4BoAuPx6vxqC8AkmCRrRZiYWFhaGh4/vz5kpISDMPIh9P19fXLy8tNTU01NDRqH1VaWpqdnZ2QkGBubt65c2fJdCX9wBo+fvx48eLFiRMnrl69mthSO5sSvYakrKwsHo8nEAhqNCNDKjnpAZWr9+7dm3j99u1bhBD1VbUmT54cFhZ25cqVuLg4JycnFRUVyb12dnZ2dnbHjh3z9/ffv3//3LlzaxzetWvXGr/PxNsuXbrUvlaDjT9+/KiiopKamqqjo6Ouri7ZUkdHx8nJKSYmxtTUtKCgoB2NGUAyPmxAKBRSaSYSiSC8AkAX6HkF0mVnZ9+9e5fuKjqmcePGXbt2LSkpaeDAgdra2sRGNzc3hNDOnTslW5LJcu/evcbGxq6urrq6ujX6BaUfSDyFQgzTRP91l5L/TYtEotozYd26dYtIlgih9+/fX7t2zcHBoXZnpJaWlpWV1YkTJ758+UJuJB79qe/Go6KiyNfEBLRk/3GD+vfvb2pqevLkydu3b0smQsnLET+KGuGb4O7u/uDBg/v375NHHT9+XCgUDhgwoAmNt2/f7unpaWFhUSO5EgICAjIyMmJjY42MjKysrCjeYFsg0z2vZOd5g2B1dQDoAuEVSNevXz9VVVW6q+iYPD09Q0JCLl26tG3bNnLjiBEjXF1d161b9/Tp0/79+4tEojt37hgYGBCTsxoYGBw5cmTBggVqamoMBkNVVdXNzY34A5J+oI2NzbZt2+bOnTtixAhdXV1bW9tu3bodPny4W7duSkpKR44ckZOrmVicnZ2HDRsWGBiooKCwZ88ehBAxjUBt27dvHzp0qKWlpZ+fn4aGxrt37xITE2/cuFHfb86rV68mTZo0aNCgu3fv7tu3b+TIkZaWltR/bpMmTfrtt984HA4x/xfBwsLC2dm5V69eFRUVkZGRcnJyxFRiNSxcuDAiIsLJyennn39WVVU9ffp0ampqaGio5Chb6o0NDAwiIyOVlJQ4HI6cnJy+vr67uzux+AtCyN3dncfjHTt2bNGiRdTvrk2gYZKDNqN2d319goKC6C4WABm1fv16Yg5FAOrDZDLJWZBA8zIwMMAwrMZcyxUVFatWrerataucnByXyx00aNDFixdxHBeLxQcPHiSzEUEgEDx+/Fj6gcSxM2fOFAgECgoKf/zxB47j9+/fHzhwoIKCgoaGxrJly968eYMQevLkCdGezWYnJCTEx8ebmJiw2Wxzc/O//vqLrLDGVFk4jt+8edPZ2ZnH4zGZTD09vRkzZpCTT0kiDrx//76fnx+Px1NWVg4MDCwsLCQbODs76+joSB7i4eEhEAgktxDf3Xt7e0tuXLhwob6+vpycnFAoHDRo0Llz54jtNabKwnH8w4cP/v7+nTp1YrPZlpaWNSbrlJwqS3rjwsLC2jnH3Ny8tLSUbEMsS0tO6NsuyPo8r8QEbFTC6+TJk+kuFgAZtWPHjp9//pnuKkCb1q1bN8mYAugye/ZsTU3N27dvE58lKisr09LS5OTk5s+fT3dpVNVOvU1w4cIFhNCZM2eaq6omqK6u7tOnj4ODw9u3b4ktJSUl27dvr1GYjY2NpaUlTTU2kazP8yoQCOTl5am0hGEDANAFhg2ABhkYGBDTIQF6JSQkDB482MrKislkIoRYLFbPnj2ZTCbxVnaEhYVpa2sPHz6cxhrev3//999/T5gwgZxLS1FRkZgrl/zjePDgQXp6+o8//khblU0l02Ne+Xy+nJwclTlcy8rKWqEeAEBtEF5BgyC8thE2NjanT5/eunWrubl5VVXVy5cvw8LCWCwW8d10h3fr1q1nz56lp6fHxsbu2bOn9iDd1tS5c2ddXd1Nmzax2Ww9Pb2SkpJ79+7t2LGjR48eQ4YMOXjwYEVFxZYtWwwMDCZNmkRjnU0j6+GV4sdBCK8A0AXCK2gQhNc2IjQ0VF1dfdeuXZ8+fcJxnJj3Pjo62sTEhO7SWsOVK1dWrlypqam5bt26mTNn0lsMg8FISkpatWrVsmXLvnz5wmazDQwMAgMDFy9ezGKxFi5cWFFR0b9//z179lBcsKlNwXCpy5R1bMnJyePGjSMn5pDC1tY2NTW1FUoCANRw7dq1FStWwF9AIMWJEyfi4uIkpzcCAHRUOI7L9JhXPp9PMbtDzysAdIFFCkCDoOcVAJki6+G1vtXkaqAyLhYA0BJg2ABoEIRXAGSKrIfX6upqKi0hvAJAFwivoEFqamqlpaUlJSV0FwIAaA2y/sBWVVUVlZYQXgGgC4RXQAXR+dqzZ0+6C2mX8vLycnNz6a4CtEWqqqoqKip0V1GTTIdXJSUlkUhEpWVlZWVLFwMAqBOEV0CFiopKeno6hNfGSk1NXbNmzbNnz0pKStTU1OguB7Q5QUFBQUFBdFdRk0yHV4QQh8Oh8jAWhFcAaKSkpFRSUtIe53MBrUZHRycxMXHq1Kl0F9KeGBoaKisrs1is+Pj4Pn36MBgyPZIQtCOy/puqoKBApRnF0QUAgJYAna+gQf7+/tDLQF1paamCgsKwYcN27NiRnp5uaWkJyRW0I7Le88rlcr9+/dpgM/g3EQAaEeFVQ0OD7kJA22VkZPT8+XO6q2g3dHR0njx50qVLF7oLAaApZP2TFpfLpdKM4tBYAEBLgKleQYMMDQ1fvXoly8vuUGdtbX3o0CFIrqD9kvXwyufzqTSD8AoAjWDYAKACOl+p8PPz4/P57u7udBcCQNPJ+rABZWVlKs2YTGZFRQWbzW7pegAAtUF4BVQQ4dXY2JjuQtquioqKU6dOlZeX010IAN9F1nteGxVeW7oYAECdILwCKqDntUHe3t42NjZ0VwHA95L18Epx6l0mkwkfVQGgC4RXQAWEV+m+fPlSUVExZcoUugsB4HtBeKUUXhkMBvS8AkAXCK+ACgiv0n379u3ly5f+/v6tcK3KykoDA4MZM2a0xMk3bNiAYdiLFy9a+dg6NfZOORyOn59fc11dZsl6eBUIBPLy8g02YzAY0PMKAF0gvAIqILxK5+3tPWvWrMYetWXLFgzD9uzZ06ijcBwvKyujsgZQeyc7d9qmyPoDW3w+n8ViNTiNK4ZhEF4BoAuEV0BFly5d3r17JxKJ5ORk/b+22q5fv56bmztnzpzGHhgeHo5h2NGjRxsVfNls9ocPH5hMZmMv1+7Izp22KbLe88rn86n8zmEYBsMGAKALhFdAkZKS0qVLl+iuoi06dOjQr7/+2tijHj58eP/+fQ8Pj5s3bza2V1t28pzs3GnbAeGVj2FYg82g5xUAGsEiBYCiESNG5Ofn011Fm1NYWHjq1KkmPKoVHh4uLy8fEhLCYDCOHj0quWvDhg2qqqr//vuvq6srl8vV0NDw8vL6+PEj2UBycGdGRgaGYZmZmXPmzFFTU1NSUnJwcHj06NHDhw9dXFy4XK62tvbMmTPJz6ilpaVbt261tLTkcDiKiop2dnbp6elUCiYudPz4cTc3N6FQqKio6OLi8uzZsxrNvnz5Mm7cOD6fL1k2cezOnTslW86aNYvFYuXm5opEolWrVhkaGiooKOjp6Xl6ej558qT2nRLFL1myRF9fn81mGxkZBQcHV1dX11ew9MZ5eXnTpk3T09NjMBjYfxwcHFxcXDQ0NCRbfv78mclkzps3j8pPqQOA8EppkQKEEPS8AkAX6HkFFPXo0ePRo0d0V9HmjB071tbWtrFHicXi48ePOzo6du3a1dbW9tixYzUWMMvLy3NwcBg4cODVq1eDg4MTExPHjRsn5YS+vr4VFRVnz54NCwvLzMx0c3NzcXEZMmRIUlLS3LlzQ0NDlyxZQrRkMpn79++3srLavn37unXrXrx4MWrUKCpruRP8/Py0tLTOnDlz7NixZ8+eDRkypMZHGj8/P3l5+S1btvj6+sbExBBlW1tbm5iYRERESP4E4uLinJycVFVVf/vtt/Xr13t5ef3555+TJ09++fJlnSt04jg+ZsyYbdu2eXh47N69e+jQoStWrKjvca4GG/v7+8fGxm7evPnGjRtjxoxBCG3evHnnzp0BAQE5OTmSXzLExcWJxWIfHx+KP6J2D5dtjx49opJfBQJBYmIi3cUCIKP++uuvESNG0F0FaAfi4uLc3d3prqLNUVVV/fz5c2OPunjxIkLo4MGDOI7v3r0bIXT16lVyb3BwMEJo/vz55JaZM2cihLKzs4m3bDbb19eXeE30m9rY2JCNg4KCEEKLFi0it1hbW+vq6tZZCREoo6OjJS/9/Pnz2i2JCw0YMIDccuXKFYTQ5s2bJY/19/cnGxCdpkTZxN6XL18Su1JTUxFCRGq3tbXt379/neVJ3mliYiJCaMeOHeTeFStWIIQyMzMb25gI3CtXriR25eTkIITWr1+P43hZWZlQKJS8iyFDhhgZGdVZXscjFouh55UvpT+fhOM4DBsAgC7Q8woogp7X2s6ePfvDDz9oaGg09sDw8HA5ObnRo0cjhDw8PBgMRnh4eI02rq6u5GtibbPs7Oz6Tuji4kK+NjQ0RAg5OjpKbqnvWDMzM4QQ9Z5XydPa2dlxudyUlBTJBr6+vuRrCwsLsmx/f38Gg0F2vsbExCgqKhJL6fbs2TMzM/P48eP4/9/9XAORRyVHEUycOBEhFB8f39jGRHgl+9eIjt7CwkKEEIfD8fLyio+PJ2Y5yM3NvXr16oQJExr4uXQgEF75VVVVDTbDcRyGDQBAFwzDPn/+THcVoB0wMjJ68+YNlX/VZcfhw4cDAgIae1RJSUlsbGzfvn2/fv364sWLoqKiPn36nDx5skY/jmQmJiZ5kNIfpKamVqOx5FTrcnJyksfu27fP1tZWQ0ODx+MRq4JJT42StLS0yNcYhmloaHz48EGygY6ODvmamC6TuLSOjo6joyMRXnEcj42NHTVqlJKSEkJo3bp1Q4cO9fPzMzU13b9/v0gkqvPSr1+/5nK5kot36unpIYRevXrV2MZ6enpmZmbh4eFZWVlVVVVbt25FErk8ICCgqKjozJkzCKHTp09XV1dDeJUhfD6/wXmyAAD0YjAYUrpzAJAEna+ScnJy4uPjPT09G3tgbGxsSUnJzZs3jf6TmZlZUFBApCUSlYnSSSwWq8YWBqPuEBIcHDxt2jQdHZ1Tp05lZmZKjkOlokZnU+3/5TkcTn3HBgQEPHny5N69e7du3Xr37h05ilRZWfncuXNXr17QdEjDAAAgAElEQVQ1MDCYOnXqwIED6/w6iMoj4BQbYxhGfFogHucKCQnZsmXLkCFDiL2SI3RPnTplYWFhYmJC/dLtnayHV0RtkS2RSARPsAJAl27dukn5zwYASRBeJe3evdvNza0JB4aHhysoKJw4ceLkfyIjI9lsdo05B1rIoUOHDAwMIiIibG1tjYyM2Gx2ow6XnPSgoqIiOzu7c+fOFI91d3cXCoUxMTFxcXGdOnWSHOqAELKzszt37lx4ePitW7f2799f+/CuXbsWFxd/+/aN3JKVlYUQ6tKlSxMal5aWZmdnJyQkvH37tqCgYP78+ZKHBwQEXLhw4ePHj8nJyTL0qBZCCMIrovZNhEgkgjGvANCFz+cTI70AaBCEV0lXr179+eefG3sUkYfGjRs3fvz4cf/x9vZ2c3O7cOEC8eRQi8JxnMfjkf2yp0+fbtThcXFxZG9rTExMZWUl2WHZIA6H4+3tnZSUdPHiRQ8PD7JrWTIqEJ8HPn36VPtwYoCsZMQnBgqPHDmyCY337t1rbGzs6uqqq6tbu5vW399fJBKtWbNGJBJ5e3tTvMGOAZYhQVwut8Fh4NXV1bD4GwB0UVBQqKysrK6uhsnAQYOqqqouX75MdxVtQnZ29tOnT+3t7Rt7YEREhFgsDgwMrLF9ypQpRBdsE1bqahR7e/sDBw4sWbKkd+/eycnJ9+7da9ThGhoajo6O48ePz8vL27Rpk7a29o8//kj98ICAgEOHDlVVVW3ZsoXcaGFh4ezs3KtXr4qKisjISDk5OWLuqhocHR3d3d0XLlz45s2bHj163L17NywszNvb29raugmNDQwMjhw5smDBAjU1NQaDoaqq6ubmpqqqSuzV1tZ2dHQ8cuSIra0t9a7ljgHCK6WpXsVicWlpaSsUAwCoE9H5KvlkAwB16t69e2xsLN1VtAnR0dHjx49vwoHh4eHGxsZ2dnY1tjs5Oenq6h49erSlw+vGjRuLi4vDwsLEYvHw4cMvXrw4YMAA6odPnjz506dPa9euLSgosLW13bFjh0AgoH54//79dXR0KioqJHO/o6PjiRMntm7dyuVye/bsmZCQUGceRQidOHFizZo14eHhu3fv1tXVXb169fLly+u7lpTGOI5ramriOE48qkUQCATp6emmpqbEWx8fn/Pnz8vamAGEZH6eVxzHKU7dPHv2bLorBUB26evrv3nzhu4qQDvw/v17HR0duqtoE9TV1cPDw+muolUR87zu27fve05SUFDA4XAkp7ClxezZszU1NW/fvi0SiXAcr6ysTEtLk5OTkyxs2bJlLBYrLy+PvjJpAPO8IoQQxb6ckpKSlq4EAFAfWCEWUKSjoyMSiWB6ig8fPohEIsk5RAFFR44cKS8vb9RIg5aQkJAwePBgKysrYrgUi8Xq2bMnk8kkR0+Vl5cfPXrU3d29U6dOtFZKAxg2gMjhI9JBeAWARvDMFqDO0tIyMzNz+PDhdBfSdH/++efy5ctv3rxJzPzfBOfPn69zUCaoz7t3765cufLu3bv169ePHz+eWBmBRjY2NqdPn966dau5uXlVVdXLly/DwsJYLNaUKVMSEhLy8vKOHz+ek5NDLMolayC8InV1dSrNILwCQCMIr4C6vn373r17t1HhNTk5eceOHRkZGfn5+SoqKoMHD166dGmvXr1arkjpKisry8vL65sJn4rExMRJkyY1Y0kdXlZW1owZMzgcjoeHx44dO+guB4WGhqqrq+/atevTp084jmtra9vb20dHR5uYmCxatOjixYtGRkYxMTHm5uZ0V0oDDKe8ZEVHtWnTpuXLlzf4b8SwYcOSkpJapyQAQA3jx4/39PRswlzrQAbFxcWFh4dTf2xrzZo1q1atUldXHzt2rJaW1rt372JiYoqLiyMjIz08PFq0VCm+c3oNFotVVlZGLGQFQEeC4zj8WiOhUCgvL99geIXZBgCgEfS8AuosLS3nzZtHsfGVK1dWrVplY2Nz7tw58pn0devWDR48eNKkSVZWVvr6+i1WqTTfk1y3bNlibW0NyRV0VPDAFhIKhVT+hsM8rwDQCMIroE5fX//bt2+PHz+m0njbtm0Yhh0+fFhyNiV1dfU9e/aUlJTs2bOH2JKRkYFh2IsXL3bs2KGlpcVisYg1SM+cOdOvXz8ul4tJuHLlCkLo9u3bPj4+GhoaLBZLW1t7+fLl5LKlxNnS0tJmzpyppqbG5/NtbW1v3rxJFrBhwwbicuSW0NDQ3r17czgcNTU1FxcX6U+kbd68ecaMGRR/XAC0OxBekUAgoLIYMaywBQCNYLYB0CjW1ta7du1qsBmO41euXOnTp0/t56Ls7OzU1dUvXLgguXHLli0hISF+fn7r1q1js9kZGRljxowxNTW9dOlSeHg4j8ezsrI6e/Zs3759EULp6emvX78OCgrau3evg4NDcHDwhg0bJM/m7u5eXFyckJBw4sSJ7OxsR0fHvLy8OutctWrVzJkzuVzusmXLAgMDcRyX8qjx27dv2Wy2r69vg7cPQDsF3ykgoVBIpRmEVwBoxOfz61yMEYA6LVu27LfffmuwWW5ubmFhoYmJSe1dGIYZGxvfuXNHcmNkZOTjx4+1tbWJtydOnGAymXv37uVwONbW1unp6YcOHXJyciK+8Z89e/bs2bOJlgEBAZmZmdHR0atXrybPpqurSywHihAqKiry8vJKSUmpPbA7Ozs7JCRk2LBh58+fpzKWICkpydHRscFmkvLy8nJzcxt1CGgXVFVVVVRU6K6i+UF4RUKhUCwWN9iM/LoHAND6+Hz+s2fP6K4CtBt2dnapqakNNiOmkVFUVKxzr6KiYnl5ueSDUxMnTiSTK0IoPz9fXl6ew+EQb3k8XkVFRWVlpYKCQo1TYRhmamqakZEhudHV1ZV8TXT9fv78uXYZKSkplZWV06ZNozgKNikpicpzZvfv3z9w4EB5eXliYiKTySwvL++QKUfGBQUFBQUF0V1F84PwigQCAZXpSCorK1uhGABAnWDYAGgUBoNhbW2dlpYmfVlRLpeLEMrJyalzb05OjoKCgmRk7N69u2QDFxeXw4cP79q1a8aMGW/fvj158uTAgQPJ5Prq1as1a9Zcv379y5cvIpGovLy8xnf9Ghoa5Gvi0Yvq6uraZWRlZSGEDAwMpN7x/0lKSgoNDa1vb0lJSXV19Zw5c86cOdOjR49evXpNmzbN3d1dT09PBue6B+0UhFckFAqpBFMIrwDQCB7YAo1FdL5KD68qKioCgeDt27d17n337l2NtMpmsyXfenl5PXz48OeffybWD7e1tT18+DCx69u3b8Sl165da21tzeFwpk2bVuMZMnl5eSo3QsxoWePS9UlKShIKhfWtHLlp06b09PS4uLg5c+Y8fvxYMj0D0I7AA1uIzWZTeWALwisANILwChrL1tb22rVr0ttgGDZkyJCHDx8+f/68xq6rV6/m5eU5OztLP8Pz589Hjhz59OnToqKi1NTUrl27EtvPnTuXnZ0dHBwcGBjYs2fPbt26NXmlm86dOyOE3rx5Q6VxTExMnz59am/Pzs42MzM7c+aMoaGhSCTavn07JFfQfkF4Raj+AU+SqqqqWqESAECdYNgAaCwWi5WcnNxgszlz5uA4PmXKFMlfsJycnJkzZyopKc2aNUvKsR8/foyOjp45c6axsTExAoFEdJeSDwS/efPmwYMHTbkNhIYOHcpisQ4ePEhlUaEPHz5MmTKlxsbQ0NBt27bxeLwLFy5s2rTpe2aQBaAtgGEDCCGkpKTUYKdOdXU1juNU+mgBAM0Oel5BYw0aNAghVFBQIDmBa2329va//vrrr7/+amJi4uHhoaWllZWVFR0dXVhYGBkZqaenJ+VYVVVVHo+3Zs2a+/fvMxgMNpttYWHh4OCAELKxsZGXl1+5cmVRUVF+fv727duNjY2lT85aHy0trfnz54eEhAwePNjZ2bm0tPTatWsxMTF1Pl915cqVyMhIyS0rVqxITk42MTGRnEcWgHYNwitC1GbhkZOTKy8vr/0MKQCgFUB4BY2loKBgb29/8+ZNJycn6S1Xr15tbW29Y8eOyMjIgoICVVVVJyenZcuW9e7dW/qB79+/t7a2TkpKksyF06ZNCwsL69q16/Hjx1esWDF16lR9ff21a9fiOL5gwYKm3UtwcLCWllZoaOjq1asVFBQGDx5c5xeGmZmZNfqAr1279uTJk19++WXkyJFNuzQAbRBG5WuIDq9///63bt2S3obD4Xz48AEexgSAFpWVlcQ8RHQXAtqTP//88+HDh+QqWc3r7du3vXr1CgwMXLVqlVAoxHH869evs2fPjoiIKCws5PF4LXFR6bZu3frhw4ctW7YQbz9//jx9+vTFixcPHDiw9YsBoIXgOA5jXhFCiEokZTAYsE4BAHSRl5fHMAzCK2gUd3f3+Pj4Fjr51atXi4qKZsyYQQxsxTBMRUWlS5cuDAaDrl6hK1euEIMWEEKzZ88eNWrUuHHjILmCjgfCK0IISVlnj8RkMsvKylqhGABAnWDkAGgsLS0tZWVlKZOefg8rKysWixUUFBQXF3f58uWYmJigoKCQkJDJkyfz+fyWuGKDzp8/T7yYP39+aWnpvHnz/P39aakEgBYF4RUhauEVwzDoeQWARjDhAGiCbdu2zZ07tyXOTMw8VVlZOXXqVEdHx8DAwHv37u3YsSMsLKwlLtcgkUikqKhoa2u7cePGpKSk/fv3+/j4NPlsV65cwf4jJyenpqY2bNiwRnVjZ2VlHTx4sMkFACAFPLCFEEKqqqoMBkP6IrEQXgGgF/S8giZwcnLy9/ffv39/YGBgs5/cxcXFxcWl2U/bNM+fP9fW1s7LywsJCcnLy2uWc/r4+NjY2FRVVWVlZUVERIwZMyY6OtrT05PKsZGRkQkJCbXn7QLg+0HPK0IIKSsrN7jSCYZhMGwAABpBeAVNM3fu3O3bt9NdRYsj1sLt27dvSkpKc51z8ODBQUFB8+bN27ZtW0ZGBovFotivXFlZGRMT01xlAFADhFeEEBIIBMS60tJBzysANIJhA6Bp3rx5U15eTmXBgnYtLS3t0aNHv/76q7m5eUuc38DAQF9f//Pnz+SW0tLSJUuW6Ovrs9lsIyOj4ODg6upqhND79+/NzMxu375948YNYuDBnTt3EEK3b9/28fHR0NBgsVja2trLly8nH8HMyMjAMCwtLW3mzJlqamp8Pt/W1paYgKy8vFxZWdnDw0OymOjoaAzDTp8+3RJ3Cto+CK8IISQUChtccQTHcQivANAIel5B04wcOdLc3LzJC1y1F2fOnPn48WMLDfBFCFVUVOTk5JiZmRFvcRwfM2bMtm3bPDw8du/ePXTo0BUrVsyYMQMhJBQKDx8+rKen16tXr2vXrl27ds3ExAQhlJ6e/vr166CgoL179zo4OAQHB2/YsEHyEu7u7sXFxQkJCSdOnMjOznZ0dMzLy+NwOF5eXn/99VdBQQHZMiYmRllZefjw4S10s6CNgzGvCCEkFAobXDoLx3EYNgAAjSC8giaLiYkRCoW9evWysLDIzc2lu5zmV1hYmJub2+zLmBcWFn7+/FksFr9//37Tpk1sNnv16tXErrNnz168eHHHjh0///wzQigwMFBVVXXdunWzZs2ysLAYNGiQgoICn88n1jkjzJ49e/bs2cTrgICAzMzM6Oho8oQIIV1d3fDwcOJ1UVGRl5dXSkqKp6dnQEBAWFhYTEwMMYK2vLz87Nmz3t7eDY73Ax0VhFeEEBIIBNKf1kIIicVi6HkFgEb3798vKSmZPn063YWAdikjI8PV1RXDMBzHqYwTa1/+/fffTp06Nft9LViwgFwVrF+/fpcuXerRowfxNjExESHk5+dHNp44ceK6devi4+MtLCwaPDOGYaamphkZGZIbXV1dydfGxsYIIWKUgrW1tYmJSUREBBFez58/X1xc/D1zKYD2rqP9BW4aoVBIjNSRQiwWQ88rADTq169fnYu5A0CFiYnJixcvUlNTq6qqhg4dSnc5zSk/P3/u3Llnz55t9jPPnTvX2dkZIfT169eTJ0/2799/586dxLwNr1+/5nK5ysrKZGM9PT2E0KtXr+o726tXr9asWXP9+vUvX76IRKLy8vIa81RqaGiQr4kgTv7XPGnSpBUrVnz69ElLSysmJkZbW9ve3r45bxW0KzDmFSGEhEJhZWWl9DbV1dXQ8woAjTQ0NJr9W1Ega+zs7DpYckUICYXCZcuWiUSiCRMmFBcXN+OZe/ToQUwH5uPjExcXN3DgwJ9++qmkpAQh1OBYuxq+ffs2YMCAc+fOLVmy5Pr163///bednV2NNlKGARCrLURFRVVWViYkJHh5eTEYEGBkF/zZI4QQl8sViUTS20B4BYBeQqEwPz+f7ioAaIu6d++OYRifz2+hqQYIFhYWlZWVL168QAh17dq1uLj427dv5N6srCyEUJcuXeo89ty5c9nZ2cHBwYGBgT179uzWrRsRginS0dFxcnKKiYlJSUkpKCiAMQMyDsLr/ygoKEhvIBKJSktLW6cYAEBtAoFA8nFjAIAkGxsbNze3mTNnUlxEoLGqq6tTUlIYDEbnzp0RQu7u7giho0ePkg2IZ61GjhxJvFVUVJT8tInjOEJIKBQSb9+8edPY+R8CAgIyMjJiY2ONjIysrKy+62ZAOwdjXv9HSUmpwWwK4RUAGkF4BUCKAQMGpKWlrVu3rn///vPnz9+6dev3n/Pq1avE15L5+fknTpz4+++/Z82aRQw9d3R0dHd3X7hw4Zs3b3r06HH37t2wsDBvb29ra2viWBsbmz179qxbt65z5862trY2Njby8vIrV64sKirKz8/fvn27sbFxdnY29WLc3d15PN6xY8cWLVr0/bcG2jUIr//D4/G+fPkivQ1MkA4AjWDYAABSDBgw4LfffkMI3bx5s0ePHpcvXx48ePB3nvPYsWPHjh1DCAkEAiMjo/379wcEBJB7T5w4sWbNmvDw8N27d+vq6q5evXr58uXk3lWrVj1//nzt2rWKiopnz57t37//8ePHV6xYMXXqVH19/bVr1+I4Tk5lQAWbzR47duzBgwdhzADAiJ58YGlpee/ePelt/P39Jb8iAQC0pgcPHvj7+9+/f5/uQgBoi4qKiiRnzmGz2W/evNHS0qK3quY1YMCAioqKu3fv0l0IoBOO4zDm9X8k5/uoDwwbAIBGMGwAACl4PJ6xsfGVK1eIt4WFhdra2rRW1MwePHiQnp7+448/0l0IoB+E1//p1KlTg20a9WgkAKB5wbABAKRzdXW9c+cO8ZrNZn/48KEDLMeA4/jBgwf//PPPsWPHGhgYTJo0ie6KAP0gvP6Pmppag21gkQIAaAQ9rwBI5+DgQPa8IoS0tbX379/v6+vbrscHisXihQsXLly4UE9P7+zZs0pKSnRXBOgH4fV/1NXVG2wDwwYAoBefzy8sLKS7CgDaqBrhFSHk7++fkpJiaWlZY3s7wmQyv379WlJSkpKSYmJiQnc5oE2A8Po/QqFQytoeBFikAAB6wcgBAKRQUlIyMTGRfJ6JyWR++vSJw+EsXbr0/7F33wFRHG0DwGfvuEI54Ar1EAQsSBFQUAQRRcGGBsSCHWNXjBqxxPJpggZNNJZYUCwvBsGGQpTX3muCiog1FhRUQOkdDm6/P+bN5nJ3HAcCi/L8/mJnZmefXVAe5mZntm3bRmNsADSiz342TGPR19dnsViqN4mF5BUAesHMAQBUc3d3j4+P79q1q2zhrVu3/vjjj+HDh5eXlw8ZMqRjx450hQdAo4CR1//R19dnMpmq26gzLxYA0HRg5BUA1YYMGbJ27VrF8u7duyckJGzbts3X17dz585Pnjxp/tgAaCww8vo/IpFIW1tb9XQ6vKEzAIAuMPIKgGo+Pj7du3e/ceOGh4eHXFWXLl3S0tJu3LixZ8+e/v3729raTp061d7evmPHjrm5uTk5ObQE3LhEIhHeAAx82SB5/R8ej1fn+1iqJxUAAJoaJK8A1MnHx+fcuXOKySvm4eHh4eHx8ePHuXPnTps2TVNTMysry9jYuLq6Wl9fv5lDbXQhISEhISF0RwGaHCSv/8Pn8/EOzipUVlY2TzAAAKVg2gAAdfLx8Vm4cOGqVatUtDEwMIiJiUEIFRcXf/jwoaSkRFdX19LSsplCBODTQPL6PwKBoM6BVYlE0jzBAACUgpFXAOrk7u5+//79srIyLS2tOhvzeDwej9cMUQHQiOCFrf/R0dGhtoSuTXV19We91DMAnztIXgFQh7u7e0JCAt1RANBUIHn9R51/pLJYLNinAAAawbQBANRhZWW1efNmuqMAoKlA8vqPOj86YTKZsEMsADSCkVcA1LFq1ar09HS6owCgqUDy+g89PT3VDZhMJoy8AkAjSF4BUIeJiYmJicm9e/foDgSAJgHJ6z8EAoHqBgwGA0ZeAaARTBsAQE1Dhgw5ceIE3VEA0CQgef2HSCRS3QCSVwDoBSOvAKjJz8/v5MmTdEcBQJOA5PUfhoaGqhsQBAHTBgCgESSvAKiJxWI9evQoOzub7kCU4HK548aNozsK8BmD5PUfxsbGdbaBkVcAaATTBgBQk6Ojo66ubm5ubgPOzcrKIpSxt7dv9DgBaADYpOAfQqGQzWar2KqAJElIXgGgkZaWVnl5Od7Nku5YAGjpxowZc+bMGVtb2/qeyOPxwsLCZEs+fvy4ZcsWGxubxosOgIaD5PUfAoGAxWKpTl5h2gAA9OrQoUNhYSEkrwDUaeTIkfPnz58/f359T9TW1l6+fLlsSXBwsKam5vr16xsvOgAaDqYN/IPP52toqMrmpVIpjLwCQC8+n5+fn093FAB8Btzc3N69e5eRkfGJ/Vy9ejUqKmrFihVt27aVLezTp4+Ojo6urq6fn19qaqrsKW/evBkzZoxIJOJyua6urvHx8Sr6LysrW7x4sYWFBYfDad++fXh4uOyGlw8fPhw0aJCBgYHsBIZVq1YNGDDAyMhItmVWVhaTyWxAsg4+O5C8/qPOpbJqampg5BUAegkEgry8PLqjAODzYGhoOHfu3E/pQSKRzJw5s1OnTqGhoVTh5cuX+/XrV1JS8uOPP65cufLly5ceHh5PnjzBtR8/fnR3d7948eL8+fM3btwoFAoDAgKio6OV9k+SZEBAwMaNGwMDA7dt29a3b99ly5bNmDED1xYWFvr6+n748OHw4cN4CgSPx4uLi5syZUpwcPCHDx/Onz9PdXX8+HGpVDpmzJhPuV/weSDB3x49eqSrq6viWbHZ7A0bNtAdJgCt2rhx43777Te6owDg83Dv3j0nJ6dP6SE8PBwhdOnSJdlCFxcXMzOzsrIyfJibm8vj8YYOHYoPcZr74MEDfCiVSj09PQ0MDCoqKnAJh8MZO3Ys/hqv57Vlyxaq82XLliGE7t27R5IkHrI9f/48rjp8+DBC6ObNmyRJlpeX6+vrjx8/njrR29u7ffv2n3Kz4LMglUph5PUffD6/urpaRQOJRALTBgCgF4y8AqA+Z2dnkiRTUlIadvqbN2/CwsLGjx/fu3dvqjArK+vOnTvDhg3T1NTEJQKBwM/P7/Tp0xUVFQihkydPdu7c2cHBAdcSBDFu3LiPHz/evHlT8RI4eZVdOWvChAkIIZy24tVFqHElvIt7UVERQojL5Y4aNSo+Ph7/Xs7Jybly5cro0aMbdqfg8wLJ6z8EAkFlZaWKBiRJlpSUNFs8AABFkLwCUC/BwcH/+c9/GnbunDlz2Gy23Htar1+/RgiZm5vLFpqbm1dVVb179w4hlJaWpliLEHr16pXiJdLS0nR0dPh8vtLG3t7ebDZ78+bNxcXFhYWFO3bs0NPTc3V1pW6tuLj4999/RwglJCTU1NRA8tpKQPL6Dw6Hw2DU8UCKi4ubJxgAgFKQvAJQL0OHDt22bVsDToyPjz9x4kR4eLjcDj4EQag+sc4G6jdu06bNoUOHEhISdHV19fX1U1NTDx8+TL2g4ubmZmNjExMTgxA6evSos7MzLObVSkDy+i/a2tqqG0DyCgC9IHkFoF6srKy8vLz27NlTr7NKS0vnzp3brVu3adOmyVVZWloihNLT02UL09PTWSyWWCzGV1SsRQjJLlYgG15JSYnsEiJyjd+/fy8UCu/du5ednf3q1StfX1/Z04ODg8+cOfP+/fsLFy7Aq1qtBySv/6L6hS2EEEwbAIBekLwCUF/ff//93r1763XKqlWr3r17FxERofiBpKGhobu7e1xcHLX8Tn5+fmJiore3N5fLRQj5+/s/ePCAmmhLkuSBAwf09fXd3d0VL+Tv748Q2r9/P1Xy22+/IYQGDx6MDzdt2jRixAhnZ2elW7iPHz++uro6LCysuro6KCioXvcIPl+wScG/6OnpqW5QWlraPJEAAJSC5BWA+nJ3d5dIJLdu3erRo4c67Z8/f75p0yYrK6vExMTExETZqmXLlhEEsWHDht69e3t6ek6YMKGmpmb37t0SiWTt2rW4TWhoaExMjK+v75w5c0QiUUJCwtWrVyMiIqgXvGT5+Pj4+/uHhoa+fv3azs7u7t27O3fuDAoKcnNzww0sLS1jY2O1tbW5XK6GhoaFhYW/vz+LxcK1pqamPj4+UVFRnp6eZmZmDX9G4PNC84IHLYzsC5VKeXp60h0jAK3as2fPOnToQHcUAHxmDh8+zOPxXr58qU7jU6dO1fZLUCKR4Da3b9/u27evlpaWjo5O//7979y5I9vDu3fvxo8fLxAIOBxOly5dYmNjZWtll8oiSbKysnL58uUWFhYsFsvKymrVqlVVVVW4qqioaN68eXIxODo6Uqt0kSSJR20jIiIa9mTAZ0cqlRIkSX5yAvzlGDFixNGjR1U06NKly927d5stHgCAnJycnE6dOn38+JHuQAD4zMTExCQmJh44cIDuQNQllUq7du2qr68fFRWFlyAoKyuLjIycN2/e77//PmTIENxs6dKl69evz8rKqpxjqp4AACAASURBVHOnIfBlIEkS5rz+i5GRkeoGsM4rAPSCaQMANMyYMWOSk5OpfbBavrdv396/f3/06NHUwltaWlq2trYIISaTiUsqKir279/v7+8PmWurAnNe/8XIyIggVI1GQ/IKAL0YDAaPxyssLKxzhjoAQE5ZWdmiRYtOnDhBdyBqMTMza9Omzc8//8zhcMzNzUtLS5OTk7ds2WJnZ9e3b98TJ07k5uYeOHDgw4cPeFMu0HrAyOu/4Ak6Khqo3sUAANAMYPAVgIbZtWtXaWmp6r0kWw4Gg3Hu3LkuXbp89913vr6+QUFBhw8fnjJlyrVr1zgczq5du6ZPn56ZmRkXF+fo6Eh3sKBZwZzXf4mNjZ0+fbqKxVwFAkFubm5zhgQAkOPi4rJz586uXbvSHQgAn5+xY8fy+fytW7fSHQgADQRzXuXx+XzVm2zByCsAtIORVwAaLDo6+uXLl0qXXAXgcwHJ67/UOeO7qqqqeSIBANQGklcAGowgiFOnTvn4+MyaNYvuWABoIEhe/4XP50ulUhUNPpepQgB8wfh8vuxmkgCA+powYcLdu3c3b95MdyAANASsNvAvfD5fIpGoaMBkMisqKvAOeAAAWsDIKwCfyNraev78+UuXLo2IiPj555979OiRk5NDd1BNRSQSCYVCuqMAjQmS138RCASqZ7Uymczy8nJIXgGgkUAgyMzMpDsKAD5vQUFB5ubmycnJQ4YMMTU1JUlSV1eX7qCaREhISEhICN1RgMYEyeu/MBgMDodTUVFRWwOcvPL5/OaMCgAgSyAQPHr0iO4oAPjsubu7d+nSZdasWQ8fPqyurnZ2dqY7IgDUAsmrPB0dHdXJa1lZWXPGAwCQk5aW9ueff9IdBQBfAvxBooODA92BAFAP8MKWPNWfmxAEAZtsAUAvW1tbkUhEdxQAAADoAcmrPH19fRW1DAYDRl4BoJeFhQUsWgcAAK0WJK/yVI/oMJlM2KcAAHoZGBh8/PiR7igAAADQA5JXeTY2Nipqq6urS0pKmi0YAIAiSF4BAKA1g+RVHofDUVFLkmRpaWmzBQMAUKSnp1daWgo7hgAAQOsEyas8Y2NjFotVW61UKoU5rwDQDgZfAQCg1YLkVZ5IJGKz2bXV1tTUwMgrALSD5BUAAFotSF7lCYVCDY1al7+trq6GkVcAaAfJKwCfKUKBn58f3UGBzwxsUiBP9WoDEokEXtgCgHaQvALw+XJycho1ahR12K5dOxqDAZ8jSF7liUSimpoaFQ2Ki4ubLRgAgFKQvALw+bKzs1uyZAndUYDPGEwbkCcSiSQSiYoGhYWFzRYMAEApSF4B+CJdvHixT58+PB5PV1e3W7du165dw+VlZWWLFy+2sLDgcDjt27cPDw+XHWbicrm//vrrhg0brKysNDU17ezsoqKiZLut8/Tt27dHRERYW1tzuVx7e/tjx47l5uZOmTJFJBLp6+sPGjTo5cuXCKGKigo+nx8YGCjb+eHDhwmCSEhIaMLnAv4NRl7l6enpqU5eYeQVANoZGBikpKTQHQUAoDGdO3du4MCBbdq0mTlzJo/Hu3nzpkAgQAiRJBkQEHDp0qWQkBBbW9s7d+4sW7bs1atXkZGR1LlhYWEWFhY7d+7U0tIKDw8PDg42MTHx9fVV8/Rff/3VwMBg7969Eonkm2++GT16tK2tbZcuXY4dO5aVlTVjxoyhQ4c+evSIy+WOGjXqP//5T2FhoZ6eHj43Li6Oz+cPHDiweZ9W60YCBbq6uiqe2IABA+gOEIDW7ujRo4GBgXRHAQCoN4SQv79/moySkhJc5ejoKBKJcnNz5U45efIkQmjLli1UybJlyxBC9+7dw4ccDofNZn/8+BEfZmdnI4RmzZql/ulcLregoAAfHj16FCHk6upKtceTHF6+fEmS5K1btxBCe/bswVXl5eU6OjpTpkxphEcD1COVSmHagBLUn1NKwVJZANAOpg0A8PmKj4+3lHH8+HGEUGZmZkpKysiRI/FoqyycfY4bN44qmTBhAu6HKnFzc6PetzY0NNTT08vKylL/9B49elC/+q2trRFCPj4+VC0uwTmxm5ubjY1NTEwMrjp9+nRJScmYMWMa/jhA/cG0ASUEAkFGRkZttbDaAAC0g+QVgM+Xl5fXvHnzqEMXFxeEUHp6OkLI0tJSsX1aWpqOjg6fz6dKzM3NEUKvXr2iSoyMjGRP0dDQoGa1qnO6gYGB7LkIIaFQKFdCdThx4sRly5ZlZmaamJjExcWZmpp6eXmpffegEUDyqoTsD7EiWOcVANpB8grA58vMzMzf31+ukCRJVMsO7QRB1Nmnit2F1DldcWdNBqPWj6bHjx+/bNmygwcPzp49+8SJE19//bWKxqApwONWwsTEREVteXl5s0UCAFBKJBLl5OTQHQUAoNGYmZkhhF6/fq1YZWVlVVJSkp+fT5XgYdq2bduq0/Mnnq5ILBb7+vrGxcVdvHixsLAQ5gw0P0helRCLxSpqKyoqmi0SAEBtIH8F4EtiZmZmb29/5MgRxfUo8TDt/v37qZLffvsNITR48GB1ev7E05UKDg6+ffv2sWPH2rdvj6c9gOYE0waUMDIyYrPZVVVVSmsheQWgJRAKhVlZWaq3xAMAtECPHj1au3YtddiuXbvhw4cjhNatW+fn5+fi4jJ69GgOh3P//v2JEyf6+fn5+Pj4+/uHhoa+fv3azs7u7t27O3fuDAoKcnNzU+dyn3i6Uv7+/jweLzo6euHChQ3uBDQYJK9KiEQiFclrZWVlM8cDAFBUVFQUHx9vb29PdyAAgPq5f//+/fv3qcPBgwfj5HXQoEGnTp0KCwtbv369VCp1dnamPtk/dOhQWFjYb7/9tm3btjZt2qxcuXLp0qXqX/ETT1fE4XCGDRu2d+9emDNACwJPkQayTp06NXr06Np20iIIQiqVNnNIAAA58+bNs7S0nDt3Lt2BAABaI3d398rKyrt379IdSKtDkiTMeVVC9QeRDAYDBl8BoJ2pqen79+/pjgIA0Bo9ePDg1q1bkydPpjuQVgqmDSghEolkdz2Ww2KxSktLlS7nAQBoNqampqmpqXRHAQBoRUiS3LdvX2Vl5YYNGywtLSdOnEh3RK0UJK9KiESi2ia8IoSYTGZZWZniFiAAgOZkYmKSmZlJdxQAgFZEKpWGhoZWVlZ27959+/bt2tradEfUSkHyqgSPx1Mx8spgMGCHWABoB9MGAADNjMlk5uXl0R0FgHVea8Hj8WqrYjAYsMkWALSDkVcAAGidIHlVTldXt7YqgiBg5BUA2unr61dWVsKOdwAA0NpA8qqcUCisrYokSRh5BaAlgMFXAABohWDOq3KGhoYqamHkFYCWAE97tbKyojsQAIASWVlZta2Y3hqIRCIVA2HgU0DyqpyJiUltVTU1NTDyCkBLAO9sAdAyHTt27Pvvv6+qqnr37p2pqSnd4dAjJCQkJCSE7ii+TJC8KicWi2urkkqlMPIKQEsA0wYAaIG+++67o0ePDh06tEuXLp06derSpQvdEYEvDSSvyhkaGnI4HKU7aVVXV8PIKwAtAYy8AtDSdO7c2dDQ8M6dO3p6enTHAr5Y8MKWckKhkMViKa2qrq6GkVcAWgIYeQWgRXF1dbWwsDh//jxkrqBJQfKqnEgkYjKZSqukUmlxcXEzxwMAUAQjrwC0HE5OTt27dz9x4gTdgYAvHySvyolEIhW1rfn1SQBaDkheAWgh+vXrZ2Njs3XrVroDAa0CJK/KiUQiFTvEFhUVNWcwAAClCgsLnz9/TncUALR2mzdv1tLSOnjwIN2BgNYCXthSTiQSKX1bC4NpAwC0BPb29hwOh+4oAGjVduzY8cMPP+Tm5tIdCGhFYORVOW1tbZIka6vl8XjNGQwAQCkdHR1NTc2cnBzVzV68eEEQBEEQQqGwurpaaZuZM2fiNqtWrWr8QBuVm5tb+/btv+w/ocPCwgiCGDVqVKP3bGxsTBBEbT8GLVALD3jHjh0HDhxISUmhOxDQukDyWitjY+Paqp49e9ackQAAamNpaZmWlqZm47y8vPPnzyuW19TUHDt2rFHjaipVVVX37t178eLFhw8f6I6lCR04cAAhdOLEiU/J0V++fPnTTz81XlBAnkQimTt37vXr183MzJrnimvXriUI4sWLF/hwzJgxtra2tY00VVVVWVpazpgxo3liA80Jktdacbnc2qpKSkqaMxIAQG3UT1579uyJEDpy5Ihi1eXLlz98+NCrV69GDq4JsNnsxMTEuLg4a2trumNpKnfv3n327Jm9vX15efmn/FGxY8cOSF6b1IQJEzp06FDfs4i/sdlsCwuLyZMnp6enNyyA8vLy8vJyKnlNT0/fu3cvVUuSJG7QsM5BSwbJa62MjIxqq4JNCgBoIdRPXjt37mxgYBAfHy+RSOSqDh8+zGQy+/fv3wQBNj4fH59hw4bRHUUTwsOu4eHhDAYDf90AZWVlsbGxjRoX+JeSkpLq6ur58+c34FxXV9dff/111apVLi4u+/bt69atW1ZWVgP6OX78+MuXLxmM/2UysbGxsskrh8N59+5dVFRUA3oGLRwkr7VSsR0zJK8AtBBWVlavXr1Sp2VFRcWwYcMUZw7gOQNeXl7a2tpyp+Tm5q5bt87FxUVHR4fNZltbW4eGhsruUfL06VOCIH744YfU1NSvvvqKz+dzuVxHR8c6f19mZWXNnTu3ffv2mpqaYrHY29t7+/btsll1Wlra1KlTLSwsOByOWCz28fG5fv06rlKcBEmS5M6dO52cnDQ1NUUi0ahRo548eSJ7uaCgIA6HU15e/tNPP9nY2HA4HENDw3HjxikuNKbiugihly9fjh071sDAgMvldu7cefPmzVKplKqtqqrasGGDk5OTrq6uUCh0dXVduXJldnY2rp01a5a2tvbu3btVPxmpVHrw4EFjY+PBgwd7eHhcvHhRMa0xNjb29vbOycmZNWuWWCxms9mWlpZLly6tqqrCDfbv39+2bdv379/n5ubiQT4bGxvZHioqKhYvXmxubq54Lvr727p+/frS0tIZM2YIhUINDQ3qo2qSJPft29ejRw8ej6ejo+Ph4RETE6MYoaen5+PHjwMDAw0NDblcroODQ2RkpFwzdbpS6vr1635+fkKhkMvl2trarlmzpqKiQq7nrVu3Ojo6crlc4t/i4+PxiXJrPkqlUhMTExaLVeckciw7Ozs5OXny5MnqNJbToUOHkJCQpUuXxsXFbd26NTs7e/v27Q3oByFEZa5VVVVxcXFytbWt1w4+eySoRWhoaG0PTUtLi+7oAAAkSZJnz5718fFR3QYvpzV69OgLFy4ghCZNmiTXA0Jo586d69atQwitXLmSqlq7di1CyMzMbNiwYcOGDePz+QihYcOGUQ1wjujo6KirqztixIiNGzcuXLgQv9C5f//+2uIpLS21t7dHCLm4uAQFBfXs2VNLS6tjx45SqRQ3ePDgAb6WmZmZt7e3nZ0dQRCXL1/GtfhDIYlEQnU4ffp0hFCbNm0CAwPd3NwQQjweLzk5mWqA33zq2bOnubn5ihUrfv755z59+iCEOnbsWFVVRTVTfd1Hjx7x+XwGg9G7d29/f38DAwOE0Pjx46nTv/76a4RQ27ZtR44c6evra2hoyGQy09PTcS1+i2DQoEGqv1nnzp1DCM2aNYskyV9//RUhtHHjRrk2RkZGfD7fzs7Ozc1t7dq1YWFh7dq1Qwh9/fXXuEFCQsKaNWvw/9Xh4eHh4eG7du2izuVyub6+vnw+39/ff/DgwVpaWrLnUt/W+fPnDxw4kM1md+vWzcnJiXpQ+GkLhUL8UyEQCBBCCxYskIuQw+HweLw+ffqsX79+zZo1+OP1H374QbaZml3Jfbujo6MZDAaHw+nfv39QUBCeQOLh4VFRUUG1WbJkCULIyclp7dq1y5cvx98sb2/v77777tWrV7NmzUII7du3T/ZC165dQwgNHDhQ9TeIYmNj8+OPP6rZWBZCaOzYsdRhQUEBQmjIkCFUyZUrV3r37q2trc3j8QYPHvzgwQOqKjw8HCH0/PlzfNi/f3+xWEySZEZGhtxEmqSkJJIkORyO7LVKSkoWLFhgYWHBZrPNzMymTZuGyw8cOODk5KStrW1gYNCnT5+TJ0824L5As5FKpZC81mrjxo1sNltp8spkMumODgBAkiT5/Pnzdu3a1dkGITR8+PDq6mpDQ0M+ny+bsU2ZMkVDQ+Pjx4/496Js8lpQUJCYmFhTU4MPMzIycGL67t07XEINcIaFhVFnnTx5EiHUtWvX2uL5/fffEUITJkygSoqLix89ekQddu3aFSc6VDr7/v17Kgy5bAZnewMGDMCT/0iS3LZtG0LI3d2d6hAnr8bGxh8/fsQlUqnU1dUVIXTixAk1r+vu7s5kMi9cuIAPc3NzO3bsiBA6e/YsflYEQbRr166yshI3qK6uxgkENmfOHF1d3b1799b2WLDg4GCEEM6YMzMzGQyGi4uLXBv8BHx8fKjYsrOztbS0NDQ08vPzcQkexhYKhUrPtbe3z83NxSX37t1jMpmy5+JvK86PqeQbS0xMRAg5OzsXFBTgkry8PEdHR4QQ9WSoqwQEBFAl+fn5YrGYxWJRHdarK+rbnZ2draOjo6urm5qaikuqq6snTZqEEFqxYgUuKS0t5XA4IpGouLgYl1y9ehUhFBwcjA//+OMPhJCvr6/src2bNw8hFBUVRarh9u3bdf67q41c8lpaWkoQxFdffYUPL126xGKxXFxcNm/evH79ehsbGx6P9/jxY1xbW/JaXFx87do1c3NzBweHa9euXbt2Dd+7bPJaU1PTu3dv/F/BmjVrZs+e/c0335B//wsaNWrUvn37fvrpJx8fn9jY2IbdGmgekLyqEhMTU9uSWAwGQ/aXHwCALtXV1XX+MYmT18DAQJIk8avHiYmJuEoikQiFQjx2q5i8KvLz80MIXbp0CR/iLIfFYhUVFVFtampqNDQ0WCxWbZ3g7Hby5MlKa5OSkhBCtra2VAYpRy6bCQwMRAjJjrPW1NTgneWpJBsnr0uWLJHt5/vvv0cIrVmzRp3rPnz4ECFEZRjY+vXrEUJ4+KqoqIggiA4dOlRXV9d243UqLy/X1dU1MjKislI8Qvzs2TPFJ3D69GnZQtzyxo0b+FB18nro0CHZQg8PD9lzqb9JqG80ZciQIbI/Pxj+hsqmqvgqV65ckW22fPlyhNAvv/zSgK6ob/eGDRsQQgsXLpQ9Ky8vj81mC4VC/NwePHiAk3uqgUQiIQiiffv2VImNjY2GhsaHDx+oEnNzczyXgFTDmDFjDhw4oE5LRXLJK95O9vvvv8eHLi4uZmZmZWVl+DA3N5fH4w0dOhQf1pa8Yh07dvTw8JC9lmzyevz4cdkLUVasWIEQov72Ay2fVCqFOa+1MjIyqm26DIvFggUHAGgJmEymqalpRkaGmu1HjBiBZNYcuHDhQm5ubp3riVZXVxcVFRUUFOBP1eXmF1pbW8v+octgMAQCgUQiqW2jE3d3dyMjo717986fP//jx49ytbdv30YI+fj4EAShzh3hOanbtm2b8bdZs2bhc+VmAzs5Ocke4o+SqbWoVF8Xf6acnp4+Q8bp06cRQi9fvkQI8Xg8Hx+fv/76a/DgwY8ePVInckUnTpwoKioKDAykJjLib43S17ZU345qLi4usof4DQe5c0UiER6ok3Xz5k2EkKenp2xh7969CYK4cuWKXGM8OYTi7OyMELp7924DupILQG5lDD6f7+jomJubi588fhTUbGOEEB5x19TUpEomTpxYXV1N/UNISkpKT08fPHiwrq5ubZemXL58+dKlS2PGjKmzZW3Kysrevn2bkpISERExefJkgUAwdepUhFBWVtadO3eGDRtGhSoQCPz8/E6fPi33j64BTp06hRCaOXOmXDn+Ni1btgzWJfiMwA5btTIyMpJ9F0GWhoZGcXEx/jUGAKAXXnCgTZs26jT28vIyNDSMj4/ftWsXi8U6fPgwi8UKCAhQ2jg/P//HH388duxYWloaWfuuJYr/FeD8r7ZT+Hz+mTNnxo4du2nTph07dowdO3bp0qXUjD2cc6h4YVRWTU0Nbq/0RSi53/dyccoFqfq67969QwglJycnJyfXdpWYmJjJkycnJCScOXPGx8dn2bJlXl5e6twFBSepp0+fxjN30d9vx8bExOBxYvVvRzVDQ0PZQ5wry51raWkpd5ZEIsnNzcVzMWXLcUleXl5lZaXslm9yzYRCIUIIvw5V364o+PU1xWXITUxMEELv3793cHAwNjb28/M7efLk999/P378+LKysqVLlyKERo8eTbUfP378smXLDh48iOe/4ledgoKCFK8ohyRJb2/v+Pj4OluqcPz4cTwOihBydXWNjIzE8b9+/RohZG5uLtvY3Ny8qqrq3bt3n7g8XHp6Op7VKlc+cuTIO3fubNiwYf/+/TNnzpw3bx6efAxaMhh5rZWRkZHs+6eymEwmjLwC0ELo6uoq3XpAKSaTOWzYsIKCgrNnz0okkvj4+H79+in9XVVZWenl5bV+/XorK6s9e/acO3fu0qVL/fr1U2xJDROqz9HRMSUl5ciRI507d967d6+DgwO1LzxOodQcdsV/YHM4HKUfrslFqzpO1dfFteHh4YpXoZYjEAqF8fHxt2/fDgwMvHDhQu/eveu1jlJ+fj4eG3v16tUff0tNTUUIvXjxAk/TVP92VFOxjDdF8Z0HFfmx0qcnty2W7GF9u6rzRLmz9u/fP2jQoFWrVllbWzs4OJw+fXru3LkLFy6k2ovF4n79+l2/fh1/anHs2DH8dpTSi8ravn37rFmzhg4dWmdLFXr37n3ixIkLFy68fv36zz//xDN9kdo/9g1DkmRtu0n/9NNPf/31V2Bg4Lp16+zs7PBPHWjJIHmtlUgkqu1TP4IgIHkFoIVwdnZWP3lFCI0cORIhdOTIkfPnz+fl5eFDRcePH09NTXV3dz9z5sykSZP69euH34BunKARYjKZw4cP//PPP2NiYmpqaiZPnpyXl4f+HhTMzMxUpxMWi4X/p2rYMpmyVF9XdmBMte7dux89evTPP/+0sLDYtGnTmTNn1AzgyJEjVVVVixcvlkuO9+/fj2qZOdDMNDQ0jIyMysrKioqKZMtLS0uLi4sFAoFcviu3CxoevcYjf/XtiiIWi5GybxMuwd8m3P/79+89PDwuXLhw8+bNjx8/btq0SW4i3MSJE0mSPHLkyNOnT58/f+7v7y87r6A227Ztmz17dp3NVBOLxX5+ft7e3hYWFrLleLRbbs+C9PR0FouFb/xTmJmZ5efnyy0QRrG2to6IiLh3715ZWRmeBQtaMkheValt9g8krwC0HBMnTqzXXqm9evUyMjJKSEiIjo5ms9n+/v5Km+EJoz179qRG+Mi/X4VpXKNHjw4ICCgrK8Od40/ML168qObpeNIkfuvlU6i+Lr7K6dOn5UYTa9O1a1e8WtOtW7fUDACnp4qfXH/11VccDufQoUM1NTVqdoUQYjKZBEEobkjxifBECLk5qfgQb+EmS+7eL1++jBDCSzrUtyvVARQUFKSkpPD5fFtbW1yya9eu+/fvb9y40dvbu0ePHvgFPjkBAQG6urqJiYn//e9/kXpzBuLi4jQ0NDp16lRny4YxNDR0d3ePi4ujFlPPz89PTEz09vZWZ7BcS0sLL7ylFF4FTHYXA0x2GNvOzq5du3Zq/ukIaATJqyq1TXwhSfJTdtwGADQia2vrzMxM9bcOoWYOxMTE+Pr66uvrK22GRyJlp3iuW7dOzd28VEtKSpJdB76ysvLx48fUFfGqoikpKevWraN+rebm5ipuKICFhIQghFasWCGbWOfk5OAFudSn+rqdO3f29PR88+bNt99+S+WvJElevnwZD8empaU9ffpUtkP86KjZpSEhITweb8+ePUqvnp6efu3aNRsbG7nXsBBCurq6AwYM+PDhA17SSE0EQRgaGhYVFb1580b9s+pEPe38/HxcUlhYiJcRwAtZyFq9ejUeTUcIJScnx8bGslis4cOHN6ArypgxYwQCQWRkZEpKCi6RSqWLFi2SSCRTp06lxlbxZADVf2loamqOHDny9u3bZ86cwWtu1Hn769evp+JvIhs2bMjJyfH09Ny8efMvv/zi4eEhkUjwist16tGjx6NHj9asWRMVFaW4d4m/v7+7u/uCBQvGjRv3888/h4aG4nuZOHHitGnT9uzZEx0dPWPGjHv37tX2aQxoQRq4UEHr0K1bN6UPjcfjRUdH0x0dAOB/3Nzcbt26VVut7FJZGDW+KLuVgNxSWXl5eXiVInd390mTJnXp0kVHRwevw3/q1CncBq+pJLc6D/n38ka1Lb6zYMECDQ2N7t27jx07dsSIEfjz0MGDB1MNkpOTcUptYWHRp08fW1tbFotFrT2puGo9HuNkMBhdu3bt169f586dNTQ0+vbtSzXA7+yfO3dONowdO3YghGQ/pld93RcvXuBQDQ0Nvb29PT09cWJ67do18u8Fj2xsbEaOHDl27NjOnTsjhMzMzPLy8vDpqjcpwNlJbeuU4UHZcePG1fYEqHukvjUkSU6bNg0h1LZt2wkTJlBbS6hzbm3fVgy//CQQCIYNGxYYGCgSiRBCs2fPlm2Dt1GYMmUKbjZkyBA8cCi7HrD6XckFfOLECTabzWaz+/fvP3r06Pbt2yOEXF1dS0tLqTZ4MFVbW9vNzc3Dw8PT0zMgIGDXrl1yC5nhRSQYDMb06dOV3qwsqVRKEESdzeqE/r1UlqLbt2/37dtXS0tLR0enf//+d+7coapUL5WVlZXl4+PD5XIFAsHt27dJhU0KioqK5syZIxaLmUymkZHR0qVLSZKMiorq3Lkzh8PR0tKys7NT3BQDtDSwzmsdavs8UUtLKyIigu7oAAD/M23atJ07d9ZWq5i81tTU4D2QZFe1VFzn9f79+3379tXV1dXV1fX19U1JScHr1JNz0AAAIABJREFUSX1i8nr37t2goCBjY2MNDQ0+n+/k5BQWFibX+MWLFxMmTDA1NWUymfr6+kOHDv3rr79kO5dLv+Lj4729vfX09DQ0NExMTAICAmRTVTWTV9XXJUkyOzt73rx5VlZWLBZLW1vbyclp+fLleI39rKwsXMVms7W0tDp06DB9+vS3b99S586aNUtLSysyMlLpM3FwcEAIPXnyRGltcXGxpqamjo4Ozs/UTF4LCgpGjhwpEAi4XG7v3r1VPL16Ja8kSR48eLBHjx7a2to4O1Rc2N/IyIjBYEgkkrCwMLzXrr29PbXLV327Ugz4zp07Q4cO5fP5HA7HxsZm1apVspmrVCqNjo6m5r/KovYpoOBppoor2iras2eP7D5kANBFKpUSpHoLi7RO8+bN27x5s2I5i8UKDw9fsGBB84cEAFC0bdu2J0+ebN26le5AAEAIIWNj4+zsbIlEoqFBw3qUs2bN2rlz55YtWyZMmICX4iovL09NTR0yZEhOTk5paSk1f7SystLIyEhbWzsjI6PO1Rt69OixZs0ab2/vJr8BAFQiSRLmvKpiZmbGYrEUyyUSidwrogAAGnXu3LkpXqUC4LNTUVERGRnp5OQ0e/ZsahFZTU3Nbt26OTg4SKVSahouQuj3338vLCwcO3ZsnZnr7du3k5OTIXMFLQQkr6rgDxaVVql4pREA0MwgeQUAq66ulkqlubm5cvtF/fHHH7du3TIzM6OmE5SVlYWFheEJr3V2e/Xq1blz5zZJxADUH+ywpYqKHWKp90MBALTT09NjMplPnjxpukV8APgs6OjojBs3bv/+/Q4ODkOHDtXV1c3Ly0tNTb169aqGhkZERARBEPjVt6tXr759+zY0NFSdnasOHjxY20oRADQ/SF5VMTIyqm1OcG0LHQMAaOHs7Hzw4EHFTUQBaG327Nnj7Ox84MCByMjIsrIyHR0dKyurb775ZtasWXhpgps3b2ZkZBgYGKxZs2bx4sV1dvjs2bOysjJnZ+emjx0AtcALW6pkZWVZW1srXT/Sy8sLrzgNAGgJzpw5s3HjxtOnT9MdCABfGjyvYOfOnXQHAgBCCJEkCcmrKiRJ1jaNvUuXLnfv3m3meAAAKrDZ7NLSUqUvWQIAGszY2Dg2NrZPnz50BwIAQgiRJAnTBlQhCEJHR0fpTrClpaXNHw8AQIWhQ4f+/vvvgYGBdAcCwJfj1atX2traDctcc3NzZTeT+6yJRCKhUEh3FOB/IHmtA5/Ph+QVgM9Cv3794uPjIXkFoBEdP348ICBAaVVmZub9+/efPn3KZrOvXr1aVFTEZrNTU1ONjIwyMzNLS0uZTGZpaSnemO1zFxISgnf0BS0BTBuoQ9euXe/du6dYLhAIcnNzmz8eAEBtCgoK2rRpc/jw4YEDB9IdCwBfCE9Pz/Dw8J49eyKEUlNTIyMj79+/z2Kxrl69ymazBQIBQRB4Z7UOHToYGRllZ2e7urpyOBwOh2NoaCgQCGANENC4YNpA3ZTusIcQqqioaOZIAACq6evrFxcXOzg4VFZWwu9LAD7dy5cv79y5s2zZsqKiokePHuno6NjZ2XXu3Llz584rV67s1asX3QGCVgqS1zqYm5srLa+srGzmSAAA6pg+fXpwcLChoWGdmwYBAFQrKiqSSqV2dnaenp6dOnVycnKiOyIAEILktU5t2rRhMpk1NTWKVVVVVWw2u/lDAgCoAFPTAGhE4eHhxcXFo0ePpjsQAP4BIxN1MDY25nK5iuUsFqu4uLj54wEAAACazYQJE/bv3093FAD8CySvdTAxMVG6bKShoaHSzQsAAACAL4ZYLLaxsblw4QLdgQDwD0he61DbDrEFBQUFBQXNHw8AAADQnHJycjZs2EB3FAD8A5LXOojF4qqqKsVyBoNRWFjY/PEAAAAAzWnRokU6Ojp0RwHAPyB5rYOhoaHShQVIkiwqKmr+eAAAAIDmNGbMmFOnTindr6dxcbnccePGNUXPt2/fJghi9+7dTdE5aH6QvNZNX19fsVAqlcLIKwAAgNZgxowZERERn9hJSEgIUYtNmzY1SpyglYClsupmZGSUl5cnV1hTUwMjrwAAAFqDAQMG+Pn5hYaGfkonX331lZmZGf46PDycz+fPmDEDH+IdvABQEySvdWvTps2TJ0/kCquqqmDkFQAAQGvQt29fDw+PxMTEwYMHN7gTHx8fHx8f/PXWrVvNzMyWLFnSSAGC1gWmDdTNyspKsbC6uhpWGwAAANBKLFy48Ndff23qq7DZ7D179nTs2FFLS8vOzi4qKkq2tqysbP78+SYmJlwu183N7dKlS7K1b968GTNmjEgk4nK5rq6u8fHxKi6kuvHDhw8HDRpkYGAgO7dh+fLlfD4/MDBQtuXhw4cJgkhISPjkWwf1AMlr3SwtLTU0lAxRf/z4sfmDAQAAAJpf//79X758eerUqSa9ypUrV1atWjVx4sRffvlFQ0MjODj47NmzVG1gYODu3bunT5/+yy+/VFVV+fr63rx5E1d9/PjR3d394sWL8+fP37hxo1AoDAgIiI6OVnoV1Y0LCwt9fX0/fPhw+PDhM2fO2Nra8ni8uLi4GTNmjBo1KjExUfZz17i4OD6fP3DgwCZ7JEAZEtTlwIEDPB5P8dENGzaM7tAAAACAZpKYmKitrZ2Tk/PpXYnFYg8PD7lCDofDYDCeP3+OD1+8eIEQmjVrFj7873//ixA6ePAgPszLy9PW1h44cCA+xPNxHzx4gA+lUqmnp6eBgUFFRQVJkrdu3UIIRUZGqtMYj8KeP38e1x4+fBghdPPmTaqfPXv24Kry8nIdHZ0pU6Z8+gMB6pNKpTDyWjexWMxgKHlQim9xAQAAAF+qQYMGrV69evXq1U13iW7durVr1w5/bW1tzePxsrKy8OGJEycYDEavXr3wJkEEQdja2l6/fh3Xnjx5snPnzg4ODviQIIhx48Z9/PiRGpqVpboxnhOoq6uLa/HoFX5F283NzcbGJiYmBledPn26pKRkzJgxjf8ggEqQvNZNLBZLpVLFcnhhCwAAQKsyb968yMjI0tLSJupfLBbLHrLZ7JqaGvx1WlqaVCo1NTXl/y0pKam4uBg3SEtLMzc3lz0XH7569UrxKqobe3t7s9nszZs3FxcXFxYW7tixQ09Pz9XVFbecOHHipUuXMjMzEUJxcXGmpqZeXl6NdPdAXZC81k0sFpeXlyuWw1JZAAAAWpuampoffvihiTrncrm1VZEkyeVyLynAH40SBKH+VVQ3btOmzaFDhxISEnR1dfX19VNTUw8fPiwQCHDt+PHjEUIHDx6sqqo6ceLEqFGjlH42C5oULJVVN01NTTabXV1dLVfeDNuNAAAAAC1KeHg4no3azCwsLCoqKjp16mRkZKRYa2VllZ6eLluCD9u2bduAxu/fvxcKhVevXhWLxYaGhrItxWKxr69vXFxcp06dCgsLYc4ALeDPBbUIhULFwqb73AQAAABomebNmxcfH//u3btmvu7QoUMRQnLLdVGT+vz9/R88eJCSkoIPSZI8cOCAvr6+u7u7Yld1Nt60adOIESOcnZ3lMlcsODj49u3bx44da9++vYuLSyPdH6gHGHlVi4mJSUZGhlxhRUUFLcEAAAAANBo6dGivXr1evnzZnBcdNGiQn5/fmjVrnj592r179+rq6jt37lhaWq5fvx4hFBoaGhMT4+vrO2fOHJFIlJCQcPXq1YiICE1NTcWu6mxsaWkZGxurra3N5XI1NDQsLCz8/f1ZLBau9ff35/F40dHRCxcubLbbB7IgeVWLhYXFn3/+KVdIkmRlZSWHw6ElJAAAAIAW27dv79mz5/Xr15tzW1eCIOLi4tasWRMdHZ2QkMDlcp2cnKgNZvl8/o0bN5YsWbJx48bS0lI7O7vY2NigoCClXaluXFxcbGtre/bs2e+//546xdHR8datWzi75XA4w4YN27t3L8wZoAtBkiTdMXwGli5dGh4eLleoqan55s0bAwMDWkICAAAA6PLs2bP+/fufPn3axsaG7lgak1Qq7dq1q76+flRUFF6CoKysLDIyct68eb///vuQIUNwM3d398rKyrt379IabCtFkiTMeVWLubm54kcPGhoasFoWOHr0qL+/v5mZGYfDMTY2dnV1XbFiRZ2fpr1588bY2HjkyJGqmx0/fpzH423ZsqWxon369ClBEJ8+WBIREYE3S1TdbOHChXw+/48//qBKjI2NCYJQfP2xhWjh4QHQcnTs2HHt2rW+vr5PnjyhO5bG9Pbt2/v3748ePZpaS0tLS8vW1hYhxGQyccmDBw9u3bo1efJk2qJs9WDagFrEYjGLxZJbMIvBYMBqWa1ZYWHhsGHDLl68iBAyMjJydHQsKSlJTk6+c+eOmZmZtbW1inPT0tKys7PxZi2UPXv2ODg4dOvWjSpJSUkpKSlJSkpqoltoaklJSQUFBQ8fPuzevTvdsQAAGllQUFC3bt28vLwU3wn5fJmZmbVp0+bnn3/mcDjm5ualpaXJyclbtmyxs7Pz9vbeu3dvZWXlhg0bLC0tJ06cSHewrRckr2oRi8WKy8IRBAEjr61WTU3N4MGDb9y4YW1tHRER0bdvX/wTkpubGxsbO2nSJNWne3l57du3z87OjirJy8ubNWvWhg0bZJPXuXPnGhgY+Pv7N9FdNLUdO3ZcvXp13LhxdAcCAGgSVlZWAQEBNjY2T58+pTuWxsFgMM6dO/d///d/33333cePHzkcjqWl5ZQpUxYtWsRisUJDQysrK7t37759+3ZtbW26g229IHlVi1gsrqqqkiskSRJGXlutHTt23Lhxw8TE5Pr168bGxlS5UCgMCQmp83SCIIKDg2VLfvvtN8WfMT6fP3v27MaIlx6dOnXq1KkT3VEAAJrQli1bFi1atHLlStnXmz5rHTt2PHTokNIq2Ba+hYA5r2oxMjKqrKyUK6ypqYHktdXavHkzQig8PFw2c1VkbGzs5+eHENq4cWPbtm0ZDMa+ffvQv+ee5ufnDxgwYN68eQihOXPmEARBEMTBgwdRLVNLS0pKVq5caWdnp6mpKRQKu3fvvnv3blyVm5u7bt06FxcXHR0dNpttbW0dGhpa3wWJjY2NPT09Hz9+HBgYaGhoyOVyHRwcIiMjFVsymcwrV6706dNHR0dHV1e3X79+chMhgoKCCII4f/68isuRJLlv374ePXrweDwdHR0PDw9q33BKcnLyiBEj2rRpo6mpaW1tHRgYePLkSdkG169f9/PzEwqFXC7X1tZ2zZo1civZBQUFsdns9+/ff/PNN23btsUfCC5ZsqSsrEwxpIqKisWLF5ubm7PZbEtLy6VLl1J/V/j5+REEcfr0ablTRo0aRRBEQkKCijsF4EsVEhJy+fJlpf9LANAUYORVXfr6+nJ/clVXV8O0gdbp5cuXL1680NTUHDVqVJ2N379/v27duiVLltjb23ft2rVDhw5yDUiS7N27d1VV1aVLl4YOHdqjRw+EkKOjo9LeSktLPT0979+/r6ur27179/Ly8pSUFGq18N27dy9ZssTMzKx///4IoUuXLm3YsCEtLS0uLq5eN5iUlOTm5ubi4rJ48eLKysqoqKhp06ZlZWWtWLFCttmdO3d+/PFHZ2dnPz+/hw8fXrhw4caNGykpKYr3qMLMmTN37twpFAp9fX0RQpcvXx47duy9e/fw2o0IocePH3t5eZWXl/fu3ZvP5798+TI+Pl4kEuG/ChBCBw4cmDBhAovFwg2SkpKWL19+6tSpCxcuyK5kJ5FIHB0dBQLB119/zePxTp48uW7durt37549e1Z2UhCXyw0MDExKSvLy8pJIJJcuXQoPD8/Ozt6zZw9CaOLEiYmJiQcPHhwwYAB1SkVFxX//+199ff2BAwfW6zkD8GUwNzfv0aPHsWPHMjIymm7nWAD+QQL1KK4GwmAwVq9eTXdcgAbHjh1DCLm5udXZ0sjISEtLSygUXrlyRbYcv5/r4eFBlSxbtgwh9Ouvv8o227FjB0Jo2bJlVMmCBQsQQn5+fsXFxbikpKQkPz8ff11QUJCYmFhTU4MPMzIyeDweQujdu3e1XVdpzAihgIAAqiQ/Px+/s5ieni4bGEJo165duKSmpmb48OEIoQULFlAn4uT+3Llzcp1LJBJ8mJiYiBBydnYuKCjAJXl5eThxv3DhAi759ttvEUJ79+6lOsnIyHj79i3+Ojs7G4/7pqam4pLq6mo853jFihVykVhYWBQWFlKFgwcPRgjFxsbKhWdvb5+bm4tL7t27x2QyNTQ08EOuqKjg8/m6uroVFRXUWfHx8QihSZMmqXiqAHzxli9fPnPmTENDw4yMDLpjAV8yqVQKI6/qMjMzk5uQLpVKYeS1dcrNzUUIKd1fW1FZWdnChQt79er16detqanZvXs3k8nctWuXjo4OLpR9aUBPT2/QoEHUoZmZmZeX18mTJ//66y9TU9N6XQtPY8D09fUnTZq0evXqo0ePzp8/nyrv1KnT1KlT8dcMBmP69OlHjx598OCB+leJiIhACK1evVpPTw+X8Pn8NWvW+Pn5bd261dvbG/eMEKL2tsH3RX0dHR1dUlKycOFCe3t7XMJkMjds2HDgwIHt27evWrUKn459/fXXurq61OH8+fMTExMVVzJfsWKFQCDAXzs7O7u5ud24cePx48fu7u4cDmfUqFERERH//e9/AwICcBs8sD169Gj1bxyAL09YWFhycrKxsXHXrl1nz5797bffVlZW5uTk0B0XPUQikdKN5UGjgORVXV27dlWcupeZmUlLMIBeJEmiv5MqdYwYMaJRrvvkyZPCwkJHR0cTExPVLaurq8vKyqRSKZ/PRw3aypjKBTFnZ2eEkNyK3HKbeuP8uLi4WP2r3Lx5EyHk6ekpW9i7d2+CIK5cuYIP/f39f/nll9mzZxcWFk6ZMkVuTzvcg9zfBnw+39HRMSkp6dGjRw4ODvW6qTrva+LEiREREbGxsTh5lUgkJ06cMDQ0xKk2AK2Zs7Ozs7NzYGDgiBEjfvzxR6lUymazZf/abD1CQkLUeXkXNAwkr+oyNTVls9ly74N/+PCBrngAjXBGqP6IgqWlZaNcNzs7G/2dSymVn5//448/Hjt2LC0tjfy0zfPwfAMKHkKQu2VDQ0PZQ5zNq39diUSSm5urra0tdy1ckpeXh7df9vDwiI2NnTlzZkhIyKpVq+bMmTN37lxqpDYrKwshpPjaHM7v379/L5u8yl2Iz+cTBKH4fVR9X25ubh07djx58mRJSYmOjs6FCxcKCgpmz55NLWAOQCtnZ2f3+PHjrKys0tJSJpPZtm1buiMCXxpYbUBdlpaWXC5XrrDVfiDSynXs2BEhlJqaKpVK1WnPZrMb5bo4f1JcchirrKz08vJav369lZXVnj17zp07d+nSpX79+jXsWnK7TCnddErxX0S94BtRmuzK3enIkSNfv369YcMGLpe7cuVKBweHhw8fqu5E6bOSuwupVKr06nXe18SJE8vLy/HaAngCNMwZAECOsbGxtbU1ZK6gKUDyqi6lg2cFBQXNHwmgXadOnUQiUUFBwblz55rzunhEsLbJKsePH09NTXV3dz9z5sykSZP69evXu3fvBi+jLfepAl7QwMDAoGG9KaWhoWFkZFRWVia35FxpaWlxcbFAIJBN+nk83rfffvvixYsFCxZkZGRQc23FYjFS9kxwidz8isa6qfHjxzMYjEOHDpEkefLkSXNzc3d39/p2AgAAoGEgeVWXpaWl3PawCCF4Yat10tDQmDBhAkJo8eLFij8VDe4TISSRSFS0sbW15fF4qampSuervHr1CiHUs2dPajIuSZL1en1KltyKrZcvX0YIde3atWG91cbLywshRE1vxfAhXgRXDofDWb9+vbGxcVJSEh5GVdpDQUFBSkoKn8/HO5JTGuumzMzMvL29z58/f/v27czMTLzIa307AQAA0DCQvKpLW1tb7k0RhFBJSQktwQDaLV++3NjYOCUlxcfHh/oIGyEklUrv3r3bgIwWz9pUnWtqaGh8/fXX1dXVM2bMoFbXr6ys/Ouvv9Df47LJyclU+3Xr1qWlpdU3Emz16tXUwsbJycmxsbEsFgsvhtWI8AsNK1asyM/PxyWFhYV4U4YZM2bgkosXL8q+cPb69eu8vDyBQIDT/TFjxggEgsjIyJSUFNxAKpUuWrRIIpFMnTpVbh7qb7/9Rj2fgoKC8PBw3EMDIg8ODi4vL//pp58QzBkAAIDmBS9s1YOpqSnOEihVVVU1NTXwokYrxOfzz549O2DAgBs3bjg4OLRt29bU1LSwsDA9Pb24uDgjI6O+L9gOHDiQxWJFRUXl5eVpaWkFBASMHDlSsVlYWNjFixePHz9uZmbm6OhYVFT0119/jR07NiIiIjAwcPny5efOnfPw8OjYsWNKSspff/319ddf7927twF316NHj/bt2/fu3VsikZw7d66ioiIsLKzR3xr29PRcunTpjz/+2K5dO2qRgZycnNmzZ1ML/i9atOjZs2dubm6mpqb5+fkXL16sqqpatGgRrtXT04uKigoMDOzWrVufPn0EAsGdO3eeP3/u6uq6cuVKucvNnj27R48evXv31tfXv3Tp0ocPH/r169ewtSACAgJ4PN7vv//esWNHvGoBAACA5gEjr/VgbW0tV8LlcmGn41bLwcHhyZMnYWFhrq6uhYWFt2/ffvPmjaWl5TfffEOtEqo+CwuLmJgYGxub06dPX7lyRXZZU1k8Hu/GjRvfffedgYHBzZs3nzx5Ym9v7+/vjxDi8/lnzpzp27fvw4cP4+LiRCLRjRs3pk2b1oBbKyws3LFjx/z58/EGVO3atdu1a5fcLrWNZc2aNQcPHuzYseOZM2dOnz7drl27qKiorVu3Ug2WLl3arVu3u3fvxsTEJCUlde3aNTo6OjQ0lGrg5+d38+bNAQMG/Pnnn8eOHWMymatWrbp8+bKWlpbctfz8/A4cOJCVlRUfH8/hcBYvXpyQkNCwT/y1tLQCAwOlUqncGrEAAACaGvGJ6+m0KgsXLqS2rMR4PF5SUhJ+9xyAL4OxsXF2drZEIsGfy38ZgoKCDh06dO7cuQYvv6BowIABZ86cefr0KfwPAAAAzYYkSRh5rYcOHTrIjeUwmUwYeQWgFcrMzLxw4YKrqytkrgAA0Mwgea0HS0tLuQ9zSZKkXjQBALQeK1asqK6unjlzJt2BAABAq/PlfCzYDCwtLWtqamRLpFIpjLwC0HqsXr36+fPnDx48uH//vpubG14xDQAAQHOCkdd6sLS0LC0tlS2pqqqC5BWA1uP58+cHDx589epVcHBwYmIirDQCAADND17Yqh+hUCiXra5cuXLVqlU0hQMAAAAA0IrAC1v11qZNG7mSrKwsWiIBAAAAAGiFIHmtn/bt28uVQPIKAAAAANBsIHmtHzs7O7klzXNycugKBgAAAACgtYHktX6sra21tbVlS+CFLQAAAACAZgNLZdWPpaWl3LZDhYWFdAUDmlpVVdWDBw8+fPhQUlLi6OhIdzjg8yMSiYRCId1RAADAFwWS1/qxtLSsqqqSLSkqKqIrGNCktmzZsnbt2uLiYhaLRZKkkZER3RGBz09ISEhISAjdUQAAwBcFktf6EYvFDMa/5lro6enRFQxoOsHBwWlpaceOHXNxcZEbawcAAAAAjeC3cr0JBIKSkhLqMCcnJz8/n8/n0xgSaFyVlZW3bt169uwZ3YEAAAAAQB68sFVvtra2soccDic7O5uuYEBTEIvF+/fvpzsKAAAAACgByWu9ubq6ys4cYDAYHz58oDEe0LjMzc3Xrl3bvXt3ugMBAAAAgBKQvNZb586ddXR0qEOpVAojr1+Mvn37Dhw4cMqUKXQHAgAAAADlIHmtN7lpA1VVVZC8fhl+/fVXqVS6c+dOugMBAAAAQK3gha16s7W1lV0eq6KiIjMzk8Z4QKPIy8tbsGBBaWkp3YEAAAAAQBUYeW0IsVgse5ienk5XJKBRJCUl2dnZHThwgMVi0R0LAAAAAFSB5LUh7OzsZA/fvn3bWD2/ffuWIAiCIF68eFFn4+vXrwuFwgEDBsgWtmvXjiCI3bt3N1ZIzYbGyENDQw8dOjRixIjmvzQAAAAA6gWS14aQW3AgKytLdfvQ0FBChpaWlrm5ub+/f0xMjFQqbXAYFy9ezMvLO3PmTH5+foM7AUeOHOFyub169arXWePGjSOUUZ18P336lCCInj17qu78+PHjPB5vy5Yt9QqpXhYvXkwQRFxcnIo2Tk5OBEFQf5u9efPG2Nh45MiRVIOgoCCCIC5fvtzgMBrlThcuXMjn8//44486W757947H43Xv3r2mpuZTrggAAIBGkLw2hIODg7a2NnWYm5ur5olMJpPJZJaXl2dkZCQkJIwdO9bLy6u4uLhhYQQEBHTp0mXatGmwRcKnmDJlypw5cxp2ro2Njce/GRsbU7UXLlw4fPhwA7pNSUkpKSlJSkpqWFR1+uOPPzZs2ODr6xsYGKj+WWlpadnZ2bdu3WrESOp7p9XV1T/88IPc4nRJSUkFBQUPHz6s83SxWPx///d/f/755/r16xsSLgAAgBYAXthqCFtbW4IgqMPCwkJ1zvLy8sJjVJWVlY8fP965c+fOnTuvX78+b968PXv2NCAMBweHu3fvNuBEQDl79qybm5ufn1/DTg8LCxs+fHhttYsXL27btq3sUKWa5s6da2Bg4O/v37Co6vTtt9/W1NSsXbu2Xmd5eXnt27dPbs7MJ6rvnZ4+fXrlypXDhg0zNDSkCnfs2HH16tVx48ap08O8efN++eWX77//furUqQKBoCFBAwAAoBWMvDaEnZ2d7HApSZL1ekudw+E4OztHRESEhIQghKKjo8vKyho/SqCG8PDw7777ril6TklJafCfFnw+f/bs2XLvBTaW5OTkmzdvuri4ODs7q24p+xcaPgwODnZ1dW3EYOp1pyRJ7tu3T7G8U6f+NCvCAAAb/0lEQVRO06dP53A46nTCYrGCg4PLy8v37t1bv1gBAAC0DJC8NgSDwZD9dLjBO8ROmjQJIVRVVfX48WO5KiaTefny5f79++vr62tqatrb24eHh1dXV8u2iY6OJgjCzMxMnWtlZmZ+++23HTt21NTU5PP5ffv2PXTokGIziUSyadMmDw8PExMTNpttYmIydOjQ2NjYioqKBvQ2ZcoUgiBOnDiRl5f3zTffWFpacrlcc3Pz2bNn17YtGZPJfP369YQJE4yNjdlstoWFxaxZs6jGEonEwMCAIIj//Oc/iueuXLmSIAgnJyd1HghC6Pbt20+ePDE1NVWzvfrmzZuH9+iKi4vDc2FnzJhB1TKZzDdv3owePVooFHK5XEdHx6ioKNnTIyIiCIJYvny5bGFaWtrUqVMtLCw4HI5YLPbx8bl+/TquSk5OHjFiRJs2bTQ1Na2trQMDA0+ePFlbbJGRkejvnz1Zly5d6tWrl7a2Np/P9/HxuXjxopaWlmyDOifs1tTU9O3blyCIdevW4ZJnz57NnTu3Q4cOHA5HS0vL2dl5x44dqu80Kytr7ty57du319TUFIvF3t7e27dvl0gkd+7ccXBwOHbsGELIwcEBP1U83RxPvT1//rxsz1evXh06dKiBgQGXy7WysgoKCnr//j2uwveOnwMAAIDPDwkaxNvbm3qG+vr6N2/eVNF4wYIFCCEvLy+5cmqNratXr+KSjIwMXLJ582aCIBgMhkgkol4OGz58uOzpv/32G0JILBbLFlpbWyOEIiMjZQuvX7+ur6+PECIIQigUampq4g5HjhwpkUioZlKpdPDgwbhKS0sLp4kIIQ0NjYyMjPr2RpLk5MmTEUKhoaE4Kl1dXXwiQsjCwuLt27eKkYeFheE2fD6fGkuztrYuLCzEzfBwdf/+/RWfs729PUIoPDxcxfdCVkxMjJOTk5qN5YwdOxYhdOTIEaW1/9/enYdFdZ1/AD+zsAgMsgpUKILgwiIIiqwqWPTBHWRVUCLFGMUGNdHUGGyFJzRPYmuoxrZRUJsoVJsIpgZQFFGsbCKLiKIC8UGloiIoCrPc3x/nl5txYMZhc0C+nz/ywOXcc997MQ/vnHvOe/7617/Se6efOpKTk7OzsxmGuX79OiHE1dV17NixVlZWYWFh7EKxw4cPs6fTDO/jjz9mj1RWVtKZzebm5n5+fvb29nSlFMMw165dEwgEfD7/N7/5TUhIiIuLC5fLXbNmjbzIJ02aRAipqamRPnjq1Ckej8flcn19fcPDw62srLhcLn2rzv7qafBeXl7sWWFhYYSQc+fO0W+3bNlCCImIiGAb0FIYU6ZMCQ8PnzdvHo/HI4SkpKTIu9Pnz5/TX+K0adPCw8O9vb21tLQmTpwokUjKy8uTk5MtLS0JIfHx8fSpPnv2jA3j9OnTbLeHDh2i/9fY2dn5+flZWFhoa2s/f/6cbUA/scj8CwQAgKFPIpEgee0julibph2jR48+ceKEgsbyktcLFy7QHm7fvk2PsMkrj8dbv359S0sLwzDPnz9/77336PGioiL2dCWT15aWFhMTE0LIsmXLmpqaGIYRi8WnTp2iswYTEhLYlnl5eTRtzc3NpUceP36clpb2hz/8oQ+9MT8nr4QQGxsbNvJLly7R0eIlS5Z0j5zH482cOfPGjRsMwwiFwoMHD9Is5LPPPqPNiouLaT798OFD6dPr6uroterr6xX8LqRFR0enpaUp2ViG4uSVYZjTp0/TpyR9kOZ/hJCVK1eKRCJ68Msvv6QZLduse/Lq6upKCNm5c6dEIqFH7t27JxaLGYbZtGkTISQ1NZVtfPfuXXlp2aNHjzgcjoGBAdsPwzBisXjcuHGEkPT0dHqkq6uLXculZPJKCxe4urp2dHSwDaqrq8vLy9lvT5w4QQiZMGGCvDvNysqiD4dt0N7efu3aNfZbLy8vQkhVVZX0Tckkr83NzVpaWhoaGjk5OWwbmQdCZyqz9wsAAMOFRCLBtIE+cnJy0tHRoV8LhULlCw5I27NnDyHE2tra2tpa5kc+Pj579uwxNDQkhGhpae3evdvMzIwQcvbs2d5eZe/evc3NzTY2NkePHqUDTlwuNyAggK4S++KLLx4/fkxb1tbWEkKmTp3q7+9Pj+jr60dHR+/YsaMPvUk7cuSIm5sb/drDw4PWk8rKyqqvr5dpKRAIMjMzJ0yYQAjh8/mrVq2iq3nYG58+ffrkyZNFItHx48elT6QvlGfMmEHzsNd68eJFRkZGdHS0Mo3lCQkJka6TxecrtQKSvlinw5CEkHfffZfL5VZWVsprX1paWlZWZmdnt337dvYjk5mZGU3r6X+lt1cwNzeXN4v05s2bDMNMnjxZej5rYWFhQ0ODq6srzQJpb8nJycrcCyFEU1Pzxo0b0dHRJiYmJ06cYEfiCSH29vbSsziWLFmio6Nz69YteRXiut+Ljo6OzIbMr3X48OGOjo7Vq1fPnTuXPSjzQGif7GcJAAAYRpC89pGrqyv7Nv/Fixe3b99W8kSJRPLkyZOCgoKQkBA6T1Q6NWRFRUVJf6uuru7k5EQIaWpq6m2oNKtbsWKFzPZRCxYsMDEx6ejoOHnyJD1CB0QrKipoFtvP3ljW1tYyq3zmzp1rbGzMMExubq5M40WLFrFTCyia9Urf+MqVKwkh6enp0s2+//57Qkh4eLi8yGVERUXRaan94ejoOOdVypxlbm4uM2fa0NBQKBR2dnb22P7y5cuEEH9/f5kVVNTSpUu5XO769ev37t0rrwcW/ZRFPxSxrly5QgiRqXRra2s7evRoZW5HTU0tKCios7Pzu+++kzcDu6ur6+nTp62trcbGxhKJpKurq8dmnp6eJiYmqampGzdufPjwoTJX744+LunMtTv6BHr8oAUAAEMcktc+mjBhAlvnnJF6HazA+fPnORwOj8czMDCYNWvW8ePHuVxuYmIiTcVkdC9IRP/cyiycei2RSETrX3ZfxsThcGhCTF/EE0Lmz5/v5ub27NmzadOm7dy5s6WlpT+9segkS5nG9Aa71+ZU5sYjIyO5XO6FCxfYJTj37t0rKiricrnK16W6cuWKzOKhPkhISDgjJScnR5mzpMs8UfSDEMMwPbanywHlLSzz8vI6evQon8+Pi4szNzffuXOngtptra2thBCZwsB0PRwd2pdmbGys+EaorVu31tTUjBs3btq0aTI/qq2tXb58uYmJiYaGhp6enr6+fvexdmn6+vo5OTl2dna7d++2sLCIiYlR/mMhS/HjYi9Efn4aAAAwvCB57TvpP9VK/onl8XhqamoGBgZOTk4bNmyorq6WWVHOkhl9ZMnLb+RpbW2lSbaRkVH3n9KD7Fp+Pp9/6tSp0NDQ58+f79ixw8LCYt26deyqst72pvhe6GKg7nuDKXPjdNGSRCJhtwCgc469vb2VLB1w6dIlMzOz7ln1m6Gpqdmr9vTeexx2pUJDQxsaGnbt2qWpqbljxw5HR0d5FftpAYEeK7t171/JOC9cuODp6Xnz5s3ExETp47W1tW5ubhkZGYsWLcrIyMjLyzt37lyP/3KkOTk5VVRUHDt2bMqUKampqY6OjjJD7K/12sdFfn4C0jMcAABguEDy2ncBAQHq6ur0a2Xe5s+aNUskEnV1dT169Ojq1aspKSmTJ0+W15idENlP7J/wHrPe7n/mDQ0NMzIyysrKwsLCRCLRvn37HBwc2E1Ee9sb1eNWnPIaK3njMjMHejtn4ODBg/2c7fom0ZHa+/fvK2gjEAg2bdp069atzZs33717NzY2tsdm9DODzBRtOsLa/VOHki/uDx069OOPP5qbm//pT38qLy9nj+/evbu9vX3Lli379+8PDQ318/ObPXu2TLm3HvF4vODg4OLi4iNHjojF4piYmF6931fmcfU4fQIAAIYFJK99N3PmTHbkpm8Ltt4AfX19Ojm1x0SEHuz+dtjFxSU9Pf369eu+vr7t7e1RUVF0LX/feusx86BzEvq8sW1QUJCOjk5xcfHdu3dbW1vz8/P5fL6Cza6kSSSSAwcODHbyShdvCYXC/nfl7u5OlFurp6Gh8cUXX5iampaUlPSYJtI5qQ0NDdIHXVxcCCGXLl2SPnjjxg0lqxebmprq6uru27dPJBJFR0ezt3znzh3y6lTahoaGXr2pj4iICAwM7OjoYFezKfNU6eOipTPkaWxsJD8/DQAAGF6QvPadu7t7W1sb/VpHR0cmIRgiuFwuTU3oohxpEomkoqKCEELLMHVnY2OTnZ1tbW394sWLzMzMPvd27do1mSNisbiqqooQ4ujo2KfbItra2rQKVWZmZm5urkgk8vPzU3KO5q5du3x8fGQWnA04uiSrurpa3sp65bm5uTk7O1dUVNB6YfTgo0eP6JTfs2fPSk8IbmhoePz4sYGBQY+lD8aPHz9mzJj6+nrpgUkvL69f//rXhYWFtFIVIaSrq+vjjz/uVZALFy4MCwurrKxkJw/QEVB2LPbly5fx8fGKOykpKZGeaU03UiZSs4TpU1VQmYEQEhUVpamp+Y9//OPcuXPswbq6OumnRPd3ULDhAgAADFlIXvuFzb34fD4dyxmCaP2jb7/9VmaJ98mTJx8+fKiurr5o0SJ556qrq9MaQ+wwXh96u3fvnnQaQQjJyspqbW3lcDiKl4QrRmcO5Obm0mVSys8ZKCws3LhxY5+vK+2TTz7xfhW7tdXEiRMnTZp0584dDw+PVatWJSQk9OdCaWlpenp6H330kZWVFd2kwMzMrKCggBCyZcsWY2Njf3//VatWLV682MHBoauri+4X0CMfHx9CCFtjmBDC4/H27t3L4/ECAwP9/f2XL19ub2/f2Ni4ZMmSXgWZkpJiYGCQnJx89epVQsh7773H4XASEhKWLl0aGRk5ceLEW7duKS7IkJGRYWZm5u7uHhkZGRoaOn78+KqqqgULFrDVsmhIGzZsWLFiRWBg4M2bN7t3YmZmRgsvzJkzx8nJafbs2dbW1hMmTGAHfVtaWmpra/X19emGCAAAMLwgee0Xf39/uk5cJBINzZFXQkhsbKyVlVVDQ0NISAjdBIFhmOzsbDotMi4ujh2wTExM/PLLL9ksXCQSHThwgL5N9vT07G1v0qKjowsLC+nXFy9eXLduHSEkKCiIbpjUN76+vhYWFiUlJfn5+erq6oGBgcqc1dnZmZ2d3du0TJ7a2trCV9ENSwkhHA7n2LFjXl5e165dy8zM7Of8AWdn59LS0pUrVwqFwoKCgnv37gUEBNBB7m3btrm5uZWVlR05cqSkpMTV1fWbb7754IMP5HVFq7DRHS5YCxcuzMnJ8fDwKCwsPHPmjK+v7+nTp3tbYHXMmDF/+ctf2MkDXl5ex48ft7e3z8nJOX369Jw5c86fP8+WEO7R8uXLg4ODGxsbMzIyzpw5Y2xsnJiYKF3QNyIiYvv27aNHjz527FhNTY284fPVq1fn5eXNmzfvp59+unjx4suXL9esWcNW/vr2228ZhqE1K3p1gwAAMCS82W0R3jb/+c9/6F9EDoezY8cOec3k7bDVHbvDVl1dncyP6JZOMTEx7BHlt4etqKig71s5HI6RkZG2tja9ysKFC1++fClzCUKInp6ehYUFuzvrqlWr+tAb8/MOWyEhIXT4ViAQsMUExo0bRzfoUhw5wzB0D/rx48d3f1zbtm2jvS1atEjOE5V1+PDhqKgoJRu/leh+WjweT3rL3xHFzs6Ow+HQXdwAAGB4wQ5b/eXl5UUn0jHKlXpVlSlTplRXV3/44Ye2trbt7e1qamqzZ88+dOhQVlYWm6ESQn7/+9/HxcXZ2tp2dnY2NzebmJjMmTPn0KFDaWlpfeiNpaend+XKlbi4OAMDg46ODnNz83Xr1hUXFytZ1koBtkSu8nMG/vjHP06dOrWf1x3WuFxuUlKSWCxOSkpSdSwq8O9//7umpmbVqlV0FzcAABh2OEwv64aCDEtLS1oJ1cXFpaysTNXhDC2//e1vDxw4EBkZKfOSeqBUVlY6OTlpaWk1Nzezu/Uq8OLFi9GjR8vb3mlECQ4O/v7774uKirrvLPAW6+jooPXpqqqqdHV1VR0OAAD0GsMwGHntr5CQEMycU2zwPiAdPXqUELJw4UJlMldCSFZWVlBQ0CAFM7wcPHiwrKys/4Pfw4tYLM7MzLx8+TIyVwCA4QtZV3+FhYUJBAJCSE1NzZCt9vpW6uzspPMZ1qxZo+QpmZmZA7VUa7jT0dFxdnYeacmrQCBwdnbuvhEuAAAMI0he+2v69Ol0zZaGhkZJSYmqwxkp2traoqOjm5ubnZ2d/fz8lDwLySsAAMBwh+R1ALz//vujRo169uzZ5cuXVR3L28/S0nLs2LEGBgbp6enq6uqpqamKd7Fnffrppw4ODlpaWoMdIQAAAAweJK8DIDY2ViwWi8ViZTbwhH4yMjJqaWnR0NCYNWtWfn6+8qUD9uzZs3Xr1kGNDQAAAAYbqg0MjHnz5uXm5urq6j59+lTVsUAPbt26FRAQUFdXp+pAAAAAoO9QbWDAbNq0SVdXVywWs7sMwJDy448/BgQEqDoKAAAA6C++qgN4S8ybN2/mzJn5+fmXLl0KCwtTdTggKzs7e/369QoaSCQSoVB4586d5uZmuv2Srq5ue3t7a2urvr6+hoaGm5vbG4sWVMXIyMjQ0FDVUQAAgCKYNjCQvL29CwsLa2trVR0IyJo8eTLNRK9evXr//v3z5883NjYKBILi4mKJRPL8+fPOzk4OhzNq1ChtbW1tbe0nT55YWlqKRKKXL1/y+fyHDx+OGTNG1TcBgy4uLi4uLk7VUQAAgFwMwyB5HWAODg4PHjwwMjJSdSDwi+bm5ra2Nh6Px+VyuVyuk5OTqampqampjY2Npqamj4+Pubm5hoaGtra2qiMFAAAARZC8DooHDx6YmpqqOgr4RVFR0YIFCxoaGpTciAsAAACGJizYGhTIXIeaGTNm2NjYXLt2TdWBAAAAQH8heYURYfHixVlZWaqOAgAAAPoLySuMCEheAQAA3g5IXmFEcHBwaGpqqqioUHUgAAAA0C9IXmGk8Pf3/+c//6nqKAAAAKBfkLzCSLFx48bCwkJVRwEAAAD9guQVRgp3d/empibs3wsAADCsIXmFESQ0NPRf//rXgHQVGRnJ6cn+/ftpg7/97W8cDmf79u296raxsdHU1DQ0NLQ/sX344Yf6+vpFRUX96QQAAGBo4qs6AIA3x9XVdfPmzZs3bx6oDidNmmRoaCh9pJ9Vfuvr65ubm//73/8q2V4kEn366adr166V3r22pKSktbW1urp6xowZ/QkGAABgCELyCiNIRETEpk2bbty4MXHixAHpMDExMTg4eEC6ombNmpWWlmZvb69k++zs7B07dgQFBUknr/v27SsoKIiMjBzAwAAAAIYITBuAkSU2NnagZg4MBg6HEx0dPX36dGUaMwyTlpbW/fjkyZPfffddDQ2NgY4OAABA9ZC8wsiycuXKw4cPq+TSpqamPj4+NTU1y5YtGzNmjKampqOj49dffy3dpra2lsPheHt7s0cePHjw/vvv29rajho1auzYsX5+fl999ZVQKCwtLXV0dPzuu+8IIY6OjnTG7YMHDwgh4eHhHA7nzJkz0j3X19fHxsZaWlpqaGiMHTvW39//4sWLb+S+AQAABhKSVxhZbGxsBALBN998o5Krl5SUuLu7P3nyZOvWrQkJCV1dXWvWrElMTJTXvqOjw9/fPyUlRU9Pb+nSpdbW1kVFRSkpKXw+n8/nR0ZGWlpaEkLi4+OTk5OTk5MFAkGP/VRVVbm6uu7fv18ikXh7e+vr6+fl5YnF4sG6TwAAgEGDOa8w4iQlJS1atEglU0I7Ozvnz59Ph0sJIevWrXNwcEhMTIyOjrawsOjePi8vr7q6euXKlYcOHaJHnj179tNPP3E4HGdnZ2dn5x9++KGxsTEmJsbBwUHBdd95550nT57s3Llz+/btHA6HEHL//n0TE5OBvj8AAIBBh5FXGHHmz58fExMj876+b0JCQqTrZPH5r/80GB8fz36tp6f3zjvvCIXC48eP99iYy+USQtTU1NgjOjo6dnZ2vQqytLS0rKzMzs6OzVwJIWZmZrRzAACA4QUjrzASrV27NjY2NjY2tp/9ODo6Si/z5/F4rz1FZoh06tSphJCysrIeG3t6epqYmKSmpgoEgm3bthkbG/chyMuXLxNC/P392cwVAABg+MLQC4xELi4uHR0deXl5/ewnISHhjJScnJzXniIzLZWWiW1paemxsb6+fk5Ojp2d3e7duy0sLGJiYm7fvt3bIJubmwkhv/rVr3p7IgAAwBCE5BVGqODg4K1bt77564pEIgXfdufk5FRRUXHs2LEpU6akpqY6Ojqmp6f36ooMwxBCMOwKAABvBySvMEIlJibev3//3r17b/i6//vf/6S/bWpqIoQong/A4/GCg4OLi4uPHDkiFotjYmIeP36s/BXpxIb79+/3KV4AAIChBckrjFybN2/etWvXG76ozNav+fn5hBBXV1dlzo2IiAgMDOzo6KisrKRH6BIxoVCo4Cx3d3dCyNmzZ/sULwAAwNCC5BVGLmNj4z//+c9v+KJJSUnsuGl5efnRo0fV1NTk7TFbUlIiPR22s7OzpqaG/DyYSggxNTUlhLC5bI/c3NycnZ0rKio+++wzOoWAEPLo0aM3P+oMAADQf0heYeSKiori8XgNDQ197uGTTz7xftUPP/ygoL2+vr6Hh4etre2yZcsWL17s6en58uXLhIQEc3PzHttnZGSYmZm5u7tHRkaGhoaOHz++qqpqwYIFbLWsJUuWEEI2bNiwYsWKwMDAmzdv9thPWlqanp7eRx99ZGVl5efnZ29vb2ZmVlBQ0OcbBwAAUBWUyoIRLSkp6e9//3tycnLfTq+trZU5Qjdolefp06f79u2ztLTcv3//gwcPbG1tf/e73ymo2LV8+fKmpqb8/PyysjKBQGBpabl27doPPviAbRAREVFTU3Pw4MFjx45ZWVlJV4SV5uzsXFpaunPnzjNnzhQUFAgEgoCAACXnKgAAAAwpHPY1IsAIJBQKtbS02traRo0aNdjXMjU1bW5uFgqFyuxlAAAAAN0xDINpAzCiqampxcfHr169WtWBAAAAgFKQvMJI9/nnn5eXl9+4cUPVgQAAAMDrIXkFILGxsb6+vqqOAgAAAF4PySsA2bx5s6+v7759+1QdCAAAALwGFmwBEEJIR0eHsbFxY2OjkZGRqmMBAACAnmHBFsD/09LSOn78uIeHx6lTp1QdCwAAAMiFkVeAXxw5cuSrr77y8PD4/PPPVR0LAAAAyGIYBskrwCuuX7++f//+tra2r7/+WtWxAAAAwCuQvAL0QCwWm5ubHz16dPbs2aqOBQAAAH6B5BWgZ3V1dXPnzlVTU7O3t7e3t7e0tJw5c6aqgxp0RkZGhoaGqo4CAABALiSvAIrs2bPn5MmTbW1tdXV1I6EKQVxcXFxcnKqjAAAAkAvJKwAAAAAMGyiVBQAAAADDCZJXAAAAABg2kLwCAAAAwLCB5BUAAAAAhg0krwAAAAAwbCB5BQAAAIBhA8krAAAAAAwbSF4BAAAAYNj4P9vgO+yyiXQ+AAAAf2lUWHRDb3B5cmlnaHQAAAAAAEludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzLCBsYXVuY2hlZCBpbiAyMDE4IGF0IHRoZSBVbml2ZXJzaXR5IG9mIEdlbmV2YSBieSBSYXBoYcOrbCBTYW5kb3ouue8CiwAAADV0RVh0VGl0bGUASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXPrmHTHAAAAhHpUWHRSYXcgcHJvZmlsZSB0eXBlIGlwdGMAAHicPUwxCgMxDNv9inuCYyvyZe7UrUN/kCZwcNDS/w91bqiEJRmE5P543rbP9z2Pc8h2gSq+w9DwUiT/cC1drTRVA5ydJTycjczz/JA+OUxTaRoQ7qwZnKtWOdJruHVTgDPIuiav9aWQHzdoH65cKlelAAACnmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSfvu78nIGlkPSdXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQnPz4KPHg6eG1wbWV0YSB4bWxuczp4PSdhZG9iZTpuczptZXRhLycgeDp4bXB0az0nSW1hZ2U6OkV4aWZUb29sIDkuNDYnPgo8cmRmOlJERiB4bWxuczpyZGY9J2h0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMnPgoKIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PScnCiAgeG1sbnM6ZGM9J2h0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvJz4KICA8ZGM6Y3JlYXRvcj4KICAgPHJkZjpTZXE+CiAgICA8cmRmOmxpPlJhcGhhw6tsIFNhbmRvejwvcmRmOmxpPgogICA8L3JkZjpTZXE+CiAgPC9kYzpjcmVhdG9yPgogIDxkYzp0aXRsZT4KICAgPHJkZjpBbHQ+CiAgICA8cmRmOmxpIHhtbDpsYW5nPSd4LWRlZmF1bHQnPkludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzPC9yZGY6bGk+CiAgIDwvcmRmOkFsdD4KICA8L2RjOnRpdGxlPgogIDxkYzp0eXBlPgogICA8cmRmOkJhZz4KICAgIDxyZGY6bGk+SW1hZ2U8L3JkZjpsaT4KICAgPC9yZGY6QmFnPgogIDwvZGM6dHlwZT4KIDwvcmRmOkRlc2NyaXB0aW9uPgo8L3JkZjpSREY+CjwveDp4bXBtZXRhPgo8P3hwYWNrZXQgZW5kPSdyJz8+mUIvmAAAAABJRU5ErkJggg==
/*\
title: $:/core/modules/widgets/dropzone.js
type: application/javascript
module-type: widget
Dropzone widget
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
var Widget = require("$:/core/modules/widgets/widget.js").widget;
var DropZoneWidget = function(parseTreeNode,options) {
this.initialise(parseTreeNode,options);
};
/*
Inherit from the base widget class
*/
DropZoneWidget.prototype = new Widget();
/*
Render this widget into the DOM
*/
DropZoneWidget.prototype.render = function(parent,nextSibling) {
var self = this;
// Remember parent
this.parentDomNode = parent;
// Compute attributes and execute state
this.computeAttributes();
this.execute();
// Create element
var domNode = this.document.createElement("div");
domNode.className = "tc-dropzone";
// Add event handlers
$tw.utils.addEventListeners(domNode,[
{name: "dragenter", handlerObject: this, handlerMethod: "handleDragEnterEvent"},
{name: "dragover", handlerObject: this, handlerMethod: "handleDragOverEvent"},
{name: "dragleave", handlerObject: this, handlerMethod: "handleDragLeaveEvent"},
{name: "drop", handlerObject: this, handlerMethod: "handleDropEvent"},
{name: "paste", handlerObject: this, handlerMethod: "handlePasteEvent"}
]);
domNode.addEventListener("click",function (event) {
},false);
// Insert element
parent.insertBefore(domNode,nextSibling);
this.renderChildren(domNode,null);
this.domNodes.push(domNode);
// Stack of outstanding enter/leave events
this.currentlyEntered = [];
};
DropZoneWidget.prototype.enterDrag = function(event) {
if(this.currentlyEntered.indexOf(event.target) === -1) {
this.currentlyEntered.push(event.target);
}
// If we're entering for the first time we need to apply highlighting
$tw.utils.addClass(this.domNodes[0],"tc-dragover");
};
DropZoneWidget.prototype.leaveDrag = function(event) {
var pos = this.currentlyEntered.indexOf(event.target);
if(pos !== -1) {
this.currentlyEntered.splice(pos,1);
}
// Remove highlighting if we're leaving externally
if(this.currentlyEntered.length === 0) {
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
}
};
DropZoneWidget.prototype.handleDragEnterEvent = function(event) {
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
this.enterDrag(event);
// Tell the browser that we're ready to handle the drop
event.preventDefault();
// Tell the browser not to ripple the drag up to any parent drop handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handleDragOverEvent = function(event) {
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
// Tell the browser that we're still interested in the drop
event.preventDefault();
event.dataTransfer.dropEffect = "copy"; // Explicitly show this is a copy
};
DropZoneWidget.prototype.handleDragLeaveEvent = function(event) {
this.leaveDrag(event);
};
DropZoneWidget.prototype.handleDropEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
};
this.leaveDrag(event);
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
var self = this,
dataTransfer = event.dataTransfer;
// Remove highlighting
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
// Import any files in the drop
var numFiles = 0;
if(dataTransfer.files) {
numFiles = this.wiki.readFiles(dataTransfer.files,{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
}
// Try to import the various data types we understand
if(numFiles === 0) {
$tw.utils.importDataTransfer(dataTransfer,this.wiki.generateNewTitle("Untitled"),readFileCallback);
}
// Tell the browser that we handled the drop
event.preventDefault();
// Stop the drop ripple up to any parent handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handlePasteEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
};
// Let the browser handle it if we're in a textarea or input box
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) == -1) {
var self = this,
items = event.clipboardData.items;
// Enumerate the clipboard items
for(var t = 0; t<items.length; t++) {
var item = items[t];
if(item.kind === "file") {
// Import any files
this.wiki.readFile(item.getAsFile(),{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
} else if(item.kind === "string") {
// Create tiddlers from string items
var type = item.type;
item.getAsString(function(str) {
var tiddlerFields = {
title: self.wiki.generateNewTitle("Untitled"),
text: str,
type: type
};
if($tw.log.IMPORT) {
console.log("Importing string '" + str + "', type: '" + type + "'");
}
});
}
}
// Tell the browser that we've handled the paste
event.stopPropagation();
event.preventDefault();
}
};
/*
Compute the internal state of the widget
*/
DropZoneWidget.prototype.execute = function() {
this.dropzoneDeserializer = this.getAttribute("deserializer");
// Make child widgets
this.makeChildWidgets();
};
/*
Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering
*/
DropZoneWidget.prototype.refresh = function(changedTiddlers) {
return this.refreshChildren(changedTiddlers);
};
exports.dropzone = DropZoneWidget;
})();
/*\
title: $:/core/modules/widgets/dropzone.js
type: application/javascript
module-type: widget
Dropzone widget
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tw: false */
"use strict";
var Widget = require("$:/core/modules/widgets/widget.js").widget;
var DropZoneWidget = function(parseTreeNode,options) {
this.initialise(parseTreeNode,options);
};
/*
Inherit from the base widget class
*/
DropZoneWidget.prototype = new Widget();
/*
Render this widget into the DOM
*/
DropZoneWidget.prototype.render = function(parent,nextSibling) {
var self = this;
// Remember parent
this.parentDomNode = parent;
// Compute attributes and execute state
this.computeAttributes();
this.execute();
// Create element
var domNode = this.document.createElement("div");
domNode.className = "tc-dropzone";
// Add event handlers
$tw.utils.addEventListeners(domNode,[
{name: "dragenter", handlerObject: this, handlerMethod: "handleDragEnterEvent"},
{name: "dragover", handlerObject: this, handlerMethod: "handleDragOverEvent"},
{name: "dragleave", handlerObject: this, handlerMethod: "handleDragLeaveEvent"},
{name: "drop", handlerObject: this, handlerMethod: "handleDropEvent"},
{name: "paste", handlerObject: this, handlerMethod: "handlePasteEvent"}
]);
domNode.addEventListener("click",function (event) {
},false);
// Insert element
parent.insertBefore(domNode,nextSibling);
this.renderChildren(domNode,null);
this.domNodes.push(domNode);
// Stack of outstanding enter/leave events
this.currentlyEntered = [];
};
DropZoneWidget.prototype.enterDrag = function(event) {
if(this.currentlyEntered.indexOf(event.target) === -1) {
this.currentlyEntered.push(event.target);
}
// If we're entering for the first time we need to apply highlighting
$tw.utils.addClass(this.domNodes[0],"tc-dragover");
};
DropZoneWidget.prototype.leaveDrag = function(event) {
var pos = this.currentlyEntered.indexOf(event.target);
if(pos !== -1) {
this.currentlyEntered.splice(pos,1);
}
// Remove highlighting if we're leaving externally
if(this.currentlyEntered.length === 0) {
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
}
};
DropZoneWidget.prototype.handleDragEnterEvent = function(event) {
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
this.enterDrag(event);
// Tell the browser that we're ready to handle the drop
event.preventDefault();
// Tell the browser not to ripple the drag up to any parent drop handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handleDragOverEvent = function(event) {
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
// Tell the browser that we're still interested in the drop
event.preventDefault();
event.dataTransfer.dropEffect = "copy"; // Explicitly show this is a copy
};
DropZoneWidget.prototype.handleDragLeaveEvent = function(event) {
this.leaveDrag(event);
};
DropZoneWidget.prototype.handleDropEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
self.dispatchEvent({type: "tm-import-tiddlers", param: JSON.stringify(tiddlerFieldsArray)});
};
this.leaveDrag(event);
// Check for being over a TEXTAREA or INPUT
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) !== -1) {
return false;
}
// Check for this window being the source of the drag
if($tw.dragInProgress) {
return false;
}
var self = this,
dataTransfer = event.dataTransfer;
// Remove highlighting
$tw.utils.removeClass(this.domNodes[0],"tc-dragover");
// Import any files in the drop
var numFiles = 0;
if(dataTransfer.files) {
numFiles = this.wiki.readFiles(dataTransfer.files,{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
}
// Try to import the various data types we understand
if(numFiles === 0) {
$tw.utils.importDataTransfer(dataTransfer,this.wiki.generateNewTitle("Untitled"),readFileCallback);
}
// Tell the browser that we handled the drop
event.preventDefault();
// Stop the drop ripple up to any parent handlers
event.stopPropagation();
};
DropZoneWidget.prototype.handlePasteEvent = function(event) {
var self = this,
readFileCallback = function(tiddlerFieldsArray) {
self.dispatchEvent({type: "tm-import-tiddlers", param: JSON.stringify(tiddlerFieldsArray)});
};
// Let the browser handle it if we're in a textarea or input box
if(["TEXTAREA","INPUT"].indexOf(event.target.tagName) == -1) {
var self = this,
items = event.clipboardData.items;
// Enumerate the clipboard items
for(var t = 0; t<items.length; t++) {
var item = items[t];
if(item.kind === "file") {
// Import any files
this.wiki.readFile(item.getAsFile(),{
callback: readFileCallback,
deserializer: this.dropzoneDeserializer
});
} else if(item.kind === "string") {
// Create tiddlers from string items
var type = item.type;
item.getAsString(function(str) {
var tiddlerFields = {
title: self.wiki.generateNewTitle("Untitled"),
text: str,
type: type
};
if($tw.log.IMPORT) {
console.log("Importing string '" + str + "', type: '" + type + "'");
}
self.dispatchEvent({type: "tm-import-tiddlers", param: JSON.stringify([tiddlerFields])});
});
}
}
// Tell the browser that we've handled the paste
event.stopPropagation();
event.preventDefault();
}
};
/*
Compute the internal state of the widget
*/
DropZoneWidget.prototype.execute = function() {
this.dropzoneDeserializer = this.getAttribute("deserializer");
// Make child widgets
this.makeChildWidgets();
};
/*
Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering
*/
DropZoneWidget.prototype.refresh = function(changedTiddlers) {
return this.refreshChildren(changedTiddlers);
};
exports.dropzone = DropZoneWidget;
})();
"The science of motion and equilibrium, and of forces considered solely as to their place of application, their direction, and their magnitude." Hooper (1906), p. 170.
"La dynamique signifie proprement la science des puissances, ou causes motrices, c'est-à-dire des forces qui mettent tous les corps en mouvement. Elle se distribue en Dynamique proprement dite, en Hydrodynamique, et en Ballistique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Science des rapports spéciaux de situation", Bourdeau (1882), vol. I, p. 416.
"Habits in relation to situation and climate", Pearson (1892), p. 468.
"La Economica, considera le instutione morale é, familiare de l'homo, non considerato per respetto de se solo, má per la soccieta domestica, é per le cose necessarie á, lá vita é, propagatione, come sonno le mogliere, li figlioli, li servi, li animali, e, generalmente, e, tutte le cose urbane, e, rustice oportune al governo de lá famiglia." Collenuccio (1535), p. 14.
Cf. //Speculum doctrinale//, book IV.
"Les sciences politiques [...] peuvent considérer l'ordre naturel suivant lequel l'homme en société emploie ses facultés pour subvenir à ses besoins, les résultats généraux de ce que l'intérêt propre de chaque individu lui inspire leur influence sur la prospérité de la société et le bien être de ses membres, les principes d'après lesquels ont pu s'établir les moyens de sauvegarder la prospérité, de maintenir la tranquilité publique et la sûreté de la nation, et c'est alors l'//économie publique//." Condorcet (1793), p. 769.
"L'économie sociale, comme toutes les autres sciences du premier ordre, se divise en deux sciences du second. La première se compose de la statistique et de la chrématologie; c'est à elle qu'on a longtemps borné toute l'économie sociale, c'est pourquoi je l'appellerai //Économie sociale proprement dite//. La seconde, formée par la réunion de la Cœolbologie comparée et de la cœnolbogénie, prendra simplement le nom de //Cœnolbologie//." Ampère (1834), Tome 2, p. 128.
\define p_gif1() {{$:/prefix_maps!!text}}/Goblot.gif
{{||map-image1}}
<$wikify name="gif1" text=<<p_gif1>> >
<table class="centertable borderless">
<tr id="scale_big"><td><$button class="hpic" style="max-width:100%;" popup="$:/temp/popup_gob" tooltip="Click to enlarge"> <$image class="resp_image_small" source=<<gif1>>/></$button></td></tr>
<tr id="scale_small"><td><$button class="hpic" style="max-width:100%;" popup="$:/temp/popup_gob" tooltip="Click to enlarge"> <$image source=<<gif1>> height="300"/></$button></td></tr>
</table>
<$reveal type="popup" state="$:/temp/popup_gob"><div class="drop-down-pop grey1 nodragdrop"><$image source=<<gif1>>/></div></$reveal>
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
</$wikify>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Goblot, Edmond (1898), //Essai sur la classification des sciences//, Paris: F. Alcan.
* Goblot, Edmond (1922), //Le système des sciences//, Paris: Librairie Armand Colin.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 2, pp. 38–42.
* Maldidier, Jules (1899), "Review: “Essai sur la classification des sciences” de Edmond Goblot", //Revue Philosophique de la France et de l’Étranger// 47, pp. 313–320.
* Sandoz, Raphaël (2017), "La « hiérarchie des sciences » comtienne revisitée par Edmond Goblot", //Revue philosophique de la France et de l’étranger// 142(3), pp. 303–324.
* Valade, Bernard (2013), "Edmond Goblot : classification des sciences et système des savoirs", in //Classer, penser, contrôler//, Paris: Hermès, p. 268.
"Cette science a pour but la formation de toutes nos habitudes et le meilleur développement de nos facultés" (Lancelin 1803, p. 133).
"From a Greek word, which signifies //amber//. By mere rubbing, certain kinds of bodies had, at different times, been found capable of being rendered productive of extraordinary appearances, and extraordinary changes, in other bodies: attracting them, repelling them,
producing light, producing heat, and so forth." Bentham (1816), //Chrestomathia//, pp. 32–33.
"Science de l'électricité", Bourdeau (1882), vol. II, p. 66.
"L'électrologie comprend aujourd'hui trois ordres essentiels de recherches fondamentales: dans le premier, on étudie la production des phénomènes électriques, leur manifestation et leur mesure; le second, se rapporte à la comparaison de l'état électrique propre aux diverses parties d'une même masse ou à divers corps contigus; le troisième a pour objet les lois des mouvements qui résultent de l'électrisation." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 688.
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
"Measuring all Plaines: As, Land, Borde, Glasse, &c." John Dee (1570), //The Mathematicall Preface//.
"Life-possessing-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
The text field of [[$:/core/modules/widgets/dropzone.js]] must be replaced by the text field of:<br>
· [[Drag_and_drop_OFF]] to disable 'drag and drop'<br>
· [[Drag_and_drop_ON]] to enable 'drag and drop' (original setting).
"The science of the modes of energy, such as gravitation, cohesion, heat, light, and electricity. Each of these modes may be studied in itself, and energetics is thus divisible into various subordinate sciences" Hooper (1906), p. 170.
Source: "Système figuré des connaissances humaines", In Denis Diderot (1750), //Prospectus de l’encyclopédie//.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Chambers, Ephraim (1728), //Cyclopaedia, Or an Universal Dictionary of Arts and Sciences//, London: 1741.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 141.
* Groult, Martine & Auroux, Sylvain (2003), //L’Encyclopédie ou la création des disciplines//, Paris: CNRS.
* Olivieri Tonelli, Grazia (1974), "Per una storia della classificazione delle scienze; Ephraim Chambers", //Filosofia// 25(4), pp. 345–372.
* Sullivan, Lawrence E. (1990), "Circumscribing knowledge: Encyclopedias in historical perspective", //The Journal of Religion// 70(3), pp. 315–339.
* Yeo, Richard (1996), "Ephraim Chambers’s //Cyclopædia// (1728) and the Tradition of Commonplaces", //Journal of the History of Ideas// 57(1), pp. 157–175.
"Things-on-earth-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
J. G. Sulzer (1758), p. 42.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
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
"Interior-concerns-regarding; viz. Internal Government", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Théorie des arts où l'on se propose de produire une satisfaction immédiate", Naville (1888), p. 38.
"The science of ether." Hooper (1906), p. 148.
Cf. //Nicomachean Ethics//, //Eudemian Ethics//.
"We will divide //Moral Philosophy//, into two main and Principal //Knowledges//; the one concerning the //Exemplar or Image of Good//; the other concerning,// the Regiment and Culture of the Mind//, which we are wont to call the// Georgicks of the Mind//: that describes the //Nature of Good//; this prescribes rules, how to subdue and accommodate the mind of Man thereunto." Bacon (1623), Book VII, p. 221.
"Work-producing; or say Thelematoscopic (volition-regarding); viz. Ethics", Bentham (1816), Appendix IV, Section VIII, p. 178.
"ETHICS, or the consideration of Natural Inclinations, Passions, Tastes, &c. Objects thereof; as Good, Evil, Virtue, Vice, Beauty, Deformity, &c. Pleasure, Pain, &c. Rectitude, Equity, Conscience, &c. Law, Obligation, &c. Will, Liberty, Action, Assent, &c. Necessity, Pre-motion, Providence, &c. Systems hereof; Stoics, Platonism, Academy, Cynic, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Ethica, tratta & insegna la institutione morale del homo considerato per se solo, in quanto dirizza le sue attione al proprio fine, e, frena é, tempra le passione del appetito sensitivo, e con el debito mezzo modera é, ordina le sue operatione de la volonta." Collenuccio (1535), p. 14.
"Consequences from passions of men", //Leviathan// (1651), chap. 9, p. 53.
"The science of moral culture or of ideal character. While the science of ethology treats of all motive feelings, as they actually exist, and of the actual combinations of motive feelings which produce divers characters, ethics distinguishes, in motive feelings, between the right and the wrong, and, also, between those which are essentially good and ought to predominate in man's character and those which are good only when held in subordination to the higher ones." Hooper (1906), p. 204.
Cf. //Speculum doctrinale//, books IV & V.
"L'//éthique// [...] étudie non seulement l'homme agissant, déployant à la fois ses facultés intellectuelles et morales, mais encore les divers sentiments, les différentes passions, et, en général, tous les motifs qui peuvent déterminer les actions." Ampère (1834), Tome 2, p. 46.
"La doctrine qui enseigne les vertus morales de la vie humaine, a été appellée par les anciens Frecs, Ethique, & des Latins Philosophie morale.", Christofle de Savigny (1587), plate B.
"L'//Ethnographie// [...] se compose de toutes les vérités que nous fournit l'observation des divers caractères, des divers sentiments, des diverses passions des hommes." Ampère (1834), Tome 2, p. 35.
"L'//Ethnologie// [...] se divisera en deux [sciences] du second ordre; la première composée de l'ethnographie, qui ne se rapporte qu'aux nations actuellement existantes, et de la toporistique qui détermine la position précise, sur la surface de la terre, de points remarquables, qu'on doit aussi considérer comme existant actuellement, lors même que nous n'aurions à nous en occuper que parce que nous y trouverions des monuments, des ruines provenant de nations qui ne sont plus." Ampère (1834), Tome 2, p. 39.
"The science of //motive feelings//. //Emotions// is the commoner term. In practice, however, //emotion// almost always conveys the idea of strong feeling as opposed tto mere //sentiment//, and yet is inapplicable to that most intense of all feelings, so-called pain. [...] //Emotion// is apt to signify feeling rather as opposed to, than as leading to, action, and is never allowed to cover volition."
Hooper (1906), p. 181.
"The science of the races of mankind, regarding the general characteristics of each race, not the actual distribution of races, which is the object-matter of //ethnography//." Hooper (1906), p. 154.
"As between //art// and //science//, in so far as they are distinguishable art is that one of the two that seems entitled to the first mention, as being first
and most independent—in //value//, and thence in //dignity//, in so far as dignity consists in //use//: for, of //science//, the value consists in its subserviency to art; of //speculation//, the value consists in its subserviency to practice. Of the two, //art//, when it is not itself the //end//, stands nearest to the end: with reference to this end, whatsoever of //science// stands connected with it, is but as a //means//." Bentham, Jeremy (1816), //Chrestomathia//, pp. 12–13.
Main source of the tree: Bentham, Jeremy (1816), //Chrestomathia//, Appendix IV, section VIII, table V, p. 178.
"Simply-expository", Bentham (1816), Appendix IV, Section VIII, p. 178.
"When certain ideas, or images representative of ideas, are applied still more particularly, not to the investigation of the general and permanent properties of all bodies, but of certain changes in those properties existing in bodies partially, then we popularly call the studies relative to such matters by the name of Applied Sciences; such are //Magnetism//, //Electricity//, //Galvanism//, //Chemistry//, the laws of //Light// and //Heat//, &c." Coleridge (1818), p. 43.
Cf. //Opus maius//, part 6.
<!-- Analytical framework editor -->
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens the analytical framework editor"><$action-navigate $to="Analytical framework editor"/> <i class="fab fa-elementor"></i> Analytical framework editor </$button> 
<!-- Search by analysis criteria --><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens the search tool for analysis criteria"><$action-setfield $tiddler="$:/temp/search_paradigm" $field="text" $value=""/><$action-navigate $to="Search by analysis criteria"/> <i class="fab fa-searchengin"></i> Search by analysis criteria </$button>
<!-- List view for 'additional information' --><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens the list view for 'additional information'"><$action-setfield $tiddler="$:/temp/EditInfoReveal" $field="interval_start" $value="-500"/><$action-setfield $tiddler="$:/temp/EditInfoReveal" $field="interval_end" $value="2000"/><$action-setfield $tiddler="$:/temp/EditInfoReveal" $field="sortby" $value="map_id"/><$action-setfield $tiddler="$:/temp/EditInfoReveal" $field="text" $value="off"/><$action-setfield $tiddler="$:/temp/lwdef_searchindex" $field="text" $value=""/><$action-navigate $to="List view for 'additional information'"/> <i class="fas fa-bars"></i> List view for 'additional information' </$button>
<!-- Timelines -->
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Comparative timeline"><$action-navigate $to="Comparative timeline"/> <i class="fas fa-chart-bar"></i> Comparative timeline </$button> {{Diagrammatic_timeline_button}}
<!-- List of authors with images -->
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens the list of authors with images"><$action-navigate $to="List of authors with images"/> <i class="fas fa-images"></i> List of authors with images </$button> 
<!-- List of specialized maps --><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens the list of specialized maps"><$action-navigate $to="List of specialized maps"/> <i class="fas fa-puzzle-piece"></i> List of specialized maps </$button> 
<!-- History of uploaded maps -->
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="History of uploaded maps"><$action-navigate $to="History of uploaded maps"/><$action-setfield $tiddler="$:/temp/uploaded_sortby" $field="text" $value="uploaded"/> <i class="fas fa-history"></i> History of uploaded maps </$button>
-----
<div class="center"><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Opens old miscellaneous tools"><$action-navigate $to="Old miscellaneous tools"/> <i class="fas fa-box"></i> Old miscellaneous tools </$button></div>
"De ce qui est fiction." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
\define concatenate() {{$:/temp/fsearchindex!!text}}(?i)
<!-- Search box and sort options -->
<table class="centertable borderless">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i> <$edit-text class="noborderfield searchfield" tiddler="$:/temp/fsearchindex" type="search" tag="input"/><$reveal state="$:/temp/fsearchindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/fsearchindex" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td colspan="3"></td><td>
<div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/sortby'><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option><option value='country'>Sort by country</option></$select></div></td></tr>
</table>
<!-- Fields table -->
<table class="centertable tablebg">
<tr><th>Name</th><th>★</th><th>First name</th><th>Last Name</th><th>Dates</th><th>Country</th><th>Map date</th><th>Map ID</th></tr>
<$wikify name="search-entry" text=<<concatenate>> >
<$list filter="[has[map_id]regexp:title<search-entry>sort{$:/temp/sortby!!text}][has[map_id]regexp:country<search-entry>sort{$:/temp/sortby!!text}]">
<tr><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><td style="border-color: transparent; padding: 0"><div class="center"><$edit-text class="minieditfield flatfield" tiddler=<<curenttiddler>> field="importance" type="input"/></div></td></td><td><div class="center"><$edit-text class="mediumeditfield flatfield" tiddler=<<curenttiddler>> field="first_name" type="input"/></div></td><td><$edit-text class="mediumeditfield flatfield" tiddler=<<curenttiddler>> field="last_name" type="input"/></td><td><div class="center"><$edit-text class="dateseditfield flatfield" tiddler=<<curenttiddler>> field="dates" type="input"/></div></td><td><$edit-text class="mediumeditfield flatfield" tiddler=<<curenttiddler>> field="country"/></td><td><div class="center"><$edit-text class="dateeditfield flatfield" tiddler=<<curenttiddler>> field="map_date" type="input"/></div></td><td><div class="center"><$edit-text class="dateeditfield flatfield" tiddler=<<curenttiddler>> field="map_id" type="input"/></div></td></tr>
</$list>
</$wikify>
<tr><th colspan="9"><$link to="Fields editor"><div class="clickbutton" style="display: flex; justify-content: space-between; align-items: center; height:28px"><p></p><p><i class="fa fa-angle-double-up"></i></p><p></p></div></$link></th></tr>
</table>
"We come now to another class of applied sciences, namely those which are applied to the purposes of pleasure, through the medium of the imagination; and which are commonly called the Fine Arts. These are //Poetry//, //Painting//, //Music//, //Sculpture//, //Architecture//. [...] The Method to be observed in these, holds a sort of middle place between the method of law, or pure science, and the method of theory." Coleridge (1818), p. 39.
The man who penetrates with his mind into Nature is the first or intimate philosopher (//primus philosophus, seu intimus//) and his knowledge is "first philosophy" or knowledge of the innermost work of Nature." Vives (1531), p. 41.
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
"We begin, then, with that class of pure science which we have called formal; and of these, the first two that present themselves to us, are Grammar and Logic. By Grammar we are taught the rules of that speech , which serves as the medium of mental intercourse between man and man; by Logic, the mental operations are themselves regulated and bound together, in a certain method or order." Coleridge (1818), p. 35.
"FORTIFICATION, or the construction of FORTRESSES; as Citadel, Castle, Tower, &c. Fort, Star, Redoubt, &c. Works, or parts thereof; Rampart, Bastion, Ditch, Counterscarp, Curtin, &c. Raveling, Horn-work, Crown-work, &c. Approaches; Trench, Sap, Mine, &c. Line, Parallel, Circumvallation, &c. Battery, Attack, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
{{||map-image1}}
{{||image2-image3}}
<div style="position:relative; top:-0.8em; left:-4.1em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bacon, Francis (1605), //Of the proficience and advancement of learning//, New York: Da Capo Press, 1970.
* Bacon, Francis (1612), "A Description of the Intellectual Globe", in //The Philosophical Works of Francis Bacon//, J. M. Robertson ed., London: Routledge, pp. 670–701.
* Bacon, Francis (1620), //Novum organum//, New York: P. F. Collier, 1902.
* Bacon, Francis (1623), //De Dignitate et augmentis scientiarum//, C. Delagrave: 1897. English transl. //Of the Advancement and Proficiencie of Learning: Or, The Partitions of Sciences//, London: T. Williams, 1674.
*// The works of Francis Bacon, Baron of Verulam// (5 vols.), London: J. Rivington, 1778.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Gaukroger, Stephen (2001), //Francis Bacon and the Transformation of Early-Modern Philosophy//, Cambridge: Cambridge University Press. Cf. chapter on "The classification of knowledge", pp. 18–20.
* Klein, Jürgen (2004), "Francis Bacon’s //The Advancement of Learning//. An Early Modern Programme for the Revision of the Systems of Disciplines", in A. A. MacDonald & A. H. Huussen (eds), //Scholarly Environments: Centres of Learning and Institutional Contexts, 1560-1960//, Peeters Publishers, pp. 65–74.
* Kusukawa, Sachiko (1996), "Bacon’s classification of knowledge", in //The Cambridge Companion to Bacon//, M. Peltonen (ed.), Cambridge: Cambridge University Press, pp. 47–74.
* Oki, Sayaka (2013), "The Establishment of “Mixed Mathematics” and Its Decline 1600–1800", //Historia Scientiarum// 23(2), pp. 82–91.
* Olivieri Tonelli, Grazia (1991), "Galen and Francis Bacon: Faculties of the Soul and the Classification of Knowledge", in //The Shapes of Knowledge from the Renaissance to the Enlightenment//, Springer: Dordrecht, pp. 61–81.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Titelmans, Frans (1541), "Tabula universam philosophiae partitionem continens", in //Compendium Physicae//, Parisiis: P. Calvarini.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
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
"From Galvani, an Italian, by whom, not long before the close of the last century, effects, in many respects coinciding with, though in some respects different from, those produced by electricity, were found producible, without the help of friction or intercourse with the clouds, by a mere arrangement, made to take place between certain bodies in a solid, and certain others in a fluid state.", Jeremy Bentham (1816), //Chrestomathia//, pp. 33–34.
"GARDENING, including the culture of HERBS, Flowers, Fruits, &c. as Dwarf, Standard, Wall, Espalier, Salet, &c. The operations of Planting, Transplanting, Replanting, Watering, Engrafting, Inoculating, Pruning, Pinching, Variegating, &c. Preventing Diseases, Blights, Gum, &c. The Use and ordering of a Hot-bed, Greenhouse, Nursery, Garden, Vineyard, &c. Their Exposure, Walls, Horizontal Shelter, &c. Walks, Grass-plot, Terrace, Quincunx, Parterre, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
J. G. Sulzer (1758), p. 26.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Evans, J. & Berggren, J. L. (2006), //Geminos’s Introduction to the Phenomena: A Translation and Study of a Hellenistic Survey of Astronomy//, Princeton University Press.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bowen, Alan C. (2007), "The Demarcation of Physical Theory and Astronomy by Geminus and Ptolemy", //Perspectives on Science// 15(3), pp. 327–358.
* Vitrac, Bernard (2005), "Les classifications des sciences mathématiques en Grèce ancienne", //Archives de Philosophie// 68(2), pp. 269–301.
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
J. G. Sulzer (1758), p. 46.
<h2 style="padding-top:0px">➊ Comprehensive historical works</h2>
* Fisher, Nicholas (1990), "The Classification of the Sciences", in R.C. Olby (ed.), //Companion to the History of Modern Science//, London: Routledge, pp. 853–868.
* Flint, Robert (1886), "Classification of the sciences", in //The Presbyterian Review//, New York: C. Scribner, pp. 483–536.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //Классификация наук//, Moscow: PCUS. French transl. by J. Lemagnen //La classification des sciences//, Moscou: Progrès, 1977 (2 volumes). German transl. //Klassifizierung der Wissenschaften//, Berlin: Akademie-Verlag, 1975.
* Mariétan, Joseph (1901), //Problème de la classification des sciences d’Aristote à St. Thomas//, Paris: Alcan.
* McRae, Robert (1961), //The problem of the unity of the sciences: Bacon to Kant//, University of Toronto Press.
* Richardson, Ernest Cushing (1901), //Classification, theoretical and practical//, New York: C. Scribner.
* Stichweh, Rudolf (2001), "History of Scientific Disciplines", in //International Encyclopedia of the Social & Behavioral Sciences//, pp. 13727–13731.
* Taffarelli, Jean-Louis (1980), //Les Systèmes de classification des ouvrages encyclopédiques//, Villeurbanne: E.N.S.B.
<h2 style="padding-top:10px">➋ Ancient times</h2>
* Hadot, Ilsetraut (2005), //Arts libéraux et philosophie dans la pensée antique: contribution à l’histoire de l’éducation et de la culture dans l’Antiquité//, Paris: Vrin.
* Hadot, Pierre (1979), "Les divisions des parties de la philosophie dans l’Antiquité", //Museum Helveticum// 36(4), pp. 201–223.
* Hofer, O.P. Andrew & Piper, O.P. Alan (2018), "Retracing the “Art of Arts and Science of Sciences” from Gregory the Great to Philo of Alexandria", //Journal of the History of Ideas// 79(4), pp. 507–526.
* Livesey, Steven J. (1982), //Metabasis: The Interrelationship of the Sciences in Antiquity and the Middle Ages//, Los Angeles: University of California.
* Tatarkiewicz, W. (1963), "Classification of Arts in Antiquity", //Journal of the History of Ideas// 24(2), pp. 231–240.
* Vitrac, Bernard (2005), "Les classifications des sciences mathématiques en Grèce ancienne", //Archives de Philosophie// 68(2), pp. 269–301.
<h2 style="padding-top:10px">➌ Medieval period</h2>
* Abelson, Paul (1906), //The Seven Liberal Arts – A Study in Mediæval Culture//, New York: Columbia University.
* Bakar, Osman (1998), //Classification of knowledge in Islam//, Cambridge: Islamic Texts Society.
* Burnett, C.S.F. (1990), "Innovations in the classification of the sciences in the Twelfth Century", in S. Knuuttila et al. (eds), //Knowledge and the sciences in medieval philosophy//, Helsinki: Luther-Agricola Society, pp. 25–42.
* Chenu, M.D. (1936), "Notes de lexicographie philosophique médiévale: Disciplina", //Revue des Sciences philosophiques et théologiques// 25(4), pp. 686–692.
* Dahan, Gilbert (1990), "Les classifications du savoir aux XIIᵉ et XIIIᵉ siècles", //L’enseignement philosophique// 40(4), pp. 5–27.
* Englisch, Brigitte (1994), //Die Artes Liberales im Frühen Mittelalter//, Steiner.
* González Marrero, José Antonio (2018), "Organizing the Knowledge in the 13th century: From al-Fārābī’s Kitāb marātib al-’ulūm to Gundissalinus and Cremona’s De ortu scientiarum", in A. Kukutka-Wojtasik & E. Stachurski, //Translatio and History of Ideas//, pp. 197–206.
* Heck, Paul L. (2002), "The Hierarchy of Knowledge in Islamic Civilization", //Arabica// 49(1), pp. 27–54.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in S. Ebbesen, R. L. Friedman (eds), //Medieval Analyses in Language and Cognition//, Copenhagen: Kgl. Danske Videnskabernes Selskab, pp. 165–190.
* Jolivet, Jean (1996), "Classifications of the sciences", in Rushdī Rāshid (ed.), //Encyclopedia of the History of Arabic Science: Technology, alchemy and life sciences//, CRC Press.
* Lacoste, P., Gilson, E. & Marrou, H.I. [eds] (1969), //Arts libéraux et philosophie au Moyen Age. Actes du IVᵉ Congrès international de Philosophie médiévale//, Montréal: Institut d’études médiévales.
* Lohr, Charles (2010), "Aristotelian “Scientia” And The Medieval “Artes”", in //The European Image of God and Man//, pp. 259–270.
* Marrou, Henri-Irénée (1934), "“Doctrina” et “disciplina” dans la langue des Pères de l’Église", //Archivus Latinitatis Medii Aevi// 9, pp. 5–25.
* McKeon, Richard (1975), "The Organization of Sciences and the Relations of Cultures in the Twelfth and Thirteenth Centuries", in //The Cultural Context of Medieval Learning//, J.E. Murdoch & E.D. Sylla (ed.), Netherlands: Springer, pp. 151–192.
* Najjar, Abdul Majid Al (1996), "Classification of Sciences in Islamic Thought: Between Imitation and Originality", //American Journal of Islamic Social Sciences// 13(1), pp. 59–87.
* Ovitt, George (1983), "The Status of the Mechanical Arts in Medieval Classifications of Learning", //Viator// 14, pp. 89–106.
* Rahman, Shahid, Street, Tony & Tahiri, Hassan ed. (2008), //The Unity of Science in the Arabic Tradition//, Dordrecht: Springer Netherlands.
* Scrivner, Buford (1980), "Carolingian Monastic Library Catalogs and Medieval Classification of Knowledge", //The Journal of Library History// 15(4), pp. 427–444.
* Verdier, Philippe (1969), "L’iconographie des arts libéraux dans l’art du Moyen âge jusqu’à la fin du quinzième siècle", in P. Lacoste, E. Gilson & H.‑I. Marrou (eds), //Arts libéraux et philosophie au Moyen Age//, Montréal : Institut d’études médiévales, pp. 305–355.
* Vesel, Živa (1986), //Les encyclopédies persanes: essai de typologie et de classification des sciences//, Paris: Editions Recherche sur les civilisations.
* Wagner, David Leslie (1983), //The Seven liberal arts in the Middle Ages//, Bloomington: Indiana University Press.
* Weijers, Olga (1987), "L’appellation des disciplines dans la classification des sciences aux XIIᵉ et XIIIᵉ siècles", //ALMA// 46‑47, pp. 39–64.
* Weisheipl, James A. (1965), "Classification of the Sciences in Medieval Thought", //Mediaeval Studies// 27, pp. 54–90.
* Weisheipl, James A. (1980), "The Nature, Scope, and classification of the Sciences", in //Science in the Middle Ages//, Chicago: The University of Chicago Press, pp. 461–482.
<h2 style="padding-top:10px">➍ Early modern period</h2>
* Blair, Ann M. (2007), "Organizations of knowledge", in James Hankins (ed.), //The Cambridge Companion to Renaissance Philosophy//, Cambridge University Press, pp. 287–303.
* Brockliss, Laurence (2002), "La Classification des sciences dans le monde universitaire et les facultés de médecine (1540-1640)", //Nouvelle Revue du XVIᵉ Siècle// 20(1), pp. 31–45.
* Burke, Peter (2017), "Orders of Knowledge in Early Modern Europe", //Asiatische Studien – Études Asiatiques// 71(3), pp. 993–1002.
* Endress, Gerhard (2006), //Organizing knowledge: Encyclopaedic activities in the pre-eighteenth century Islamic world//, Leiden: Brill.
* Farago, Claire J. (1991), "The Classification of the Visual Arts in the Renaissance", in //The Shapes of Knowledge from the Renaissance to the Enlightenment//, Dordrecht: Springer, pp. 23–48.
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
* Freedman, Joseph S. (1999), //Philosophy and the Arts in Central Europe, 1500‑1700: Teaching and Texts at Schools and Universities//, Ashgate.
* Groult, Martine & Auroux, Sylvain (2003), //L’Encyclopédie ou la création des disciplines//, Paris: CNRS.
* Hurley, Cecilia (2016), "Putting Art in its Place: the “Modern System of the Arts” in Bibliographies and Bibliothecae, Perspective", //Actualité en histoire de l’art// 2, pp. 87–110.
* Kelley, Donald R. (1997), //History and the Disciplines: The Reclassification of Knowledge in Early Modern Europe//, University of Rochester Press.
* Kelley, D.R. & Popkin, R.H. (1991), //The Shapes of Knowledge from the Renaissance to the Enlightenment: From the Renaissance to Enlightenment//, Springer.
* Mandosio, Jean-Marc (1997), "Les sources antiques de la classification des sciences et des arts à la Renaissance", in D. Jacquart (ed.), //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, pp. 331–390.
* Mandosio, Jean-Marc (2002), "Méthodes et fonctions de la classification des sciences et des arts (XVᵉ-XVIIᵉ siècles)", //Nouvelle Revue du XVIᵉ Siècle// 20(1), pp. 19–30.
* Mandosio, Jean-Marc (2017), "Tensions et transformations dans la classification des sciences et des arts au XVᵉ siècle", in J. Chandelier (ed.), //Science et technique au Moyen Age//, Saint-Denis: Presses Universitaires Vincennes, pp. 65–94.
* Totok, Wilhelm (1981), "The ordering of knowledge and the knowledge of ordering between Renaissance and Enlightenment", //International Classification// 8(1), pp. 2–9.
<h2 style="padding-top:10px">➎ Late modern period</h2>
* Dolby, R.G.A. (1979), "Classification of the sciences: the nineteenth-century tradition", in R.F. Ellen & D. Reason, //Classifications in Their Social Context//, New York: Academic Press, pp. 167–173.
* Nubiola, Jaime (2005), "The Classification of the Sciences and Cross-Disciplinarity", //Transactions of the Charles S. Peirce Society// 41(2), pp. 271–282.
* Rey, Roselyne (1994), "La Classification des sciences (1750–1850)", //Revue de synthèse// 115(1‑2), pp. 5–12.
* Stichweh, Rudolf (1994), "La structuration des disciplines dans les universités allemandes au 19ᵉ siècle", //Histoire de l’education// 62, pp. 55–73.
* Valente, Joseph (2002), //Disciplinarity at the Fin de Siècle//, Princeton University Press.
<h2 style="padding-top:10px">➏ Studies on the development of particular disciplines</h2>
* Anstey, Peter & Vanzo, Alberto (2012), "The origins of early modern experimental philosophy", //Intellectual History Review// 22(4), pp. 499–518.
* Atkins, Richard (2014), "The Forgotten Science: Architectonics and Its Importance", //History of Philosophy Quarterly// 31(4), pp. 369–392.
* Bensaude-Vincent, Bernadette (1994), "La chimie: Un statut toujours problématique dans la classification du savoir", //Revue de synthèse//, 115(1‑2), pp. 135‑148.
* Brown, Gary I. (1991), "The Evolution of the Term “Mixed Mathematics”", //Journal of the History of Ideas// 52(1), pp. 81–102.
* Brown, Theodore M. (1977), "Physiology and the Mechanical Philosophy in Mid-Seventeenth Century England", //Bulletin of the History of Medicine// 51(1), pp. 25–54.
* Braun, Claude M.J. & Baribeau, Jacinthe M.C. (1984), "The Classification of Psychology among the Sciences from Francis Bacon to Boniface Kedrov", //The Journal of Mind and Behavior// 5(3), pp. 245–259.
* Caron, Joseph A. (1988), "‘Biology’ in the life sciences: A historiographical contribution", //History of Science// 26(3), pp. 223–268.
* Dear, Peter (2011), "Mixed Mathematics", in //Wrestling with Nature: From Omens to Science//, P. Harrison, R.L. Numbers et M.H. Shank (ed.), Chicago: The University of Chicago Press, pp. 149–172.
* Dyer, Joseph (2007), "The Place of “Musica” in Medieval Classifications of Knowledge", //Journal of Musicology// 24(1), pp. 3–71.
* Mayr, Ernst (1996), "The autonomy of biology: The position of biology among the sciences", //The Quarterly Review of Biology// 71(1), pp. 97–106.
* McLelland, Nicola (2005), "Scientia Sermocinalis: Grammar in Medieval Classifications of the Sciences", in //Flores Grammaticae: Essays in Memory of Vivien Law//, Münster: Nodus, pp. 49–54.
* Oki, Sayaka (2013), "The Establishment of “Mixed Mathematics” and Its Decline 1600–1800", //Historia Scientiarum// 23(2), pp. 82–91.
* Roberts, Lissa (1991), "Setting the Table: The disciplinary Development of Eighteenth-Century Chemistry as Read Through the Changing Structures of Its Tables", in P. Dear (ed.), //The Literary Structure of Scientific Argument: Historical Studies//, Philadelphia, University of Pennsylvania Press, pp. 99–132.
* Vidal, Fernando (1994), "La place de la psychologie dans l’ordre des sciences", //Revue de synthèse// 115(3‑4), pp. 327–353.
* Vidal, Fernando (2014), "Psychology and classifications of the sciences", //Republics of Letters// 3(3), pp. 1–22.
* Whitney, Elspeth (1990), "Paradise Restored. The Mechanical Arts from Antiquity through the Thirteenth Century", //Transactions of the American Philosophical Society// 80(1), pp. 1–169.
<h2 style="padding-top:10px">➐ Philosophical and sociological works</h2>
* Abbott, Andrew (2001), //Chaos of Disciplines//, Chicago: The University of Chicago Press.
* Alfonso-Goldfarb, Ana M., Waisse, Silvia & Ferraz, Márcia H.M. (2013), "From Shelves to Cyberspace: Organization of Knowledge and the Complex Identity of History of Science", //Isis// 104(3), pp. 551–560.
* Boutier, Jean, Passeron, Jean-Claude & Revel, Jacques (2006), //Qu’est-ce qu’une discipline ?//, Paris: Éditions de l’École des hautes études en sciences sociales.
* Chervel, André (1988), "L’histoire des disciplines scolaires: Réflexions sur un domaine de recherche", //Histoire de l’education// 38, pp. 59–119.
* Chubin, Daryl E. (1976), "The Conceptualization of Scientific Specialties", //The Sociological Quarterly// 17(4), pp. 448–476.
* Cole, Stephen (1983), "The Hierarchy of the Sciences?", //American Journal of Sociology// 89(1), pp. 111–139.
* Darden, Lindley (1978), "Discoveries and the emergence of new fields in science", in //PSA: Proceedings of the Biennial Meeting of the Philosophy of Science Association//, pp. 149–160.
* Galison, Peter & Stump, David J. [eds] (1996), //The Disunity of Science: Boundaries, Contexts, and Power//, Stanford University Press.
* Gingras, Yves & Heilbron, Johan (2016), "La résilience des disciplines", //Actes de la recherche en sciences sociales// 210, pp. 4–9.
* Goldstein, Jan (1984), "Foucault among the Sociologists: The “Disciplines” and the History of the Professions", //History and Theory// 23(2), pp. 170–192.
* Graham, Loren, Lepenies, Wolf & Weingart, P. (1983), //Functions and Uses of Disciplinary Histories//, Dordrecht: D. Reidel.
* Grantham, Todd A. (2004), "Conceptualizing the (Dis)unity of Science", //Philosophy of Science// 71(2), pp. 133–155.
* Heilbron, Johan (2004), "A Regime of Disciplines: Toward a Historical Sociology of Disciplinary Knowledge", in //The dialogical turn. Roles for sociology in the post disciplinary age//, C. Carmic & H. Joas (eds.), Lanham, pp. 23–42.
* Holton, Gerald (1975), "On the Role of Themata in Scientific Thought", //Science// 188(4186), pp. 328–334.
* Holton, Gerald James (1988), //Thematic Origins of Scientific Thought: Kepler to Einstein//, Harvard University Press.
* Lenoir, Yves (1995), "L’interdisciplinarité: Aperçu historique de la genèse d’un concept", //Cahiers de la recherche en éducation// 2(2), pp. 227–265.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, pp. 60–78.
* Marchand, Suzanne (2014), "Has the History of the Disciplines Had its Day?", in D.M. McMahon & S. Moyn (ed.), //Rethinking Modern European Intellectual History//, Oxford University Press.
* Messer-Davidow, Ellen & Shumway, David R. (1993), //Knowledges: Historical and Critical Studies in Disciplinarity//, University of Virginia Press.
* Schaffer, Simon (2013), "How disciplines look", in //Interdisciplinarity – Reconfigurations of the social and natural sciences//, Routledge, pp. 73–97.
* Stichweh, Rudolf (1979), "Differenzierung der Wissenschaft", //Zeitschrift für Soziologie// 8(1), pp. 82–101.
* Stichweh, Rudolf (1984), //Zur Entstehung des modernen Systems wissenschaftlicher Disziplinen: Physik in Deutschland, 1740-1890//, Frankfurt am Main: Suhrkamp.
* Stichweh, Rudolf (1991), //Études sur la genèse du système scientifique moderne//, Lille: Presses Universitaires de Lille.
* Stichweh, Rudolf (1992), "The Sociology of Scientific Disciplines: On the Genesis and Stability of the Disciplinary Structure of Modern Science", //Science in Context// 5(1), pp. 3–15.
* Stichweh, Rudolf (2003), "Differentiation of scientific disciplines – Causes and consequences", in //Encyclopedia of Life Support Systems//, Paris: UNESCO.
* Storer, Norman William & Parsons, Talcott (1968), "The disciplines as a differentiating force", in E. B. Montgomery (ed.), //The Foundations of Access to Knowledge: A Symposium//, Syracuse: Syracuse University Press, pp. 101–121.
* Swoboda, Wolfram W. (1979), "Disciplines and interdisciplinarity: A historical perspective", in J. J. Kockelmans (ed.), //Interdisciplinarity and Higher Education//, London: Pennsylvania State University Press, pp. 49–92.
* Thompson Klein, Julie (1990), //Interdisciplinarity: History, Theory, and Practice//, Detroit: Wayne State University Press.
* Tondl, Ladislav (1998), "What Is the Thematic Structure of Science?", //Journal for General Philosophy of Science// 29(2), pp. 245–264.
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Bibliography&dt=Bibliography" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Bibliography"></iframe>
"General matters-regarding" Bentham (1816), p. 214.
"Geodesie: more cunningly to Measure and Survey Landes, Woods, Waters. &c." John Dee (1570), //The Mathematicall Preface//.
"Geognosy is that branch of cosmology which treats of the earth, and of the minor bodies which form parts of it or exist within its sphere." Hooper (1906), p. 132.
"Si elles s'occupent de la connaissance générale du globe, on peut employer le nom de //géographie//." Condorcet (1793), p. 769.
"Description de la partie Terrestre du Globe, c'est à dire de la Terre sèche." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La première chose que doit faire celui qui se propose de connaître le globe, c'est d'étudier non seulement les accidents de sa surface, les mers, les fleuves, les plaines, les montagnes, les directions et les hauteurs respectives de leurs chaînes; mais encore tout ce qui est relatif à l'aspect général qu'offrent dans chaque pays les végétaux et les animaux qui l'habitent, aux variations que présentent, en divers lieux et en divers temps. Ce qui est l'objet d'une autre science du troisième ordre [à laquelle] je conserve le nom qu'on lui a donné depuis longtemps de //géographie physique//." Ampère (1834), Tome 1, pp. 85–86.
"From two Greek words ; one of which signifies the //earth//, the other //delineation// or //description//." Jeremy Bentham (1816), //Chrestomathia//, p. 18.
"Geography, including the doctrine of the EARTH, or GLOBE. its Circles; Parallel, Tropic, Horizon, Axis, Poles, &c. its Zones, Climates, &c. Its Places; their Longitude, Latitude, Distance, Elevation, &c. Inhabitants; Antipodes, Aborigines, Troglodytes, Ascii, Periscii, &c. Instruments relating thereto; Globe, Map, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The science of the earth's surface of land and water. Of course, the surface in question is not to be regarded as a geometrical superficies. It must be understood to include so much of the soil and of the substance of rocks and bodies of water as appears at, or approximates to, the actual surface, or becomes directly accessible to human observation. //Topography// is the term usually applied to geography, when it treats of more minute or intimate features of a locality. //Physical Geography// is that part of geography which treats of the features of the earth's surface due to geological formations and elevations, and to meteorological conditions. //Political Geography// is that part of geography which treats of the earth as appropriated by man; especially of cities and highways, and of the respective territories and boundaries of empires, nations, provinces, and municipal governments." Hooper (1906), pp. 143–144.
"La géologie détermine et compare les changements qu'éprouvent, en divers lieus et en divers temps, soit les propriétés et les phénomènes que présentent les corps, soit leur disposition à la surface et dans le sein de la terre: c'est bien là le point de vue troponomique." Ampère (1834), Tome 1, p. 102.
"La géologie [...] comprend l'histoire du monde inorganique du globe terrestre, lequel comprend des solides qu'on appelle minéraux, des liquides et une atmosphère gazeuse. [...] Évidemment les objets que nous venons de passer en revue appartiennent au //concret//. Mais ce n'est pas toute la géologie: elle comprend une partie purement //abstraite//, dont l'objet est de déterminer les époques respectives de formation des couches et des massifs constituant l'écorce terrestre." (Chevreul 1866, pp. 549–551).
"Offrant la description et l'histoire de la terre ferme" (Lancelin 1803, p. 133).
"La géologie est la science des continents." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
ⓘ //Geology// is not included in Dove's disciplinary map. He explains this absence in the following passage:<br>
"In the foregoing table of the sciences, neither astronomy nor geology appears. Astronomy is not in itself a science, but a real illustration or example of the science of mechanics." Dove (1851), p. 522.
"The science of the series of rocks which compose the earth's crust, and of the fossil remains of particular species of plants and animals as characterising the successive strata." Hooper (1906), p. 143.
"La science la plus voisine de l'arithmologie, est la géométrie. Le premier caractère qui les distingue, consiste en ce qu'aux rapports de grandeur dont s'occupe la première, se joignent, dans la seconde, les rapports de position dans l'espace, des points, des lignes et des surfaces." Ampère (1834), Tome 1, p. 65.
"Le nom de //Géométrie// [...] est évidemment celui qui convient pour désigner la science du premier ordre, formée par la réunion [...] d'une part de la géométrie synthétique avec la géométrie analytique, et de l'autre de la théorie des lignes et des surfaces avec la géométrie moléculaire. [...] Je donnerai à la première le nom de Géométrie élémentaire, et à la seconde celui de Théorie des Formes." Ampère (1834), Tome 1, p. 49.
"Science des figurations de l'étendue", Bourdeau (1882), vol. I, p. 239.
"La géométrie et la mécanique doivent, au contraire, être envisagées comme de véritables sciences naturelles, fondées ainsi que toutes les autres, sur l'observation, quoique, par l'extrême simplicité de leurs phénomènes, elles comportent un degré infiniment plus parfait de systématisation, qui a pu quelquefois faire méconnaître le caractère expérimental de leurs premiers principes." Comte (1830),// Cours de philosophie positive//, Tome 1, p. 113.
"La quantité est ou de nombre, envers lequel est occupée l'Arithmétique, ou de magnitude & mesure, de quoy traite la Géométrie", Christofle de Savigny (1587), plate B.
La Géométrie étudie les propriétés des figures tracées dans l'espace, mais sans faire intervenir la durée. Pour un géomètre, une figure peut être engendrée par le déplacement d'une autre figure, mais la durée qu'a pu exiger ce déplacement n'entre pas en considération; la géométrie ne peut faire appel qu'aux notions de nombre et d'espace. Guye (1919), pp. 247–248.
"Expression analytique des rapports et des lois de l'étendue mathématique" (Lancelin 1803, p. 133).
"La géométrie est la science des propriétés de l'étendue, en tant qu'on la considère comme simplement étendue et figurée." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Mixt, Which with aide of Arithmetike principall, demonstrateth some Geometricall purpose, as EUCLIDES ELEMENTES." John Dee (1750), //The Mathematicall Preface//.
"Simple, Which dealeth with Magnitudes, onely: and demonstrateth all their properties, passions, and appertenances: whose Point, is Indivisible." John Dee (1570), //The Mathematicall Preface//.
"Geometrie, vulgar: which teacheth Measuring", John Dee (1570), //The Mathematicall Preface//.
"Lois des relations possibles de quantité", Naville (1888), p. 29.
"Geometry is about points and lines", //Posterior Analytics// 76b5.
"//Geometry// [handles] Quantity //continued//." Bacon (1623), Book III, p. 110.
"It is the characteristic of elementary Geometry to maintain the concrete reference to diagrams, which gives the subject to appearance, but only to appearance, an inductive or experimental character. [...] So long as Geometry is discussed in the concrete, or by naming lines, angles, circles, the mind must conceive them in the concrete, which would be impracticable without the help of diagrams." Bain (1870), pp. 447–448.
"Form-regarding, viz. Geometry", Bentham (1816), Appendix IV, Section VIII, p. 178.
Cf. Martianus Capella, //Satyricon//, Liber VI.
"GEOMETRY, or the doctrine of EXTENDED or Continuous QUANTITY, viz. 1°. Lines; Right, Perpendicular, Parallel, Oblique, &c. Angles; Acute, Scalenous, Vertical, Opposite, &c. 2°. Figures, or Surfaces; Triangle, Square, Parallelogram, Polygon, &c. Circumstances hereof; as Perimeter, Area, &c. Operations relating hereto; as Bissecting, Dividing, Multiplying, Measuring, &c. Instruments used therein; as Compasses, Ruler, Square, Parallelism, Scale, &c. Curves; as Circle, Cycloid, Cissoid, Catenaria, Caustic, Evolute, Quadratrix, &c. Circumstances thereof; as Axis, Diameter, Radius, Center, Circumference, Absciss, Ordinate, &c. Arch, Chord, Sine, Tangent, Secant, &c. Instruments used herein; as artificial Lines, Canons, &c. Operations arising here-from; as Surveying, taking Angles or Bearings, &c. with Quadrant, Plain-table, Semicircle, Circumferentor, &c. taking Distances, with Chain, Perambulator, &c. Plotting into Draught, Map, &c. with Protractor, &c. 3°. Solids, or bodies; as Cube, Parallelepiped, Prism, Pyramid, Cylinder, Polyhedron, &c. Their Superficies, Solidity, &c. Operations relating hereto; as Cubature, measuring of Timber, Gauging, &c. Instruments used herein; as Carpenters Rule, Sector, Sliding Rule, Gauging Rod, &c. — The Sphere; its doctrine, Projection, &c. Application thereof; in Planisphere, Analemma, &c. — The Cone; its Sections, Ellipsis, Parabola, Hyperbola, &c. with its Asymptotes, Foci, &c. Their Construction; Quadrature, Rectification, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Geometria, demostra le proprieta, e proporcione de la qua tita continue longitudine, latitudine, altitudine a cioche ca segue da queste." Collenuccio (1535), p. 11.
"The science of space, and of the figure, magnitude, and relative position of bodies, surfaces, and lines." Hooper (1906), p. 169.
Cf. Pearson (1892), chap. 10, p. 456.
"Geometry, being concerned with intelligibles, should be classified as being in the intelligible world", Plotinus (~270) 5.9.11.
"La science qui s'occupe des corps inorganiques [...] en tant que constituant le globe terrestre, peut recevoir le nom de //Géonomie//, étude immense dont la Géographie physique, la Météorologie, la Minéralogie, l'Oryctologie, la Géologie, sont les branches principales." De Candolle (1813), pp. 8–9.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Klainer, Georg (1610), //Disputatio philosophica de artibus generatim, et arte artium speciatim//, Ingolstadt: A. Angermarii.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hegel, Georg Wilhelm Friedrich (1817), //Enzyklopädie der philosophischen Wissenschaften//, Heidelberg.
* //The Logic of Hegel, Translated from the Encyclopædia of the Philosophical Sciences//, trans. William Wallace, Oxford: Clarendon Press, 1874.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 154.
* Graziano, Eugene E. (2009), "Hegel’s Philosophy as Basis for the Dewey Classification Schedule", //Libri// 9(1-4), pp. 45–52.
* Harris, Errol E. (1974), "Hegel and the Natural Sciences", in //Beyond Epistemology//, Dordrecht: Springer, pp.129–153.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 233–241.
* Machlup, Fritz éd. (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, p. 60.
* Marmasse, Gilles (2003), "La philosophie de la nature dans l’Encyclopédie de Hegel", //Archives de Philosophie// 66(2), pp. 211–236.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Cogswell, G.A. (1899), "The Classification of the Sciences", //The Philosophical Review// 8(5), pp. 494–512.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Lutskanov, Rosen (2013), "The Significant Other. The interactive shaping of the disciplinary identities of philosophy and mathematics through history", //CAS Sofia Working Paper Series// 5, pp. 1–42.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Ramsay, Sir George (1847), //A Classification of the Sciences, in six tables//, London: Longman.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 203.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Richer of Reims (~996), //Historia congressus Gallorum//, in Robert Latouche, //Richer: Histoire de France (888-995)//, Paris: Les Belles Lettres, 1937. Cf. tome 2, chap. 59: "Divisio theoricae philosophiae in species".
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bischoff, Bernhard (1958), "Eine verschollene einteilung der wissenschaften", //Archives d’histoire doctrinale et littéraire du Moyen Âge//, vol. 25, pp. 5–20.
* Gasc, Hélène (1986), "Gerbert et la pédagogie des arts libéraux à la fin du dixième siècle", //Journal of Medieval History// 12(2), pp. 111–121.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in S. Ebbesen & R. L. Friedman (eds), //Medieval Analyses in Language and Cognition//, Copenhagen: Kgl. Danske Videnskabernes Selskab, pp. 165–190.
* Lindgren, Uta (1976), //Gerbert von Aurillac und das Quadrivium//, Wiesbaden: Steiner.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Pamphilis, Giacinto de (1829), //Geno-grafia dello Scibile, considerato nella sua unità di utile e di fine//, Napoli.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 172.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Vico, Giambattista (1725), //La Scienza Nuova//, Bari: Laterza & Figli, 1928. Cf. II.2, §.3.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 127.
* Mazzotta, Giuseppe (2014), //The New Map of the World: The Poetic Philosophy of Giambattista Vico//, Princeton University Press.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bruno, Giordano (1582), "De compendiosa architectura et complento artis Lulli", in //Opera latine conscripta//, Florence: Le Monnier, vol. II.2.
* Bruno, Giordano (1586), "Animadversiones circa lampadem lullianam", in //Opera latine conscripta//, Florence: Le Monnier, vol. II.2.
* Bruno, Giordano (1587), "De lampade combinatoria Lulliana", in //Opera latine conscripta//, Florence: Le Monnier, vol. II.2.
* Bruno, Giordano (1588), "Oratio valedictoria", in //Opera latine conscripta//, Napoli: Morano, vol. I.1.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bartholmèss, Christian (1847), //Jordano Bruno//, Paris: Ladrange, vol. 2. → Especially p. 247 ff.
* Bonnet, Stéphane (2005), "La philosophie mathématique de Giordano Bruno", //Archives de Philosophie// 68(2), pp. 315–330.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Valla, Giorgio (1501), //De expetendis et fugiendis rebus opus//, Venetiis: Manutius.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Crombie, Alistair Cameron (1994), //Styles of Scientific Thinking in the European Tradition: The History of Argument and Explanation Especially in the Mathematical and Biomedical Sciences and Arts//, London: Duckworth, vol. I, p. 463.
* Gardenal, Gianna (1981), "Giorgio Valla e le scienze esatte", in V. Branca (ed.), //Giorgio Valla tra scienza e sapienza: studi di Gianna Gardenal, Patrizia Landucci Ruffo, Cesare Vasoli//, Firenze: Olschki.
* Mandosio, Jean-Marc (1997), "Les sources antiques de la classification des sciences et des arts à la Renaissance", in Danielle Jacquart (ed), //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, p. 359.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Savonarola, Girolamo (1492) //Apologeticus de ratione poeticæ artis//. French transl. B. Pinchard (1989), //La fonction de la poésie//, L’Age d’Homme, pp. 106–177.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Mandosio, Jean-Marc (1997), "Les sources antiques de la classification des sciences et des arts à la Renaissance", in Danielle Jacquart, //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Librairie Droz, pp. 331–390. → See p. 357 ff.
* Marietti, Marina & Fontes-Baratto, Anna (1997), //Savonarole : enjeux, débats, questions: actes du colloque international (Paris, 25-27 janvier 1996)//, Paris: Université de la Sorbonne.
* Marino, Eugenio (1998), //Sul trattato « Apologeticus de ratione poeticae artis » [Discorso apologetico sulla natura dell’arte poetica] di fra Girolamo Savonarola//, Centro riviste Padri domenicani.
"Toutes les vérités qui concernent les langues [...] constitue une science du premier ordre: la //Glossologie//." Ampère (1834), Tome 2, p. 60.
"La Gnomonique est l'art de tracer des cadrans au Soleil, à la Lune, et aux étoiles, mais principalement des cadrans solaires sur un plan donné." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
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
"The science of reproduction." Hooper (1906), p. 173.
<!-- Sort selection summary -->
<table class="centertable borderless" style="position:relative">
<tr><td><div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em"><$select class="clickbutton buttonfield" tiddler='$:/temp/analytics_view' field="summary"><option value='all'>All times</option><option value='today'>Today</option><option value='yesterday'>Yesterday</option><option value='lastweek'>Last week</option><option value='lastmonth'>Last month</option></$select></div></td></tr>
</table>
<!-- Google Analytics summary iframe -->
<div style="width: 400px; height: 120px; overflow: hidden; border: none; overflow-x:hidden; margin-top:-10px" class="centero">
<!-- All times -->
<$list filter="[title[$:/temp/analytics_view]summary[all]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1965447811&single=true&widget=false&headers=false" width=100%
height="500" style="position:relative;border:none; margin-top:-12em; margin-left:-1px;transform: scale(1.01)" scrolling="no">
</iframe></div>
</$list>
<!-- Today -->
<$list filter="[title[$:/temp/analytics_view]summary[today]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=132595531&single=true&widget=false&headers=false" width=100%
height="500" style="position:relative;border:none; margin-top:-11.5em; margin-left:-1px;transform: scale(1.01)" scrolling="no">
</iframe></div>
</$list>
<!-- Yesterday -->
<$list filter="[title[$:/temp/analytics_view]summary[yesterday]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=293236763&single=true&widget=false&headers=false" width=100%
height="500" style="position:relative;border:none; margin-top:-11.5em; margin-left:-1px;transform: scale(1.01)" scrolling="no">
</iframe></div>
</$list>
<!-- Last week -->
<$list filter="[title[$:/temp/analytics_view]summary[lastweek]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1228624714&single=true&widget=false&headers=false" width=100%
height="500" style="position:relative;border:none; margin-top:-11.5em; margin-left:-1px;transform: scale(1.01)" scrolling="no">
</iframe></div>
</$list>
<!-- Last month -->
<$list filter="[title[$:/temp/analytics_view]summary[lastmonth]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1095571679&single=true&widget=false&headers=false" width=100%
height="500" style="position:relative;border:none; margin-top:-11.5em; margin-left:-1px;transform: scale(1.01)" scrolling="no">
</iframe></div>
</$list>
</div>
<!-- Sort selection data -->
<table class="centertable borderless" style="position:relative">
<tr><td><div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em"><$select class="clickbutton buttonfield" tiddler='$:/temp/analytics_view'><option value='connect'>Last connections</option><option value='pgviews'>Pageviews</option></$select></div></td></tr>
</table>
<!-- Google Analytics data iframe -->
<div style="width: 99%; height: 399px; overflow: hidden; border: solid 0.5px #CBCBCB" class="centero">
<!-- All times -->
<!-- Pageviews -->
<$list filter="[title[$:/temp/analytics_view]text[pgviews]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1769963414&single=true&widget=true&headers=false" width=100%
height="586" style="border:none; margin-top:-146px; margin-left:-1px; transform: scale(1.002)" scrolling="no">
</iframe></$list>
<!-- Connections -->
<$list filter="[title[$:/temp/analytics_view]text[connect]]">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1943702795&single=true&widget=true&headers=false" width=100%
height="586" style="border:none; margin-top:-146px; margin-left:-1px; transform: scale(1.002)" scrolling="no">
</iframe></$list>
</div>
<!-- Updated widget -->
<div style="width: 400px; height: 55px; overflow: hidden; border: none; margin-top:-12px" class="centero">
<iframe src="https://docs.google.com/spreadsheets/d/e/2PACX-1vRsLayJ32Zf9TFRywvdAwTTYvdS1Qefo9IueA03KQCy9pKWd0hFCRa1fBJ_PC_FFfd9F8J_6XqCLD9n/pubhtml?gid=1050263084&single=true&widget=false&headers=false" width=100%
height="590" style="position:relative;border:none; margin-top:-11.6em; margin-left:-1px;" scrolling="no">
</iframe></div>
<!-- Link to analytics -->
<center><a class="clickbutton tc-btn-invisible" style="text-decoration: none" href="https://docs.google.com/spreadsheets/d/1VSQB1a2bVuXNd3vmTV6tkGBGu3BVeuJ9VoPbt2X-VwM/edit#gid=1458269612" target="_blank"><i class="fas fa-cog"></i> Edit reports configuration</a></center>
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Leibniz, Gottfried Wilhelm (1693), "Idea Leibnitiana bibliothecæ publicae secundum classes scientiarum ordinandae, fusior", in Joachim Friedrich Feller (ed), //Otium Hanoveranum, sive, Miscellanea//, Impensis Joann. Christiani Martini, 1718, pp. 128–136.
* Leibniz, Gottfried Wilhelm (1704), //New Essays on Human Understanding//, translated and edited by Peter Remnant and Jonathan Bennett, New York: Cambridge University Press, 1996.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 124.
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), p. 56.
* Loemker, Leroy E. (1961), "Leibniz and the Herborn Encyclopedists, //Journal of the History of Ideas// 22(3), pp. 323–338.
* Lubbock, John William (1838), //Remarks on the classification of the different branches of human knowledge//, C. Knight and co, p. 45–46.
* Picon, Marine (2015), "Doctrine des «habitus» et ordonnancement encyclopédique des disciplines chez Leibniz: la Nova Methodus Discendae Docendaeque Iurisprudentiae", //Noctua// 2(1-2), pp. 402–431.
*Totok, Wilhelm (1981), "The ordering of knowledge and the knowledge of ordering between Renaissance and Enlightenment", //International Classification// 8(1), p. 7, chap. 5.
* Schulte-Albert, Hans G. (1971), "Gottfried Wilhelm Leibniz and Library Classification", //The Journal of Library History// 6(2), pp. 133–152.
"Cette science, qui offre la //théorie générale des signes représentatifs de nos idées//, contient l'analyse philosophique de toutes les langues." (Lancelin 1803, p. 133).
"Information-affording; including Grammar", Bentham (1816), Appendix IV, Section VIII, p. 178.
Cf. Martianus Capella, //Satyricon//, Liber III.
"GRAMMAR, or the consideration of LANGUAGE; as English, Latin, Greek, Hebrew, French, &c. Their Dialect, Idiom, Patavinity, &c. Matter thereof; Letter, Vowel, Consonant, Diphthong, Aspirate, Character, Symbol, Hieroglyphic, &c. Syllable, Particle, &c. Word; kinds hereof; Noun, Pronoun, Verb, &c. Substantive, Adjective, &c. Their Construction, Concord, Regimen, &c. In Case; Nominative, Genitive, &c. Gender; Masculine, &c. Number, Person, Mood, Tense, &c. Into Sentence, Phrase, Period, &c. Distinguished by Point, Accent, Comma, &c. Delivered by Pronunciation, Writing, Orthography, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
About "the forms of Languages", Coleridge (1818), p. 44.
"La Gramatica, a primo instrumento de le dottrine, insegna parlare e, scrivere rettamente e, congruamente la lingua greca e, lá latin, con la quale li nostri magiori hanno scritto, le dottrine e, le scientia." Collenuccio (1535), p. 10.
"La methode seulle reste, & certaine voye de collocation. Le Dialecticien donques choysira par la lumiere de la methode naturelle en ceste cruche la definition de Grammaire, car cela est le generalissime, & la mettra au premier lieu; Grammaire est doctrine de bien parler: puis cherchera en ceste mesme cruche la partition de Grammaire, & les colloquera au deuziesme lieu. Les parties de Grammaire sont deux, Etymologie & Syntaxe." Ramus (1555), p. 123.
J. G. Sulzer (1758), p. 14.
"C'est l'art par le moyen du dessein, et de l'incision sur les matières dures, d'imiter les lumières et les ombres des objets visibles." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
{{||map}}{{maptips}}
{{||image1-image2-image3}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Reisch, Gregor (1503), //Margarita philosophica//, Freiburg: Joannes Schottus.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Yeo, Richard (2001), //Encyclopaedic Visions: Scientific Dictionaries and Enlightenment Culture//, Cambridge: Cambridge University Press.
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
"The more natural of the branches of mathematics, such as optics, harmonics, and astronomy [...] are in a way the converse of geometry. While geometry investigates natural lines but not //qua// natural, optics investigates mathematical lines, but //qua// natural, not //qua// mathematical", //Physics// 194a8.
"The science of musical tones and their relations in melody and harmony." Hooper (1906), p. 178.
"It’s likely that, as the eyes fasten on astronomical motions, so the ears
fasten on harmonic ones, and that the sciences of astronomy and harmonics
are closely akin." //Republic// VII 530d.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Ellingham, Harold J. T. (1948), "Divisions of natural science and technology", in //The Royal Society Scientific Information Conference. 21 June-2 July 1948. Report and Papers Submitted//, London: Burlington House, vol. 21, pp. 477–481.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Klavans, Richard & Boyack, Kevin W. (2009), "Toward a consensus map of science", //Journal of the American Society for Information Science and Technology// 60(3), pp. 455–476.
* Roth, Dana L. (2015), "Several Centuries of Centrality", //ACS Central Science// 1(3), pp. 103–105.
<table class="centertable borderless">
<tr><td><$list filter='[title[$:/Header]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/PageTemplate"> Display header </$checkbox></$list></td><td></td><td><$link to="$:/Header"><i class="fas fa-edit"></i> <b>Edit header</b></$link></td></tr>
<tr><td><$list filter='[title[$:/Footer]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/PageTemplate"> Display footer </$checkbox></$list></td><td></td><td><$link to="$:/Footer"><i class="fas fa-edit"></i> <b>Edit footer</b></$link></td></tr>
</table>
<!-- Warning if footer is disabled -->
<$list filter='[title[$:/Footer]!tag[$:/tags/PageTemplate]]'><div class="center" style="color:#920906">⚠ The 'Settings' button located on the footer is now hidden!</div></$list>
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Paxmann, Heinrich (1556), //Themata ad disputandum proposita de philosophia, subiecto et fine//, Wittenberg: Crato.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Heßbrüggen-Walter, Stefan (2015), "Early Modern Subjects and the Self-Conception of Philosophy in Germany 1556-1599", //Societate si Politica// 9(1).
"Which demonstrateth the designing of all Spirall lines: in Plaine, on Cylinder, Cone, Sphære, Conoïd, and Sphæroid: and their properties." John Dee (1570), //The Mathematicall Preface//.
"L'//Héliostatique// [...] a pour objet d'expliquer toutes les apparences célestes, en montrant comment elles résultent des mouvements réels de la terre sur son axe, de la terre et des planètes autour du soleil, et en supposant ce dernier immobile au centre du système planétaire" Ampère (1834), Tome 1, p. 58.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bliss, Henry Evelyn (1929), //The organization of knowledge and the system of the sciences//, New York: Holt.
* Bliss, Henry Evelyn (1935), "The System of the Sciences and the Organization of Knowledge", //Philosophy of Science// 2(1), pp. 86–103.
* Bliss, Henry Evelyn (1940), //A Bibliographic Classification: Extended by Systematic Auxiliary Schedules for Composite Specification and Notation//, New York: Wilson.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Thomas, Alan R. (1998), "Bibliographical classification: The ideas and achievements of Henry E. Bliss", //Cataloging & classification Quarterly// 25(1), pp. 51–102.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Henry of Langenstein (1397), //Expositio progli Bibliae//, Vienna Nat. Bibl. 3900.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Steneck, Nicholas H. (1975), "A Late Medieval Arbor Scientiarum", //Speculum// 50(2), pp. 245–269.
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
"HERALDRY, or the consideration of COATS; consisting of Field, Charge, Figure, &c. as Cross, Chevron, Bend, Pale, &c. with Abatement, Difference, Quartering, &c. Composed of Colour, Metals, Points, &c. Born on Escutcheon, Shield, &c. Accompanied with Supporters, Helmet, Crest, Mantling, Motto, &c. Devise, Emblem, Rebus, Aenigma, &c. And described by Blazon." Ephraim Chambers, //Cyclopedia// (1728), Preface.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Spencer, Herbert (1854), "The Genesis of Science and the Factors of Organic Evolution", //The British Quarterly Review// 20, pp. 108–162.
* Spencer, Herbert (1862), //First Principles of a New System of Philosophy//, New York: D. Appleton.
* Spencer, Herbert (1864), //The Classification of the Sciences//, London: Williams & Norgate.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Haines, Valerie A. (1992), "Spencer’s Philosophy of Science", //The British Journal of Sociology// 43(2), pp. 155–172.
* Kent, Beverley (1987), //Charles S. Peirce: Logic and the Classification of the Sciences//, McGill-Queen’s University Press.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, p. 70.
* Offer, John (2000), //Herbert Spencer: Critical Assessments//, Taylor & Francis. Cf. especially p. 234.
* Tort, Patrick (1987), "Spencer et le système des sciences", in //Herbert Spencer, Autobiographie: naissance de l’évolutionnisme libéral//, Paris: Presses Universitaires de France.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Kahrel, Hermann Friedrich (1757), //Encyclopaediae logicae prolegomena de Philosophia//, Frankfurt.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
//Hexilogia// is about //habitus intellectuales//. As such, it is a philosophy of knowledge. Cf. Saviani (2009), p. 221.
"Toutes les vérités qui concernent les religions [seront réunies] en une science du premier ordre: l'//Hiérologie//." Ampère (1834), Tome 2, p. 106.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Stanley, H.M. (1884), "On the Classification of the Sciences", //Mind// 9(34), pp. 265–274.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 259.
"L'histoire est une science ethnologique ; c'est celle des différents peuples considérés comme des réunions d'hommes qui se forment, s'accroissent, sont susceptbles de passer par divers états de civilisation, de vieillir et de mourir." Ampère (1834), Tome 2, p. 113.
"On pourrait se contenter du vieux mot d'Histoire, sauf à lui assigner une acception plus précise, celle de « science des développements de la raison ». Ce n'est point parce que l'homme vit en société qu'il raisonne et progresse ; c'est parce qu'il raisonne que les sociétés se développent.", Bourdeau (1882), vol. II, p. 539.
ⓘ //History// is not included in Charma's disciplinary map. He explains this absence in the following passage:<br>
"L'histoire de l’homme n'est donc qu'un élément de la science de l’homme, comme l'histoire de la terre n’est qu‘un élément de la science de la terre. Toute science en est la; toute science comprend une phase historique par laquelle elle s'ouvre: une histoire naturelle n'est pas moins nécessaire à la science de la nature, qu'une histoire des nations à la science de l'humanité. Il y a donc de l‘histoire partout dans notre monde scientifique; c’est pour cela précisément que nous n’en avons mis nulle part." Charma (1859), p. 24.
"La collection des faits que présente l'observation des sociétés porte le nom d'//histoire//." Condorcet (1793), p. 769.
ⓘ History is absent from Destutt de Tracy's disciplinary map. He explains this absence in the following passage:<br>
"Dans aucun cas il ne doit y avoir de classe d'histoire: car, encore une fois, l'histoire n'est point une science, mais une manière de considérer une science ou des faits relatifs à une ou plusieurs sciences." Destutt de Tracy (1897, p. 152).
"Sciences du réel ou science des être", Naville (1888), p. 28.
"Science des possibilités réalisées ou Science des faits", Naville (1901), p. 181.
"L'histoire est le récit des faits donnés pour vrai. Les faits sont ou de Dieu, ou de l'Homme, ou de la Nature. Les faits de Dieu appartiennent à l'histoire sacré. Les faits de l'Homme, à l'Histoire Civile; et les faits de la Nature, à l'Histoire naturelle." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts".
"L'Histoire Civile proprement dite peut se sous-diviser en mémoires, antiquités, et en histoire complète. Les mémoires sont des Histoires écrites par les personnes qui ont eu part aux affaires, ou qui ont été témoins occulaires. On donne aussi le nom de mémoires aux actes d'une Société Littéraire. Les antiquités sont les ouvrages qui nous restent des anciens, s'il est vrai que l'Histoire soit la peinture des Temps passés." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"L'histoire naturelle est donnée par la différence des faits de la nature; ou la nature est uniforme et suit un cours réglé, tel qu'on le remarque généralement dans les corps célestes, les animaux, les végétaux, etc, ou elle semble forcée et dérangée de son cours ordinaire comme dans les monstres. " Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Histoire des sociétés humaines, de leurs destinées et de tous leurs genres d'activités", Naville (1888), p. 28.
"Ces deux sciences formant un élément commun à toutes les sciences, offrent la //série des faits naturels//" (Lancelin 1803, p. 133).
"Histoire proprement dite", Naville (1901), p. 181.
"Les sciences naturelles, qui comprennent l'histoire de tous les objets existans dans l'état où la nature nous les présente, sont tellement vastes, qu'il a été nécessaire de les diviser, et cette division a été fondée sur les différences générales que les corps de la nature ont entr'eux." De Candolle (1813), p. 3.
"L'étude des phénomènes vitaux doit être exactement assujettie, comme celle de tous les autres phénomènes naturels, à la division scientifique moins tranchée, mais presque aussi indispensable, de l'ensemble de nos recherches spéculatives en abstraites et concrètes; les unes seules vraiment fondamentales, les autres purement secondaires, quelle que soit leur extrême importance. L'étude concrète de chaque organisme comprend deux branche principales: 1) son histoire naturelle proprement dite, c'est-à-dire, le tableau rationnel et direct de l'ensemble de son existence réelle; 2) sa pathologie, c'est à dire l'examen systématique des diverses altérations dont il est susceptible. [...] Quoique les observations d'histoire naturelle puissent fournir à l'anatomie et à la physiologie de très précieuses indications, la vraie biologie n'en doit pas moins, tout en se servant d'un tel moyen, décomposer toujours l'étude, soit statique, soit dynamique de chaque organisme dans celles de ses diverses parties constituantes, sur lesquelles seules peuvent immédiatement porter les loisbiologiques fondamentales; tandis qu'une telle décomposition est, au contraire, directement opposée au véritable esprit de l'histoire naturelle, où l'être vivant est constamment envisagé dans l'ensemble indivisible de toutes ses différentes conditions d'existence." Comte (1838), //Cours de philosophie positive//, Tome 3, pp. 470-471.
"Celui d'//histoire naturelle// pour celle qui a pour objet la description des corps terrestres, leur distribution sur le globe, leur formation, les changements qu'ils éprouvent, l'ensemble et la succession des faits qu'ils présentent à l'observateur." Condorcet (1793), p. 769.
"L'histoire totale de l'univers que nous connaissons, l'homme excepté", Naville (1901), p. 140.
"Les corps naturels devant leur origine soit aux seuls phénomènes physiques et chimiques, soit aux phénomènes physiologiques, et ceux de cette dernière catégorie étant munis d’organes, tandis que les autres en sont dépourvus, on divise l’histoire naturelle en inorganique et organique." Omalius d'Halloy (1834), p. 4.
"L’histoire naturelle inorganique ayant pour but de connaître les grandes masses qui composent l’univers, l’enveloppe gazeuse qui entoure celle de ces masses sur laquelle nous vivons, l’ensemble de cette même masse et les propriétés particulières des matériaux de celle-ci, on peut la subdiviser en quatre branches de quatrième rangs, qui se rapportent aux sciences nommées //astronomie//, //météorologie//, //géologie// et //minéralogie//." Omalius d'Halloy (1834), p. 5.
"Enfin les êtres vivants formant deux grands règnes, selon qu'ils sont ou ne sont pas doués de la sensibilité, l'histoire naturelle organique se divise en deux branches, que l’on nomme //zoologie//
et //botanique//." Omalius d'Halloy (1834), p. 5.
"L'histoire sacrée se distribue en Histoire Sacrée Eclesiastique, et en Histoire des Prophéties, ou le réct a précédé l'événement. " Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Science des éléments composés de structure", Bourdeau (1882), vol. II, p. 337.
"The science of tissues." Hooper (1906), p. 172.
J. G. Sulzer (1758), p. 24.
"History is either //Natural// or //Civil//: in //Natural// the operations of Nature are recorded; in //Civil// the Actions of men. In both these without question, the Divine Workings are translucent; but more conspicuous in //Acts Civil//; in so much as they constitute a peculiar kind of History, which we usually stile //Sacred// or //Ecclesiastical//. And in truth ought to be reserved a //Particular History// for them apart from the rest; which yet we understand to be comprehended, as //Ecclesiastick History// also is, under //History Civil//." Bacon (1623), Book II, p. 51.
"The object of History is the recital of facts—of events that have actually occurred." Bain (1870), p. 707.
"From a Greek word, which signifies //established//." Jeremy Bentham (1816), //Chrestomathia//, p. 194.
Source: Campanella, Thomas (1623), //Philosophia realis//.
"La Historica, forma la memoria, amaestra la vita, da lume é, pratica a le attione suministra essempli e, materia, a le precedente faculta, intanto che tutte queste cinque, insieme uengano a dare preparatione e, dispositione a la parte rationale de lo intelletto e de la lingua e perbo per le prime, più facile sotto philosophia rationale sonno a la pueritia deputate." Collenuccio (1535), p. 11.
ⓘ //History// is not included in Dove's disciplinary map. He explains this absence in the following passage:<br>
"History, again, is a branch of knowledge //common// to every reality with which we are acquainted." Dove (1851), p. 201.
"The register of knowledge of fact is called history, whereof there betwo sorts: one called natural history; which is the history of such facts,or effects of Nature, as have no dependence on man’s will; such as arethe histories of metals, plants, animals, regions, and the like. The otheris civil history, which is the history of the voluntary actions of men inCommonwealths", //Leviathan// (1651), chap. 9, p. 51.
"Records the characters and actions of nations and notable individuals. Of course, so-called history contains an immense number of ostensible records which are anything but scientific; yet genuine history is scientific, frstly, as involving accurate observation and description on the part of original chroniclers, and, secondly, as involving an exhaustive comparison of documents and unbiassed deduction of conclusions on the part of scholars who seek to reconstruct any past historical period from the imperfect and often contradictory material which have been handed down." Hooper (1906), p. 146.
"Pure reason contains [...] principles of the //possibility of experience//, namely of those actions in conformity with moral precepts which could be encountered in the //history// of humankind." Kant (1781), A807/B835, p. 678.
ⓘ //History// is not included in Shield's disciplinary system. However, he explains this absence as follows:<br>
"The words physics, history, and philosophy, for example, have lost much of their original meaning, and become current in the most varied senses." Shields (1882), p. 9.
"//History Ecclesiastical// falls under the same division commonly with //Civil History//; for there are //Ecclesiastical Chronicles//; there are //Lives// of //Fathers//; there are //Relations of Synods//, and the like, pertaining to the //Church//. In proper expression this kind of History is divided, //into the History of the Church by a general name, History of Prophesie//; and //History of Providence//." Bacon (1623), Book II, p. 65.
"A recital from all Times, what Knowledges and Arts, in what Ages and Climates of the world have flourisht." Bacon (1623), Book II, p. 56).
"The last we use to call //History Mechanical//, or //Experimental//. [...] And we are the rather induced to assign the //History of Arts//, as a branch of //Natural History//, because an opinion hath long time gone currant, as if //Art// were some different thing from //Nature//, and //Artificial// from //Natural//." Bacon (1623), Book II, p. 51.
"For History of Nature, wrought and subdued by the hand, which we are wont to call //Mechanical//, I find indeed some collections made of Agriculture, and likewise of many //Manual Arts//; but commonly (which in this kind of knowledge is a great detriment) with a neglect and rejection of //Experiments familiar and vulgar//; which yet, to the// interpretation of Nature//, do as much, if not more, conduce, than Experiments of a higher quality. But it is esteemed a kind of dishonour and aspersion unto Learning, if learned men should, upon occasion perchance, descend to the Inquiry or Observation of //Matters Mechanical//, except they be reputed for //Secrets of Art, or Rarities, or Subtilties//." Bacon (1623), Book II, p. 53.
"The //History of Generations// hath five subordinate Parts; The first is of //Celestial Bodies//, which comprehends the //Phænomena// sincere, and not dogmatized into any peremptory assertions. The second of //Meteores// with the //Comets//, and of the //Regions//, as they call them, of the //Air//; neither is there extant any History concerning //Comets//, //Fiery Meteors//, //Winds//, //Rain//, //Tempests//, and the rest, of any value. The third is of the //Earth// and of the //Water// (as they are integral parts of the World) of //Mountains//, of //Rivers//, of //Tydes//, of //Sands//, of //Woods//, as also of the //Figure// of the continents, as they are stretcht forth: in all these particulars the Inquiries and Observations are //rather Natural, than Cosmographical//: Fourthly, touching the //general Masses of Matter//, which we stile the //Greater Collegiats//, commonly called the //Elements//: Neither are there found any narrations touching //Fire//, //Air//, //Water//, and of their Natures, Motions, Workings, Impressions, which make up any complete body. The fifth and the last Part is of //the Perfect and exact Collections//, which we entitle the //lesser Collegiats//, commonly called //Kinds// or //Specificks//. In this last part only the industry of writers hath appeared, yet so as was prodigally wasted in superfluous matter, swelling with the outward descriptions of living Creatures, or of Plants and such like; than enricht with solid and diligent observations." Bacon (1623), Book II, p. 55.
"You shall find no sufficient and competent collection of those works of //Nature which have a Digression and Deflection from the ordinary course of Generations, Productions and Motions//; whether they be the singularities of certain Countries and Places, or the strange events of times; or the wit of chance, or the effects of latent proprieties; or //Monodicals of Nature// in their kind. It is true, there are a number of Books more than enough, full fraught with fabulous Experiments, forged Secrets, and frivolous Impostures, for pleasure and strangeness; but a substantial and severe //Collection of Heteroclites//, and of the //wonders of Nature//, diligently examined and faithfully described, this, I say, I find not, especially with due rejection, and, as it were, publick proscription of untruths and fables, which have got up into credit." Bacon (1623), Book II, p. 52.
<!-- Sort selection -->
<table class="centertable borderless" style="position:relative">
<tr><td><div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em"><$select class="clickbutton buttonfield" tiddler='$:/temp/uploaded_sortby'><option value='uploaded'>Sort by upload date</option><option value='modified'>Sort by modification date</option><option value='created'>Sort by creation date</option></$select></div></td></tr>
</table>
<!-- List -->
<table class="centertable" style="margin-top:25px">
<tr><th>Map</th><th>Created</th><th>Uploaded</th><th>Modified</th></tr>
<$list filter="[has[uploaded]!sortddmmyyyy{$:/temp/uploaded_sortby}]">
<tr><td><$link to={{!!title}}>{{!!title}}</$link></td><td><$view field="created" format="date" template="0DD.0MM.YYYY"/></td><td>{{!!uploaded}}</td><td><$view field="modified" format="date" template="0DD.0MM.YYYY"/></td></tr>
</$list></table>
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
<!-- Homepage toolbar -->
<$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Compare two maps side by side"><$action-navigate $to="Maps comparator"/><i class="fa fa-columns"></i></$button> <$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Timeline of the disciplines"><$action-navigate $to="Timeline of the disciplines"/><i class="fas fa-chart-bar"></i></$button> <$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Browse the images of the database"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/images_sortby" $field="text" $value="last_name"/><$action-navigate $to="Browse iconographic database"/><i class="fas fa-camera"></i></$button> <$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Advanced filters"><$action-setfield $tiddler="$:/temp/advancedfilters" $field="disc_name_1" $value=""/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="disc_name_2" $value=""/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="filter_country" $value=""/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="interval_start" $value="-500"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="interval_end" $value=<<now YYYY>>/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="logical_operator" $value="and"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="limit_disc_nb" $value="1"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="minmax_disc" $value="more_than"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="exact_match1" $value="(\n|^)"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="exact_match2" $value="(\n|^)"/><$action-setfield $tiddler="$:/temp/advancedfilters" $field="sortby" $value="last_name"/><$action-navigate $to="Advanced filters"/><i class="fas fa-filter" style="transform: scale(0.88)"></i></$button> <$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Search for definitions: by disciplines and scholars"><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchdiscipline" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchdiscipline" $field="include_kindred_disciplines" $value="no"/><$action-setfield $tiddler="$:/temp/search_sortby" $field="text" $value="map_id"/><$action-navigate $to="Search for definitions of disciplines"/><i class="fa fa-binoculars" style="padding-left:0.02em"></i></$button>
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Torombert, Honoré (1821), //Exposition des principes, et classification des sciences dans l’ordre des études ou de la synthèse//, Paris: A. Costes.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Charma, Antoine (1859), //Une nouvelle classification des sciences//, Paris: L. Hachette.
* Clerc, François (1819), //Compte rendu des travaux de l'Académie Royale des sciences, Belles-Lettres et arts de Lyon//, Lyon: Mistral, p. 14.
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
"Which demonstrateth, how, at all times appointed, the precise, usuall denomination of time, may be knowen, for any place assigned." John Dee (1570), //The Mathematicall Preface//.
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
iVBORw0KGgoAAAANSUhEUgAAA/oAAAMnCAIAAAABJL4HAAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzdeVyN6f8/8Ovs1alOq0qpJC2EQkS0kTX7UozIYMryGQxjN8Y2jM9gBjPWsY51MGYIDUWyNCLJTopoU4r25Sy/P67v3L/zOadOp9DR8Xr+8Xmc+7qv+7rf9zn5zPu+7uu+LpZMJiMAAAAAAKCN2JoOAAAAAAAAPhSk+wAAAAAAWgvpPgAAAACA1kK6DwAAAACgtZDuAwAAAABoLaT7AAAAAABaC+k+AAAAAIDWQroPAAAAAKC1kO4DAAAAAGgtpPsAAAAAAFoL6T4AAAAAgNZCug8AAAAAoLWQ7gMAAAAAaC2k+wAAAAAAWgvpPgAAAACA1kK6DwAAAACgtZDuAwAAAABoLaT7AAAAAABaC+k+AAAAAIDWQroPAPBhSaXSw4cPjxgxws7OTldX19jY2M3NLTQ09NSpUxKJRNPRAQCAlmPJZDJNxwAAoLWeP38+ZMiQW7duEUKsrKysra1LS0tTUlIqKyvt7OyePn3K4XA0HSMAAGgzrqYDAADQWnl5ed26dXv58qWPj8/69evbt29PyysqKmJiYqRSKXJ9AAD40NC7DwDwoYwdO3bfvn0BAQFnzpzh8/maDgcAAD5FGLsPAPBBZGZmHjx4kM1mb9++vdZc/+HDhywW64cffigpKYmIiDA1NeVyuSkpKYSQioqK3377rWfPniYmJjwez8rKKiQkJC0tTf5wS0vLnj17FhcXz5gxo2nTpjo6Oq1atdqwYYNMJktJSQkODjYzMxMIBG3btt2/f7/8gSEhITo6OmKxeNOmTS4uLgKBwMbGZvr06cXFxYWFhbNmzbKzs+Pz+XZ2dosWLRKLxfLHXrhwISQkxNramsfjGRkZ9ejRIyYmRqFxfX19Qsi5c+c8PDy4XO64cePe5SsFAIB6wGAeAIAP4ty5c2KxOCAgwMHBQc1DMjMzR4wYER0d7e7uTgf3E0KSkpJCQ0MNDQ29vb0NDQ0TEhIOHz589erVhw8f6unpMcfev39/yJAhUql09uzZubm5P//88/Tp01+8eLFz505PT8+FCxdmZWX9/PPPY8aMMTAwGDhwIHNgRUXFhAkT4uPjQ0ND2Wz27t27N2zYkJ6enpmZWVJSEh4eLpVKf/3115UrV5aWlq5bt44eVVpaOmLEiMLCQi8vL19f36dPn8bExMTFxcXHxzNjlgghJSUlkZGRQ4YMsbKy6tSpk4eHx3v4ZgEAoE5kAADwAcyYMYMQMn/+fHUqP3jwgBBibGzcunXr9PR0hb3Hjx8vKSmhnysqKjp16kQIOXDgAFPBwsKCEDJ48GCm5NixY/T/5MeOHcsU7tmzhxDSp08fpiQ4OJgQYmtr+/btW1qSmZkpEAgIIS4uLsxJ6SvF+vr6VVVVzLExMTGZmZkK1/vFF18oNG5sbLxu3Tp1vgQAAPgQMJgHAOCDyMvLI4TQRJwxZ84cPzmRkZHyewsKCjZt2tSsWTOFpoYMGcJ05PP5/JEjRxJCHj16pFDtyy+/ZD737duXfpg+fTpT2K9fP0LI3bt3FQ4MCwszNDSkn62srNzd3QkhkyZNYk7q4ODg4uJSXFz8/Plz5ih/f38rKytmc8yYMdVG1bZt25kzZxIAANAQDOYBAPggZDIZIYTFYskXJicnx8bGMps0RWaYmZn5+fmpaLCkpEQsFhsYGBBCysvLFSq4ubkxn3V1dYVCYUlJSYsWLeTbJ4QUFxerOJCpJn+gimMJIaWlpZWVlbq6utVGNWLEiJquCAAAGgB69wEAPggTExNCyKtXr+QLz549Sx+tyne6M5o3b65cKJFINm7c2KFDB4FAYGBgYGxsHB4eXu0ZRSKRQgmbzVYulClNyKZch4lfxbFnzpwJDAw0MDAQCoV0GFK1UVV7UQAA0GDQuw8A8EG0adOGEEIX2FJTtRP4TJ48efv27c7Ozt9//72rq6uOjk5kZOQPP/ygXJPNVuzBUXi2UBPlA2s9dv/+/WPGjDEyMpoxY4anp6ehoWFGRobCwwoKM5ACAGgW0n0AgA/C39+fEHL+/Pns7GxLS8v6NZKZmbljxw5DQ8NLly41adKEFiYlJb23KOtr+fLlhJBDhw717t2blnwMUQEAgDIM5gEA+CAcHR179uxZWVk5depUqVRav0aePXsmk8lcXFyYXJ98HIl1amoqIcTHx4cp+RiiAgAAZUj3AQA+lA0bNujo6Bw/fnzAgAEK8+FIJBJ1WqBZfkpKSlFRES25dOmSwlJZGkEDY4YqZWZmLlu2TKMRAQBA9ZDuAwB8KK6urpGRkcbGxqdPn27Tpo21tXWHDh06d+5sb2+/adMmdVpwdHTs1atXfn6+h4fHhAkTevXq5e/vP2XKlA8dea2mTp1KCOnXr9/YsWOHDRvm6urq7e1d7du9AACgWUj3AQA+oICAgMePHy9atMjDw6OkpCQpKenevXs8Hm/AgAFr1qwJCgqqtYX9+/ePGzeuqKjo4MGDr1+/Pnz48Lp164RCYQMEr8LXX3+9bNkyY2PjI0eOJCUlff3117t376brfwEAwEeFpTwjGwAAAAAAaAf07gMAAAAAaC2k+wAAAAAAWgvpPgAAAACA1kK6DwAAAACgtZDuAwAAAABoLaT7AAAAAABaC+k+AAAAAIDWQroPAAAAAKC1kO4DAAAAAGgtrqYDAADQBmfOnHn69Km/v7+mA2lkbGxsRCKRpqMAANBmLJlMpukYAAAaN1NTUzabLRAIjIyMNB1LI7N69eqgoCBNRwEAoM2Q7gMAvBNzc/MZM2YsXLhQ04EAAABUA+k+AED9tWrVavTo0YsWLdJ0IAAAANVDug8AUB8FBQVTpkwxMDDYtm2bpmMBAACoEdJ9AID6GDJkiEgk2r17t6YDAQAAUAUz8wAA1NmdO3eePn2anJys6UAAAABqgXn3AQDqLCIi4quvvtJ0FAAAALXDYB4AgLq5evVq37593759q+lAAAAAaofefQCAujl9+vScOXM0HQUAAIBa0LsPAFA3ZmZmjx49MjU11XQgAAAAtUPvPgBAHWzdurVNmzbq5PrFxcUsFsvMzOw9nt3Ly6tly5ZFRUXvsc0P4cSJEywWa+LEiZoOBAAAkO4DANTFpk2bBgwYoJFTV1ZWJiYmpqSkvHr1SiMBAABAY4SJOAEA6uDevXszZ87UyKn5fH5kZGRRUVGLFi00EgAAADRGSPcBANR1/PjxIUOGsFgsTQUQGBioqVPXiQa/IgAAUIDBPAAA6jp27NiwYcPqffj58+dZLFZISIhCucIQ/y1btrBYrBs3bqSkpPTt21dPT8/Ozo7usrS0ZLFYYrGYqXz8+HFfX19zc3N9ff3WrVtHRETcvn1bvp2///577dq1bdq00dPTMzU1HT169PPnz+XPbmlpGRQURAhZv369vb09m83etWuX+tESQoqLi7/++utmzZoJBAJHR8d58+ZJJBLlyy8qKpo3b17z5s0FAoGdnd1XX31VUFDA7H348CGLxVq2bNmdO3cGDRpkbGyso6PTrl27PXv2KDe1a9cub29vAwMDetUzZsxgdslksq1bt7q7u+vq6pqZmQUHBz948EC5BQCATwd69wEA1HXkyJFff/21Yc6VnJy8ZMmSkpISd3f3mkbv7Nu3b+zYsSKRyN/fXyaT3bt3b+vWrb6+vu3atWPqfPbZZ2KxeMKECba2tklJSXv27ImNjb1586alpSVTJzMz8/vvv583b56bm1uHDh2cnJzUj1MikfTr1y8uLq5p06ZDhgzJz89ft26dSCRSqFZaWurn55eYmNi2bduOHTsmJyevX78+KioqPj7ewMCAqXb8+PG1a9f27t17yZIlmZmZW7ZsCQsLY7PZoaGhTJ1Jkybt2LGDx+N5eHjo6OjcuXOHucMhhEyePHnr1q3NmjXr379/RkbGkSNHzpw5c+nSJXd3d/UvCgBAq8gAAEANv/76q7Ozs/r16fw5pqamTMm5c+cIIcHBwQo1Fapt3ryZEGJsbBwSElJaWipf08LCghBSVVVFN9u3b08Iefr0KVMhMTGxoqJCvh0Oh5OYmMhUWLNmDSEkPDxcvk3a8R8bGyt/LjWjpfc/HTt2LC4upiXXrl3j8/mEkAkTJjDVFixYQAj59ttv6aZYLKbPDRYsWEBLmD745cuXM0edOnWKENKhQwem5OTJk4QQBweH1NRUWiKRSLKysuRj7tOnT1lZGS35+eefCSFdu3aVAQB8qjCYBwBALY8fPx4/fnyDnU4qlW7evFlXV1dFHTabTQjh8XhMiYeHB021GT4+Ph4eHszmlClTBALB4cOHZXKLrpSWlk6bNs3Hx6cece7fv58QsmTJEqFQSEu8vLyGDx8uX0cmk23fvt3ExGTRokW0hMPhzJ49mxBy9OhR+Zo8Hm/69OnMZt++fblcbnJyMlOyZcsWQsiqVauaN29OS9hsNvOkgtmro6NDSyIiIkQi0dWrVzMzM+txdQAAWgCDeQAA1BIVFUUHtTeMwMBAIyMj1XWGDh1648aNnj17/vjjj3379q22jpubm/ymUCh0cnK6c+fO06dPHR0dmfIRI0bUL87ExERCiMKtgqen54EDB5jNR48e5ebmWlhYTJ06lSksKysjhKSmpsof2KJFC/mxPWw228TE5NWrVxUVFQKBgBASHx9PCOnVq1e1wVy+fJkQ8vPPP3M4HKaQvjecmpratGnT+l0jAECjhnQfAKB2r169yszMbMjx30zvtQpz584tLi5es2ZNv379XF1d58yZM3bsWNrlz5DPnim6RlheXp58uq/O6ZRVVVW9efNGT0/P0NBQvtzc3Fx+MyMjgxCSk5OzdetWhRbEYrFYLOZy/+8/RsbGxgoVaLJOn0VIJJLXr1/r6upWeyMkkUhycnIIITt27FDeW15eXpcrAwDQHhjMAwBQu6ioqN69e3+IlmtKQxXG5FSLzWavXLkyJSVlxowZ6enp48eP9/X1LS4ulq8jP41PTSVqnq6maJWn3WTG0lA0We/du3e1g0qZXJ/8OzypJrSdmmb5lEqlhBCBQFDtWXr27KnOBQIAaB+k+wAAtdu3b5/qYfTqoHkqzUoZ775Erp2d3fr161NSUnr27Hn58uWVK1eqbp/2tSt0wNcvWh6PJxKJSkpKSkpK5Mtzc3PlN62srAghz549U+NqVOFyucbGxqWlpYWFhcp7eTyemZlZRUVFdnb2O54IAECbIN0HAKhdWVlZly5d3rEROq5G4Z3RhISEd2yWsrS03LhxIyHk2rVr8uUKm+np6WlpacbGxg4ODu8lWjo7kMJZYmNj5TddXV1NTU0fPXr0+PFjda5FBS8vL0JIdHR0tXu7d+9OCKGz9wAAAIV0HwCgdunp6QEBAe/YiKurK4/Hi4+PZ+aJz8/P//bbb+vXWmVlZUxMjPwEO7du3SKENGnSRL7ao0ePtm3bRj9LpdL58+cTQkJCQmpd+FbNaEePHk0I+fbbb+mrt4SQq1ev/vHHH/J12Gz2lClTCCETJkx4/fq1fGxXrlxR73L/zxdffEEImTt3bnp6Oi2RyWR3796ln6dNm0YIWbx4sfxkPnl5eX/99VedzgIAoE2Q7gMA1OL58+csFsvW1vYd2zEwMAgLC5NIJF27dh0wYMDQoUMdHR0tLS1tbGzq0VplZWWPHj2aNm06aNCgcePG+fv7jxkzhs/nyy8xSwiJiIiYPXu2l5fX6NGj3dzcDhw4YG1t/c0337yvaMPCwrp27XrlyhUnJ6dRo0b17ds3ICBg4cKFCq0tXLjQz8/v8uXLtra2Pj4+AQEBjo6OLi4uFy5cqNNVDx48OCws7MmTJy1btvT29u7ataulpSUz72dAQMC8efNycnI8PDw6duwYGBjYrl07KyurDRs21OksAADaBOk+AEAtLl26VI856Wm/u/x7qISQjRs3zp0719zcPCoqKiEhYcKECSdOnGjdunU9otLV1f3+++8tLS2jo6MPHTqUlpY2cODAS5cude3aVb6alZVVXFycgYHByZMns7OzQ0JCrl69Kr+krgrqRMvlcs+ePTtz5kypVHrixIlXr14dOXLk66+/Vnh6IBAIoqKi1q1b5+zsfOPGDdqp/8UXX4wcObKuF75z585t27a1a9cuKSnpxo0bRkZG4eHhzN5Vq1adOHHCz88vJSXl4sWLubm5AwYMmDdvXl3PAgCgNVjyD4IBAEDZpEmTOnfuPHHixDodlZyc3K5dOw8PDzozfcPbsmXL5MmTFy5cuGLFCo0EAAAAHwP07gMA1CI2NtbX11fNyunp6VVVVSkpKXS0elBQ0IcMDQAAoBZYZgsAQJXbt2+npaW1bNlSzfqtWrViZqVs06bN7NmzP1hoAAAAtUO6DwCgyqNHj/r166d+/dDQ0JSUFAMDAx8fn0mTJgmFwg8XGwAAQK2Q7gMAqHLz5k1vb2/162/evPnDBQMAAFBXeFUXAEAVf3//JUuW+Pn5aToQAACA+kC6DwCgilAozM3N1dPT03QgAAAA9YGZeQAAanTv3r3mzZsj1wcAgMYL6T4AQI3++eefzp07azoKAACA+kO6DwBQoz/++KNNmzaajgIAAKD+MHYfAKBGLBYrLS3N3t5eRZ0nT54UFBT8888/EokkMDCwoUKDd2VjYyMSiTQdBQDAB4d0HwCgeklJSePHj79165aKOqNHj87NzY2JiWnZsmVxcbGRkVGDhQfvaPXq1VjzGAA+BZh3HwCgerdu3fLw8Khpb3l5ebNmzQIDA4ODg8+dO9eQgQEAAKgP6T4AQPWSkpLc3d1r2puYmOjk5HTgwIGGDAkAAKCu8KouAED1VPTuR0ZG9urV68qVKw0cEgAAQF1h7D4AQPUMDQ0zMjIMDAwUyouKikxMTKqqqjQSFQAAQJ2gdx8AoBqpqanm5ubKuT4hxMLC4saNGw0fEgAAQD0g3QcAqEZNI3lCQ0NXrFjRrl27hg8JAACgHvCqLgBANY4dO5aTk6NQuG7dutTU1H379mkkJAAAgHpA7z5oj6NHj7JYLDMzM00HopaJEyeyWKwxY8ZoOhConrm5uZ+fn3zJjz/+uGvXrj///FNDEQEAANQH0n1QdOrUKdb/0tXVtba29vf3//7779+8eaPpAAEawosXL9q3by9f8vXXX9+6daux3E8CAABQSPehRpx/lZeXZ2ZmXrx4cd68eW3atElJSdF0aI1JSkoKi8U6evSopgOBurlz506bNm2YzYkTJ/7nP//hctUdAOnl5cVSqby8nBBiaWnJYrHEYvEHuQYlDXw6AAD4GGDsPtSIyQnEYvGzZ8+OHj26aNGily9fTp8+PTIyUrOxNSIHDx7UdAhQZxUVFS9evHB0dKSbRUVFO3fulEql6rfQrl075t4gNzf38ePHFhYWTIOEEDYbvS0AANAQkO5D7bhcrqOj47x58woKCtasWRMdHa3piBqN0tJSpPuNkULX/k8//bRw4cI6tbB161bm82+//RYaGhoUFLRjx473FiIAAIB60L0EdUDnJayoqJBfYKiiomLv3r19+/Zt0qQJj8czNjbu3r37rl27lA//888/+/bta29vLxAIaLX169e/evVKvk5JScmyZctatWqlq6trYmLSv3//8+fPKzcllUp/+eUXDw8PPT29Jk2aDB48OD4+XiAQqH8t5eXla9eu9fT0NDQ0FAqFHh4ea9asKSsrU6hGX6g9depUSUnJggULWrRoIRAImjRpMmTIkFu3bqk+xaZNm5o3b/7gwQNCyIgRI+gQjp49e8rX4XA46rd8+fLlQYMGmZmZ6ejouLi4LFy4UJ1XKZhLKCwsnDlzpq2trUAgsLGxGT9+fEZGBiEkKytr6tSp9HexsrIaO3Zsenq6cjsymWzPnj3e3t4GBgb6+vpeXl5bt26VSCTydX777TcWizV79myZTLZhw4Y2bdro6uqamZn169fv6tWrhJCKiopVq1bRciMjo169el2+fLnasI8ePdqnTx96sS1atPjyyy9ptPJevnzJYrG8vb0JIY8ePQoKChKJRFwuNyYmxtzcnMVi7d69W7nlJUuWsFgsd3d3FV/a3bt33dzcmM1Vq1bNnz9fRX0AAICPlwzgf508ebKmv40lS5YQQjw9PeULhw0bRusLBAJzc3NmiMLXX38tX23NmjW0nMfj0RsDunnw4EGmTnZ2dqtWrWi5SCRimlq1apV8U1KpNCQkhO7S0dExNTVlsVgcDmfy5MmEEFNT01qvMSsri+m7NTQ0NDIyop9bt26dmZkpX3PChAmEkKVLl9JbHaFQyKy7pKOjk5CQoOIsEydO7N27N71Sd3f33r179+7de968efItjx8/Xs2Wf/rpJxaLRQjhcrlMzRYtWrx8+VL1xdITffvtt/SSRSIRc19kY2Nz48YNU1NTQoi+vr6enh4tb9q0aW5urnwjYrF45MiRdK+enh6fz6ef+/fvX1VVxVSjM1T279//888/J4To6uoaGhrSmlwuNzY2tnPnzvRPhfnOabnCuUaNGsV8FWZmZvTCRSLRpUuX5Gu+ePGCEGJvb5+SkmJubs7831paWtq0adMIIb1791b+Qmger/BHpWDWrFk//PAD/fzjjz/q6uqq/pJVo1/LhAkTlHdZWFgQQoqKiubMmdOsWTMej2dvbz9//vyKigqFmtHR0QEBAfr6+kKh0NfX9/Tp0woVpFLpzp07vby8aJ2uXbvu37+/2tPJ/2TqHPX27duZM2fa29srv7oQGxtLCOncubPCIffv36d/9up/SwAA8IEg3QdF1ab7eXl527ZtEwqFQqHw2rVr8rsuXbo0adKkK1euiMVimUxWVFQ0adIkmsZlZWXROsXFxbq6uoSQNWvWVFZWymSy8vLy06dPT5o0iW5Sffv2JYT4+/unpKTQo+gNBovFunz5MlNt7969NML169fTrOj58+f0WDXTfdrF7ubmduPGDVpy9+7dTp06EUJ8fX2lUilTk+bKXC7XxsYmOjqa7rp69aqlpSUhpG/fvrWeiybTv//+u0I5bZnD4ajT8rVr19hsto6Ozo4dO+gl37x509nZmRDSq1cv1QEwl9CyZcvr16/LZDKxWPzLL78w2baVlVVMTIxMJpNIJEePHqX3J0uXLpVv5PvvvyeE2NnZXbhwQSqVVlVVHT16VF9fnxDy3XffMdVoXsvlcvX09A4fPkz/JGJjY42NjWk5l8vdunUrvYSkpCRbW1v6i8ufa8WKFfQ27NixY7SFnJycsLAwQoi5uXlOTg5Tk6b7AoGge/furVq1Onv2bF5e3rNnzyQSyfXr1+kZFe5bnjx5wtwSqPjSevXqFRUVRT//97//nTx5suovWTXV6b6Ojk6vXr2MjY0HDx7cv39/etP1+eefy1fbv38/i8UyNDQMCgoKDAzU0dFhsVjbt2+XrxMeHk7//ocOHTp06FATExNCyKxZsxROp5Du13qUVCrt2rUrIWTgwIFr166NiIigfyGhoaFLly6trKykt+ipqanyJ1q+fDkh5Pvvv3+X7w0AAN4LpPugiEn3hf+iXbnW1tbh4eGPHj2qtYWysjKRSEQIOX78OC25d+8ezdqV+ywZND8zNTXNz8+XL6dzn48cOZIpod3hoaGh8tVKSkqaNGmiTrpP+yM5HM6TJ0/ky7Ozs2mmdfbsWaaQ5sqEkAsXLshX3rBhA+3nlkgkqk+nOt1Xs+V+/fopp+AXL16kLdy/f19FAMyJ4uPj5ct9fHxo+V9//SVfPnr0aIUUvKysjGaBCt3w3377LSHEwsKCuUFi1p9avXq1fM1vvvmGln/11Vfy5du2bSOE8Pl85q6vqKiIPhDYtWuXfE2pVOrp6UkIYR6PyP5N92kMCmm9TCZzdXUlhGzevFm+kN63KPdGy6N/wBkZGXTTzc3tzp07KurXqtbefTc3t9evX9OSxMREDofD5XILCgpoSV5enqGhobW1NfMk59atWzweTygUMv9Y6NvzHh4eb968oSX5+fl06d/o6GiF0zHpvjpH0dF0ffr0YRpZtmwZIWT37t10k36l8nd9MpnM3d2dxWI9e/asft8YAAC8Rxi7DzUq+VdlZSUhpKCgICMjo9pR3Qp0dHSaN29OCMnLy6MlVlZWbDZbJpP9/vvvNR1Fp6oMDAykPcGMgIAAQsilS5fo5qtXr+jQ9nHjxslX09PTGzp0qDrXdfz4cUJIt27d5KdJIYRYWFj079+fEHLo0CGFQ5o1a6aw4hJ9FFBaWlpQUKDOSWuiTsvFxcVRUVGEkODgYPma3bt3p/2szJejgq2tLR1Iw+jQoQMhxMDAgN5LKJRnZmYyJRcuXMjPz7e0tGTuECj60+Tk5Dx+/FjhdMzIH/k2lS+BlldWVr5+/ZqWnD9/vrCwUEdHR6Emi8WiHfzKvw4h5D//+Y/ydPhjx45Vrv/HH38QQpjBYNW6du2agYFB06ZNCSF///23sbGx/Dj+D2Hx4sX0hooQ4uHh4eXlJRaL6XgYQsjBgwcLCwtnz55tbW1NS+jYsJKSkrNnz9KSLVu2EEJWrFhB77QJIcbGxitXriSEbNq0qabzqnMUDaN79+7MUfRliStXrtDNMWPGsNls+e85NTU1KSmpS5cudnZ29f1KAADgvcHMPFAjmUxGP1RWVr548eLYsWPLli07derUvHnzVq1aJV9TLBbHxcUlJye/fv2a3htkZ2cTQpj3OI2NjadPn75+/frQ0NDz58/PnTvXxcVF4XQ3btwghJw9e1YhCy8sLCSEvHr1SiaTsVisu3fv0nKFJZAIIWrmZPRuodo3NT08PH7//Xf6nEFe69atFUponz0hhM6eXm/qtHzr1i36Tfbr14+OYmfQqSHpt60a81IEg+aXDg4OHA5HubyiooIpoT9NQUGBwk/DvLGdnZ1NRxZRurq69H5PoU1CiJOTU7XlzOnor+Ps7ExHf8mjT3WePXuWm5srP1KfEOLr66t8yWPGjFm4cGFcXFxmZibN3TMzM//55x82m+3AhikAACAASURBVK1wN6IgLS2tV69ehJCioqKgoKAjR46oqPxedOzYUX6TRltUVEQ36dvM58+ff/jwIVMnNTWVEPL06VO6Sd+Elk/KCSF+fn4sFos+zqqWOkfRh2Y5OTlMBfqZ+YGaNm0aGBgYFRX14MED+kTl2LFjhBDmBQwAANAspPtQOz6f36JFizlz5jg4OIwYMWL16tWjRo1q27Yt3fvXX39FRERkZWWpbmTNmjUikei7777bvXv3nj17+vXrt3Dhwi5dujAV6BQ9b968qXa2GalUKpFIuFxufn4+IYTD4Sg8BCByuaNqtCO52rVRaaHCZEGEEOa9UmXMTVH9qNMyEw/N8JTJT5RU1xPR8fcqzs4EUFFRwSSXqgNguorrcbpafx0aj0K6T1NSBTY2NgEBAefPnz9y5MiMGTMIISdOnJDJZN27d6f5dE0ePnxIb0f37NkTHh4+ePBgFZXfC4X46UvqzHdCpySqdrELek9YVVX1+vVr+be9KVqSn59fUVGhPG+Vmkf179/f0tJy586dXbt27dq1a1pa2tKlS1kslvzjl7CwsKioqIMHD9JxPseOHeNwOCNGjKjv9wEAAO8TBvNAHQwZMoSOHjlz5gwt+eeff4YOHZqVldW3b98LFy68efOGDuNW7rTmcrlLlixJS0ubPXu2oaFhZGSkt7e3/OSGNLn55ptvahp5RmcFUZFeK/RS14R2kFfbDi1U6EFXv+V6UKdlJqqa3hOg77a++4lUB+Dj41PTT6M8u2i9z1Xrr0Oq+4FqWrJKYTyPOiN5yP+m+wpjxj4QHR0dFXvphSu8Ii//09fjT1r9o/T19c+ePWttbR0SEmJra+vr65ubm0uzf6b+4MGDRSIRXWIiIyPj+vXr/v7+9D0BAADQOKT7UAccDof2qjIjrX/66SeJROLh4XHq1Ck/Pz+RSERThJr6m5s2bfrf//73+fPn06ZNk8lkq1ev/u233+gu2nerPLe6AtqpL5FImKEODHUmoSf/9qTm5uYq76KFCj3HGke/GZlMJj+evuEDqPWneS9q/XVIXX6goUOH6uvrX79+/cWLF2/evLl48SKXyx0+fLjqo2i6f+/evbKyMoVhNhphZWVFCHn27FlNFbhcroWFRWlpKR35xigpKSkqKjIxMWEmTq33Ubm5uVOnTo2Ojr5582ZWVhZ9j4Kho6MzcuTIlJSUxMTEkydPymQyjOQBAPh4IN2HOqisrKTDxJnx5fQ1Pl9fX/nu1aqqqpcvX6poRyQSbdy48bPPPiNyPa90LH5Niy4xmOcGd+7cUdglP7JZBTrBS2JiovIuWsi8V/peqOhDVZO7uzv9emv9cj4Q+tOkpqbWOmTr3dFf5/HjxyUlJQq76K/TrFkz9dN9oVA4bNgwmUz2559//v3332KxOCAgQPXhUqn06dOnLVu2/OmnnxRGuWgKHVvPTJlVLfr2gsIwfbrZrVu3dzxq0aJFEolk/fr1AQEB7du3r/bmgT4GiYyMPH36NJ/PV/O9eQAAaABI96EOjhw5Qt8NZVIB+h9+hcVod+zYUVpaWmtrDg4OhBCxWEw36duTjx49OnXqlIqjrKys6GsDBw4ckC+vqqo6ceKEOldBxxxfu3aNrnfLyM7OpoOUmIXD3gs6Wp15HlIPRkZGgYGBhJD169crrGLbMHr06GFiYiKTydauXfuhz+Xv79+kSZOKior9+/fLl8tkMrpEbl1/HTqe5++//6azG6k/kqe0tHTAgAF1OtcHMmbMGJFIdOjQITpahqqoqJD/J0CXFVu8eDEzodPbt28XLVpECImIiKipZTWPevHiBZvNVv2KiLe3d8uWLWNjYy9cuNCnTx8VL6UAAEADQ7oPtZPJZC9fvly3bh1dkadr1650Jj7yb1/s4cOH6cz6EonkxIkT8+fPV3gv8Pjx4/Pnz09KSqJ3C4SQK1eu0DnXmRHAXl5eQ4YMIYR89tlnO3bsoJOO5+fnnzt3btKkSczSWoSQmTNnEkI2b968bds2moJkZ2eHhoampaWpczkeHh7Dhw+XSqVDhw5lJuG5f//+oEGDysrKPD09g4KC6vtVVYPOVXLgwAE6+Yz8jDfqW7FiBY/Hu379+qBBg27fvi2RSCorKx89erRp06bevXu/x2irJRQK6Xpna9eunTt3bnp6ukwmKy0tTUhIWLx4Mf053heBQEAn6Z81a9aRI0fo3WBubu6ECRMSExNFItFXX31Vpwb9/f2bNWuWkJBw8eJFPp9P/8ZUYNL9K1eu0CUINM7ExGTv3r08Hm/06NFOTk6BgYGdO3c2NTWldzJU9+7dFyxYcPv2bUdHx2HDhg0fPtzR0fHWrVtTp05lVqBTpuZRvXv3LigoaNGihbe3d7du3fz9/ceOHXvu3DmF1saOHXvhwoXi4mKM5AEA+LjU9O4dfLKYMQOcf8m/5+fs7PzixQum8pMnT2jvNZvNtrGxoVn+8OHD58yZQ+RWONq+fTs9XFdX19bWlpmexc3NrbCwkGmtoKBAYU5AhvxiSVKplJlIUVdX19zcnMVi8fl8ukCVOqvqFhQUMLcZhoaGzCQ/jo6OCgsD0TWqPvvsM4UWmMVZ5b+NatH1BAgh+vr6TZs2bdu2bf1aPnDgQLWDKCwsLFQHUNOJ6Lqn3t7eCuW7du0ihNjZ2SmUf/nll9X+NMHBwUwdup6UtbW1wrFxcXG0svx6rjKZjLlDk1/jViqVTpkyhZbr6OiYm5vTsUx6enrMSrcUs8yWwoppChYsWECrDRgwQEU1auXKlQsWLLh+/bqnp2etldVU6zJbCl8LfQB15swZ+cLbt28HBwdbWFjQaal8fX0VVhCTyWSHDh3q0qULXR3Py8trz5496pxO9VHFxcXLly+nL8orYFbaoujbBXp6esXFxep9MQAA0BCQ7oOiaocIGxoadu7cec2aNUVFRQr1ExMT+/Tpo6+vr6ur27p16//+978SiYROgcKkI69evVqxYkX79u0NDAw4HI6FhUWnTp2WL1+u3FpVVdW2bdu6d+8uEoloWuPt7b1mzRpmzVFKLBZv2LChbdu2Ojo6ZmZmAwcOTEhIEIvFfD5fnXRfJpNVVlZu2LDB09NTKBTq6em1a9eu2njePd2XyWS//PJL69atdXR0jIyMmJyvHi0/fPhw4sSJ9vb2fD5fIBA4ODiMHTs2JiZG9dnfV7ovk8n+/vvvwYMH04xTKBS2adNm1qxZ8gstv5d0nzp58mTv3r1NTEwEAkHz5s0nT56svESrmuk+81LH/v37VVSjhg0btmXLllmzZv3www+1VtZ65eXl7u7upqam586do0tiS6XSt2/f0nFEXbt2la987do1QkhISIiGggUAgOqxZO82azgAwEcuOTm5Xbt2enp6OTk5NU38zzAzM9u0aVNoaGhCQkK1a7F9Us6fPx8YGPjVV18pvLYhkUiEQqGtra38gspTp0795ZdfIiMjFdZpBgAAzcLYfQDQcvQN16CgoFpzfUKIVCo1MTFp164dcn1CCF0kW3mirc2bN1dUVNBXd6iHDx/u3r27efPmffr0adAQAQCgNlhVFwC0WUVFBR2e9MUXX9Ra+fXr12w2OzY2FvNIUv7+/s7OzkeOHMnIyPDx8eFwOHl5eXFxcffu3bO2tl65cuXDhw9XrlxZVlZ29uzZ0tLSjRs31rTkGQAAaAoG8wCA1iosLAwPDz906JC7u3tiYmK1i8vKi4+PnzlzZnFx8cGDB93c3BomyI9cXl7eqlWrTp069fz5c4lEIhKJXF1d+/fvHxERYWRklJyc7O/vX1hY2LJly1WrVg0aNEjT8QIAgCKk+wCghezs7MRicU5OjkQi4fP58fHxHh4etR61d+/eEydO3L59++nTpw0QJAAAQAPAU1cA0EJmZmZ5eXkCgcDX1/fixYvq5PqEkCdPnjx//tzR0fFDhwcAANBgMHYfALTQzZs363HUkydPCgoK6DpfAAAA2gGDeQAA/o+Hh8f9+/frt/IxAADAxwmDeQAA/s/Dhw979+6t6SgAAADeJwzmAQAghJDs7Gw2mz1gwICa9hYVFaWkpEgkktTU1KysLCMjo/v37+vq6rJYrNTUVBcXF1tbW8w6r5qNjY1IJNJ0FAAAnxYM5vnUZWRkvHnzRtNRAGjezZs3J06cuGnTJl1d3RMnThQXF2dkZGRnZxsYGDx79kxXV5fH45WXlzdv3lwgELBYLBsbm9evXzdr1kwgEGRlZZWVlaWnpyOXVW316tVBQUGajgIA4NOCdP/TFRMTM3PmzMLCQqFQqOlYADTv8ePHVVVV1tbWlpaWPB6vf//+TZs2ZbPZbm5ujo6ORkZGmg4QAACgPjCY51P0559/hoWFFRUVBQQE3L59W9PhAHwU9u/fP2nSpJcvX2o6EAAAgPcJvfufnPnz52/YsIHL5aamppqammo6HICPRW5urpWV1f37952cnDQdCwAAwHuDmXk+LVu3bt26dSufzz98+DByfQB55ubmPB7v4MGDmg4EAADgfULv/ifk3LlzwcHBb9++7dmzZ1RUlKbDAfjotG7dmsPhJCcnazoQAACA9wa9+5+KR48eDRs2rKCggMvlHj16VNPhAHyMOnbs+ODBg+fPn2s6EAAAgPcG6f4noaysrF+/fkVFRUKhcP369QYGBpqOCOBj5Ozs3LJlS9wPAwCANkG6/0mIiIhIT08nhFRVVYWGhmo6HICPlLOzs5mZGYa6AQCANsFEnNrv5cuXx44dE4vFhJCuXbuiax+gJs7OzgUFBS9fvnzz5g0m2gcAAO2A3n3tFxERUVpaSggxNDScOnWqpsMB+Hg5OTk9fvx4xIgRv//++3tstqys7Mcff/Tx8WnSpImOjo6dnZ2/v//PP/9cUFDwHs+i9SwtLVksFu25AAAA9WFmHi13586dbt26FRYWEkJ4PF5lZaWmIwL4qDVt2nTEiBFHjhzJysp6Lw3euXNnwIAB9PVfBwcHMzOznJyc58+fs9nsu3fvurq6vpezfAosLS1zcnKqqqq4XDyXBgCoA/yfppYLDw+nuT6LxQoODtZ0OAAfu2bNmnG53MrKyry8PDMzs3dsLSMjw9/f//Xr14GBgRs3bnR2dqbljx8/vnr1KnJ9AABoABjMo81iY2Pv379PP4tEooiICM3GA/DxmzhxYlFRUVhY2N69e9+9tenTp9Nc//Tp00yuTwhxcnIKCwt79/YBAABqhXRfm02ePPnt27f0M5/P9/b21mw8AB+/Vq1a3b9/f+zYse+e7qenp//xxx9sNnvLli21jj95/vz5hAkTbGxs+Hx+s2bNIiIiMjMz5StUVlauXbvW3d3d0NDQ1NTU09NzyZIlOTk5dG9ISIiOjo5YLN60aZOLi4tAILCxsZk+fXpxcXFhYeGsWbPs7Oz4fL6dnd2iRYsUhr/LZLJdu3Z16dLFwMBAX1/f29v7wIEDCuEVFhZ+9dVXzZs35/F4rP9VXFxMA9DX1yeEnDt3zsPDg8vljhs3jh776NGj6dOnOzk5CQQCPT09Dw+PzZs3yze+ZcsWFov1999/r127tk2bNnp6eqampqNHj652AYTy8vK5c+fa2try+fzmzZsvWLCAGaMYFBTEYrHOnj2rcEhwcDCLxfrzzz9V/wQAAFpLBloqNjbW1NSU/socDmfx4sWajgigEcjPzzc2NpbJZNbW1hcvXnyXpnbs2EEI8ff3r7Xm3bt36b9WLy+vUaNGde7cmRBiaWn55MkTps7nn39OCLG3tx85cmSvXr2aNGnC4XDS09PpXjpUb+zYsU5OTsuXL1+5cmXLli0JIYMHD+7UqVPr1q1Xrly5fPlye3t7QsjMmTPlzx4eHk4IMTU1HTp06NChQ01MTAghs2bNYipIpdKuXbsSQgYOHLh27dqIiAgej0cICQ0NXbp0aWVlJRPAqVOneDyera1tly5d1q9fTw/v06cPIaRt27YhISG9e/fmcDiEkA0bNjDt0+zfzMzMyMho1qxZP/300/jx49lsdtOmTbOysphqFhYWOjo6vXr1MjY2Hjx4cP/+/fX09Aghn3/+Oa1w5MgRQsi4cePkr66srExfX9/IyKiiokKtnw0AQOsg3ddaQ4cOZW7qRCLRuXPnNB0RQONgYWGRnZ09ffr04cOHv0s7X375JSFk3rx5tdb09PQkhOzdu5cp2blzJyGke/fudPPNmzcsFsvR0ZHJWcVicUJCAlOfZtu2trZv376lJZmZmQKBgBDi4uJSUlJCC58+fcrhcPT19auqqmhJZGQkIcTDw+PNmze0JD8/v127doSQ6OhoWnL+/HlCSJ8+fZjTLVu2jBCye/duhQCMjY3XrVuncHV37969desWs3nixAlCiJOTE1NC030Oh5OYmMgUrlmzhhASHh7OlFhYWBBC3NzcXr9+TUsSExM5HA6Xyy0oKJDJZOXl5cbGxoaGhuXl5QqnGz9+fLXfPADApwCDebSTTCY7fvw4s/n27dsePXpoMB6ARoSO51m5cuWZM2fepZ3Xr18TQmiSqkJiYmJCQoKnp6f8Enjjx4/v2LFjXFxccnIyIYTNZtP/pV3jhBAOh9OxY0eFpsLCwgwNDelnKysrd3d3QsikSZNoLzghxMHBwcXFpbi4mBkns2XLFkLIihUrRCIRLTE2Nl65ciUhZNOmTbSEvgLUvXt35kR0ZOCVK1cUAmjbtu3MmTMVClu3bk0joQYNGqSvr5+SkiKVSuWr+fj4eHh4MJtTpkwRCASHDx+W/e/0cYsXL6bPHwghHh4eXl5eYrGYRigQCIKDgwsLC0+fPs3UP3bsGCFk1KhRBADgU4V0Xzvt2LFDKBQymx4eHiwWS4PxADQiNN0XCoWDBw/ev39/vduheSrN1FW4evUqIcTHx0eh3N/fnxASGxtLCDEwMAgMDHz8+HH//v3v3btXU1Nubm7ym3RmoRYtWigX0gH3zNnlU3lCiJ+fH4vFoqcmhDRp0oQQwrwnwHzW1dVVCGDEiBEqrrSysvLt27dv3rwxNzeXSqUK8wIrBC8UCp2cnN68efP06VP5coWbnKZNmxJCioqK6CZ9YeDgwYN0s6qq6uTJk02aNAkICFARGACAdkO6r51++umnkpIS+pnH4w0ePFiz8QA0IjTdJ4T0799/+fLl9W7H2NiYEJKXl6e6WnZ2NiHE0tJSodzKyooQwrywe+DAgUGDBkVFRbm5ufXq1YvJxeUxPfTymL5wefRWpKqq6vXr10KhUGGxbVqSn59fUVFBCOnfv7+lpeXOnTsPHz784sWLS5cuLV26tNq5fZs3b658rocPH44ePdrCwkIgEBgZGRkbG6elpSlXU17wm77PoPAF0nsPBr2bYp4AeHl5OTs7nzp1it7PREdHv3nzZsSIEcxTEQCATxDSfS305MmT9PR0ZlMoFPbq1UuD8QA0Lq6urg8ePCCEjBo16u3bt9euXatfO3Tmzdu3b6uuRp+8yZRWPKQlzHM5U1PTEydOxMfHDxs2LDo62s/PT3nYTLVPElQ82avp1Apn19fXP3v2rLW1dUhIiK2tra+vb25u7s6dO+n7u/L4fL5CycOHDzt16nT48OEBAwYcPnw4Ojr6woUL1S5ooLxcbrUL6Oro6NR0OdS4cePKysroPDx0TCNG8gDAJw7pvhbavHlzWVkZs1laWurl5aXBeAAaFwcHh5s3b9LPK1eupBPs1AMdIXPu3Lk3b96oqGZtbU0IUV7El5bQPn5G586djx49ev36dTs7ux9//DEqKqp+sVFcLtfCwqK0tJQuxscoKSkpKioyMTGRT99zc3OnTp0aHR198+bNrKwsNdcN+PHHH4uKiubMmbNjx46RI0cGBAT4+flVm8e/evVKoSQjI4MQYm5uXqeLCg0NZbPZdND/qVOnbG1tlW9LAAA+KUj3tdCvv/4q/1/TLl26aDAYgEbHzs5OLBbTl1k///zzP/74o6CgoB7tuLu7t2/fvqysbM6cOSqq+fr6kn/H6MujJd26dVM+pEOHDvPmzSOE1PvJg+qzK5960aJFEolk/fr1AQEB7du3V+7Fr0lqair53zcTnj17Vu39j8K1pKenp6WlGRsbOzg4qHsxhBBCbGxsAgICzp8/Hx8fn5WVRSfdr1MLAABaBum+tjl79qz8f9t0dHSGDBmiwXgAGqOuXbs+efKEfm7fvr3qN1BV+Omnn9hs9vbt2ydNmpSbm8uUl5eXX79+nX52dXXt0aNHYmLirl27mAr79u1LSEjo1KkTnawmLS3t4cOH8i3funWLKA1kr4dp06YRQhYvXszc0rx9+3bRokWEEPl1uF+8eMFms6uqquraPo2QRksIKS8vnzFjRrU1Hz16tG3bNvpZKpXOnz+fEBISElKPZD0sLKysrIxO5YmRPAAAtSz0CI3Ojz/+yKykSwjh8/k9e/bUYDwAjVHbtm2Tk5Ppv53z58/Xu3u4W7due/funTBhwo4dO3bt2uXi4mJoaJibm5uent6sWbOUlBRabfv27d27d//888+3bt3aokWLtLS0a9eumZmZMTcA9+7dGzBggIuLS9u2bXk83p07d5KTk21sbN49l+3evfuCBQu+++47R0dHZkKevLy8qVOn9u3bl6nWu3fvNWvWtGjRwsHBgcVi8Xi8Zs2ahYaGBgYGqm5/8uTJBw4c+Oabb65fv66vrx8XF2dgYNCjR4/o6GiFmhEREbNnz965c6eDg0NSUtKDBw+sra2/+eabelzUkCFDDAwM/vrrL2dnZ/nJPQEAPk3o3dcqJSUldEYRhkgkat26tabiAWikaLrPbC5fvnzx4sX1a+qzzz67d+/etGnTHB0dnz179s8//+Tn53t6etJudap58+YJCQnh4eEvX778/fff09PTJ0yYcPPmzVatWtEKnp6eM2bMqKysPHHixB9//FFeXh4eHh4fH08n/3lHK1euPHTokLOzc1RU1NmzZx0dHffs2cNMuk8IKSkpMTAw4HK52dnZV69evXLlysWLF/ft29erV689e/aobtzb2/vo0aOtW7eOioo6d+5cjx49YmNjq71JsLKyojcDJ0+ezM7ODgkJuXr1qvKERerQ09MbNmyYVCoNCQmpx+EAAFqGVe2cDNBI7d+/PyIigplRmxASEBCg3IsGAKolJSWNHz+eGYIikUj4fH5paSldp/aTUlFR4eXl9eLFi0OHDvn4+PD5fJlMVlRUFBkZOXr06K5duyovtlVXW7ZsmTx58sKFC1esWPFeYiaE9OnTJyoq6uHDh3R+JACATxl697XKwYMH5XN9LpdLV+oBgDpR6N3ncDhLly6dOnWqBkPSlLi4uKSkpHHjxvXs2ZO+octisQwNDUeOHCkQCORfSPh4ZGVlRUdHe3p6ItcHACBI97XM+fPn5Tf19fU7deqkqWAAGi82m+3q6iq/fu2iRYto4qvBqDSCrn378uVLhfLNmzdXVFR4enpqIqhaLF68WCwWT548WdOBAAB8FPCqrva4dOmSrq4uXQWTKisr+zj/Ywzw8aMd/PKvvvz666+DBg1avHjxxIkTNRhYA/P393d2dj5y5EhGRoaPjw+Hw8nLy4uLi7t37561tfXKlSs1HeD/t2LFiidPniQnJyclJXl5eY0dO1bTEQEAfBTQu689jh07prBWjkgkei9v8gF8gszNzRUWserWrdvz588XL16cnZ2tqaganq6u7uXLl7/66qvc3Nx169atXr36999/NzY2XrVq1d27d+3t7TUd4P/35MmTQ4cOpaamhoWFRUZGcjgcTUcEAPBRwKu62sPGxoYuQsno06fPmTNnNBUPQKO2ffv29evXK0x1JZFIOnfuzGKxEhISNBUYAABAnaB3X0ukpqbKT7dPCOHz+X5+fhoKB6DRGzp06KtXrxQKORzOvn373rx58x7nkAEAAPigkO5rib/++kssFsuX6Onpde7cWVPxADR2pqamQqEwPT1dodzV1XXdunUnTpwYN26cRgIDAACoE6T7WuLAgQPl5eXyJSUlJR07dtRUPABaoEOHDjdv3lQuHzBgQExMTKtWrSIiIho+KgAAgDrBzDzaoLKyMjExUaHQ3NxcX19fI/EAaIf27dsnJiYOGTJEeZehoWFERESTJk24XK6fn9/w4cMbPjwAAAB1IN3XBqdOnRIKhQrT8qBrH+AddejQYePGjTXtFYlEb9++HTRoUGJi4qhRowIDA319fYOCghoyQngXNjY2IpFI01EAAHxwSPe1weHDhxVyfYFAgPV0Ad4R7d1XUUFHRycqKurx48d5eXmrV6/++eef9+3b12DhwTtavXo1bs8A4FOAiTi1gaGhYVFRkXyJkZHRqVOnvL29NRUSgHZo0qTJ9evXP6rZ5QEAAOoEr+o2eklJScpj9EUiUadOnTQSD4A2MTExOXjwoKajAAAAqD+k+41eTExMfn6+QmF5eTmPx9NIPADaZOLEicr/vgAAABoRpPuNXmRkZEVFhUKhi4uLRoIB0DJeXl7x8fGajgIAAKD+kO43ev/8849CCYvFwkgegPeiS5cu165d03QUAAAA9Yd0v3G7f/8+h8NRKBQKhe3atdNIPABahsPheHh43LhxQ9OBAAAA1BPS/cYtNja2qqpKoZDD4bRu3Voj8QBoH3TwAwBAo4Z0v3GLjIwsKytTKCwuLm7VqpVG4gHQPhi+DwAAjRrS/cbtypUryoVmZmZ8Pr/hgwHQSq9evTp58qSmowAAAKgnpPuN2NOnT8VisXK5q6trwwcDoK2GDRsmEonesZHly5ezWKzg4OA6HfX8+XNLS8uRI0eqrrZlyxYWi7Vo0aJ3CPCDUDN+AAD4oJDuN2KxsbHKiyJjWh6A96tZs2Z8Pj81NfVdGtm/fz8h5OTJkwoLYKuWlpaWk5Oj8ObAr7/+ev369XcJ5gNRDqza+AEAoIEh3W/Ezpw5U1JSolCor6/ftm1bjcQDoK38/f0vXLhQ78Nv3rz56NEjNze3srKy48ePugN4dwAAIABJREFUq3+gr6/vrl275A/Jz8+fMmXKR5juVxuYcvwAANDwkO43YrGxscqFbDYb0/IAvF/vmO7Trv1Vq1ax2Wz6WU0sFissLMzT05Mp2bdvX2VlZb0j+XCqDUw5fgAAaHhI9xurjIwM5a59QkhRURHSfYD3y8/P7+LFi/U7ViqVHjp0yNLSsn///t7e3jExMdnZ2Qp1LC0tg4KCCCHr16+3t7dns9m7du0ihDx8+JDFYnXr1o0QUlBQ0KdPnxkzZhBC/vOf/7BYLBaLdejQIaYRDocTGxvr7++vr69vaGjYs2dPhVE0ISEhOjo6YrF406ZNLi4uAoHAxsZm+vTpxcXFhYWFs2bNsrOz4/P5dnZ2ixYtUn4vKCYmpkePHgYGBvr6+n5+fmfOnKHlKgKTj59RXFy8ZMmS1q1b6+rqmpqadu7ceceOHfX7bgEAQB1cTQcA9XTp0iXlBbYIIU2aNOHxeA0fD4AWs7a2rqiouHXrloeHR12PjYmJycrKmjJlCovFGjlyZFxc3KFDh2hyLC8zM/P777+fN2+em5tbhw4dnJycFCrIZDI/P7/KysoLFy4MHDiwS5cuhBD5BfVu3Ljx3XffeXh4BAUF3b17Nzo6+sqVK7dv35ZvqqKiYsKECfHx8aGhoWw2e/fu3Rs2bEhPT8/MzCwpKQkPD5dKpb/++uvKlStLS0vXrVvHHHjgwIExY8YYGBj4+flVVFTExcX1799/27ZtEydOrDUweSUlJd27d09KSjI0NOzcuXNZWdnt27czMjLq+q0CAEAdyKBxGjt2bLU/qJ+fn6ZDA9BC/fr1W7x4cT0ODAsLI4RcvHhRJpNlZWWx2eyOHTsq1LGwsNDT0zM1NaXv3zMePHhACPH29mZKFi5cSAjZuHGjfLXNmzfTf/7btm2jJRKJZPjw4YSQWbNmMdXovEC2trZv376lJZmZmQKBgBDi4uJSUlJCC58+fcrhcPT19auqqmhJXl6eoaGhtbX1y5cvacmtW7d4PJ5QKMzPz1cRmHL8s2bNIoQEBQUVFRXRkuLi4oKCArW+SgAAqBcM5mmsYmJilAsxLQ/AB/LFF18kJyfX9ajy8vLjx49bWFh0796dEGJpaenr63vjxo3Hjx8r1CwtLZ02bZqPj0+9I3R1dZ00aRL9zGazw8PDCSHKMYeFhRkaGtLPVlZW7u7uhJBJkybp6enRQgcHBxcXl+Li4ufPn9OSgwcPFhYWzp4929rampa4u7v37t27pKTk7Nmz6kcokUh27NjB4XC2bdumr69PC4VCoZGRUT2uFwAA1ITBPI1Sfn5+tQP39fX1a3qGDgDvol+/fiNGjKjrUSdPniwsLBwzZgyb/X99K8HBwRcuXNi/f//SpUsVKtejfXkdO3aU32zatCkhRHneTzc3N/lNMzMzQkiLFi2UC4uLi+nm5cuXCSHnz59/+PAhU4fOTPr06VP1I3zw4MHbt2/btWtnZWWl/lEAAPCOkO43SlevXpVKpcrl+vr6bdq0afh4ALQej8fz8fGJjo7u0aOH+kfReXjOnj3r5eVFS0pLSwkhBw4cUE73mzdv/i4RNmnSRH6T3mDIlJbmqHbJMBMTE+VC5lg6tj4yMlK5Tnl5ufoR5uTkkH/vQwAAoMEg3W+U4uPjmY43ea9fv7a3t2/wcAA+Cf369Tt9+rT66X5BQQGdviY1NVVhla6UlJR//vmnc+fO8oV8Pv9dwtPR0VGnGvOcQR6LxVJxCM37r127xty01A9tR/W5AADgvcPY/UbpwoULEolEuVxHR8fAwKDh4wH4FLRs2ZJ5KVYdv//+e2Vl5dy5cxVemdq7dy/5t+O/UaBjb549e/aO7dDnD1lZWe8eEgAAqA/pfqN0586dasubNWvWwJEAfDoGDBhgY2MjP35dNZrQh4SEKJQPGjRIIBAcPny42pt21bhcLiGkqqqqrge+C/qe8cmTJ1XUUSewVq1aGRgY3Llz59WrV+83QgAAUAHpfuOTnp5e7cB9QoiLi0sDBwPwSRk1atSRI0fUqZmenh4XF+fi4kKnvpFnaGjYp0+fV69enTt3rq4BWFpakurm2/mgxowZIxKJDh06dPDgQaawoqLiwIEDdQqMy+V+/vnnYrE4IiKCvsNA21GepwgAAN4jpPuNz40bN6pdYIvNZrdt27bh4wH4dISEhMgvZKvCwYMHZf9Oda9s5MiRpF7jefr27cvj8fbs2TNo0CD17z3ekYmJyd69e3k83ujRo52cnAIDAzt37mxqaiq/+oeagS1fvrxNmzZ//PGHjY2Nv79/hw4dzMzM5NfzAgCA9w7pfuNz5coV5cn1CCFCoVB5JU4AeI9cXV1lMpk6vfI1jeShBg4cqKure+LECaaTW012dnYHDhxwcXE5e/ZsbGxsgy2hPXDgwOvXrwcHBxcWFl64cOHJkycdO3bctGlTXQMzMDC4cuXK/Pnzzc3Nr169+uDBAzc3t8GDBzfMVQAAfJpYytO0wUfO09Pzxo0byuUikej8+fMKc28DwPv1888/z5s3r9pbbgAAgI8Q0v3GR1dXt9q5rgUCQU5OTrWTagPAexQcHDxs2DA6IAcAAOAjh8E8jUxKSkpNT8l5PB5yfYAGMG3aNPlxLAAAAB8zpPuNTEJCQk27bGxsGjISgE9W9+7dX7x4cfXqVU0HAgAAUDuk+41MTe/pEkKcnZ0bOBiAT1ZERMSUKVM0HQUAAEDtkO43MpcuXaq2nM1mt2vXroGDAfhkzZ0719DQMC4uTtOBAAAA1ALpfiPz4MGDassxCydAA5szZ054eLimowAAAKgF0v3G5N69e3p6etXuYrPZLVu2bOB4AD5lQUFB9vb2yPgBAOAjh3S/MUlISJBIJNXuqqiocHR0bOB4AD5xp0+fjoqK2rZtm6YDAQAAqBFX0wFAHcTFxZWUlFS7i81mm5iYNHA8AJCWlmZgYFBUVDRr1ixNxwIAAFANLLPVmDg7Oz9+/LjaXU5OTo8ePWrgeACAMjExMTc3Hzt27ODBgzUdi5awsbHBQiIAAO8F0v3GhM1m1/R7BQUFnTx5soHjAQDG+PHjY2JiDAwMNB2Illi9enVQUJCmowAA0AYYzNNo3L5929ra+uXLl8q7WCxW27ZtGz4kAGDs2rVL0yEAAABUA6/qNhpJSUkFBQXV7tLX12/VqlUDxwMAAAAAHz+k+41GQkJCTe/pcjgca2vrBo4HAAAAAD5+SPcbjevXr9e0SywW29nZNWQwAAAAANAo4FXdRkMkEhUWFta0VyqVslishowHAAAAAD5+6N1vHHJzc6uqqmraa2JiglwfAAAAAJQh3W8c7ty5w+fza9rbtGnThgwGAAAAABoLpPuNw+3bt8vLy2va26JFi4YMBgAAAAAaC6T7jUN8fHxFRUW1u1gslqurawPHAwAAAACNAtL9xuHWrVs17dLV1XVwcGjIYAAAAACgsUC63zikpaXVtIvP59vb2zdgLAAAAADQaCDdbwSePHmiq6tb016JRIJJ9wEAAACgWkj3G4Hk5GQV82yWlpYi3QcAAACAav0/9u47rqnz/Rv4nUES9l6CoqitKO4tKIIDcdS96qp1j1ZrHaD261akWtTaOnDvhXsUFVSqooLiQJmyBBERAdmQ8fxxPz2v/AKEA0IS9PP+wxc5uXJy5SQm17nPPVDu1wFPnz7Ny8ur6F5dXV2hUKjKfAAAAACgrkC5XweEhIRIpdKK7rW2tlZlMgAAAABQh6DcrwMiIiKU3NuoUSOVZQIAAAAAdQvKfU1XVFSUmZmpJACT7gMAAABARVDua7oXL17o6upWdK+2tnaTJk1UmQ8AAAAA1CEo9zXd8+fPS0tLK7oXk+4DAAAAgBIo9zVdaGhoQUFBRffKZDLMwgkAAAAAFUG5r+kePXqk5N7CwkKU+wAAAABQEY5MJlN3DqCMvr6+8kn3ldwLAAAAAF85tO5rtHfv3imZcZ8QYmVlpbJkAAAAAKDOQbmv0SIjI5VMy0MIadq0qcqSAQAAAIA6B+W+RouIiPj06ZOSAEzLAwAAAABKoNzXaE+fPi0uLq7oXi0tLSypCwAAAABKoNzXaE+fPlVyr0gkql+/vsqSAQAAAIA6B+W+RouPj1dyL4/Hs7W1VVkyAAAAAFDnoNzXXLm5uUoW2CKEiMViGxsbleUDAAAAAHUOyn3NFRUVpa2trSSgsLAQrfsAAAAAoATKfc0VFRUlkUiUBGhrawsEApXlAwAAAAB1Dsp9zRUREZGfn68kwNzcXGXJAAAAAEBdhHJfc4WFhclkMiUB6MkDAAAAAMqh3Ndc0dHRygOwxhYAAAAAKIdyX3O9fftWyb1cLrdp06YqSwYAAAAA6iKU+xoqJiZGT09PSYCOjg7W2AIAAAAA5VDua6ioqCguV9m7w+fzMek+AAAAACiHcl9DRUZGKp+WRyqVYqguAAAAACiHcl9DhYWFicViJQFFRUUo9wEAAABAOZT7GioiIkJ5AIfD0dfXV00yAAAAAFBHodzXUElJScoDzMzMVJMJAAAAANRdKPc1UXp6eqUx9erVU0EmAAAAAFCnodzXRFFRUUKhUHmMnZ2dapIBAAAAgLoL5b4mioqKKi4uVhLA4XCwxhYAAAAAVArlviYKDw8vLCxUEqCtrY3WfQAAAACoFMp9TfT06VPlAVpaWlhjCwAAAAAqhXJfE8XFxVUag0n3AQAAAKBSKPc1TlFRUU5OjvKY4uJilPsAAAAAUCmU+xonOjrayMhIeUxpaSnm3QcAAACASqHc1zjR0dHKp+UhhDRu3Fg1yQAAAABAnYZyX+PExMQUFBQojxEIBKpJBgAAAADqNJT7Guf58+cSiUR5DJbUBQAAAAA2UO5rnKioqEpjMOk+AAAAALCBcl/jJCcnKw/g8XgNGzZUSS4AAAAAULeh3NcsBQUFlXbcF4lE6MwDAAAAAGyg3NcscXFx2traymP4fL61tbVq8gEAAACAOg3lvmZ5/fo1mzArK6vazgQAAAAAvgAo9zVLbGxspZ15SkpK0LoPAAAAAGyg3NcsL168EIvFymOKioosLCxUkw8AAAAA1Gko9zXLq1evKo0xNDRUQSYAAAAA8AVAua9ZEhMTK40xMzOr/UQAAAAA4EuAcl+DlJaWZmdnVxqGjvsAAAAAwBLKfQ0SFxenp6dXaVj9+vVVkAwAAAAAfAFQ7muQuLg4DoejPIbD4djb26smHwAAAACo61Dua5DY2NjCwkLlMVhSFwAAAADYQ7mvQSIiIkpKSpTHCAQC9N0HAAAAAJZQ7muQly9fsglDuQ8AAAAALKHc1yAJCQmVxojFYpT7AAAAAMASRyaTqTsHIIQQmUzG5VZ+9sXj8QoKCgQCgQpSAgAAAIC6Dq37miIuLk5fX7/SMKFQiFofAAAAAFhCua8p4uLi2LTum5qaqiAZAAAAAPgyoNzXFK9fvy4qKqo0zNLSUgXJAAAAAMCXAeW+poiIiCguLq40zMbGRgXJAAAAAMCXAeW+poiIiGAT1qhRo9rOBAAAAAC+GCj3NUV8fHylMQKBoEGDBipIBgAAAAC+DCj3NUV6enqlMUKhEJPuAwAAAAB7KPc1QmJioo6OTqVhPB7PyspKBfkAAAAAwJcB5b5GSEpK0tXVrTRMIpGgdR8AAAAA2EO5rxFiYmJyc3MrDdPV1a1Xr54K8gEAAACALwPKfY0QGxtbUFBQadiHDx/YrLwLAAAAAECh3NcIr169YhNmaGhY25kAAAAAwJcE5b5GeP36NZswU1PT2s4EAAAAAL4kKPc1wtu3b9mEWVhY1HYmAAAAAPAlQbmvfkVFRWw67hNCME4XAAAAAKoE5b76JSYmamtrs4nEkroAAAAAUCUo99UvISGBy638jRAIBGjdBwAADWdra8v5j7a2dtOmTadPnx4VFSUfM2bMGA6Hc/PmzdpLY9GiRcbGxg8fPqy9pwCoK1Duq19iYmJxcXGlYUKh0NLSUgX5AAAAfCY3Nzd3d/dmzZqlpaX5+fm1bds2ICBAlQmEhoZmZ2dHRESo8kkBNBNf3QkAiY6OLioqqjSMx+NhqC4AANQJJ0+eNDMzI4Tk5OTMmzfv4MGDU6dOjY+P19LSUk0CO3bsCA4OHj9+vGqeDkCToXVf/SIjI9mEyWQylPsAAFC3GBoa7tq1y8rKKiUlJTQ0VGXP6+DgMGPGDKFQqLJnBNBYKPfVLyEhgU1YSUkJOvMAAECdIxQKW7duTQhJSkqS387lcv38/Fq1aiUSiSwsLMaPH89MS71p0yYOh+Pp6amwqx07dnA4nF9++YUQUlJSsnnz5jZt2hgYGJiamnbs2HHFihXp6ek0stzhAQkJCdOmTbOzsxMKhTY2Nn369Ll79y69Kzw8fOTIkfXr19fW1m7cuPHw4cMvX75cCwcDQA1Q7qsfy0n3CwsL0boPAAB1EZ/PJ4SIRCL5jVu3bp0zZ46VldWgQYP4fP7Ro0fd3NxKS0sJIePGjePxeCdPnlTYj7+/PyFk7NixhJBZs2YtXLgwJyfHw8OjQ4cOycnJ69atKykpqSiHFy9etG/ffs+ePVKp1NnZ2djYODAwUCKREEJevXrl4uJy/vz5Zs2aDRo0yMjI6Pz585cuXarpwwCgHui7r2Z5eXlKvpvk6enpcTic2s4HAACgZhUXFz969IgQQtv4GYGBgQ8fPmzbti0hJDs7u127dtHR0QEBAQMHDrS2tu7bt++1a9dCQkK6du1K4zMzM+/cuWNvb9+pU6ecnJz9+/c3adLk5cuXAoGAECKRSMLDw+vXr19RGpMnT87Kylq9evXy5cvp72laWhq9bL53797c3Nx9+/ZNnjyZBqekpOA3F74YaN1XM/aT7hsbG9d2MgAAADUrPz9/1qxZGRkZrq6u9vb28ndNnDiR1vqEECMjo++//54Q8vz5c7pl0qRJhJATJ04w8RcuXBCLxWPGjCGE0AmsuVwuj8ej9/J4vA4dOlSURlhY2OPHj5s3b87U+oQQa2trZj+EEPlhxLa2tjY2Np/30gE0Bcp9NUtISGDZfkCnOAAAANB848aNGzBgQLdu3SwtLffv329vb79v3z6FGIXqnK4tk5ubS28OHjzYyMjo1KlTUqmUbpHvyaOvr9+nT5+YmJgBAwa8fPmy0nwePHhACOnTp0+5v7lDhgzhcrlz5sz566+/2EyNDVC3oNxXs4SEBDazcJL/vgcBAAA03/Xr169du/by5ctmzZqtXr06PDy8YcOGCjEKA9JoE7tMJqM3RSLR6NGj3717d/v2bUJITk7OzZs3W7Ro4ejoSAOOHTs2ePDggIAAR0fHvn373rlzR0k+dAhvRb+kTk5Ox48f5/P5c+fOtbW1Xb16dU5OTtVfNICGQrmvZtHR0SwbEmxtbWs7GQAAgBqRkZEhlUpzcnLCwsJ+++03AwODsjEKI3fLov15jh8/Tgi5cuVKSUkJbdqnTE1Nz58//+DBg+HDhwcGBvbs2ZPO2FMuehah5HL6qFGjEhMTN2/eLBKJVqxY0bJlSyzRBV8MlPtqxnLSfS6Xi3IfAAC+Kl27dv3mm2/8/f3FYvHFixcJIbTjvrzOnTufOXPm0aNHdnZ2W7ZsqWjtXnolIS0tTcnT6evrL1iwIC4u7tdff33z5s20adNq6HUAqBnKfTVLTExkE6atrW1lZVXLuQAAAGiWiRMnZmVl3b179/r16x07dmzcuHG5Ye3bt6eT9IeEhJQb0KVLF0JIUFBQpc8oFAo3bdpkZWUVGhoqFos/I3cATYFyX82UtzQw+Hw+Jt0HAICvzcSJE7lc7tatW7OysuR78iQkJERFRclHhoeHkzLjARidOnVq06bNs2fPNm7cyAwPyMzMpEvfBAUFyY+jS0xM/Pjxo4mJCV0uAKCuw+dYnbKzs5kvnUphSV0AAPja1K9f39XV9eLFi1wud/To0cz2ly9fDho0qFmzZq1atdLS0nrx4sXz589tbW3lTwkU7N+/39XV1dPTc8eOHfb29unp6bGxsYcOHRozZszixYujo6O7dOlSr169rKysoKCgkpKSxYsXq+QlAtQ6tO6rU0JCQqUDlSiJRILWfQAA+ApNmDBBKpV2795dfl6djh07zp8/v6Sk5Pz58+fOnSsqKpoxY8aDBw+UrFHTpk2bsLCwiRMnlpaWBgcHv3371sPDo3379oSQpUuXdurU6fHjx8eOHQsNDW3fvv2RI0cWLlyoipcHUPs47FuXocadO3fuhx9++PTpU6WRWlpaWVlZurq6KsgKAABAc3h7e3t5ee3cuXPGjBnqzgWgTkLrvjrFx8cXFhayieRwOKj1AQDgK3TkyBGRSDRy5Eh1JwJQV6HcV6eoqKjS0lI2kYaGhrWdDAAAgKY5fPjwy5cvR40aZWJiou5cAOoqDNVVp+joaJaRpqamtZoJAACA5vD397906dLbt29v3rxpZGS0fv16dWcEUIeh3FcnlpPuE0zLAwAAX5PMzMwzZ86IxeKePXv++eefNjY26s4IoA7DUF11EgqFJSUlbCLHjh177Nix2s4HAAAAAL4w6LuvNhkZGTwej2WwnZ1drSYDAAAAAF8klPtqk5iYKBAI2EQKhUJra+vazgcAAAAAvjwo99UmLS2NZeu+QCDAGlsAAAAAUA0Yqqs2MTExubm5bCK1tbUxSgkAADRNamrq+/fvWV6p/gLY2tpiXmyoi1Duq83r169ZTrpfVFSkZFVwAAAAFZPJZEuXLj148GBJSYmVlZW601ERb2/vgQMHqjsLgCpDua82MTExLCPFYrGZmVmtJgMAAMBSXl5eYGDgpk2b7t2716lTJ3WnAwCVQLmvNsnJySwjCwsLzc3NazUZAAAANpKSkjp16vTNN9+wvEANAGqHobpqk56ezjJSJBKxn7ITAACg9nTt2nXNmjX//vuvuhMBALZQ7qtHcXFxQUEBy2AMDAIAAE3QvHnzfv36TZ8+Xd2JAEAVoNxXjzdv3ujo6LAMxjhdAABQu9TU1E+fPu3bt0/diQBA1aDcV4/k5GT2/XMw6T4AAKjd7NmzN2zYoO4sAKDKMFRXPd68eSMWi1kGfz1znAEAgGa6evVqYGDghQsX1J0IAFQZWvfVIzExMT8/n2Uw1tgCAAD1CggIWL9+vbqzAIDqQOu+esTExMhkMjaRWlpa1tbWtZ0PAACAEtu2bWP5swUAmgat++rx+vVrlpFCoRBrbAEAgBotXbp09OjR6s4CAKoJ5b56pKSksIzk8XhYYwsAANTowIEDP/74I8tgW1tbzn/4fL6VlZWHh8fevXvLLst17tw5fX39bdu2sc8kKiqKw+E4Ozuzf0j1LFq0yNjY+OHDh7X9RAAqgHJfPT58+MAyksPhoHUfAADUJS4uTldXt2/fvlV6lJubm7u7e48ePfT09P7555+pU6e2adMmPj5ePubZs2d5eXmhoaE1mm+VvX792sfHR2FjaGhodnZ2RESEWlICqFnou68GWVlZ7IPFYjFa9wEAQF2OHTv2/fffV/VRJ0+eZNqqIiMj582bd+PGjV69ej19+pRZO3LevHnm5uZDhgypyXSrbseOHQcOHFi8eLHCxuDg4PHjx6srK4AahNZ9NXjz5o1QKGQZXFJSgtZ9AABQl+qV+/IcHByuXr3q4uKSmJi4cuVKZruxsfGcOXPUO/tcQUHB8ePHy253cHCYMWMG+x9rAE2Gcl8NkpOTORwOy2CJRGJgYFCr+QAAAJTryZMn7969MzIy+sz98Pn8P/74gxCyZ8+eoqIiunHnzp0cDmf58uVMWGZm5saNGzt06KCnpycQCBo3brxw4cJK563Ozc319PRs1KiRUCi0s7NbsGBB2avowcHB3333nbm5uUgksre3HzNmzNu3bw8dOtSwYcO3b99mZmbSwQbNmjWj8WPGjOFwODdv3qx0J/Sus2fPuri4mJub6+nptWjRYubMmc+ePfuMAwZQk1Duq0FycnJxcTHLYH19/VpNBgAAoCKZmZk2NjaWlpafv6t27drZ29vn5eU9evSoopg9e/Z4enqmp6e7u7sPGjQoKytr8+bNEydOVLLbgoKCnj17bty40cDA4LvvvhOJRL6+vs7Ozrm5uUzMoUOHXF1dL126ZGFh4eTkJBaLL1++bGRkZGRkNH/+fEKIjo7Ohg0bNmzY8Ouvv1b0RBXthBBy+PDh4cOHP3v2zNnZuXfv3iUlJbt27Xr16lV1DhNALUDffTVISEhg2jYqxfRxBAAAULHQ0NAa7FtPR+u+evWqR48e5QbMnDmzZcuW/fr143K5hJCUlJTmzZufPXv27du39erVK/ch69ate/LkycqVK1esWEEIkUgk48ePP3HihLe397p16wgh79+/nzVrlpaW1sWLF5kBx6mpqTo6Ot99913//v2XLVumra3t6empJHMlOyGEbNmyhRDy5MkTe3t7eld4eHiLFi2qfoQAagVa99UgOjqafTA67gMAgLpcvnx54MCBNbU3U1NTonS+CkNDw/79+9NanxBia2vr4uJCCImJiSk3XiaT+fn5mZiYMD2CeDzewoULCSFnzpyhWw4dOlRQUPDjjz/KTy5U1QEDyndCE9bS0mLuatu2rUAgqNJTANQetO6rQWJiIvtgCwuLWksEAACgQllZWVFRUV27dq2pHUokEvJ/y+KKiMXigoICqVRqbGxMCKnoknh0dHRGRoalpeWcOXOYjYWFhYQQZtLPBw8eEEKqOpGoAuU7GTZsWFhYWO/evbds2eLh4fE5TwRQG1DuqwEzsocN9U5ZAAAAX63Nmze7urrW4A7fv39P/mvjL1dWVtb69evPnj2bkJAgk8kq3WFqaiohJD09fdeuXQp3icVisVjM5/PT09MJIRX1BWJJ+U6WLFmSl5fn4+PTv39/BweHxYsXT5w4kblGAaB2+CyqwcePH1lGcjicz/yGAgAAqB5fX9+ZM2fW1N5kMllYWBghpHXr1uUGFBcXu7i4bNpI3miKAAAgAElEQVS0yd7efu/evTdu3Lh161bv3r2V75MQ4u7uLisPn89nYthPiKfkiSraCZfLXbduXVxc3Pz585OTkydPnuzi4pKXl/c5zwhQg1Duq1pqaqq2tjbLYKFQWCPzIQAAAFTJs2fPmjZt2qdPn5ra4Y0bN969e2dhYVFRuX/u3LkXL15069YtICBg8uTJvXv37tmzp66urpJ9Wltbk8q6yNI+sWlpadVPnd1O7OzsfH194+LievfufffuXTpQGEAToNxXteTkZDbdFimBQIChugAAoHqBgYG9evWqqb3l5OT8/PPPhJA5c+bweLxyY2hve2dnZ6YbjEwme/78uZLdOjg4mJqaRkdHVzSWlxDSpUsXQkhgYGC59/J4PA6HU1paqjx/5TuRZ2Vl9eeffxJCQkJCKg0GUA2U+6r25s0bqVTKMpjL5Zqbm9dqPgAAAGUFBQW5ubl9/n5kMllgYKCTk1N0dHSrVq0WL15cUSRtQQ8PD2e2bNy4MSEhQcnOuVzu7NmzCSFTpkzJzMxktkdHR9+7d4/+PWHCBJFItHv37lu3bjEBsbGxdPgvh8OxsLD49OlTUlKSkidSspOSkpKgoCD5kQb0JWCmDdAcGKqraklJSewn3ZdKpWjdBwAA1QsMDGTmsqyG0aNHa2lp5ebmxsTEfPjwgRDi7Ozs7+8vEokqesjw4cOXL19+48YNJyenb7/99tmzZzExMT/++OO+ffuUPNGyZcv+/fff27dvN2jQoH379nw+Pzk5+fXr12vWrHFyciKEWFtb//XXX1OnTu3Vq1fLli2NjY2Tk5MTEhLS0tKsrKwIIYMHD969e3fPnj179OiRl5fn7+9f9lmU7ERPT69Xr15WVladOnUyMjJKTk4ODg4WCAR0AS8ATYDWfVWLi4ur9KIhQywWo9wHAAAVCw4OdnR0VFKaVyooKCggICA8PFxPT2/06NHnz58PDg5W3uBtbGwcEBDQq1eviIgIf39/MzOze/fuTZ8+XfkTCYXCgICAP/7449tvvw0LC6ON+tOnTx81ahQT8+OPPwYGBrq7uycnJ9+9e7eoqGj69OnMKpY+Pj6jRo369OnTqVOnlMylUdFOtLW1N27caGVlFRgYeOLEiYSEhO+++y44OLhbt25VOF4AtYnDZqIrqEFubm7ylwKV43K5xcXFdG4BAAAA1fjuu+/y8vKCgoLUnQgA1AC07qtacnIy+2CBQIBaHwAAVIzD4aAvCsAXA+W+qtGlOlhiLjUCAACozP3799EXBeCLgXJfpYqKitiP0yWE0MXDAQAAVCY2NtbY2BgjxwC+GCj3VerNmzfs19gimMYLAABUDk37AF8YlPsqlZycXNHyIuWic4QBAACoDMp9gC8Myn2Vevv2rVgsZh9vY2NTe8kAAACUde/ePZT7AF8SlPsqlZSUVFBQwDKYz+c3bty4VvMBAACQ9/79+1evXjk6Oqo7EQCoMSj3Ver169dSqZRlsFAorFJHfwAAgM8UFRXVvXt3dWcBADUJc7qrVHx8PPtgPp9vampae8kAAAAoCAsL69ChA8vg1NTU7OzsWs3nS2Jra4v5tUEtUO6rVGpqKvtgDoeDch8AAFQpLCxs0KBBymPS09MfPHiwcuXKd+/elZaWYlYJlry9vQcOHKjuLOBrhHJfpTIyMtgHS6VSlPsAAKBKYWFhq1atUhKwdu1aHx8fQoiLi8uePXscHBx0dHRUlR0AVAfKfdWRSCS5ubns40tLS1HuAwCAynz69Ck9Pb1p06YVBTx48MDf3z84OLhNmzaqTAwAPgfKfdVJSUnR1dXNy8tjGV9cXGxiYlKrKQEAADCUd9x/8+ZNjx49IiMjMWscQN2CmXlUJzU1tUprbAkEAj4f52MANezMmTMcDsfMzEzdibAydepUDoczfvx4dSdS8+bOncvhcEaMGKHuRNTJ0dGRw+Fs376d2XL37l1TU9N+/fqpJZ/79++3atWqonvt7OwyMjJQ6wPUOSj3VSc1NZX9LJyEEH19/dpLBuAzXb58mfN/aWtr29jYuLq6bty4EZN1AFRPUFDQx48fAwICsrKyVP/s+/fv19LSKrtdKpVqaWmlpqZiYhmAugjlvuqkpKQUFxezjzcyMqq9ZABqCu8/RUVFb9++vX37tqenZ8uWLePi4tSdWl0SFxfH4XDOnDmj7kS+Fhp7wIcOHdquXbvp06cbGxur/tkFAsGPP/5Ydruzs/O1a9esra1VnxIAfD6U+6qTkJBQUlLCPh4d96FOEP+ntLQ0NjZ2w4YNPB4vJSVl3rx56k6tLjl+/Li6U/i6aOwBb9my5ePHj3ft2qX6py4uLk5ISGjWrJnC9mHDhjVs2LB3796qTwkAagS6hqvO69evqxRvbm5eS5kA1AY+n9+kSRNPT8+srCwfH5/AwEB1Z1RnFBQUaGz1+UXCAS/Xs2fPWrdurbDx1q1bL1++jI6OVktKAFAj0LqvOsnJyVWKx8IlUEe1bduWEFJcXFxaWspsLC4uPnTokIeHh4WFhZaWlrGxcffu3ffv31/24RcuXPDw8GjYsKFQKKRhvr6+79+/l4/Jz89fvXp18+bNtbW1TUxMBgwYcPPmzbK7kkqlf//9d9u2bXV0dCwsLIYMGfLgwQOhUMj+tRQVFW3evLljx44GBga6urpt27b18fEpLCxUCKMDai9fvpyfn7906dLGjRsLhUILC4uhQ4eGh4crf4rt27c3atQoMjKSEDJy5Eg6EEKhJZXH47Hf8927dwcPHmxmZiYSiZo1a7Zs2TI2Qyn69evH4XCWL1+usP327dscDkdh2oCqvt5Pnz4tWbLE3t5eJBI1aNBg5syZqampFb0RbN5cmsCNGzdkMtkff/zRtGlTLpfbp08fJkDJp6jSAx4ZGTl//vwWLVro6uqKRCJ7e/tp06YlJibKJ5CSksLhcOgkNrdv33Z3dzcyMtLW1nZ0dNywYYNYLC77umJjY8eNG2dpaamtrd2iRYu1a9cWFRWVPQhHjhzhcDi2trbyG5s0acLhcPLy8hITEydOnGhlZSUQCOzs7GbPnq3wX4O6fPlynz59TExMuFyuwhibmTNnlnvYSXnlfn5+/ty5c/39/St6CEBtEIlE1Zuf4MGDBxwOZ8+ePRUF7Nixw9jYOCYmht7s16+fwv811fP29uZwOLXe/VUGqlKl1noOh/O///1P3SkDVOjSpUsVfYesWLGCENKxY0f5jcOHD6fxQqHQ3Nycy/3/bQ2LFi2SD6PL9xBCtLS06IkBvXn8+HEm5t27d82bN6fbDQ0NmV1t2LBBfldSqXTMmDH0LpFIZGpqyuFweDzerFmzCCGmpqaVvsa0tLSWLVvSPRgYGDDDaVq0aPH27Vv5yClTphBCVq1aRU91dHV1maH2IpEoNDRUybNMnTrV3d2dvtI2bdq4u7u7u7t7enrK73ny5Mks97x161YOh0MI4fP5TGTjxo1TUlKUv1h3d3dCyLJlyxS237p1ixDC4/Gq/XozMzOZ98vAwMDAwIAe/7FjxxJChg8fLh/M8s2lCRw4cGDBggXM1+akSZPovco/RcoP+IsXL5gdmpiY6Onp0b/NzMwSExOZBN68eUMI0dPTo9U5l8s1MzNjsh0xYoTCYXz06BFziExNTUUiEf0/0rlzZ0LIn3/+yUQePnyYEGJjYyP/cDoZzuHDh+mH0NjYmDlPaNy4cU5OjnzwH3/8Qe/q0qXLd999Z2lpSW/q6em1bNlS/rkUzJ49+6+//mJuFhUV8fn8VatWVRQPdQ4hhMvlPnnyRGG7nZ3d6NGjWe7k4MGDr1+/runU/g+hUDhu3LhqPDAkJIQQ4ufnV1HAli1bRCLRy5cv6U13d3eF/2uqt2HDBkJIbGxsrT4Lyn3VqdIsnEKhcNu2bepOGaBC5Zb7Hz582L17t66urq6ubkhIiPxdwcHB06ZNu3fvnlgslslkubm506ZNo1VpWloajcnLy9PW1iaE+Pj4lJSUyGSyoqKiq1evTps2jd6kPDw8CCGurq5xcXH0UfQEg8Ph3L17lwk7dOgQzdDX17e4uFgmkyUlJdHHEnblPm3xdXR0DAsLo1siIiI6depECHFxcZFKpUwkrT75fL6trW1gYCC96/79+/QanYeHR6XPRdfUO336tMJ2umcej8dmzyEhIVwuVyQS7dmzh77kx48ff/vtt4SQvn37Kk+gGuU+y9dLh34aGxv/888/dMv9+/eZyRwVyn2Wby5NoHfv3lwu19PTMy4u7sOHD+/fv5ex/hRVdMBlMtmsWbN27NhB9yaTye7du0eD58yZw8TQcp8ehDlz5nz48EEmk+Xn59OTSULIw4cPmeDS0lL6LrRp0yY6OppuOXr0KM2TfbnP5/N79OjB7OHAgQP0BGPjxo1M5Pv37+m5xN69e+mWnJwcelYmX8qXy8nJSf4gz507V8m5AdRF9PPm5OSksJ19uV9SUmJgYEAvrNWe2iv3ZTIZ/Q2ivp5yX1nf/czMzGnTplXpwjdUpKSkRF9fXyKRsIzn8/mnT5++f/9+rWb1BZNKpZMmTerfv7+6E/nyMc2fpaWlJSUlNjY248ePX7BgwTfffCMf1r179+7du8s/atu2badOncrJyQkJCRk6dCghJCkpqbCwkMPhzJs3jza+CoVCDw8PpkYnhISGhl67ds3U1NTf359OXaKrq7ty5co7d+7cvn1727ZtTk5ONNLX15cQMmHChPnz59MtDRo0OHPmTKNGjcrt/6AgODj45s2bPB7v3LlzTZo0oRtbtGhx8eJFe3v7O3fuXL9+nZbIDLFYfPjw4Z49e9KbXbt2Xbp06c8//3znzh2pVMo0/VaDRCJhs+c1a9ZIpVIvLy9aDRNC2rVrt2vXrp49e16/fj0yMtLBwaHaOZTF5vVmZmbS+nXTpk3M4erateuJEyc6duyosEP2by518+bN1atX//bbb/Ib2XyKlPv777/lb3br1m3hwoVeXl7//vtv2WBnZ2dm1nwdHZ0tW7acP38+LS0tKCiInhkSQq5evRodHc3j8U6dOkUXrOXz+d9///3bt28XLVrEMitCiJ6e3oULF2gDP5/PnzRp0sWLF8+ePRsUFLR48WIac/369aKiIjs7O2aCHQMDg/nz50+aNOnAgQOzZ89Wsv+nT58ya+W+fv36/PnzzFkNfDHatWt37969I0eOVK+3zJUrVz59+lTjWalSldpevxjKyv3t27dzudzBgwerLJsv28iRI9WdwlckISHBy8sL5b4K5Ofny9/MyspKTU1NTk5WKPfLEolEjRo1evr06YcPH+gWa2trLpcrlUpPnz49bty4ch9FZ07s06ePwjSFbm5ut2/fDg4Opjffv39PO5FPmjRJPkxHR2fYsGE7d+6s9HWdPXuWEOLs7MzU+pSlpeWAAQNOnz594sQJhXK/fv36TO1L0YKvoKAgKyuLthBXD5s95+XlBQQEEEJGjx4tH9m9e3ctLa3S0tLg4OCaLffZZBUYGFhaWioUCpmOVVSHDh2aNWsWFRUlv5Hlm8vQ19f/9ddfFTay+RRVFT1uzAdV3oQJE+RvCgSC1q1bp6WlpaamMhv/+ecfQkjXrl1prS//2CqV+4MGDVKYoLlTp05nz56Vf663b98SQho2bCgf1qBBA0KI8s7BERERdIAKvTlgwIBRo0axzw3qinHjxpWUlCxevHjw4MHlLu9TUFCwc+fOI0eOvHr1isvldujQYePGjV27diWErF27ll5to+NkBgwYcPny5YULF27evDk3N5dp+lm5cuWqVavevHlDu8X369fPzMxs3bp1U6ZMCQ4OXrJkyZo1a0JDQ319fQMDAz9+/Ghubv7DDz+sWLGCTftyv379eDyem5vbrl27kpKSrKys5syZw5zuUjKZbNGiRYcPHy4oKGjduvWmTZtorzlCiLe3t5eXV2xsrMIXO/PaV61adeLEiXfv3jVo0ODHH39cvHgxc3oQGhq6dOnSx48fSySSxo0bjxs3jvn+SUpK8vLyun79el5eXsuWLZctWzZkyBB614MHD7p27XrkyJGTJ08GBweXlJT06NFj69at9IofIyMjw9PT8/r169ra2j179vT19a1Xrx597LZt23766Scmcvbs2X5+fmlpaVVaLLKSmXkcHR0VvqMB6oTHjx9jeJlqyP67QFxSUvLmzRt/f//Vq1dfvnzZ09OTXqNkiMXif//99/nz55mZmXRS2nfv3hFCmKtexsbG8+bN8/X1nTBhws2bN5csWVJ2TsCwsDBCyD///KPwZU0bnGgHDA6HExERQbe3a9dOYQ+Ojo5sXhc9W2AaO+W1bdv29OnTjx49UtjeokULhS1MiV9UVMTmSSvCZs/h4eH0SPbv359232fQBf7o0a5BbLKib8S3336ro6OjEOzo6KhQ7rN8c5ntnTp1KrtbNp+iSiUnJwcHByclJeXn50ulUjqvWrmXZys6CPLvOD0IZT+KlpaWZmZm5Z5FlIvNc1lYWBBCFK5f0Zt01ERFrl27xsz+/Pbt29zc3M2bN7NMDOqQwsLCbdu2ubm5rVmzhhnlIo/H4+3Zs8fZ2Xn69OmFhYW///77oEGDYmJiTExMhg0bJpFIVq5c6evr26FDB/bThScmJg4aNKhRo0YrVqxwc3MjhISEhCQkJMydO9fW1vbGjRsbNmwQCoX0XKJSV69eff78+caNG+3s7E6fPr1kyRIOhyN/5uzt7W1pabls2bKioiJvb+8+ffokJCRU2uAik8mGDh1669atuXPnNm/ePCwsbNmyZfHx8X5+foSQzMzMPn36NG3adOPGjcXFxQ8ePEhJSaEPzMjI6Natm0Qi+eWXX0xMTC5cuDB06NDDhw/LXz8ZP3789OnTL168+OHDh19//dXNze3ly5fyZ+/jx4/v3Lnz5s2bIyMjt23b9ubNm/v373fp0qVZs2bHjh1jyn2pVHru3Lm+fftWdWF4TMQJADVDIBA0btx48eLF9vb2I0eO9Pb2Hjt2bKtWrei9Fy9enDlzZlpamvKd+Pj4GBoarl+//sCBAwcPHuzfv/+yZctowxJFC5fs7OxyZ5uRSqUSiYTP53/8+JEQwuPxyq5VxPInKjMzkxBS7lcq3Vi2R5CSpfGYk6LqYbNnJp/4+PhyI+UnSqoRbLKib0S5h7HsG8HyzWW20NK2rEo/RUrk5uZOnTqV9ulnE1/RQZB/uPKDwL7cZ/NcdBRyZGTkzZs36eATsVi8e/duQki/fv2U7Ly0tJS5mL9+/fqlS5eyzArqluLiYldX11GjRm3ZsmXKlCkKbcyEEKFQ+OrVK+amlZXV999/HxgYOHLkyObNm9N4R0dHZ2dn9k967969RYsWyZ9d/Pzzzz///DP9+4cffnjy5MmpU6dYlvuEkH379tErDE5OTs+ePfP29p43b55AIKD38ni8W7du0WsFJiYmU6dODQoKqrSHxdWrV69fv840pU+dOpVelJg9e3bbtm2fPXuWk5OzZs0a+v9o7ty5zAN9fHzevn37/PlzOrXDzJkzXVxcFixYMHLkSOZ6Rbdu3ZjFNExNTXv27Ll37175i5NOTk7MeLOMjIwjR468f//ewsJi0qRJXl5e8fHx9vb29Ei+e/fu+++/Z3mgGJiIEwBq2NChQ2mf6WvXrtEtDx8+HDZsWFpamoeHx61bt7Kzs+ngzrKtlXw+f8WKFQkJCQsXLjQwMLhy5YqTk5OXlxcTQCsbJfNW0XJQSa3GsuMmbUUudz90o0ILOvs9VwObPTNZSSSSco/M2rVrq/HU5c4pWY2s2Dyc5ZvLqGg4RKWfIiXGjh176tQpAwODLVu2xMfH09G9p0+frii+xg+CEmyCrays1q9fTwjx8PAYMmTItGnTWrZsGRgYWL9+feW11MuXL+n/x0+fPu3du5fWFvDlodf6Nm3apKWlxWYxRDpTFj1l/Ryenp4V3cXhcBwcHNg/Be3Mw9zs37//x48fnz17xmwZPnw4U2fTcepsrm1evnyZECLfJD9x4kRCyPnz5wkh33zzjUAg2LRpE+0vp/DAVq1aMdO4cTic8ePHZ2RkyA+/lJ8muEePHnp6ekFBQfI7ke95SHNOT08nhEyYMIHL5R47doze5e/vr6Ojw/QUYg/lPgDUMB6PR6edpQ3khJCtW7dKJJK2bdtevny5Z8+ehoaGtPSpqL25Xr16v//+e1JS0ty5c2Uymbe395EjR+hdtIlUvrNyuWijvkQiyc3NVbiLzST05L+W44yMjLJ30Y2athAePTIymazsr9HnyMrK+pyH0zei3J2UfSNYvrksKfkUVSQmJubKlSuEkAMHDsybN69Ro0b0xPUzL4xU6SB8voULF/7vf/8Ti8UXL17cv39/fn7+7NmzQ0NDra2tlTyKKfeTk5O5XC77kc1QF9WvX3/p0qUBAQEXLlwgZc5F/fz8unfvbmlpqa+vTy+Lfeb1STMzM4WrefHx8ZMnT27atKmRkZGent6lS5fYP4W5ubn8qS/9YMt/b9jY2DB/0yZ/NhOlJCQk6OnpyV8QpoNe6PVSW1vbQ4cOhYaGNmrUaPLkyfILzyUkJNDIch8onyTF4XAsLS0VvugqytnGxqZPnz603JfJZGfPnh00aBAzxoY9lPsAUMNKSkpoUwrTV5JeGnZxcZFvji0tLWX6PpbL0NDwzz//pG0eJ06coBtpB+i7d+8qz4G5biA/jTql0F+8InTemCdPnpS9i25s3749m/2wpORiAktt2rShh7fSg1Mu2hhWtoGNGQVRPfSNiI6OLlsxl30jWL65VVLup4hUcMCZPgyurq7y2xMSEj4nB3oQyn4Uc3JyanxABSHk/v37Gzdu7NOnT35+vlgsTk5O/uuvv5jZ9yvClPuXL19melnAF2zhwoWNGzf+5ZdfFJZ727Bhw/Tp021sbM6cOfPkyROmXZm9sgsRKozBzcrK6tat27Vr15YsWXL37t2nT5/26NGD/f6Li4vlb9KRYPLoXLRVVe71N3mjR49OSkpasWIFbc5nmg8qfSD5vJx/+OGHyMjI8PDwR48evXnzpho9eQjKfQCocadOnaLXi5nOnbStQuE3YM+ePQUFBZXujXYqYLqU0NlCoqOj6YXXilhbW9NhAwq/VaWlpfTKbKXo/DYhISF0+VXGu3fvaCclZuGwGkEntWCuh1SDkZERvV7s6+vLfs5fBm1bUhh/LBaLjx8/Xu2UCCG9evXi8/n5+fm0EZERGxv79OlThWCWb241KHyKSAUHnOn7K/9ZLSwspGP1qo129r1z545Cex77EQJV8vvvvxcXF3t6ejLz+lcqJibG3t6e9pXatm0byv2vgVAo9PX1TUhI+P333+WHce/fv79Ro0bHjh3r3r1706ZNK50thza0y9evlc7feu3atfT09A0bNkydOtXR0bFJkyYKM7wpl5OTI//bkZSURAj5/MVx7e3t8/Ly5K/CJScnk/87z5WRkdHSpUtjYmJatWr1008/0f+/9vb2NFLJA+UvuhYXF6enp7NPeMiQIUZGRv7+/ufOnTMxMVE+CKciKPcBoGbIZLKUlJQ//vhjxowZhJBu3boxs6TTlvKTJ0++fPmSECKRSM6fP+/l5aXwQ3L27FkvL6+nT5/SswVCyL179+gow27dutEtXbp0oZP0jxs3bs+ePVlZWTKZ7OPHjzdu3Jg2bRoz1IkQ8ssvvxBCduzYsXv3btq0/O7duwkTJrBsqW3btu2IESOkUumwYcOYIvjVq1eDBw8uLCzs2LHjwIEDq3uoykGnejx27BhtBFJoCmJp7dq1Wlpajx49Gjx48LNnzyQSSUlJSXR09Pbt2xXmDC2rV69ehJDHjx+vW7eO/nKnpqaOGTPmMxu2LSwsaMv63Llzb9++TTc+e/aMrjurEMz+zVWCzaeIVHDA27VrR6+QrF69mp4bpKWlTZw4sdIh5soNHDiwSZMmJSUlI0eOpNf3JRLJxYsXFy5c+Dm7rQithC5evJiSkkLHPVdaSzFN+7/++quWlpbybj/wxRg0aJCHh8eGDRvkL+vJZDJ9fX3mSqzCiTqdC0u+Exrt98icvefk5Fy9elX589L/+8zQ88TExOfPn7NPWyqVnjp1iv4tFovPnDljamraunVr9nsoF+0QL/89Q9cMGTBgAPm/VwKNjY179OiRnZ1N2wWGDBny/PlzZvCATCY7evSokZGR/BfOuXPnmDMif3//kpIS+eEHyolEojFjxty4ceP69evDhw9nWiWqRskSXCtXrlyxYoWSAACNFRYW1r59e3Vn8SVjVtXl/Uf+gua333775s0bJjg2NpY2pnK5XFtbW1rljxgxgk6WvGPHDhrGtKFqa2s3aNCAmcXZ0dHx06dPzN6ysrLkV+ySx+xKJpNJpVJm4nBtbW1zc3MOhyMQCLZt20bYrapLrzjTPRgYGDB9Ops0aZKYmCgfSZe1KrsMZGxsLH2I/NEoF51ynhCip6dXr169Vq1aVW/Px44dK/fHwNLSUnkCYrGYmbuGz+ebmZlxOBwtLS36s1ruqross8rIyGCmwjQ0NKS/8TY2NnR5LIVVdVm+uRUlIGP9KarogNOTVfqO00WCdXR06IJr8seQab8suxYmPb2ZMmWK/MYHDx4wmZiZmdGaqXfv3iNGjCCsV9Utu1YofbGNGzeW33ju3Lmyg5iNjIwmTZqUnp5e9ojJZLI1a9YsX75cJpO5ubndvHmz3Bj4ApAyK2dHR0fTbwxmVV36n2vx4sVHjhyZPHkynYyY+d+XkpIiEAg6dOhw5MiRU6dOyWSyxMREoVBoZ2e3bdu2zZs3Ozo60kVXmC+BsivXvn79WiAQNG/e/ODBg1u3bm3UqFGrVq3k/38pWVXX3d29YcOGTZs29fLy2rVrF72k+fvvv9N7y66qSzvR+fr60psKS9gq5DZkyBA+nz9//nw/P7+ZM2dyOJwxY8bQu7Zu3Tp06NBt27YdO3Zs1apVenp6AwcOpDwVz3EAACAASURBVHd9/PixYcOGFhYWa9as2bFjB21937lzp3xK3bt379Gjx/bt2+lj69Wrl52dXW5KMpnszz//JISEh4czWx48eCAUCrlcblBQULmHpVJo3QeAzyL5j0wmMzAw6Ny5s4+PT1hYmPyVyiZNmgQHB/fr109HRyczM7NJkya///77yZMnFeZGHDx48Nq1a9u1a8fn81NTU3V1dTt16rRmzZqQkBD55WCMjIyCgoJ2797dvXt3Q0NDOtumk5OTj4+P/MJAHA7n2LFj27ZtYyq5QYMG3bt3b/bs2SxbR4yMjOhirh07dpRIJMXFxa1bt16zZk14eLidnd1nH7n/Y/jw4X///XeLFi3EYnFBQUHZFWdZGjt27PPnz6dOndqwYUOBQCAUCu3t7SdOnFhpnxwejxcQEPDLL780atSIw+FIpdJBgwaFhISMHDmy0m7fypmZmYWEhCxYsMDOzq6wsFBPT2/GjBmPHz+Wn6qCwfLNVYLlp6iiA759+/bVq1fb29sXFhZKJBJ6badXr14sl2uoSOfOncPCwkaPHm1ubp6Xl2dnZ7du3bqrV68yM9XWlLy8vCdPnpSdfzY7O/vgwYOurq7lTrVEW/cTExPj4+PpdR74SnzzzTf0QijDx8dn9OjRu3btmjVrVn5+/vXr1+XXwbCxsfnrr7/evn07efJkuriNnZ3d2bNnjY2NlyxZ8scff4waNYo2qShhb29/9OhRsVg8bdq07du3r127VmGdLOVkMtnFixfv3bs3b9686OjojRs31tSFspMnT3p6ep47d2727NnXr19fsWIF09jfuXPn7OzsZcuWTZo0ad++fVOmTGH67hsbG9+7d8/d3d3X13f+/Pnv378/fvw403BATZ482d3dfe3atd7e3t26dQsKCjI0NGSfWOfOnW1sbKytrV1cXKr30jiyijsOrlq1SiaTrVy5snq7BmrmzJm7du0SCoWfuc5ONRQXF/fp0+fZs2d+fn5f2/qIjx8/njFjBl21BwDga1BYWNipU6eIiIiVK1fOnDmTnqfJZDK64vKECROKiopu3bqlsBYyIcTKymrDhg2vX7/W1tZetmyZGlIHYKdfv34RERHKp3nQKHRlXD8/v6lTp1Z7J58+fbK0tJw9e3a1F7+rgdb96dOnczgcDocTExPz+XuDGvT8+fN///3306dP+/btU3cuAABQu06cOBEREeHh4bFixQrmmgyHw9HX1x8xYkSnTp0IIXSFYAW6urrt27f38fEZM2bM56dha2vL+Y+2tnbTpk2nT5+uMBHTmDFjOBzOzZs3le+qS5cuTZs2ZabT3blzJ4fDWb58+ecnWRs0PD2ouw4ePFhUVET7WVXP55b7xcXFzPojlU5pXKmXL1+uXLlSYYAzVFujRo3ocPuanTEQAAA0EB0HXO7yvRKJhI43qFevXtl7U1JSIiMjnZyc6CCBGuHm5ubu7t6sWbO0tDQ/P7+2bdsGBARUaQ8lJSVPnjyJi4sru4I1wNfgzZs3hw8fXr9+vZeX16hRo+iSZ9XzueX+5cuXs7Oz6RC9zy/36SAGlPs1xczMLCoqKiQkpHqraQIAQB1Cq4FLly49ePBAfntKSsr48eMTEhLq16+vsKQAISQhIcHGxuby5cuf03ZY1smTJ//555/w8PDU1NRJkyYVFRVNnTq1SguWCQSCK1eu+Pv71+BJCEAdkpycPHPmzM2bNw8fPpxOL1Zt/MpDlKJzCIwcOfLMmTMJCQn37t1jpt6rKolEQod9QA2ytrbGlGoAAF+DkSNH7tq1686dO127dm3evHm9evXEYnFKSsrr169lMpmVldXZs2fLruYTFRXVrFmzo0ePspzqtKoMDQ137doVEBCQkpISGhoqPzthpcodzw1fs3/++UfdKVRNly5dlIySVc7JyalKKxIo8Vmt+x8/fqTLzYwZM4Z2CqTVf/X4+/uXu159XcdmuTUAAIDPxOfzAwICtm7d6uTklJaWduvWrQcPHhQWFvbu3dvX1zcmJqZDhw5lHxUVFZWbmztkyJDa+7USCoV0WnS6IhKDy+X6+fm1atVKJBJZWFiMHz9efjUiQoiVlRWHwyl3NiFGXl7eihUrHBwcRCKRqanp4MGDQ0NDFWLOnj3r4uJibm6up6fXokWLmTNnMrOkE0JkMtn+/fu7du2qr6+vp6fn5ORUdilZKyur7t27v3r1avjw4RYWFiKRqGXLluWuv8bj8e7cuePq6qqnp2dgYNC7d286FSMhJCwsjMPhdOnSReEhkZGRHA6nbdu2Sl4mwOf4rHL/1KlTJSUlOjo67u7udHmC06dPl10ZmBCSl5dHR+3QBcMDAgLat2+vpaXF4XD27dv34sWL/v370zUsCSHdu3dnRvkkJibSjRKJZP/+/b179zY3N9fS0jIwMGjduvX8+fMfP37MJtXCwsJ9+/YNGDDA2tpaIBDo6ek1b978p59+KruCDJNqUlKSTCbbtWtXly5dDA0NtbW1HRwclixZUnaReUoqle7cubNz5876+vqGhobt2rXz8fEpLi5mv64hFRQUNGrUqPr16wsEAh0dnSZNmowfP/7KlStlv++Kior+/vvvXr160WNiYmLi7Oy8cuXK9PR0hddS7sihwsLCjRs3tm/fnn7BtW3bdu3atcyIKMbly5c5HE6jRo0IIZ8+fVq2bFmzZs20tbUNDAy6dOmyffv2itbvlMlkly5dGjFiBJ1nXU9Pr3Xr1nPnzpX/kqVSU1PnzZvXtGlTbW1tExMTNze3Q4cOMUvkyIuLi5s9e7aDg4OOjo5AIKhXr17//v337dv36dMnFocWAOALJxQKf/7557t37378+FEsFhcWFqakpFy/fn3+/Pny85DKe/Xq1f3796s0E2I10CV7Fa4tbN26dc6cOVZWVoMGDeLz+UePHnVzc6tSh5/8/HwXFxe6LNrQoUM7dOjwzz//ODk5nTt3jok5fPjw8OHDnz175uzs3Lt375KSkl27dr169YoJmDVr1o8//hgbG9u3b193d/eoqKhx48aVndsxNDS0S5cuWVlZS5Ys+d///ldSUjJ9+vQ1a9YohIWFhfXu3Ts/P3/gwIENGjQIDAx0c3Ojc5l06NChefPmDx8+VKg9aNeGsWPHsn/hAFWjZE7+SpfZopfkRo0aJZPJ6AghQsjZs2fLRjJF5OPHjw8cOCCfwO3bt//3v//p6uoy62tqa2vr/ofW3KWlpX379i03fzqzsvLFBV6/fl12EmLKwMDgyZMn5aZ67dq1QYMGlX2Ivb39+/fvFZ6iqKio3EUrW7VqNX/+fEKIUChUniS1evXqit6pkydPykfGxsYyK9coePHihcJrUVhWQyaTJScn04UwFDRs2DAmJkY+kq6mxOVyExMT6UL0CgYPHiyVShX2n5eXN3jw4HLTk19QRiaT3bhxo9wfob59++bl5clHBgQElL0MTc2aNUshASyzBQDARrNmzfr06VODO7SxsSGEZGRkMFuKiorMzc0JIbRbkUwmow18urq6zE9wVlYWbVe6dOkS80A6v1BpaSm9uWPHDoVftEWLFhFCpk2bRpf+kMlkT58+pY1uHz9+pFvatWsn/9QymezJkyfFxcX07ytXrhBC2rZtyyx79PHjR3otIjAwUCGToUOHMluysrJsbGy0tLSSk5Pl0yOE7N69m26RSCR0JbVff/2Vbtm4cSMhZP369fJHrE2bNrR9k90BBqiy6vfdj4+Pv3//PiGEztvVqFGjLl26PHjw4PDhw3QV9HIFBQUtX768Xr16U6dObdKkSXZ2dsuWLV1cXFatWnXixAl6anv9+nVnZ2f5R+3evfv69euEkHHjxk2ePNnCwuLjx48hISFHjx5t1qxZufMMyLO3t//mm28yMjImTpzo7Oxsbm6ekZFx4sSJPXv2fPr0ac6cOfSFKJgzZ058fPzYsWMnTpxoZWWVmJjo7e398OHD+Pj45cuX79q1Sz7Y09OTzjng4uKycOHCBg0apKam7t2719/fn/3S0BEREStWrCCEdO7cefHixY0bNy4qKnr27NmpU6eioqLkj2peXt6AAQNoa0H//v2HDh1qaWmZlpZ27969xMTESpeDKSkp8fDwiImJ0dfXX758uZOTk5aW1tWrV729vRMTEwcPHvzkyROFwloqlbq5uaWmpnp5eXl4eOjo6Dx79mzZsmXv3r27cOEC894xpk+fTlfebtmy5eTJk+3t7fPy8kJDQ69evSq/AkBcXNzgwYMLCgqaNGmybNmyli1b0mlDjxw5cv369blz5+7fv59GisXiH374oaioyNraetWqVW3atOHz+bGxsRcvXqQrYpR9mVKptLS0VEtLi+XxBwD4CiUkJHh6etbe/vPz83/66aeMjAxXV1eFNqOJEycyPViMjIy+//77devWPX/+fODAgWz2LJFI/Pz8tLS0fHx8mIWEW7duPWPGjE2bNh0+fPjnn38mhNC75H8L5LvN7Ny5kxCydu1aZtkjY2PjdevWDRw4cPv27W5ubvLPSNvvmIQnT568du3aM2fOyK9U5eDgMG3aNPo3l8udMWPGmTNnmEpg/PjxXl5eJ06c8PLyolvi4+OfPn3arVu3ml28LzU1NTs7uwZ3CBrO1tZWydJd1S/36Tw8BgYGHh4edMvYsWMfPHhw9erVrKysilrTvby8WrRocevWrYoCykVr/ebNm8tP/uPi4uLp6VlYWMhmD1euXDExMZHvmNirVy/aQSgkJCQ9Pb3sspHx8fELFy78/fff6c02bdp4eHg0btw4NTX15MmTdHpdeldqaipd8bhLly43b96klyxbtWrl4eFB19hi+TLp0uWEkIsXL1pYWNCNnTt3nj59emFhofxXFe2FSQjZuHGj/BXY6dOns3miv/766+XLl3w+/86dO8y3XqdOnczMzH766afIyMgDBw7MnDmz7AG5dOkS8y3cvn37jh07tm7dWiaTHT9+XL7c//fff2nHx0GDBp09e5YeEELIuHHjtmzZIr/PX3/9taCgwM7OLjw8nFlh3tXVtbCw0N/f/+DBg4sXL3ZwcCCEREREpKWlEUK2bNnCnDC0bdt21KhRhYWF5faYSkhIEIlEMpmMz+draWkJBAIjI6PS0lK61ChDJBKJRCJtbW0dHR36r46OjkgkovcaGxuLxWKBQCAQCOhOyv1DYSPzkgEANNmHDx+Ki4snTZpU43seN24cn8/Pysp6/vx5fn6+vb192RVgFMYS0Ma7sn1KKxIZGZmdnd2+fXsjIyP57a6urps2bbpz5w4t94cNG0Y72GzZsoWpWBi0va979+7yG3v27MnhcO7cuaMQrNCaRn9AFToVK39R9erV69OnT0BAQGRkJP11q8GePBKJZOvWrVevXo2OjpbJZPn5+fRKC3wNvL29lZwnV78oOXr0KCFk8ODBTDPw6NGjFyxYUFxcfOrUKYXVgxlisfjQoUNVqvUJIXQ8AI/HK3sXy57xpqamZTd+9913tPE4Li6ubLmvq6tL29oZQqFw7NixmzZtysnJefPmTYMGDej2kydP0v7rK1asUKjz1qxZs2fPnop6tytghj2UfaUKL5O2Rjg4ONDrmFVFHz5mzBiFgUHTpk3z8vLKy8s7c+ZM2XK/R48eCp+kli1btm/fPiwsLCIiQn47vaDJ4/F27NihpPBNSUm5fPkyIeS3335jan1qwYIF/v7+MpnM39+fDjxgDk7ZHVb0GZDJZHQAQGlpaWlpaUFBAfumDi6Xy+PxeDwefTpmMAn5b+w1PTFjLpPR7kxSqVQikUilUnNz8w8fPtCdMLtidsjn85k/6KkIn883NzfPzc0te688Zm9cLpfP53M4HENDw9zcXIXcKvqX/sHj8ehVb/kDpfCH/AukNx0dHenVbQD4kixbtkzh67emXL9+na7w1axZs8GDB8+bN4+uAyOPadiiaDO8jPU0JnQ0oJWVlcJ2Oh8dM+p3yZIleXl5Pj4+/fv3d3BwWLx48cSJE+lzlZaWZmZm6urqKvQppVs+fvxYXFzM9DQmhCiE0dLiw4cPVXpRP/zwQ0BAwPHjx2n3XX9/fx6PN3LkSJavulxRUVH379+fOnVqz549O3bsOGDAgObNm9evX/9zZmqHL0k1y/1Hjx7R1mVmfC0hxNLS0tXV9ebNm0eOHKmo3O/UqVOrVq2q+nSdOnW6du3aixcv1q1b5+XlxVyz+0zMWiR5eXll73V2di77JdiwYUP6R1ZWFlPu07YBoVCocNWPEGJubt66desnT56wyYfObkQImTJlyqFDh8p+M1IxMTH0W2zYsGHVmEjhzZs39L1T6DFFCBEKhfb29s+fPw8PDy/7wLKNIoSQhg0bhoWFZWVlyW+kLSJdunRR3q5w69YtWo6XzYS2eRBCmExatGihq6ubn5/v6enZqlWrJk2aKNnz55NKpbQvUPUenp6ezrw1zGlA2cpb/pxBJBIVFhbSv4ncuQpzRkHkzjoYJiYmdOELZucKVX7ZPwQCAX1d8h+ecv+W31i/fv2CgoLqHQ0A0FhJSUkFBQXJycnML1pNycjIKHfBL3kVDcdiSb7xRR7zhUlvcrncdevWTZ8+fcuWLX5+fpMnT967d++1a9f09PQq2kPZnVBisVj+Snu5UwZV+qKGDBliaGhIy/3U1NRHjx716tWrbJsjS1KpdNGiRUePHtXW1j5w4MDEiROrtx/4slWz3KcTbhobGyuMoP3+++9v3rx57969hIQEOuZGAR0xU1Xz588/evRoXFzc8uXLjx07tnjx4rFjxwoEgirtJDIy8uLFixEREZmZmbSuysnJoXeV+1+93HU9dHV16R/yhWBsbCwhxN7evtyU7O3tWZb7PXv2HDFixJkzZy5cuNC4ceN58+bNnDmz7NdldHQ0/aPSPvrlYnoQzpw5s2wTPpWVlSWTyRS+5pQcEPmjkZubS89GKk2PyaSiMceEkMzMTOaJvL29f/rpp9jY2BYtWkyaNGnBggVKHlgRDodDm8lpG7l8sz3TTk+rc4lEIhaLGzZsmJaWRhvgtf5DO+0IhUKBQED7AgmFQm1tbaZTkLm5eUlJCY2Uf1S5f9ObQqGQttkrNOczf9fUWS4AACMlJaVdu3bjxo37999/1Z1LldEWJdrPUx7dorDmjJ2dna+v75IlSyZMmHDz5s1169Zt2LCBz+dbWlqmp6d/+vRJvoktPz8/NzfXxMRE4Wf9/fv38j3sU1NTCSF0FDJ7IpFo1KhRfn5+T548efTokUwmq3ZPnrCwsLt37966dev8+fNl5/cEYFSn3BeLxSdPniSEZGVllVvgymSyo0ePljv5Y1W78VBGRkYhISE///zziRMnXr169cMPP3h5ef3888/z5s1j05mnsLBw2rRptPcRexU1rpdFu4gomfyH/ZMeP37c0dHRx8fnw4cPv/322/r16ydPnuzl5WVra8vEME3pJiYm7PfMYApoJWQymUQiUeg2w/KFsE+PTSbybSdz5861tLT85ZdfUlNT/fz89uzZM3DgwGXLlnXu3LncxwqFQicnJ11dXT09PX19fX19fVNTUz6fz3TWF8mp6CaWTQCAL5itrW1+fv7Hjx937Ngxa9YsdadTNd9++62lpeWLFy8+fvwo/4tDrzCXvW5MCLGysvrzzz8dHByYufBdXFxOnTp1584d+bn4KtpDSEiIfLl/+/ZtQkj79u2rmvmkSZP8/PyuXLkSGhoqEAiGDRtW1T0QQs6ePbto0SItLa2HDx8qGaMJQKpX7gcEBFS6Htbhw4fLLfer3UJpZmZ27NixpUuXent7nzx5Mi0tzcvL6+DBg6dPn660FXnKlCnHjx8nhLi7u//yyy/t27c3Njbm8Xg3b95UsmJfuUMFlKioLqzSqE0+n79ixYpZs2Zt2bJlx44d2dnZf//997Fjx/z8/OhkXoQQZiRA9Q4m8/CDBw/26NFDSSYKW1geEPbpMZHR0dEVXatRuCo6cuRIOuJi06ZNr1+/vnTp0pUrVzw9PdeuXVv2+NevX//u3btscgYA+Go5Ojra2dklJSVJpdK6dRWRw+HMnTv3t99+W7hwoZ+fH/2RioiI2LVrl56e3vjx4wkhJSUld+/edXV1ZX4jaB9Rpof93LlzT5069dtvvzk7O9Nmu5ycHFrAlL0Avnbt2r59+9JTi/Dw8OPHj2tpaTG/zuw5OTk1bdr0zp07Dx8+7Nevn8JQYzYOHz68Z8+eEf+PvTsNa+ra+gC+EwhJAIGIIGAAASGMKiBiFRUVC861DlBnrVMdqm3VWsVqtSpq1draqpVWe20rFefWKiIOONYZBxBFURSZkRkSQvJ+OO89T24CIUw5Cf5/H+5j9lk5WcFbWdnZe+3Ro6nOngDqNabcp1byCIXC9evXq159+PBhVFTU48ePr1+/Ti9Gby7e3t6//fbb+vXrV65cuWfPnkePHoWFhaWmptJrbFQ9ffqUqvXDwsL++ecfxaJQwx209aI+Vdd10lMjToCytrZet27d8uXLt23btnbt2qKiooiIiH///ZeaQqC/RlBaMa8hegqEzWbTWxGakebp0ZkYGxsrfn2hHpfLnT179syZM2NjY5csWZKRkbFu3Tpra+sFCxY0OmcAgLeWp6dn3759V6xYIRAIPv/8c6bTaZglS5YkJCTs2bMnMTExICCgqKjo3LlzUqn0t99+o9bYSCSSAQMG2NjYdO/e3cLCIiMjIzEx0cjIiG6p2bt372XLlq1bt65Tp050Q578/Py5c+cq7VgTCATvvPOOq6trcHBwdXV1fHx8VVXVmjVrNP/9pWjSpEkrV66UyWSNWMnz/fffnzlzRrVxEEBdGvw5vrS09Pjx44SQiIiICbX58ssvqR2uik0zm5e9vf0vv/yybt06QkhmZibV3aUu165do/4QERGhNAFMnz7bRNS20WfPntX6+YE+GLihTExMli1bFhcXR7VSiY6OVnw5QojioYCa8/Lyov5w9+7dxiWmnoWFBbXfoN70mpIJm80ODw+/desWtXaT6jUEAAAN5enpmZycfPPmzdu3b8+bN4/pdBrGyMjo1KlTa9eu5XA4hw8fvn79ekhISGJiInUiECGEz+dv2LDBxsYmISEhJiYmPT19+PDhiYmJ1DmhlLVr18bExIhEori4uFOnTnXq1OnXX3/dvn270msVFxfv2LHjk08+uXXr1unTpzt16vTTTz/VupBBExMnTpTJZMbGxrUe6KnGDz/8cOjQoboOsgSonZojuGo9VZfum3vz5s26nkh9VLWysqJPwlNzvCuNaj1LCDl9+rSarGh0sb5582Y1YXTb+xMnTihdGj9+PHXp5MmT9KD6VOlTn27cuEEPbty4kRpMTExUii8uLqaWqWh4qm6tqDY1w4YNox7KZDKq+VfXrl3VP7Gu90JN6js6OkokknpfnTpVlxASHx+vepXq1mxiYqI4SC1D5HA4qscPK3r27Bn1AWzcuHH1plEXarFpmzZtlMZxqi4AgCaoA1WoPw8YMEDp4HOgKJ3v23TU5oGIiIgGPSs3N3fTpk1Tp05trjTgLdHg2X1qzt7V1VXN3hTqFKS8vDzqoFkN0XvbU1JSlC69fPlSNZ7u9a60+14J3Qvyxo0biuNXr149cOCA5umpERERQa13XL16NdU8kbZu3Tq6YXy9an2bRUVFr169Igpvk8ViUcdp3b1798cff1SKp7rKqH8hat3Lixcvli1bpno1Pz+fblfcOFQb1urq6o8//liu0vWIbuPj5OQ0fPhwQsj+/fvpDxWKUlJS6J/e69evlX62lIcPH5L6/j8AAAB1oWb3qT+fOXNm1apVly9fZjaltwG1LnrixIkNetavv/66adMm1QPL9EJUVBSLxUpLS2uJ544bN87T05MqOa5du8Ziseg1EUzh8XjUBhJd0LC1+5mZmdQ+dMV2+6oGDRpkZmZWUlKyb9++IUOGaHhzX19fPp9fWVm5adMmkUjk5OT06tUrLy+v9u3bu7m5DRgwYNCgQb6+vtbW1uXl5deuXVu1ahUhxNzcPCwsTM1tqfb5ZWVlmzZtsrW1HTBgQGVl5YkTJ77++utOnTqpfrRoBHt7+zlz5mzfvv3MmTODBg1asGCBvb19Tk7O/v37f/nlF3t7+1rreFXTpk0rLi5+7733AgMDhUKhXC5/+PDhhg0bqEl6xZ/5kiVL/vjjjxcvXsybN+/8+fMjRoywtLR88+bNzZs3//zzz0uXLqlflD937tw//vjjxo0b33zzzc2bN8ePHy8UCisrK9PT0xMTE6njP957771G/0DefffdESNGHDt2LCYm5tmzZ9OmTXNwcKisrExOTj58+PCCBQvoExy3bdt24cKFoqKikSNHjh8/PiwsTCAQlJSUPHr06PTp01euXCksLKS+Hvnll19+/vnnUaNG9e7d29nZmcfjvXjx4pdffklMTCSE0N/bAgBAgzg7O2dmZtLnSeXn5xsaGlZUVDS02zVo7tGjR3v37nVyclJfwCgpKChYt25dYWFho1+X+kZ9+vTpu3fvVroUHR09Y8YMQsjVq1f1sadnZWUl1WMd/fRqp2bmX3UxD70B/MGDB+q/NaA+sPL5/JKSErlmi3nkcrnqGbEXL16Uy+V19YTh8/l//fVXvV9hqK7AI4RQHyeog0WauJhHLpdXVFSonrFFCBk/fjy1UViTxTwDBgyo668pMjJSKfjhw4e1nmxACElPT6/3veTm5vbq1auulzt69Cgd2YjFPHK5vLi4uK6uR3v27FGMvHnzJnXGeK2KioqosDVr1tQVM3DgQPqAKsXbYjEPAIAmXFxcqN+2lMzMTA6Hw2A+OqhZFvOkpKRMmDBh1KhRVH+Rv//+u0FP5/P5ly5dakoC1O/rtm3bqi7l7d+/P5XV1atXm/ISalD9XZ48edJCz6UOjJf/d6HU7t27G5Nl8+FyuePHj2c2B1rDFvNQK3m8vb3pTZZ1oaaiKysrDx48qPn9grbRNAAAIABJREFU169f//XXX3t6evL5fBMTk8DAQGqRxtmzZ6dNm+bh4WFqaspms01NTb29vRcsWPDw4cOhQ4fWe9u5c+cePnyYasHO5/NdXV0XLVp0+/btDh06NFfvID6ff/r06e+//75bt26mpqZmZmYBAQHR0dH79u2r92dF27Vr15IlS7p160b1CeXxeM7OzuPGjUtMTFQtdj09Pe/du7dp06ZevXpZWFgYGBiYm5v36tVr586d6s+ypVhZWSUmJv7xxx9Dhgxp37491Y3excVl3Lhxx44da+jOIVVmZmanTp36888/6fsbGxt7eXmtXLly8ODBipH+/v6pqakbNmzo2bMn9cZNTU07d+48f/78W7du0b2E582b98033/Tr1699+/bUGVU2NjZhYWH79u07depUE49mBAB4m7Vt21Zx8a2dnd0vv/xiY2PDYEqtkkQi+eeff44dO+bg4HD06FHNlz8QQiZNmhQVFaVmnk5DAwYMKCwsPH36tOJgdnb2hQsXGvRVgw7Srzay2qbmo0CtW3UB9AJm9wEANLRhw4YlS5YojlRVVXE4nEGDBhUWFjKVFdA2b97s5+fX9PsQQr7++ut27dpNmDBBcXzbtm1mZmbff/89+d/Z/efPn3/wwQeWlpZcLrdbt25HjhyhL1Ez6E+ePNm2bZuNjY2hoWFVVZVcLj9w4MCAAQPMzMw4HI67u/vevXvpp6ifoedyuStWrJg9e7aNjY2RkVGXLl0UO6xQz71y5cqoUaPatGljbW09duzYzMxMOiA0NLRDhw6KuSnO7qt5I3K5/Pfff+/atauJiYmVlVW/fv0Uv3X56aeffHx8uFxu+/btZ82alZ+fr5iSmZnZX3/91b17dz6f37Zt2xkzZlBLWuh3NHXq1OjoaDc3Nz6f7+npSf80qLdz7949xTQ8PDzqbcHSaPgkBAAA8Fbz8fG5f/++4giXyy0vL79w4YKzs/OVK1eYSgwIITU1NYsWLbp161az3E0ikYwaNerYsWNVVVX0YExMzMiRI5UWTufl5fXs2fPs2bOffPLJ1q1bLS0tR44cqdRjffPmzRs2bJgwYcLatWupvR8HDx40MzP78ssvf/zxRzMzsylTplB7PjWxZs2a5OTkn3/++Z9//rG2th4xYgR9+DFlwoQJRkZGmzdvHj9+/KFDhzQ84Ez9Gzlz5sz48eNFItH27dsXL15saGhIL4Ret27dzJkz3dzcvvvuu1mzZu3fv79fv36VlZX0nUtKSkaPHj1mzJiEhITVq1fv27dPaSfhhQsXVq1aNXny5C1bthgaGk6ZMoX6XmXixIlsNvuPP/6gI1NSUlJSUsaNG6fhz6rB1HwUwOw+6C/M7gMAaOjly5dUfwhVhw8fdnd313I+QJNKpWPHjv3jjz+a5W6EkMjIyHPnzhFCDh48SA0+f/6cxWKdPHlSaXZ/0aJFRGEGWiaT9e7d28rKiprFpwpxc3NzxSl2JZmZmYSQOXPmUA/rnd03NjZ+8+YN9bCsrMzU1JRuEUs9d+LEiXQ81fQmJyeHeqhmdl/9G1mxYgUhRHUHYH5+Po/HGzVqFD0SHx9PCNmyZYtiSsuWLaMDqBYydJ96LpfLZrPp90u1FaJ/GqGhoY6OjjKZjHq4evVqFov18uXLun6YTYTZfQAAgLeaUCgsKysrKipSvTRy5MgJEybY2trGxsZSjY9Bm6ytrcvKyhpx8m5d5HJ5nz597OzsYmJiqJGYmBhLS8uQkBClyL///rtz584+Pj7UQxaLNWHChLy8PMVveyZNmqSm2YadnZ2FhYXmrYQCAgIsLCyoP5uYmPTp0+f8+fNyhXbe9HFJhBBfX1+i2Xmp6t+It7c3IWT58uWK0/aEkDNnzlRVVSm+YkhIiK2t7dGjRxXDFLuSUFsTz549S490796dPhrVxcWlTZs22dnZ1MMpU6a8ePGCbnp76NCh3r17N+6EZk2g3AcAAHjbqa7noS1fvvzw4cNffvll//79e/ToQbU/Bi346quv5s+ff+LEiea9LZvNHjNmzIkTJ8rKygghMTExo0ePNjRU7syenp5OdS+kUQ+fPXtGj4hEIqVnnTt3btiwYfb29mZmZqamplR7PQ0TUzpCx9bWtqysrLi4mB5R7ERCNYqt96Chet/I2LFjFy9e/O233zo4OHz55Zf0h5P09HQ6UvGJim9fKWfqz9R3GqoJUznTCb/33nsWFha///47IeTp06dJSUnN+KFOVcP67gMAAEDrQ5X7vXv3rvXqO++8k5KSEhcXl5yc3K9fP1dX144dO5qYmAwePNjGxkb9SS/QOI8ePfruu+8KCgpa4uYRERHbtm07duxYt27d7t69u23bNtUYTRrYU+v1aRcvXhw4cGDXrl1//PFHNzc3AwODLl26aJ6VWCxWfKh6SmnjuvDV+0Y2btw4a9asTZs2bdiwYffu3adPn/bx8dGwf79izg1KmMfjhYeHx8bGfv/99wcPHuRwOGPGjNHkFRsH5T4AAMDbzsfHJykpSX1MaGhoaGjo/Pnz9+7dm52dffjw4Y0bN5aUlFhaWmonybdKfn5+586dN23apHokUdP16NGjY8eOMTExT548EQqFtX7Mc3Z2zsjIUByhHqr5dLdv376amprY2FjqXKCKigqlFTLqvX79WvHhixcv2rRpQ/fjbjRN3oiLi8vOnTvnz5/fs2fPFStWHD161NnZmYr09/enw16+fEmNK+bcuXNnOmFCiOYLcqZOnbpr167z588fOXLk3XffbdH/jlDuAwAAvO3c3NzocyTVMzQ0nD59OiEkMjKyhZMC0r17dycnJw1b0DRIeHj41q1bHzx4MHbs2Fpnst97771169YlJSVRM/Ryufz333+3sLDo2bNnXfek1u3Q6++PHz+u+UoeQsiNGzeePXtG19mXL18ePHhw00/JVf9G5AoH8Xp5eXXq1CkrK4sQMnDgQGNj43379o0cOZK6mpCQ8Pr16/nz5yvePCYmhj6vgDpWtdZDV2sVGBjo4eERGxt748aNffv2NfFtqodyHwAA4G3n5eV18+ZNprMAZdevX2/Tpo1IJKJ3mjaXiIiIDRs2UA3paw1YtGjRH3/88e67786fP79du3bHjh1LTEzcuXMnn8+v6559+/aNjo6eMmVKRERESkrK3r177e3tNU8pMDAwNDR0+vTpPB7vxx9/ZLFYy5Yta/Aba+AbmTx5Mo/HCwwM5HK5ly5dun379jfffEMIsbCwWLt27SeffPL++++HhYW9fv3622+/dXV1nTdvnuLNnz17Nnny5KCgoFu3bu3evXvIkCF+fn6a5zZ58uSvvvqKx+ONGDGi6e9UDZT7AAAAb7v27du7uLg8efLE1dWV6Vzgf5SWlrLZ7JqamqbPcyvq2rWru7u7VCrt1q1brQECgeDy5ctLly7dunVreXm5l5fX/v37lfrKK5kwYUJqamp0dHRcXJyfn9/Ro0d37NhBt7Gvl5ub29KlSz///PP09HR3d/djx4716NGjwW+sgW8kJCRk8+bN//nPfwwMDJycnLZu3bpw4ULq0sKFCy0tLb/55pv58+ebm5u///7769evNzU1Vbz59u3bN23a9Nlnn1Hfem3ZsqVBuY0bN27p0qUREREmJiZNf6dqsNR8z/LVV1/RbUQB9MutW7dmzZqFySoAAA2Fh4ePGjVq7NixTCcCynJzc+3s7KRSKdOJtCAejzd69GilY7x0WVRU1BdffPHkyRO61WYjnD59OjQ09Pjx48OGDWvG3FSpm91v167dnj17UlNTWzSDt0RNTU1FRUWDnmJsbKx0xB1orri4mF4+CAAA9fLz87t9+zbKfR1kbW29d+9ec3Nzxa6U0Ars2rXLzs5u0KBBLf1C6sr9uXPnYrt9c8nNzf38888Vz6xWj8/nz5w5s1m+xnpr9enTh+kUAAD0hp+f36ZNm5jOAmoXERExceLEvn37Hjx40MrKiul0oEmuX7+empp69erVw4cP//jjj6qHHjS7el5A/SIt0JxYLP700081j2exWB4eHvj5AwCAdvj6+t65c4fpLKB2hoaGcrnc3Nzc09Pzn3/+CQgIYDojaLzz589HRkba2NisXbv2o48+0sIrqlu7D83LxMRE8/U8BgYGq1evbpY96QAAAJpwcHC4fPlyg7qpgJYdP378yy+/vHv3LtOJgD5hM53AW6Rdu3aaB9fU1OTm5rZcMgAAAEowwa/7hg8f/u6773bp0qWgoKC6uprpdEA/oNzXHltb2wbFUwc9AAAAaEdhYeHx48eZzgLqsXHjxgULFjg4ONja2kZFRTGdDugBlPva4+Dg0KB4zO4DAIA29erVi8PhMJ0F1G/atGmlpaUTJky4ceOGr6/vhQsXJBIJ00mB7sIxW9rj4uLSoPiCgoIWygQAAEBVcHDwtm3bmM4CNMJms7/99tuysrIvvviiX79+hoaGvr6+u3bt6tq1KyEkMzOzqKiI6RyhqYRCobm5edPvg6262rNjx47PPvussrJSw3ihUPjy5csWTQkAAID25s0bFxeXwsJCphOBBnv06NGCBQuSkpJyc3P5fL6BgQGbzRYKhUznBU0SFRU1dOjQpt8Hs/vaY2dnZ2RkpHm5X1JS0qL5AAAAKBIIBJaWlmlpaU05KBQY4e7uHhcXRwh58+bN06dP+Xw+j8dr6LICaK1Q7muPnZ1dg+LLy8tbKBMAAIBade/e/fr16yj39ZdAIOjWrRvTWYBuwVZd7enQoUODemax2eyysrKWywcAAEAJVe4znQUANCeU+9pjZ2en+TFbhBAjIyMsoAQAAG0KDAw8f/4801kAQHNCua9VDdpebWhoiHIfAAC0KTAw8PHjx//++y/TiQBAs0G5r1VWVlaaB7NYLJT7AACgTSwWa+7cuRcvXmQ6EQBoNij3tapDhw6aB8tkMrTeBwAALevduzfKfYDWBOW+Vjk6OmoeLJVKMbsPAABahnIfoJVBua9Vzs7OLBZLw+CqqirM7gMAgJYJBAJbW9vk5GSmEwGA5oFyX6vs7e2NjY01DJbJZJqfyQUAANBcMMEP0Jqg3NcqoVDI4XA0j09JSWm5ZAAAAGolEokOHTrUuOcKhUIWi5Wfn9+8KQFAo6Hc1yo7OzuZTKZ5fE5OTsslAwAAUKvBgwdfvnyZ6SwAoHmg3NcqoVAoFos1j8/Ly2u5ZAAAAGolEok6dep07949phMBgGaAcl+rLCws5HK55vFv3rxpuWQAAADqMnDgwPj4eKazAIBmgHJf29q1a6d5cElJSctlAgAAUJcWKvcLCgo2bNjQrVs3U1NTIyMjFxeXRYsWlZeXU1cjIiJYLNaff/5Jx+fl5bHZbBaLdfr0aXowPT2dxWL5+Pg0e3oArRLKfW1r0ElbEolEKpW2XDIAAAC1aqFyPzo6eunSpTk5OaGhocOGDXvz5s3mzZsnTZpEXe3bty8h5Nq1a3R8XFycXC5ns9mKyVD7CoKDg5s9PYBWCeW+tnXs2FHzYC6Xi9b7AACgfWw2u0uXLrGxsc1729mzZ584ceLFixeHDh06dOjQvXv32rRpc/jw4devX5M6yn17e/vAwEDFcv/KlSsE5T6AxlDua5tIJNL8pC0Oh4NeZgAAwIju3bvv2bOnee9pbm4+ePBgNvv/yw+hUEiV+I8fPyaEeHp6Wltb37lzRyKREELkcvnp06d79OgRFBR079693Nxc6lmXL19msVjUEwGgXij3tc3BwYHP52sYzGazUe4DAAAjvvjii5Y7W1cqlZaUlBQVFQkEAkJIVVUVNd6nTx+xWHznzh1CyJ07d3Jzc3v27Nm3b1+5XH7mzBlCSGlp6YMHD7y9vRu0Fw7gbYZyX9vs7e01P2lLJpOh3AcAAEY4OjoKBIK7d+824z3fvHmzePFiFxcXIyMjc3NzgUCwb98+xQDF9TynTp0ihPTv3z8oKMjAwIDarXvt2jWZTIaVPACaQ7mvbUKhUPNenFKpFOU+AAAwZcSIEceOHWuuu4nF4r59+37zzTfOzs4///xzfHz8uXPnQkJCFGOoOv769euEkLi4OBsbGx8fH3Nzc39/f2r5/tWrVwkh/fr1a66sAFo9lPvaZm9vT39lWa+qqiqctAUAAEwZNGiQYlvMJjpy5Mj9+/d79uwZFxc3derUkJCQ4OBgExMTxRgvLy9LS8u7d+9WVlZeu3YtJCSE2vAWEhLy+vXrtLS0GzduYOE+QIOg3Nc2c3NzzbfqymQyqlkBAACA9gUGBmZkZFy6dKlZ7vbs2TNCSFBQEL1VVy6XK53dy2Kx+vTp8/jx48uXL0skkoEDB1Lj1JcAV65cuXfvno+PT9u2bZslJYC3Acp9BjRodxHKfQAAYNDy5cv/+eefZrmVtbU1IYTahkvZsGFDenq6UlhwcLBUKv3jjz8IIXS537NnTz6fn5CQkJGRgZU8AA1iyHQCbyM7O7vMzEwNg3Nyclo0GQAAADUmTZoUGBi4bt26Bj0rLCzM0PB/aoyDBw+OGjUqMjIyPj6+V69eIpEoKSnp8ePH06ZN++WXXxQjqYU6hw8f9vb2trW1pQa5XG5QUBC1kQD7dAEaBLP7DHByctI8GMdsAQAAgzp06CASic6ePdugZ926devf/1VVVSUQCOLi4gYMGPDgwYNDhw61a9fu8uXLM2fOVHquj4+PQCAoLi6mp/YpISEhxcXF1Gqfpr4rgLcJS/MuMdBcVqxYsXbtWg1/8lZWVvTBIgAAANrXs2dPGxubw4cPM50IADQGZvcZ4OjoqPlJWyUlJS2aDAAAgHrz58+vqKhgOgsAaCSU+wwQCoWan7QllUqps8QBAAAY8cEHH9y/fx+tIwD0FMp9Btjb22u+horL5eKkLQAAYNann376+eefM50FADQGyn0GCIVCsVisYTCHw0G5DwAAzPrss88uXLiwePFiphMBgAZDuc8Ac3NzzYNZLBbKfQAAYFxGRsaBAwcyMjKYTgQAGgblPjM0P2lLJpOh3AcAAF2wYsWK8PBwprMAgIZBuc+MDh06aBhZXV2Nch8AAHTB9OnTzc3N586dy3QiANAAKPeZ0bFjRw0jq6qq8vLyWjIXAAAATZ06derEiRORkZFMJwIAmjKsPwRagEgkYrE0OuNMLpdnZmZqISUAAABNPH/+XCQSZWdnf/XVV0VFRUyn8z+EQmGDNsgBvA1Q7jPDwcGBz+dreGpJdnZ2S+cDAACguZSUFDMzs2PHjvF4PJ0qr6OiooYOHcp0FgC6BeU+M+zt7TU/aSsnJ6dFkwEAAGgQNptdVlaWnJxcUFDQu3dvptMBAHVQ7jNDKBRqftJWQUFBiyYDAADQCJ6enkynAAD1w1ZdZtjb21dVVWkYrGsrIwEAAABAX2i0WxRaAo/H0/BsXQ6HI5FIWjofAAAAAGh9MLvPGCsrKw0j5XJ5ZWVliyYDAAAAAK0Syn3G2NnZaRjJ5XJx0hYAAAAANALKfcY4OztrGGloaIhyHwAAAAAaAeU+Y1xdXVksliaRLBYL5T4AAAAANALKfcY4Ojry+XxNImtqalDuAwAAAEAjoNxnjFAo1PCkLalUqmEPHwAAAAAARSj3GePo6KhhuV9ZWZmWltbS+QAAAABA64NynzFCobC4uFjD4IyMjBZNBgAAAABaJZT7jDE1NdVwdp8QkpmZ2aLJAAAAAECrhHKfSba2thpG5ubmtmgmAAAAANAqodxnkqOjo4aRBQUFLZoJAAAAALRKKPeZJBKJNIwsKipq0UwAAAAAoFVCuc8kkUhkZGSkSaRYLJZKpS2dDwAAAAC0Mij3meTo6Mjj8TSJ5PF4WL4PAAAAAA2Fcp9Jjo6OLBZLk0gOh4NyHwAAAAAaCuU+kzp27FhVVaVJJIvFysvLa+l8AAAAAKCVQbnPJIFAoGFkTU0NZvcBAAAAoKFQ7jOsffv2moSJxWKU+wAAAADQUCj3Gebg4KBJmEQiyc7ObulkAAAAAKCVQbnPMDc3Nw0jMzIyWjQTAAAAAGh9UO4zTCQSGRoaahL5+vXrlk4GAAAAAFoZlPsMc3Jy4vP5mkRi7T4AAAAANBTKfYY5Ojqy2Rr9LRQWFrZ0MgAAAADQyqDcZ5ijo6NEItEksri4uKWTAQAAAIBWhiWXy5nO4W3H4XCkUmm9YYaGhqWlpTweTwspAQAAAEDrgNl95llZWWkSxuVysXwfANSLjo5m/ZeBgYGlpWVwcPBPP/1UU1PDdGoAAMAMlPvMs7e31yTM0NAQ5T4AaMLBwSE0NLR3795mZmYXLlyYNWvWkCFDqqurm/2Fnj59unHjxma/LQAANCOU+8xzdXXVMDIvL69FMwGA1mHYsGGnTp06f/58enr6uXPnBAJBXFzczz//3OwvtGPHDpT7AAA6DuU+8zw8PDRpzlNTU4PZfQBoqODg4JUrVxJCDh8+3Lx3rqio2L9/f/PeEwAAmh3KfeZ17NjR2Ni43jCxWIxyHwAaITAwkBDy4sULxcFLly4NHTrU0tKSx+N5enquXbu2qqpK6YmlpaVLly51cnLicrmOjo6ffvrpmzdvqEv/+c9/Onbs+Pr164KCAmqrgLu7O3UpIiLC1NSUEBIfH+/r62toaDh58uShQ4eyWKxTp04pvUR4eDiLxTp27FhLvHEAACAo93VBx44dDQwM6g2rrq7GwboA0AjU0d2Kfb1+//33vn37njlzJiAgYOTIkRKJJDIyMiQkRCwW0zEVFRXBwcEbNmwwMzMbPnw4j8fbunVrUFBQaWkpIcTCwmLhwoWEEGNj4/Xr169fv/6zzz6jn1teXn7ixIkhQ4YUFhZ2797d19d38uTJhJCYmBjFxKqqqv755x8LC4tBgwa18M8AAOAtJgemvXz50sTERJO/rFGjRjGdLADotN27dxNC5s6dqzi4ZcsWQsjEiROphzk5OaampmZmZvfv36dGpFLp1KlTCSErVqygn7Vs2TJCyKpVq+iYiIgIQsiyZcuoEWrvr6WlpVIO4eHhhBCBQLBlyxZ6sKqqSiAQmJmZVVVV0YNHjx4lhEydOrV53jwAANQGs/vMEwqFFRUVmkRmZWW1dDIA0MpcunTp66+/JoRMnz6dGvntt9/KyspmzZrl7e1NjRgYGGzevNnIyOjHH3+UyWSEELlcvnv37rZt20ZGRtIxixYtIoQcPHhQk9ft3LnzJ598Qj/kcrnh4eElJSX//PMPPXjo0CFCyAcffND0twkAAHUxZDoBIISQdu3aadJ1B515AEATJ06ceP78uUQiSU9PT0tLI4R89dVXffr0oa5euXKFEEI/pAgEgi5duty4cePhw4c+Pj6pqal5eXnt27efO3cuHVNZWUkIefbsmSY5jBkzRmlk8uTJO3fu3L9//8iRIwkh1dXVf/31l7W1df/+/Rv/VgEAoD4o93WCUCjUpJQvLCzUQjIAoO+eP3/+/PlzIyMjKyur0aNHz5s3r2/fvvTV7OxsQoiNjY3Ss2xtbQkhr1+/9vHxyczMJITk5OTs2rVLKUwqlUqlUmo/gBpOTk5KIz169BCJRH///XdZWZmpqWlCQkJRUdHcuXM12bwEAACNhsU8OsHFxUWTsOLi4pbOBABaAWrtvlgsfvXqVWxsrGKtTwhhsViEELlcrvQsakTxamhoaK3LQOut9QkhRkZGqoOTJ0+urKyk+vBQjUGxkgcAoKWh3NcJHh4e1K9Y9VgsVllZmRbyAYBWrEOHDqS2vUDUCDXHT/3v8+fPm/elJ06cyGaz//zzT7lc/vfffzs4OPTs2bN5XwIAAJSg3NcJzs7OmrTe53K5aL0PAE1ETfZfuHBBcbCoqCgpKUkgEHh6ehJCPDw8LC0tU1NTHz9+XNd9DAwMWCwW1Z9HQ0KhsH///mfOnLl27VpWVhbVdL+x7wMAADSCcl8nODo6cjicesMMDQ1R7gNAE40bN65t27a7d+9OSkqiRmQy2ZIlS6qrq2fMmEGtpGez2XPmzCGEfPjhhwUFBfRzU1NTL1++TP2ZxWJZW1uXlJQoHeCl3pQpUyorKzdu3EiwkgcAQCtQ7uuEjh07SqXSesMMDQ2xfB8Amsjc3PzXX38Vi8Xdu3cPCwsbN26cu7v77t27AwICVq5cSYctX748ODj40qVLDg4Offr06d+/f6dOndzd3c+dO0fHjBgxghASHBw8efLkUaNGafLqI0eObNOmzfHjx0Uika+vb7O/OwAAUIJyXyd07NjR0tKy3rCKiooGzaIBANRq6NChV65cCQsLu379+uHDhw0MDFatWnX+/HnFVYVcLjcuLm7Lli0ikejmzZvUpP7MmTPHjh1Lx2zcuHHs2LElJSUHDhzQsHWYsbHxqFGjZDIZdWgXAAC0NJZqcwZgRLt27RS/Ma8Vi8X68ssvV61apZWMAABaRFhYWFxc3KNHj0QiEdO5AAC0fpjd1xWOjo71xsjlcszuA4Bey8rKSkhICAgIQK0PAKAdKPd1hYa/+TIyMlo6EwCAlrNixQqpVPrRRx8xnQgAwNsCp+rqCh8fnwMHDtTU1KgPU22VDQCg+77++usnT57cu3fv7t27PXr0mDRpEtMZAQC8LTC7rytcXFw0ab2fn5+vhWQAAJrXkydPYmJinj17NmXKlBMnTlDtPgEAQAuwVVdX3Lp1a8CAAfX22eRwOBKJRDspAQAAAIC+w+y+rnB2dq6qqqo3jMVilZSUaCEfAAAAAGgFUO7rCoFAoMm321wuF8v3AQAAAEBDKPd1iJ2dXb0xBgYG2dnZWkgGAAAAAFoBdObRIS4uLmlpaepjZDIZyn0AoFy5ckUul1tYWDCdSPMTCoXm5uZMZwEA0Bqg3Nch3t7ecXFx6mPEYjHKfQCQSqXz58+PiYmxs7NjsVhMp9P8oqKihg4dynQWAACtAcp9HeLu7m5sbFxRUaEmRiwWv3r1SmspAYBuEgqF06dPf/PmDdOJAACArsPafR3i7OxsZGRUb9jz589bPhcA0F1bt27VlZGlAAAgAElEQVQdN27c119/zXQiAACgBzC7r0NcXFyqq6vrDcPsPsDbLDc3d/ny5eq/BgQAAKBhdl+HODo6avIrPCcnRwvJAIBumjNnzqhRo5jOAgAA9AbKfd3Svn37emMKCgq0kAkA6Ka0tLRFixYxnQUAAOgNlPu6xdHRsd4YnKoL8Na6f/++TCbr0qUL04kAAIDeQLmvWzw8POqN4fP5WM8D8Hbat2/fxIkTmc4CAAD0Ccp93eLl5cXhcNTHGBkZofU+wNvphx9+MDAwYDoLAADQJyj3dUunTp34fL76GBaLlZWVpZ18AEB3yGQyW1vb4cOHM50IAADoE5T7usXZ2bneGKlUitl9gLdQZmamRCLp1KkT04kAAIA+QbmvW1xcXCorK9XHVFZWYnYf4C109uzZ/v37q4+Jjo5m1WbChAlUwKNHj1gsVlBQUMvnCwAAOgHHbOkWExMTHo+n/rCtmpqajIwMraUEADoiISFh4MCBmkTa2toqfVUoEolaJikAANB1KPd1jlAoTElJUR/z4sUL7SQDALrj7Nmz69ev1yTy/fff3759e0vnAwAAegGLeXSOq6trvTGvX7/WQiYAoDtOnz5dVlbWoUMHphMBAAA9g3Jf5/j4+LBYLPUxeXl52kkGAHTE/fv3w8LCWujmZWVlK1eu9PDw4PF4lpaWI0aMuHHjhmrYzp07vb29eTyera3ttGnTMjMz/fz8FLcOR0ZGslisnTt3Kj7r/PnzLBZr9OjRioOlpaVLly51cnLicrmOjo6ffvrpmzdv6KvUBoPVq1ffv39/xIgRAoGAx+N16dLl119/Vc1qz549vXr1atOmjampqZeX18KFC+lLcrl8165dXbt25fP57dq1Cw8Pr/e7UwCA1gflvs5xdXU1MTFRH1NYWKidZABAR6Smpg4YMKAl7lxeXt63b9/Vq1dLpdKRI0d269bt1KlTvXr1OnLkiGLYsmXLPvroo+fPnw8aNKhHjx5HjhwJCAh48OBBI16xoqIiODh4w4YNZmZmw4cP5/F4W7duDQoKKi0tVQw7fPhwUFAQl8tduXLlxx9/nJ6ePmXKlH379inGzJgxY9q0aTdu3PD09PT398/KykpKSqKvfvTRR7Nnzy4sLBwyZIirq+uBAwcCAwPv3r3biJwBAPSYHHRMYmKihYWF+r81Q0PDyspKpjMFAO3x9fW9fft2vWG7d+8mhMydO7euAGp6u1evXvTI4sWLCSEzZsyoqamhRu7evdumTRtzc/PCwkJq5PHjxwYGBubm5qmpqdRIbm4uNa/v4uJC32r58uWEkB07dii+4rlz5wgho0aNokeWLVtGCFm1ahX1UCqVRkREEEKWLVummCQhZM2aNfSz/v77b0KIv78/PfLXX38RQpydnZ89e0aN1NTUZGVlUX+Oj48nhISFhdH/Wv7www+EkJ49e9bzQwQAaF0wu69zXFxcJBKJ+hgej4fW+wBvD5lMlpSU5Ovrq2H8Dz/8oNSI89KlS7VG1tTU7N69m8PhbNy4kc3+/98IXbp0mTVrVnFxMT2VHhMTU1NTM336dDc3N2rEyspqyZIljXgvcrl89+7dbdu2jYyMpEYMDAwWLVpECDl48KBiJIfDWbBgAf1w0KBBhoaG9+7do0eoVUPr1693cnKiRthsto2NjdJVHo9HjcyePdvc3PzKlSvY/gQAbxV05tE5dnZ29Zb7fD4/Ozu7Y8eOWskIABh248aNgIAAzeOFQqFS5826vjNMSUkpKiry9/dXCujXr98333xz4cKFjz/+mBBy+/ZtQkifPn0UYxqUEi01NTUvL699+/Zz586lB6nzRp49e6YY6eLi0qZNG/ohm81u27Ztbm6uWCzmcrmEkGvXrhFC3n333VpfiPqE88MPPxgYGNCD1M6oZ8+e2dnZNSJ5AAB9hHJfFzk7Oz9+/FhNgFgsfvnyZY8ePbSWEgAw6ObNm926ddM8fsSIERo24qS+J6RnxGm2trZEoQlYbm4uPUizsrLSPCVaZmYmISQnJ2fXrl1Kl6RSqVQqNTT8/19MAoFAKYAq1uVyOSGkpqamoKCAz+fX+kmmpqYmJyeHEBIdHa16taqqqhGZAwDoKSzm0UUODg7qA8RiMb6MBnh7NLTc15xiAa2IGlHqEqb0kF4ko55SbU3dOTQ0tNYFpnStTwihFxfVqtYMaTKZjBDC5XJrfZWQkBBNMgcAaB1Q7usiX19f9b04xWLx8+fPtZUOADDs0KFDQqGwJe5MNfLPyspSGqdG6Ol8aiKfmuOnqXYEpv7hokptmtKzqHs2/V8wQ0NDgUBQUVFRUlKiepXD4bRr104sFmObEwAAyn1d5OXlVW8vzqdPn2onGQBglkQiqaysbKEJaZFI1L59+/v37yu1971w4QIhJCgoiHro5+dHCLly5YpqjCJqqb3Sd49KLfw9PDwsLS1TU1PVL1nUBLWgMSEhodarvXv3JoRQ3XsAAN5mKPd1kUgkUtxbVquMjAztJAMAzEpJSfHy8mqhm7NYrHnz5kml0kWLFtXU1FCDDx482LVrl6mp6YQJE6iR8PBwNpu9c+fO9PR0aiQrK2vLli1Kd+vcuTMhZP/+/fSM+507d/bs2aMYw2az58yZQwj58MMPCwoK6PHU1NTLly83KPmZM2cSQj7//HP630O5XE4fBTBv3jxCyIoVKxSb+eTn5x8/frxBrwIAoO+wVVcXubm5icVi9TH4hhrgLZGcnOzp6dmgpxw+fFjpMKnQ0NAVK1bUGrxkyZKEhIQ9e/YkJiYGBAQUFRWdO3dOKpX+9ttv9GZckUi0aNGijRs3du7cOSQkxMDA4Pz58+PGjaOrf0pISIiHh0dKSoqHh0fPnj1LSkrOnTs3YcIEpYp/+fLlFy9ePH/+vIODg7+/v6GhYUZGxtOnT9esWdOrVy/N3+Z77703ZcqUvXv3urq6duvWTS6XP336VCAQPHr0iBDSv3//pUuXRkVF+fr6+vr6CgSC3Nzc5OTkvn37Dh8+XPNXAQDQey3RzB+aztTUVP1fHIfDYTpHANCG5cuXf/311xoGU8dsqRo/fjwVoHrMllwur6qqWrt2rbu7u5GRUdu2bYcMGXL58mWlO8tksu3bt3t4eHC5XCcnp6ioqOLiYvK/x2zJ5fJXr1598MEH7dq1MzIy8vLy2rFjh1Qq5fP5isdsyeVysVi8ZcsWX19fPp9vZGTk4uIyc+ZM+gyvWpOUy+Xt27cnhCgeMiiTyX766aeAgABjY2MOh+Pm5rZlyxbFpxw9erR///7m5uaGhoa2trYjR46Mj4/X8IcJANA6sOQqDRlAF3h7ez98+FBNAJfLzcrKUm1UBwCtzPvvvz9x4sSRI0cynYiyoqIigUDg4uKSlpbGdC4AAFAnrN3XUfV+d8/lcqn21QDQujViMQ8AAAAN5b6O8vPzU+w/rYrNZqPcB2j1ampq0tLSlI7IBQAA0BzKfR3l7u5ubGysJqC6uhrlPkCrh6l9AABoIpT7OqreybzKyspXr15pJxkAYMqdO3cwtQ8AAE2Bcl9Hubm5lZaWqgmQyWTYHgfQ6m3evJnH4zGdRe0sLCzkcjn+IQIA0HEo93WUgYEB3fG6Lk0/hR4AdByHw1m4cCHTWQAAgB5Dua+7OnXqpD5A6aR6AGh90tLS6v2nAAAAQA2U+7qrS5cu6gPy8vK0kwkAMCI/P5/D4ZibmzOdCAAA6DF1rR6BWZ07d+bz+ZWVlXUFlJaW1tTUGBgYaDMrANCaeqf27927V1lZWe8h3FAvoVCIj1UA0Fqh3NddIpGIy+WqKfeNjY0zMzMdHBy0mRUAaM3Tp09dXFxqvZSUlLRkyZJLly45Ojqy2fietqmioqKGDh3KdBYAAC0C5b7uEolEEolETYChoSHKfYBWrK7Z/ZcvX/bv33/nzp1xcXHazwoAAPQLyn3dZWdnJ5VK1QTI5XKctAXQiqWlpYWGhqqOe3l5vXjxQiAQaD8lAADQO/gKWKfZ29uruSoWi1HuA7Ritc7u9+rVa9y4caj1AQBAQ5jd12nu7u5Pnz6t66pYLEbrfYBWrNZy/8aNG+fPn2ciHQAA0EuY3ddpvr6+6jfhqfkwAAB6raSkRCKRtGvXTnFw48aNn3zyCYfDYSorAADQOyj3dZqnp6eJiYmagIyMDK0lAwDa9ODBAz6frzS4YsWKes/bBgAAUIRyX6eJRCL1s/vZ2dlaSwYAtCkvL69NmzaKIxKJxNraOjw8nKmUAABAH6Hc12kikaiiokJNQGFhodaSAQBtkslkPj4+iiM3b950cHBQv4MfAABACcp9nWZiYqJ+MY+trW1xcbHW8gEArXn+/HnHjh0VR2JiYiIiIhhKBwAA9BXKfV3Xs2dPNVeLi4vRnAegVXrx4oWjoyP9sLCwcN++fR988AGDKQEAgD5Cua/r7Ozs1Adgty5Aq6RU7i9YsMDX11epUY+q6OhoFovFYrGGDx9eV4ynpycV07wNPY8cOdKmTZvvvvuuGe8JAABNh3Jf1wUEBBgbG9d1VSwWv3jxQpv5AIB2KC3mSUpK2rZtm+ZPP336dK0r/e7fv5+SktL09FQlJSWVlZXduHGjJW4OAACNhnJf13l7e6vpsV1VVYXW+wCtkuLsfnZ2dl5entLOXTWCgoLEYvHx48dVLx04cIAQ0qdPn+bKk7ZgwYLt27dHRUU1+50BAKApUO7rOi8vr6qqKjUBjx490loyAKAdpaWlUqlUIBBQD+Pj4wcOHKj500NCQsh/K3slsbGx3t7eDg4OzZKnIoFAMHfu3A4dOjT7nQEAoClQ7us6c3NzNYt5CCHYqgvQ+iit5Dl9+vS7776r+dOdnZ3d3d1V1/MkJSWlpqaOHTu2rKxMcVwqlbJYLBsbG6X7BAcHs1isBw8e0COHDx/u27evlZWVqampl5fX7Nmzk5KSqEs7d+5ksViRkZGKdygrK1u5cqWXlxefz7e0tAwMDIyOjtb8jQAAQNOh3NcDIpFIzdWsrCytZQIA2qG0T/fEiRPBwcGaP72ysnLMmDESieTYsWOK49R8f3h4uFgsbkRW+/btGzVqVFJSUlBQUEhIiEQi2bVrV3Jycl3x5eXlvXv3Xr169atXrwIDAzt16pSUlJSZmdmIlwYAgEYzZDoBqF9AQMC1a9fqukp96W9oiL9KgNZDsdy/cOFCTU2NUCjU/OnV1dVjxoxZs2bNgQMHJk2aRI/HxsZ26dLFzc1NJpM1Iqtvv/2WEHL79m1nZ2dq5M6dO15eXnXFr1y58u7du0OHDt2/f7+pqSkhpLy8vLq6uhEvDQAAjYbZfT3g5+en5rAtExMTNOcBaGUUF/O8fPlSTVfNWsnlch8fH3d39/j4+KKiImrwzp07T548GTt2bKOzYrPZhBDF5gG+vr5GRka1BtfU1ERHRxsYGPz0009UrU8IMTExsbCwaHQCAADQCCj39YC3t7eayXsDAwO03gdoZW7evEm32L927VqPHj0acROl9Tz0Sp5GZ/X+++8TQkJCQk6ePFlvcEpKSnFxsbe3t62tbaNfEQAAmg7lvh7w9vYuLy+v66pUKsXsPkArc+PGDXrTTqPLfWoin+7PExsb6+fn5+Li0uisPv/882XLlj179mzw4MGenp579+5VsygoJyeHaHBQIAAAtDSU+3qAx+PR/fhUlZeXozkPQGsil8srKireeecdQohUKk1KSvL392/Efby9vT08PKj1PLdu3Xr69GlDp/aVugCz2ey1a9empaUtXLgwIyNj6tSpffv2VWryo/guCCEsFqsRmQMAQDNCua8fPD0967okl8vReh+gNcnMzKS711+9epWq+xtnzJgx1dXVR48epeb461q4TxXlqlP1ubm5qsGOjo5bt25NS0sLCQm5dOnS2rVra72ntbU1QeswAAAdgHJfP3Tv3l3NJBkO1gVoTV69ekX34Wn0Sh7KmDFjCCEHDhyIjY0NCAhQ7OWvyMDAgM/nFxQUSCQSejA3N1fNQkEbG5vvv/+eEHL16tVaAzw9Pdu0aXP//v1aPzMAAIDWoNzXD127dqVbW6h69eqVNpMBgBalOLu/b9++puzFp9bznDx5Mj09Xf1Kns6dO8tkst27d1MPa2pqFi9erDjfL5FIzp49Sy3Rody5c4f8dxZflaGh4bRp06RS6ezZsysqKqhBsVj8+PHjRr8dAABoBJT7+sHb21vN7H5+fr42kwGAFqU4u+/m5jZy5Mim3I2a4GexWNQf6vLxxx8TQubNmxccHBwREeHm5hYfHx8aGkoHSCSSAQMG2NnZjRgxYvLkyf369ZswYYKRkdHChQvruueaNWt8fHyOHDkiFAr79evn7+/frl27LVu2NOXtAABAQ6Hc1w/e3t6lpaV1XeVwOFQTDABoBRRn9+/fv+/r69uUu1Hr9QMDAx0cHNSEjRs37pdffvHx8bl69erp06e7det2+fJlxaN8+Xz+hg0bbGxsEhISYmJi0tPThw8fnpiY2LNnz7ru2aZNm8uXL3/xxRdWVlZXrlxJSUnx9vZ+7733mvJ2AACgoViK38yCLuvQocPr169rvWRubh4fHx8QEKDllACgJYwfP37IkCHjxo2rqqoSCASVlZVMZwQAAHoMs/t6w9vbu65LcrkcrfcBWg16Mc/du3e7du3KdDoAAKDfUO7rjcDAQOoEe1WVlZUo9wFaDXoxT1JSUpcuXZhOBwAA9BvKfb3RuXPnuprzVFdXP3nyRMv5AEALwew+AAA0I5T7esPb21vNRgv0tgNoHQoKCkxNTblcLkG5DwAAzQHlvt5wd3cvLy+v6yoW8wC0DvRKnvLy8jt37mAxDwAANBHKfX1ib29f16WqqiptZgIALYReyRMTE2NnZ8fn85nOCAAA9BvKfX0ycODAui7l5+eXlJRoMxkAaAkPHz40MTEhhOTk5HzwwQdMpwMAAHoP5b4+cXd3NzIyqvUSn89/+vSplvMBgGZ39erV6upqQkhycrKnpyfT6QAAgN4zZDoBaIBu3boZGxtLJBLVS3K5/OnTp008fRMAGNe+fXtqvX5ycvJnn32mJjItLY3NZhcVFVH7evWaUCg0NzdnOgsAgNYJ5b4+8ff3r2u3bkVFBXpxArQCWVlZYWFhhJCUlBQPD4+6wubMmXP+/PknT5506tTJwMBAiwm2iKioqKFDhzKdBQBA64RyX5+YmppaWlpmZ2erXpJKpffv39d+SgDQvLKysmxtbdPT021tbXk8Xq0xI0aM4PF4O3bs6Nu3r5bTAwAAvYO1+3rGz8+vrkuPHj3SZiYA0BKocl/Nwv3Jkye3a9fuzz//RK0PAACaQLmvZ/r06cPhcGq9hNb7AK1Adna2jY1NXSt5jh8/XlhY+PPPP2s/MQAA0FMo9/VMQEAA1aRPVVFREdXQAwD0VEFBgZmZGYfDqXV2XyKRjBo1CgdvAQBAg6Dc1zP+/v4VFRW1XjI1NUUvTgC9Rq3kIYT8+++/qsfqlZeXGxsbL1myhInUAABAX6Hc1zPm5uZ1tatjsVgo9wH0Gl3up6Wlqc7uR0dHz5o1y8zMjInUAABAX6Ezj/7x8/OLi4tTHa+qqkK5D6DXqIX7hYWFpqamdnZ2ipcqKiqWLl1aWVnJVG4AAKCnMLuvf/r06WNoWMvnNLFY/PDhQ+3nAwDNhZrdT0tL69Spk9KldevWzZw5s65ztQEAAOqC2X39Q+3WLS4uVr2Ech9Ar2VlZTk6OtZa7sfExNT6tR4AAIB6mN3XP/7+/mKxuNZL6enpWk4GAJoRNbv/5MkTV1dXxfGEhISOHTu6uLgwlRgAAOgvlPv6p23btnX14qz1wF0A0BfU2n3V2f25c+eGhIRocofo6GgWi8VisYYPH15XjKenJxVz/vz5JiZcVFTEYrEUs128eLFAIPj33381vEOPHj1cXV1LS0ubmAkAANQF5b5e6tq1a63jfD4/IyNDy8kAQHOpdXa/qKgoIyNj6dKlDbrV6dOna13yd//+/ZSUlKYmWrcbN24UFRU9ePBAk2CJRHL79u20tLTc3NyWSwkA4C2Hcl8v9e3b18DAQHWcw+GgOQ+A/qp1q+7Ro0fDw8MbdJ+goCCxWHz8+HHVSwcOHCCE9OnTp4mp1mXHjh07d+6cMGGCJsFGRkYnTpw4dOgQ1ikBALQclPt6KSAgwNTUVHVcIpGkpaVpPx8AaLqioiKxWFxTU1NTU2NpaUmPHzx4cPTo0Q26FbXyh6rslcTGxnp7ezs4ODQxWwqLxVIa8fDwmDVrFpfL1fAOAwcOfP/995slGQAAqBXKfb3k7+8vkUhUxysqKlJTU7WfDwA0XXp6uqmpqdJKnqqqqoSEhCFDhjToVs7Ozu7u7qrreZKSklJTU8eOHVtWVqb6rKdPn44fP97KyorH43Xu3Hnbtm0ymUwpZufOnd7e3jwez9bWdsKECa9fv1YKiIiIYLFYZ86cUXprM2bMcHR05HK5HTp0GDhw4KVLl6hLNjY2LBZLKpXS92exWImJiUeOHHnnnXeMjY3NzMxCQkKuXr2q9EKlpaVLly51cnLicrmOjo6ffvrpmzdvGvRTAgB4S6Dc10vU7+NaL92/f1/LyQBAs5BIJK6urkoreZYsWaLUpUcTlZWVY8aMkUgkx44dUxyn5vvDw8NVu3slJycHBATExMR4e3sPGjQoOzt74cKFU6ZMUYxZtmzZRx999Pz580GDBvXo0ePEiRO9evWqdWGhovv37/v7+0dHR8tksqCgIIFAkJCQUFNTo+Ypy5cvnzhxYkBAwLp1695///2zZ8/279//8ePHdEBFRUVwcPCGDRvMzMyGDx/O4/G2bt0aFBSELb8AAKpQ7uurLl261Dqek5Oj5UwAoFnk5uZaW1sfOnRIcZ/r06dPP//884beqrq6esyYMURlPU9sbGyXLl3c3NxUp+1nzJhRUlISHx9/7ty5I0eOPHr0SCQS7du3Lz4+ngp48uTJxo0bzc3Nb9++feTIkSNHjjx+/NjS0lJ94U4ImTp16ps3b1avXp2RkZGQkPDgwYPMzMzevXurecqlS5dOnjz53XffLVy4cO/evZ999llVVdVPP/1EB6xdu/b27durVq1KSkqKjY1NTk6OiIhITk6OiorS/KcEAPCWQLmvr4YNG1br2brJycnaTwYAmi4nJ6d9+/Zdu3YdMWIEPZiYmKj4UENyudzHx8fd3T0+Pr6oqIgavHPnzpMnT8aOHasa//DhwytXrgwdOrR///7USNu2bWfMmEEIOXjwIDUSExNTU1Mzffp0Nzc3asTKymrJkiXqM7l58+atW7c8PT0jIyPphf62trZstrrfPj169FD8PDBs2DBCyL179+h3t3v37rZt20ZGRlIjBgYGixYtUswWAABoOFVXX/n5+ZmamtK/yGlcLvfFixeOjo6MZAUAjUbN7qenp/fq1YsauXLlSufOnWvdl6+JMWPGrFmz5tixY5MnTyYKK3lUIy9evEgIycjImD17Nj1Itfmim33dvn2bqLT0CQgIUJ/DtWvXCCEDBw5U3dSrhlKvYSsrK0IIvVAnNTU1Ly+vffv2c+fOpWMqKysJIc+ePdP8VQAA3hIo9/VVUFBQSUmJ6rihoWFKSgrKfQC9k5ub6+TkdPXqVbqL5enTp999991G33Ds2LFr1qw5cOAAVe7Hxsb6+fnV2vIyMzOTEHLnzp07d+4oXaqqqqLTI4TY2toqXqUKcTWo5YV2dnYNylwgECg+pD4qyOVyxWxzcnJ27dql9ESpVCqVSmv95hMA4K2FxTz6ytDQUOncTUpFRcXDhw+1nw8ANBE9u+/k5ESNnDx5MjQ0tNE39Pb29vDwoNbz3Lp16+nTp3X176cq6fXr18tV0C10KEqT9HX1DFC6c4Om9gkh6pf6UPcMDQ1VzVYul6PWBwBQgnJfj4WEhKj+EpVIJLdu3WIkHwBoCqrcf/78eceOHQkh+/fvT0pK6tGjR1PuOWbMmOrq6qNHj1IreWpduE/+O2f//PlzNbeiJvKVjr/Ny8tTn4C1tTUhJCsrqwFJ10eTbAEAgIZyX4+FhIS0adNGdZze0AYAeiQ3N1cqlVK1PiHk+vXrTe8zQ/fniY2NDQgIoG+uhNoXe+rUKbr/vSo/Pz9CyJUrVxQHL1y4oD4B6uPK2bNnG5a3Wh4eHpaWlqmpqYqtOQEAoC4o9/VYr169qqurVcfT09O1nwwANFFOTk5FRQW9kicxMVFpX2wjUOt5Tp48mZ6eXtdKHkJI586de/fu/eLFi08//ZSu+OVy+fnz5+lJ9PDwcDabvXPnTvpfmKysrC1btqhPoHv37l27dk1KStqwYQO9+L6goED1fC7NsdnsOXPmEEI+/PDDgoICejw1NfXy5cuNvi0AQGuFcl+PWVtbm5ubq47L5XJ03wfQO7m5uW/evKHK/fLy8tTUVGpCvYmoCX4Wi0X9oS579uzp0KHD999/36FDhwEDBvTp08fGxqZfv36vXr2iAkQi0aJFiwoKCjp37jxy5MjRo0f7+PiEhYXVu1t3z549FhYW1Am4/fv39/LysrW1TUxMbMqbWr58eXBw8KVLlxwcHPr06dO/f/9OnTq5u7ufO3euKbcFAGiVUO7rt6CgINVBLpebkpKi/WQAoNHy8vKsrKzofbrNMrVPodbrBwYGOjg4qAlzcXG5ffv2woULTU1NL168ePv2bTs7u8jISB8fHzomKipq+/bt9vb2J0+evH379uLFi7/99ttaewYo6tq1682bNydNmlRdXZ2YmPj69etBgwb5+/s35U1xudy4uLgtW7aIRKKbN29Sk/ozZ86sa3MCAMDbjEV/uwr6KDo6esGCBRUVFYqDfD7/m2++ob7sBgC98PDhw/Dw8K5duw4aNGj8+PFffPGFubn50qVLmc4LAAD0Hmb39VtQUJCBgYHSYGVlpWrzbADQZUpdOP/66y+lo6YAAAAaB+W+fnN3d5fJZKrj1PmXAKAvciVeU7YAACAASURBVHNzrayskpOTnZycZDJZcnLywIEDmU4KAABaA5T7eq/WQ+zpc+8BQC/k5OQYGRnJZDJbW9s7d+74+fmpfnEHAADQCCj39V5YWJiRkZHSYFVVVXFxMSP5AEAj5ObmGhkZdevWjRBy/fr17t27M50RAAC0Eij39V6fPn34fL7SIJ/PT05OZiQfAGiE3NxcmUxGNc9BuQ8AAM0I5b7ee+edd0pKSpQGq6ur0YsTQI+cO3fuyZMn9vb2BOU+AAA0K5T7rYG3t7fSSHl5+d27dxlJBgAawdXVlcfjOTg4lJWVZWRkeHp6Mp0RAAC0Eij3W4PBgwez2cp/lZmZmYwkAwCNUFJSUlZWZm9vj6l9AABoXoZMJwDNYODAgTt37lTam5uQkMBUPgDQUPn5+VKp1MHB4ccff1Q6OK8uZWVlxcXFhYWFqp/2gSYUCs3NzZnOAgCASSj3W4MBAwaUlZUpDVZXV2dlZdna2jKSEgA0SH5+flVVlb29vYGBQa3ddWkSieT8+fORkZEZGRl5eXmurq6GhviXvE5RUVFDhw5lOgsAACbhl0Qr0atXr8TERMURDodz69Yt/J4D0AsFBQUCgcDU1DQ7O3vkyJF1hWVmZl68ePGTTz6JjIzs16+fq6srh8PRZp4AAKB38BVwKxEREaHUjrO0tPT69etM5QMAmsvLyxMIBFRbnjt37vj6+tYa9vLly65du3744YdHjhyZO3eup6cnan0AAKgXyv1WYvDgwUpncMpksgsXLjCVDwBoLj8/39TU1N7evqKi4tWrV25ubqoxubm53bp1W7BgQXl5eY8ePbSfJAAA6Cks5mklHB0dzc3NlVbw37t3j6l8AEBz+fn5XC7XwcFBzdS+vb19UVGR6pl6AAAA6mF2v/UYMWIEi8VSHJFIJNnZ2UzlAwAays/PZ7PZ9vb2dZX7/v7+f//9N2p9AABoBJT7rcfIkSPNzMwURzgczu3bt5nKBwA0lJeXV15ezuPxai33R48ebWNjM3DgQEZyAwAAfYdyv/UICQlRWsxTVlaG3boAui8/P7+8vNzKyurixYtK5X5+fv6FCxdOnDjBVG4AAKDvUO63Kr169VJ8WFNTg926ALovPz+fw+EIBILXr18rlftjxozp1q0bU4kBAEArgHK/VVFtx5mUlMRUMozIz89nsVgsFuvBgwdM51K/3377jcViCYXCln6hS5cuWVpahoWFtfQLNdG3335ramq6Zs0aphJg6geVn59fXFycn58/bNgwxfGsrCy5XD5nzhwt5wMAAK0Jyv1WRbUdZ1VVVW5ubkPvU1ZWxvpfXC73/9q777gojv9/4LPcHf04jo4iWEB6UQQhoIARsYHGXhBNUWxREo0xJoZEY8snJkbNxx4rihAsMRZUxBqx0xREBCygguAh9Y5yvz/mm/3d5yjS4h34ev7hg52dnX1fQd47OzNraGjo5ua2YMGCzMzMtgsZ3oZz584VFRXFxsa+evVK0bE05s8//ywrK/vjjz8UFYCi3qhnz57xeLwHDx44ODjIlj948EAqlcpdAwAAADQL0v0OhS7HKVuiqqp669atFjeooqLC4XA4HI5EInn58uXNmzd//vlnBweHI0eOtDrYd4hUKjU3N587d66iAvjggw969+49Y8YMoVCoqBia4pNPPrG3t38Lb1RDn4ii3qhnz54ZGxunpqbKpfujRo1asGBBs5ravn07vUQPCgpqqI6dnR2tc/78+ZYFLMvExIRhmOrq6tY39ZYdPnyYz+evX79e0YEAAPy7kO53NHLLcbZytm5cXFx1dXV1dXVNTU1eXl5ERISRkZFYLP7444/FYnFbxPtOuHz58pMnTxQYgKOj461bt7Zs2aLAGJpi0qRJqamp06dP/7dP1NAnoqg36uXLl507d5ZL9/fu3durV69GsvbGnT59uri4uG55SkpKWlpay9qMi4uLiopq2bEKt2PHDrn/DJOSkkpLS2/cuKGokAAA3g6k+x3NhAkTjI2N2c2ampo2WYtTRUXF1NR00qRJu3btIoQUFRVhic8mkkqlv//+u6KjgP9PCT8RkUjUuXPn3NzcHj16sIUbN25csWJFyxr09vYWi8V//vln3V00X+/fv38Lmv3yyy/babpfVFQ0e/ZsuXR//vz5GzduXL16taKiAgB4O5DudzT9+vWT63c/depUG7bPLhtSVlYmWx4XFzd58mQLCws1NTUtLS0nJ6fw8PDy8nK5w5OTkydPnmxjY6Opqamtre3s7Lx48WK5vkapVLp7924vLy8+n6+tre3h4bFly5aampq6wZw5c2bgwIECgUAgEHh5eUVERKipqTXr5fzxxx+DBw82MDBQV1fv0aPHvHnzcnNz5erQCbULFy6USqXbtm1zdXXV1NTU0dHp169fTExM4+3HxcU5OTnRa6TffvuNjqDgcv/nadYcDqfpLT969GjGjBldunRRU1Pr3Lnzhx9+mJGR8caXWe+cYEtLS4ZhSktLMzIyxo0bZ2BgoKGhYW1tvXTpUvoVun79+ujRo42MjNTU1CwtLb/66quKigq5lgsKClavXu3p6amrq8vj8UxMTEaOHHnx4sW6Mfz111/+/v56enoqKipyM0NmzpxJ63zyyScMwwQHB7NHPX36lGEYujTN+fPnAwICdHV1NTQ0HBwcVq1aVXcASVpaWlhYmL29vZaWlrq6evfu3adPn56Tk8NWaPwTaWTy9L/3VRGLxTU1NZqamrJd+2vXrlVTU3N3d2/oqMYNHDiQ/JPZy4mOjnZwcDA3N29um0lJSa0ZGahYe/fulUgkcoVCoXDOnDmdO3dWSEgAAG+PFDqcBQsWyCaUAoHg2rVrzWqhpKSEHhsfHy+3Kz4+nhCioaHx8uVLtnDDhg20PofDMTQ0VFVVpZuenp7V1dVstYsXL6qrqxNCVFRUDA0N2UWEQkND2TrV1dXjxo2j5ZqammxTw4YNq6qqko1k06ZNsielc5RDQ0N5PB4hJCUlpfHXWF1dPXHiRNqCurq6gYEBHQQlEAguXrwoW3Pv3r00gNDQUEKIqqqqnp4e+/Zu2LChkbOsX78+ICCA3m8xNzcPCAgICAgYOnSobMv29vZNbPnKlSt8Pp/u1dXVpT9oaWmdPXu28RdLT9S5c2fZQtqRvGvXLj6fzzCMvr6+isr/Xf8HBQVFRUXRt1RfX5/9OgUEBMi2IJFITExM6C5tbW02eBUVlWPHjsnW/Pnnn+kuDw+PoKAg9gaUtra2o6Mj+0o//vhjQsjkyZPZA+mQG21tbZpJq6ioGBgYsHGOGTNG9iwpKSnsu6enp6etrU1/NjAwyMnJafonIvdG/dtflSdPnmhra4eEhEydOpWW3Lt3z9DQMDU1tZHPtCHbtm0jhOzZs8fGxkZVVVUkEsnuTUxMJIQsW7Zs5MiRcr/g6enp8+bNs7KyUlVV1dDQcHFx+e9//8vunT9/vty1NPtrS9/MkpKSRYsWdenShcfjde3a9auvvhKLxXKxxcXFDRgwQFtbW0tLy8fH58SJE7J7x48fr6amVlVVtWHDBmtra1VV1c6dO8+bN6+kpKS4uPjzzz83Nzfn8Xjm5uZff/213P8G586dGz9+fKdOnbhcrkAgGDBgQFxcHN1VVFQUEBBA/teBAwek//wf8vXXX8s2lZWV9cknn5ibm6uqqnbq1GngwIGXLl2iu549ezZv3jxLS0t1dfVOnTr5+fn99ttvEomk2R8SAMDbhXS/A0pPT2eTQppzrFixolkt1Jvul5WVnThxwsrKimGY9evXy9YXiURjx449cuRIWVmZVCqtqanZunUrbSE6Opqt1q9fP0LIuHHjioqKaLWbN2+GhYXJpjVr1qwhhFhYWMTHx9fW1lZVVf3xxx80b1u5ciVb7cGDB/RKYPLkybS14uLir776in3Vb0z3f/jhB0KIjo5OTEwMvSZ58eLFtGnTCCGGhoYvXrxga9Icjsvl8ni8rVu3VlZWSqXSJ0+e0KccCIVCWtKI0aNHE0LmzJkjV05b5nA4TWlZJBKZmpoSQj799FOaw+Xl5dE1WwwMDIqLixsJoJF0n8vlDhs27OnTp1KptLS0NCQkhL6BXC7X29ubrgxTWVm5aNEiWn7hwgXZRtavX//tt9/ev3+fbubk5PTt25cQYm9vz9bJz8+nl3k7duygJcXFxfQ20W+//SbbWkPpPo1nzpw59CKzrKxs1qxZtFzuUnbWrFmbNm3Kz8+nm1euXNHX16/75jf+ici9Uf/2V+X06dPq6uoffPDBf/7zH1oyZ86cjRs31q3ZFDTd37Jly9KlSwkhu3fvlt27ZMkSQsj9+/eHDBki9wtOlx91cnKaMGFCQEAAvdhjf9M3bNhAPx16X2XVqlWnTp2iu4yNjdXV1QcNGiQUCkeOHDls2DBNTU1CyEcffSR76oiICIZhdHR0hg8f7u/vr66uzjDMtm3b2Arjx48nhISEhPTs2XP58uUrVqywsrIihIwcOdLd3d3e3n7FihXLly/v2rUrIeSzzz5jDywrK9PX1+fxeP369Zs4cSK9JcLj8W7duiWVSgsLC1etWuXn50cICQoKosHfu3dPWl+6n5ycTGdpm5mZDRgwwN7enk5opmeht1/69OkzYcIEb29vTU1Na2vr2traln1SAABvDdL9jqlnz56yXVl9+/Zt1uFsuq+urq6lpaWlpUV74rW1tceOHXv69OmmNEJ71ObNm8eWGBoaEkLOnDnT0CEVFRW0N1Qup/zuu+8IIcbGxuxf1s8++4wQ0r17d7mutWHDhjUl3S8pKdHR0SGE7Ny5U7a8trbWzc2NELJ48WK2kOZwhJDw8HDZysnJybT86tWrjZxL+qbksokt//jjj4QQPz8/2ZoikYh288t2xNbVSLqvr69fWlrKFr5+/ZpeXGloaMgmstXV1V26dCGEfP/9942/2KtXr9Lg6WWYVCrdt28fvYSTrbZ7925CiJubm2xhI+m+r6+vbE2xWEwvflatWtV4PKtWraJZrGxh09P9t/BV2bdvn4aGhrm5OZvCMgzT4iSSpvsbN26kJx02bJjsXisrK2dnZ+k/v56y6X5qauqdO3fYTbr6Vs+ePdmSM2fOEEJGjx4td0bau+/g4FBYWEhLbt++zeFwuFzuq1evaMnLly91dHQ6d+5MLyylUumdO3d4PJ6Wlhb7PaHpvrm5OXvtmpeXR28p2NjY0K4EqVT68OFDDoejra0t28F/7ty5vLw8djMsLIwQMmPGDLbk66+/JnVusNRN911dXQkhy5YtY9//vLy8mpoaqVRKJ0KEhISwlUtKSu7evSsFAFB6GLvfMc2dO1f2eVs3b95sWTuVlZVlZWVlZWV00HZFRUVubm5WVlZtbe0bj7W1tSWEvHz5ki2hQ6KjoqKkUmm9h8THxxcVFZmYmMjNIxwwYAAh5MWLF+w4dTohYdKkSXToDmvKlClNeV1nz559/fq1uro6zTBYDMPQXtvIyMi6R7E935SjoyN9k+uO4W6uprRMV6OXC1ggEPTu3ZsQUu9w+aYYNmyYlpYWu8nn82mXqq+vr5GREVvO4XBcXFwIIXl5eY03SD93IvPR00NopyyLjh1v+jMc5D5ZVVVVZ2dn0oQ3v+73sFnewleFy+VKpVIzM7P333+fEPLNN98MHTpUdn2tFpBKpY6OjjY2NmfOnBGJRLTwzp07Dx48YAfLybG3t6cfMTVixAhtbe3MzMym/LITQpYuXcqOXOrVq5eHh0d1dfW9e/doyYEDB16/fr1w4UJ2oLyLi0tAQEBZWZnc5KJp06bR6ytCiKmpKQ1p+vTp9I4BIaR79+42NjalpaWPHj1ij/Lz86OXfxSd/nH//v2mRM66efPmrVu37OzsvvnmG/b9NzU1pYPH6L+y/+Foa2vb2dk16xQAAArBfXMVaIemTZu2cOFCdpPP51+5coWOKGiW+Ph4X19fQkhNTU1BQcG5c+e+/vrrmTNnRkZGnjp1Sm4sb2Ji4vXr158/f15RUSGVSv/++296IFvhu+++GzFixLZt29LT08PDw2lyI4telrx69crS0lK2vKqqiv7w/Plza2vrqqoq+oecZrqy5JYtb8idO3cIIdbW1nIPISb/zEXOyckpKCigtyMoDQ2N7t27y1XW09PLzc2trKxsykkb0pSWa2pq6Kjr77///j//+Y9szRcvXhBCnj9/3rKz181XaNImd4OILa+7AGtxcXF8fHxGRsbr16+rq6vZD4v96Ollg9zj3ugmm9i9kb29vVwJHaVT981//PjxxYsXHz16VFZWVltb+/DhQ/K/38NmeQtflZcvX4rF4mfPntHPYu/evW21EujYsWOXL19+9OjRqVOnkn9m7spdt9QlkUjor7ChoWF2drZEIqFjsRpHp1OzOnXqRAhh7xNevnyZEHL27Nn09HS2TlZWFiGEfkAsuV9hAwMDQojsgkVsYWlpad0wysvLJRIJ/bCa+4uZkJBACPH396/3Wuu9994zNjb+/fff+Xz+kiVLZD9xAAAlh3S/Y+Lz+V5eXnRaLSGktLT0zJkzLUj3WRwOx8TEZNKkSd7e3tbW1ufPn9+6deunn35K96alpYWEhLzxHkJQUFBMTMysWbMuXbo0cOBAJyenxYsXT5gwgf3jSlNAsVgslwGwaCpZXFxMexzpX31ZsjMjG1FYWFjv4bKF+fn5sn/R5Z5fJquhmxVN1JSWRSIRXVfk2bNn9dZkk+zmYqf8ymHnuTYUErV8+fKVK1c2nlcFBATweLy0tLSzZ8/SFWOqq6vp7A46Xrw1ccrGU1JS8sknn9DpIk1s9o3ewlclOzubz+fn5uZ269YtLS1NS0ur6W9L48aNG7d8+fKoqCia7kdHR/fu3VsudWalp6cvW7YsLi6uBc/hJv9c1LFoXzj7eultjePHj9c9UO7LU++7V+/vteybefLkyZ9//jkhIaHea4AmolfO9EKlLqFQGBsbO3ny5HXr1m3atGny5MlLlixp6M0EAFAqGMzTYc2dO5ftOq2urj527FibNGtubv7ee+8RQk6cOEFLXr9+PXDgwJs3b1pbW0dFRT1//pxOZ5wzZ07dw0eNGpWdnb1+/fpu3bolJydPmjRp8ODB7F9o+ve7f//+DQ0+o8ki+2e+biccnV/4RvTAenOvhhpvYsst0JSW2ajOnTtX7ztDe0//pbM35Keffvr222/FYnFYWFhiYmJ5eblUKi0oKJCrZmJisnLlSkLIkCFDRo4cOX36dEdHx7i4uC5duoSHh7dhnBMnToyKitLR0Vm3bl1WVhad1xEdHd3c1yXrLXxVnjx5oqWlZWNjQwjZtWsXHSPUJhwcHGxtbel4nlu3bj18+LChrv309HR3d/eDBw8GBgYePHgwLi4uPj6+3ouchjR+B4C+V/VOXaAzoVnsskuyGh/aFBERMXToUDrv/+jRo/Hx8XS6SHPRIBs5l7Ozc1JSUnR0tJOT0++//+7o6FjvUC4AAGWDdL/DGjVqlOy4izZ8KhZde5H2ehJCIiMj6Yy68+fPjx071tjYmKY7DfU3a2pqfvrppw8ePPjtt9+0tLROnz5N59WRf7pL3zgaW1dXl/5JfvXqldwudphy42hPZN3EVLZQqW7W6+rq0ne19fME2hBdXnPevHm//PKLs7MzHUFR7+e+cOHCb7/9trq6+s8//9y5c2dZWdns2bNv3LghO966lTIyMmjn8a5du+bPn9+tWzc6zLrF9z2ot/BVuXfvXmlpKU33d+zYITfuv5XGjh1bVVV15MgROpKnoYH769atoytpbt++fdy4cQMGDPD19a37WIMWox+07AMQ2tDy5csJIZGRkcuXLw8KCvL19a079Ksp6Gfd0A00isPhjBkz5vr16/v376+pqfn444+LiopaFjYAwFuDdL8jkx0no6ure/78+TZp9vHjx+SfkdOEEDobz9bWll2CncrOzm6kEQ6HM3v27HXr1hGZyY50LH5WVlbjf3F5PB6dTiq7zjolOzK4EXRNlYyMDLmHhZF/rou6dOnShul+Iz3ETcTlcp2cnMg/Y6CVgUgkoh8TXeKQVe/n/vfff69Zs8bf37+srKy6uvrx48e//fab7OOfW4+dFdqUeJr+ibyFr4pUKjU1NbW1tf3ll1/U1NTkfo9aaezYsYSQqKio6OhoNzc3uQnTLDqMXnaKfE5OjtzFM338Qssun+givG11j1FO3eDpRBdZTQnew8ODEHLu3LmmnHTixIkffPBBeXk5u+wSAIDSQrrfkc2ZM4cdh1pSUnLt2rXWt5mVlUXb8fb2piV0/Xu5562mpKSwMwcaQWc0sp2I77//vp6enlQqXbt2beMH0sHNkZGRcsuG1PsY0br8/PyMjIzEYnFERIRsuVQqpc9bpQs1thU6Dp69H9IytF/2wIEDb1wb5+1gn4Am99H/+uuvdSv/5z//EYvFixcvrjvh9V+Np6Kigi5MKafpn8hb+KqIRKLa2lobGxs6hb2Vrcmh43lOnjyZnZ3dyCRd2rFN5yUTQiorK9l7bix6HZKamtrEtXpkBQcHCwSCyMjIAwcOsIVisXj//v3NbaouueDz8vKWLVsmV4cG33hq7u7u7uLikpSUtGbNGvZSsLCwkP7G3bhxQ3Z9J7FYTK8w5SYtAAAoIaT7HZmbmxu77F1NTQ37ZNOWEYlEf/zxx/vvv19VVSUQCGbMmMGehRBy//59Nh9KTEycOHGi3AjmR48eTZ06NS4ujp2Zl52dTZMbOhmAEKKlpUVL1q5d++WXXz5+/FgqlZaXl9+4cWPp0qV0rX1qzpw5PB4vJSVl1qxZxcXFhJDy8vLVq1c3MXtQU1P79ttvCSELFiyIioqi1xsFBQUff/zx7du3BQLB559/3uI3qi66FuSZM2foUJyqqqoWJEyzZ8/u2rXr69ev33///djY2MrKypqamtzc3IMHDw4dOpRdovSt0dTUpCvJrFu3jubN5eXlK1eujImJqTt4vby8nBDy559/Pn36VCQSiUSiup3lrdS7d2867HvZsmX0A3327FlISEi9d4qa/om8ha9KSUlJWVmZjY1NVFRUQ4NtWoN28DMMQ3+o16xZsxiG+fbbb0eOHBkcHGxtbZ2ZmSm3dpa1tbWNjU1WVpanp+fUqVPp29JEenp6e/bs4fF4kyZN6tmzp7+/f9++ffX19dtk5BKdJjR06NCQkJDRo0fb2tp6eXnJze4dMmQIj8fbvXv3iBEj6ByPepvauXOnrq7u4sWLu3XrRh+zZWpqSle5PXjwoKmpqYeHR3Bw8Lhx43r06JGSkjJs2DCsxQkA7UAT1+eHduratWvsShe6urpvfCAUxS6fp6KiwuFwOByO7Pw5HR2ds2fPspUlEomjoyPdZWxsTE/Xs2dPmv2PHz+eVnvw4AGtw+VyzczM2LFAAoFA9uE+Uql03rx59X5X2aaojRs3sg0aGRnRgdrh4eG0G++NT9Wtra2dPXs2bUFdXd3Q0JC+Rk1NzdjYWNma9T6jiqJXU3v37m38XI8fP6arhvN4vC5duqiqqhYUFLSg5eTk5IaWDUlLS2skgEYesyX7WFOKJnmyzx6i6OouU6dOZUvYnlpVVdUuXbrQN3D9+vX0wbqyIR0+fLjuFExdXd2pU6fKPsyrkcds0ef7ypo8eTIh5OOPP2ZLQkND2a8o/RpoamqePXuWw+EYGxvLHtusT+Tf/qrweDwej/fXX3/5+/vX3dtc9G6G7POkUlNTCSEeHh6y1eo+ZismJsbJyUldXd3IyOjDDz98+fLl6tWrCSF0UU4qJSXFy8tLS0tLIBCwzxejg7JkH3ol/eeZWSdPnpQtTEpKGj9+PJ3eIxQKfXx8Nm3aJHeI3GP46IPzLl26JFvo4+NDCGH/36iqqlq2bFnXrl3V1NS6d+++fPny6urqwYMHyz1eMDo62tbWVlVV1dTU9NChQ9L6HrMllUozMzNDQkI6derE4XB0dXWDgoIyMjKkUumtW7cmTJhgYmLC5XKFQqGLi8vy5ctl3xwAAKWFdL/jY0fdMAwj91j7hrDpviwNDQ1bW9uwsLBHjx7J1c/Pz//www+NjIxUVVXNzc3nzp1bVFRUVFTEMAybo4vF4h07dgwYMMDAwIDL5erq6jo6Os6aNSs7O7tuAKdPnx45ciRNC7S0tBwdHRcsWHD//n25aidPnvT19eXz+Xw+39PTMzIyUiqV0mdyvTHdp44dOxYQEKCnp6emptatW7dZs2bl5OTI1Wl9ui+VSuPj4728vHR0dDQ0NNzd3UtKSlrWclFR0dKlSx0dHTU1Nblcrqmp6bBhw/bs2SP3dOGmvITWp/tSqfTgwYO9e/dWU1MTCATe3t5Hjx6VSqXz58+XTfdLSkqWLl3KXuDJsbOzYzPFVqb7NO3r3r07j8czNDQcNWpUamqqVCp1dnaWS/elzf9E/r2vCiFEW1s7KCho5cqVdfcCAAC0EiNtuwWqQTklJib6+vrSES8aGhp0WAXA21FRUeHu7p6amvrdd9/NnDmT9gRLpdLS0tLY2NgpU6ZUVlayT3N7BxUWFhoaGjo6OmZkZGRmZrKj7wAAANoKxu53fC4uLuwDL1VVVet90g3AvyQyMjI1NXXIkCHh4eHsUjwMw/D5/DFjxri7u5M6z1V9p7x8+VIqlVpZWfXu3Ru5PgAA/BuQ7r8T1q9fTx+5VVxcTIerArwddJHEeh/YVFNTQ8fqNDQh4V2QkZHB4XBEItGIESMUHQsAAHRMSPffCXZ2dl5eXnSt8RMnTrRgWRiAlqHrlhw7diwhIUG2/OnTp8HBwdnZ2V26dJFbKf+dkpWVxTBMRkZGUFCQomMBAICOCen+u2LdunVdunQhhOjo6MTExCg6HHhXjB071sfHRyQSeXp62tvb+/v7+/n5WVlZmZubR0ZGmpiYHDp0SF1dXdFhKgyd+M4wDH2qLgAAQJtDuv+u6Nmz5w8//CAUCouLi+kSKwBv/rBYLwAAIABJREFUAZfLjY2N/fXXX728vJ49exYfH5+QkFBRUTFw4MBffvklIyODnVjybsrNza2pqTE3N1d0IAAA0GFhZZ53y+LFizdt2iQWi2fMmLF+/XpFhwPwrnN3d799+/bJkyf9/f0VHQsAAHRMSPffOSNGjDh9+jSXy01NTbWwsFB0OADvNHNz8ydPnuD/YQAA+Pcg3X8X2dvbp6en9+nT59q1a4qOBeCdpq2tzeVyRSJRyw7Pzc1t8bHwbzAzM2MfZA4AoCSQ7r+j3nvvvbS0tK5du545c6beRRIB4C3gcDguLi63bt2im/n5+ampqffv38/OztbR0bl69WplZSWXy01LSzM0NMzNza2srNTS0nr58qWamlptbW1tba25uTmXy1XsqwDW6tWrhw8frugoAAD+B9L9d1dUVNSSJUuys7OnTp26YMECRYcD8C5ycHCwsbGpqal5+fIlj8crKCiwsLDQ1tamz96qqamxsbExMDAoKipydXVVV1fX1tbW09Orra3V0dHh8XhaWlp6enp0jV0AAIB6Id1/1z1+/HjMmDHl5eWKDgTgnVNdXX3//n0DA4PPP//c1dW1R48eFhYW6KoHAIC2hXQfAEBh1NTUhELh8+fPFR0IAAB0WFh3HwBAYfh8fn5+fk1NjaIDAQCADgvpPgCAwgiFQlVV1aNHjyo6EAAA6LCQ7gMAKEznzp1ramoOHDig6EAAAKDDQroPAKAwVlZW1dXVhw4dUnQgAADQYSHdBwBQmC5dunA4HG9v7xMnTig6FgAA6JiQ7gMAKEz37t2lUqmLi0tUVJSiYwEAgI4J6T4AgMJYWlrW1tYaGhpGR0crOhYAAOiYkO4DACiMvr6+iorK3bt3nZyc9u3b14Ytl5eX8/l8hmHS0tLasFkAAGh3kO4DACiMvr4+IeTy5cv+/v7r169vw5b//PPP0tJSQkhEREQLDt+xY8f169fbMB4AAFAUPFUXAECRGIYxNzd/9OgRl8sVi8UcDqdNmg0MDDx79mznzp1ra2uzsrKadWxRUZGpqenatWvnzp3bJsEAAIACoXcfAECR1NXVCwoKCCFTpkzZu3dvm7RZWFgYGxvr6+s7dOjQ7Ozsv//+u1mH7927VyKRtEkkAACgcEj3AQAUSVtbmxDy4sWLkJCQPXv2tEmbUVFRVVVVQUFBI0eOJPWN55FIJGvXrnVxcdHR0dHX13dzcwsPD3/x4sWrV68GDx4cFhZGCPn0008ZhmEYJjIykhCyefNmhmFu3ryZmZk5ZMgQTU1NCwsLtsHS0tLw8HBbW1t1dXV9ff0RI0bcuHFD9ozp6ekMwyxbtiwlJWXEiBFCoVBdXd3Z2Xn37t1ysUml0p07d3p6evL5fG1tbS8vr/3798vVMTExGThwYGlpaVhYWKdOndTV1e3s7NavXy+VSjMzM8ePH29gYKCmpubk5MS+9ps3bzIM4+HhIddUWloawzC9evVq2VsNAKD8kO4DACiSQCDQ1NS8d++eVCpNSEjIzc1tfZsREREqKiqjRo3y8fExMjKKioqqrq6WrTBr1qyFCxcWFxcPGTKkT58+jx8/XrFihUQikUqlvr6+fn5+hJCgoKBVq1atWrXK2dmZPTA5OdnPz+/atWsuLi79+/enhWVlZT4+PsuWLauurv7ggw/69Olz6tQpLy+vw4cPywV26NAhb29vNTW18PDwefPmZWdnT5s2Te6exqxZsz766KMHDx4MGjQoICAgPT198uTJCxculGvq3r17H3zwQUpKysKFCz/77LOnT5/Onz9/0aJFffv2LS4u/vrrr+fPn//w4cPg4OA///yTENKnTx87O7tr165lZ2fLthMTE0MImThxYmvecAAApSYFAADFcXV11dfX37hxo1QqtbCwSEtLa2WD2dnZDMP4+vrSzdmzZxNC/vrrL7aCSCRiGMbS0lIsFtOS6urqGzdusBW+/vprQsiGDRtkm920aRMhRCgUTpgwoby8XHbXF198QQiZPn16TU0NLUlMTOTz+QKBoKioiJawCwQtX76cPfCvv/4ihLi6urIlx48fJ4T06tVLJBLRkqKiInq9ERcXx1YzNjYmhIwcOZItoVk7ISQkJIQtpLcOBg8eTDfXrFlDCFm5cqVs8C4uLgzD5OTkNPKWAgC0a+jdBwBQJIFAUFJScu/ePULIrFmzdu3a1coG9+/fL5VKx44dSzfHjx9P/nc8j4qKCv2XnRbM4XD69OnTlMZra2s3bdqkoaHBltTU1Gzbto3H4/3444+0ZUKIs7NzaGhocXGxXM89j8ebP38+uzlkyBAul5ucnMyWbN68mRDyww8/CAQCWiIUClesWEEI2bhxo1ww8+bNk22K/iDb/tChQwkhqampdDM4OFhFRYWOTaKysrISExM9PT1lByYBAHQwSPcBABTJ1tZWRUWFdn7PnDmT5rutQUfyjB49mm56e3t36tTp6NGjdF1OQgifz/f398/IyBg2bNjdu3eb1bi/v7+urq5sSVpamkgkcnJykiunI4IuXLggW9ijRw8+n89uqqio6OnpVVVVicViWkJnFffr10/2KF9fX4Zh5JoihDg4OLA/a2hoaGlp0VOwhQYGBoQQ9oV36tTJ398/OTmZvdWAkTwA8C5Aug8AoEhmZmZVVVU07RYIBHp6enRsTMskJibeu3ePy+WOGDHCw8PDw8Pjvffeq6ioKC8vP3LkCFtt//79I0aMiI2NdXBwGDRoUN1MuiHdunWTK3n+/DkhxMTERK7c1NSUEJKXlydbKBQK5aoxDEMIkUqlhJCqqqrCwkItLS3ZSwJCCC0pKipirwoo9g4AS0VFpW6hVGa96WnTphFCDhw4QDdjYmI4HA57JwQAoENCug8AoEj6+vqampoSiaSoqIgQsnfv3osXL7a4NTpoRyKRXJPx6tUr8r/jefT19Y8cOZKQkDB69Oi4uDhfX9/PPvusKe2rqqrKlcjm67JoCd3LYkf71KuhppremlyFukaOHCkQCGi6n5ube/36dT8/PzoTAACgo0K6DwCgSPr6+mpqaoSQY8eOEUK8vLw4HM7Vq1db0FRtbe2BAwfU1NTYea6sHj16nD17Nj8/X7Z+3759//jjj+vXr1tYWKxbty42NrYFJ+3cuTMh5NmzZ3LltIT28TcRl8s1NjYuLy9//fq1bHlZWVlJSYmenl7di43mUldXHzduXGZm5u3bt48dOyaVSjGSBwA6PKT7AACK9PTp04qKCgcHB9q7TwhZsGDBggULysvLm9vUhQsXcnNzBw8eXHdAy9ixY6urqw8ePFj3KFdX18WLFxNC2GsMLpdLCKmqqmrKSa2trY2NjVNSUtj42WAIId7e3s16CT4+PqTOiP+WNdWQqVOnEkKOHz9+4sQJVVXVUaNGtUmzAABKC+k+AIAi2djYaGlpGRgYPHz4kJaMHj1aR0fno48+am5TdLjOhAkT6u6SXZ8nOzs7PT1ddu+dO3cIIUZGRnSTDsSXXTCnEQzDzJ07t7q6euHChTU1NbQwNTV1y5Yt2trawcHBzXoJc+fOJYQsXbqUDkAihBQXF3/zzTeEkJkzZzarqYZ4eXlZWVlduHAhPj5+8ODBcjOMAQA6Hq6iAwAAeKeZmZmpqKjIrUd56tQpa2vrjIyMnj17NrEdsVgcExOjqakZGBhYd6+Li0vPnj2vXbv28OHDtLS0wMBAGxsbJycnHo+XkpKSnJxsZmbGDmsZMmQIj8fbvXt3UVGRpqbmBx98MG7cuEZOvWjRori4uJ07d168eNHNzU0kEsXHx1dXV+/bt8/Q0LDJ7wQhhPTr12/JkiUrV660tLRkF+R5+fLlnDlz2KU2Wy8kJCQ8PLy2thYjeQDgXYDefQAARTI0NCwvLxeLxXK96V9++WVYWFjT2zl+/LhIJAoMDKTrUdZFU/aIiAg3N7ewsDCJRHLkyJHDhw9XVlaGhoYmJCSwy+ZYWFjs37/fxsbm1KlTFy5c4PF4jZ9aVVX11KlTK1as4PF4hw4dun79+sCBAy9evFjvfYY3WrFiRWRkpLW1dWxs7KlTpywtLXfv3l130f3WmDJlSm1tbUOXRgAAHQxT7xoIAADw1jAM07dv32fPnl26dMnc3JwtNzY2/vXXX1uWNEMjEhISPD09J0yYwK7ICQDQgaF3HwBAwYRC4ePHjx0dHVNSUmTLz549u3LlSkVF1YHRZ/1OmTJF0YEAALwNSPcBABTM2Nj42bNnTk5OcuN5HB0dzc3NlyxZoqjAOqT09PRdu3Z169Zt8ODBio4FAOBtQLoPAKBghoaGhoaGZmZmdRfD+euvvzZu3Jibm6uQwDqS9PT0KVOmjBkzpk+fPuXl5Rs2bGj8mV8AAB0G/rMDAFAwAwMDoVAoFotPnDhRd+/JkyfRD916EonkxIkTR48eNTc3P3LkyLBhwxQdEQDAW4KFOAEAFMzQ0JBhGG1t7bKysurqavqUK5aXl5ezs7O3t/fly5cVFWEH4OTkVFhYqOgoAAAUAL37AAAKZmhoWFNTc+PGjT59+ty6datuhX379tnb269Zs+btxwYAAO0d0n0AAAUzMDDo3LmzlpaWq6trvek+ISQ0NDQ2Nnbz5s1vOTYAAGjvkO4DACiYoaGhVCp9/PhxI+l+7969/fz8tm3bNmHCBDwvBQAAmg5j9wEAFMzQ0FAikdB0f/369Q1VW7p0qbGx8aNHj/T09OLi4nr16pWXlycSid5mqO8OMzMzgUCg6CgAANoA0n0AAAUzMDAoLy9/9uyZs7NzampqTU0Nh8Opt+aMGTNevHihra0dEBBQWFgoEAhMTEwaqgytsXr16uHDhys6CgCANsDgpjAAgGI9efLEy8uroKDg1atXPj4+GzZscHd3f+NRjx49qq2t7dSpk5qa2lsIEgAA2imM3QcAUDBDQ8OCggJzc/PHjx9raGjs37+/KUdZWFh069YNuT4AADQO6T4AgIKpq6tzOJzOnTs/fvx46NCh2dnZio4IAAA6DqT7AACKZ2hoaGRk9OjRo8DAwAcPHig6HAAA6DiQ7gMAKJ6hoaFAIHj8+LGtrW1ubu7r168VHREAAHQQSPcBABQvPz//9evXjx8/JoR4enpevXpV0REBAEAHgXQfAEDx3Nzc6Jr6hBAPD4+EhARFRwQAAB0E0n0AAMXr1q2buro6evcBAKDNId0HAFA8Y2PjoqIiuiaPh4fHpUuXMHwfAADaBNJ9AADFMzIyqqioYBhGIpEIBAJra+tNmzYpOigAAOgIkO4DACiesbFxfn5+p06dXrx4QQhZvHjx7du3W9DO9u3bGYZhGCYoKKihOnZ2drTO+fPn2UIPDw8rK6uSkhK6mZ6ezjCMt7c3W+GLL74QCoXXrl1rQVQAAKBASPcBABTP2Nj4xYsX3bp1o+N5fH19ZXPxFjh9+nRxcXHd8pSUlLS0NLlCiURy+/btzMzM/Pz8hhq8ceOGSCRKTU1lSx4+fPjjjz+2JkjFau/xAwA0EdJ9AADFMzIyys/P7969e1ZWFt00MDC4d+9ey1rz9vYWi8V//vln3V1RUVGEkP79+8sWqqqqHj9+PCYmpkePHg21uWnTps2bNwcHB8uWtOt0ub3HDwDQREj3AQAUj/bus+k+IcTPzy8+Pr5lrQ0cOJD8k9nLiY6OdnBwMDc3lyv39/cfNWpUI23a2tqGhoaqqanRzfLy8gMHDrQsPGXQ3uMHAGg6pPsAAIqnoqKip6dnaGjIpvutGc/TvXt3GxubuuN5kpKS7t+/P27cuNLSUrlDTExMGIaprq5uqM0JEyYwDHP27FlCyJ49e7p27ZqXl1dYWEinAdjY2NBqhYWFa9as6dOnj7a2tqqqao8ePRYuXFhWVsa2Q2cF/PTTT2VlZTNnztTX1+dyuYcPH2YYxsPDQ+6kaWlpDMP06tWr3pDEYvG+ffsGDhyop6fH4/FMTU0nTJhAR0PJvq7hw4cTQn755ZeuXbuqqKjs3Lmzkfjv3LkzduzYLl26aGho9OjRY/To0X/99VfD7zQAQDuAdB8AQCkYGRnp6Oiw6X5paemxY8da1lRFRcXYsWMlEsnRo0dly2l///jx48VicWtC1dXVDQsLI4RoamquWrVq1apVCxYsoLu2b9++ePHiFy9eBAQEBAYGvnr1au3atSEhIXIt5OXljR07dufOnZaWlo6OjsOHD7ezs7t27Zpcsh4TE0MImThxYr1hJCYmTpky5caNGx4eHqNHj9bU1Dx48KCPj095ebncudasWfP555/z+XxXV9eePXs2FP+9e/d8fHyOHDliY2MTGBioq6t75MiRFn8KAADKQgoAAErAz8/vjz/+MDExoZsikUhHR6empqZZjWzbto0QsnHjxuTkZELIsGHDZPdaWVk5OztLpdKAgABCSHx8PLvL2NiYEFJVVUU36XReLy8vtsL48eMJIWfOnKGbVVVVhBB9fX25AEQi0fHjx9mwnzx5wufzCSG5ubmyLQuFQnt7+8ePH7MHrlmzhhCycuVK2dZcXFwYhsnJyWno9R46dKisrIz+LBaL3d3dCSH79++XfV2ampr6+voXLlyQPbDe+D///HNCyO+//86WPHny5OnTpw2dHQCgXUDvPgCAUjA2Nq6qqiouLq6oqCCECAQCBweHhISEFjQllUodHR1tbGzOnDkjEolo4Z07dx48eDBu3Li2DLoOgUAwdOhQFZX/++NiZmbm4+NDCMnIyJCt9urVq40bN3bp0oUtCQ4OVlFRiYyMZEuysrISExM9PT0tLCwaOt0HH3ygqalJf1ZVVaWv7v79+7J1ysvL586dKzc7uV40bB6Px5aYmZl17tz5jQcCACgzpPsAAEpBbnEeQsjQoUNPnDjR4gblxvOwI3laH2pTVFdXv379WiQSCYVCQkhlZaXsXgMDA19fX9mSTp06+fv7Jycns+uENj6SR5ZUKi0tLRWJRPROgty5CCFjx45tSswjR45UUVGZM2fOb7/91srxTgAAygPpPgCAUqi7OM+QIUNOnjzZ4gZpVze7Pk90dHTv3r0bWWqzTbx69eqLL77o0aOHqqqqQCAQCoV79+6tW61bt251C6dNm0YIYRfMiYmJ4XA4jWTqNTU1GzZscHV1VVNT4/P5QqEwNDS03pr1nq4uLy+vAwcOcLncuXPnmpmZLVu2rN5nFwAAtC9I9wEAlALt3dfR0YmLi6MlvXv3zsnJuX79essadHBwsLW1peN5bt269fDhw3+7a18sFvv4+Pz000/du3ffsWPHmTNn4uPj6aqgclRVVesWjhw5UiAQ0HQ/Nzf3+vXrfn5+dFJBvWbNmjVv3ryysrI1a9acPHkyPj5+4cKF9das93T1GjduXE5Oztq1a9XV1cPDwx0dHWWfLAYA0B4h3QcAUAq0d9/BweHixYts4ZQpUz799NMWtzl27NiqqqojR47QPv5/e+D+4cOHU1JS3nvvvdjY2A8//HDgwIG+vr5aWlpNPFxdXX3cuHGZmZm3b98+duyYVCptZCRPXl7e9u3bdXR0Ll68+Nlnnw0ePNjX17dNxtnz+fzPP/88MzNzwYIFT548mT59euvbBABQIKT7AABKgab7Y8aMKSkpYQvXrVuXnp7++vXrlrVJR8JERUVFR0e7ubl17dq1TUIlhHA4HIZh6Po2LDoMydvbm52qK5VK6RpBTTR16lRCyPHjx0+cOKGqqtrIk7/ocj02NjZGRkZsYWJiYmvil6WmpvbTTz+ZmJjcuHGjkccRAAAoP6T7AABKgcfj3b9/39LSMjMzU7Z8/PjxBw8ebFmbdDzPyZMns7Oz23YkD8MwRkZGr1+/fvToEVtIM+87d+6wJWvWrJFbSr9xXl5eVlZWFy5ciI+PHzx4sK6ubkM16bkyMzPZq6OLFy9GRES0Jv5z587JTvPNyckpKirS09PjcrlNfwkAAMoG6T4AgFKwsrKiS3D27NlTdtnK1qT75J8OfoZhmrg6TdONGDGCEOLr6zt16tTRo0cTQkaPHm1sbHzmzBkvL6+PPvrI1dV1xYoVH330UbOaDQkJiY+PLy0tbXxNHktLy0GDBhUVFfXq1evjjz8eNGiQn5/f7NmzWxP/okWLDA0N/f39p06dGhQU5ODgIJFIFi1a1Kz4AQCUDdJ9AACloK2trampKRKJrKysHjx4wJbr6OgkJCS8fPmyZc3S8fp9+/Y1Nzdvm0D/8eOPP44bN+7169dRUVFFRUWEEKFQGBsb+/7776empsbExBgYGFy5cmXGjBnNanbKlCm1tbWampqBgYGN14yIiJg6dWpJScmBAwcKCwsPHjz4888/N32qQN34lyxZ4u7ufuvWrf3799+4ccPV1XXfvn0NTf8FAGgvGKlUqugYAACAEELs7OxiYmK2bt1qYWERFhbGluvr6x87duy9995TYGxvTUJCgqen54QJE9gVOQEAoDXQuw8AoCxMTU2fPXsmN5iHEBIWFnbq1ClFRfWW0XX6p0yZouhAAAA6CKT7AADKgqb7coN5CCFz587duHGjoqJ6m9LT03ft2tWtW7fBgwcrOhYAgA4C6T4AgLLo1KlTXl5e3d59oVBoZGT0ww8/KCqwf1t6evqUKVPGjBnTp0+f8vLyDRs2sEt5AgBAK+H/UwAAZUF7983NzZ8/fy6RSGR37dixY//+/YoK7N8mkUhOnDhx9OhRc3PzI0eODBs2TNERAQB0HEj3AQCUBU33CSHGxsa3b9+W3eXl5eXk5NSaFTmVmZOTU2FhYVVV1b179+j6mAAA0FaQ7gMAKAs23Tc0NLx48aLc3kmTJk2fPl0RcQEAQDuGdB8AQFnQsfuEkKCgoPLycrm9QUFBISEhS5YsUURoAADQXiHdBwBQFmzvvr29/d27d+tW2Lhx4+nTpzvqkB4AAPg3cBUdAAAA/B9tbW1CSGlpaUPpPiHk2rVrZmZmWVlZH3/8cVVVlUgkersxAjEzMxMIBIqOAgCgqfBUXQAAJdKzZ8/jx49bWVmpqKjU1NQwDFNvNR8fn+TkZFVVVT09PQ6H85aDfMetXr16+PDhio4CAKCp0LsPAKBE6PB9Kysr2sHv4OBQb7ULFy5cv35dTU2tR48e9J4AAABAvZDuAwAoEXb4vp2d3b179xpK9wkh7u7ubzEuAABorzBVFwBAiejp6WVmZpKGZ+sCAAA0C9J9AAAlIpFIYmJiCNJ9AABoI0j3AQCUyJQpU3R0dAghYrH40qVLig4HAADaPaT7AABKxMzM7OnTp4QQV1dXLhfTqwAAoLWwECcAgBKRSCR8Pl8sFhNCNDU1CwsLNTQ0FB0UAAC0Y+jdBwBQIqqqqgKBoKCggBDSq1evO3fuKDoiAABo35DuAwAoF3Y8D9J9AABoPaT7AADKBek+AAC0IaT7AADKpTXp/vbt25l/cDgcfX19X1/frVu31tTUNDeMCRMmMAxz9uxZuvno0SMTE5Nx48Y1flQTqwEAwFuDZR8AAJQLm+67uLgkJia2oAVzc3NbW9vKyspHjx5duHDhwoULhw4dOnbsGI/Ha3FU2dnZL168uHr1qmxhXFxcYWGhbHJfbzUAAFAg9O4DACgXNt1XUVGxsrKKj49vbguBgYGnTp06f/58dnZ2fHy8UCiMjY3dsWNHa6Ly8fHZuXPnoUOHZAu//PLLqKioN1YDAAAFQroPAKBc2HSfEGJra7t27drWtObr6xseHk4IaWUKzjDMtGnT3Nzc2JKkpKRbt269sRoAACgW0n0AAOUim+5///33T548aWWDffv2JYQ8evRItvDy5cvDhw/X19dXV1e3s7NbsWJFZWVlI42kp6czDOPt7U03w8LCaLMxMTF0qsDMmTPrVqNKS0vDw8Pt7e01NDT09fX79u27fft2uksikaxdu9bFxUVHR0dfX9/NzS08PPzFixetfMkAAMDC2H0AAOUim+47OTk9fPiwrKxMS0urxQ3Sp/Oqq6uzJRERESEhITwez9fXVygU3rhx45tvvjl58mRcXJyamlpT2rS0tAwODt6xY4eDg8PkyZMJIb169aq3ZllZWb9+/RITE3V0dPr27VtRUZGUlJSbm0v3zpo16/fff+/ateuQIUNEIlFiYuKKFSs++eSTFr9YAACQg3QfAEC5aGpqqqmpvXr1SigUEkI8PT2vXr06cODAFjd46dIlQoizszPdzM/Pnzlzpra29pUrVxwcHAghNTU106dP37lz54oVK5YtW9aUNufOnXv27NkdO3ZYW1svXry4kZrh4eGJiYnDhw8/cOCAtrY2IaSsrKyqqooQUlxcvHPnTktLy7t376qqqtJI7ty506VLlxa/WAAAkIPBPAAASofP59+9e5f+/N577/39998tbury5cs//PADIYTtMt+3b19paWloaCjN9QkhHA5n7dq1qqqq//3vf2tra1sX+/+oqanZvn07h8PZunUrzfUJIVpaWrq6uoQQFRUV+i+Hw2Ej6dOnTxsGAAAASPcBAJSOjo5OUlIS/bkF6f7x48eHDx8+aNAgKyurfv36FRUVff/99/3796d7aWvsJiUUCp2dnQsLC9nLjDaRlpZWXFzs4OBgampady+fz/f398/IyBg2bFjbnhcAAFhI9wEAlI6fnx/by+7h4XHlypVmHZ6Tk3P8+PELFy5UVFSMGTPm/Pnz3377Lbv3+fPnhBATExO5o2hGnpeX16rQ/xeddNupU6eGKuzfv3/EiBGxsbEODg6DBg26cOFCG54dAAAI0n0AACXUtWvXnJwc+rNAIFBRUWnWMppz5syRSqVisfjp06fR0dE+Pj6yexmGIYRIpVK5o2gJ3dtW3timvr7+kSNHEhISRo8eHRcX5+vr+9lnn7VhAAAAgHQfAEDpyKb7hJBPP/00LS2trRrv3LkzIeTZs2dy5bSk3lE3LWZkZFTvueT07dv3jz/+uH79uoWFxbp162JjY9swBgCAdxzSfQAApSOX7g8ePPjUqVNt1Tjt7JcbNiMiOZXyAAAMFUlEQVQSiZKSkoRCoZ2dXRPboet70jV2GmJnZ8fn81NSUvLz89/YoKurK13k5+rVq02MAQAA3gjpPgCA0pFL9729vW/fvl1eXt4mjU+aNElPT2/btm3sbODa2tpFixZVVVVNnz6dXSTnjejo/9TU1EYW8+FyuR999FF1dfXMmTPZ+MVicUZGBiEkOzs7PT1dtv6dO3fIP/cEAACgTWDdfQAApaOnp1dVVVVSUsLn82kJ7eAfNWpU6xsXCAS7d+8ePXq0u7u7n5+fnp7ezZs3Hzx4QJ9o2/R2rK2tbWxs0tPTPT09bWxsLCws6l2zf/ny5efOnTt8+LCZmZmzs/Pr168zMjImT568efPmu3fvBgYG2tjYODk58Xi8lJSU5ORkMzOziRMntv5lAgAAhd59AABlJNfB36dPnwMHDrRV48OHD//7778HDx58/fr1Q4cOcTic77777vz585qamk1vhGGY6OhoLy+vu3fvHj16tKFRPXw+/8qVK1999ZWhoeHff/+dlpbm4OAwcuRIQoibm1tYWJhEIjly5Mjhw4crKytDQ0MTEhLo88UAAKBNMHUXZwAAAIULCgqaPn16YGAg3Xz48KGdnZ1YLFZsVAAA0O6gdx8AQBnJ9e736NFj4MCBJ06cUFxEAADQLiHdBwBQRnLpPiFk8uTJERERCgoHAADaK6T7AADKqG66P2nSpP379ysoHAAAaK+Q7gMAKCNdXd3ExETZkpcvX5qbmyPjBwCAZkG6DwCgjOzt7Z8+fSpbYmBg0L9//wcPHigqJAAAaI+wMg8AgJIyMjK6e/euoaEhW/L06VNPT88nT54oMCoAAGhf0LsPAKCkrKys5PryzczMjIyMtm7dqqiQAACg3UG6DwCgpCwtLTMzM+UKIyIivvzyS4XEAwAA7RFX0QEAAED96vbuE0JsbGyioqJ69+69d+9eXV1dkUikkNjeMjMzM4FAoOgoAADaJaT7AABKytLS8ujRo3XL/f39CwoKAgMDq6qq+Hy+ikrHv0+7evXq4cOHKzoKAIB2CVN1AQCU1K1bt0JDQ2/evNlQhcuXL9vZ2enp6b3NqAAAoH1Bug8AoKSKi4stLCzekeE6AADwL+n4t4ABANopgUCgqqpaUFCg6EAAAKAdQ7oPAKC86l2cBwAAoOmQ7gMAKC9zc/PU1FRFRwEAAO0Y0n0AAOVVXl5+8OBBRUcBAADtGNJ9AADlNX36dE1NTUVHAQAA7RjSfQAA5WVvb3/37l1FRwEAAO0YFuIEAFBqGhoar169UldXV3QgAADQLqF3HwBAqaGDHwAAWgPpPgCAUnNwcGj64jzbt29n/sHhcPT19X19fbdu3VpTU9Osk37xxRdCofDatWvNj7ceHh4eVlZWJSUlbdIaAAA0C9J9AACl1oLefXNz84CAgH79+uno6Fy4cCE0NHTYsGFVVVVNb+HGjRsikagFa4DGxcVFRUXJlkgkktu3b2dmZubn5ze3NQAAaD2k+wAASq0F6X5gYOCpU6fOnz+fnZ0dHx8vFApjY2N37NjR9BY2bdq0efPm4ODgZgZLvvzyS7l0X1VV9fjx4zExMT169GhuawAA0HpI9wEAlForx+77+vqGh4cTQg4dOtT0o2xtbUNDQ9XU1Jp1rqSkpFu3btUt9/f3HzVqVLOaAgCAtoJ0HwBAqamrqz979qy0tLTFLfTt25cQ8ujRI7YkPj5+woQJnTt35vF4urq677///rlz52QPmTBhAsMwZ8+epZvp6ekMwyxbtiwlJWXEiBFCoVBdXd3Z2Xn37t3sIWFhYfREMTExdPLAzJkz6S4TExOGYaqrq+nm5s2bGYa5ePHi4cOHPT09NTU1dXR0Bg4cePXqVdkYpFLpxo0bnZ2d1dXVmf/1119/tfjdAAB413AVHQAAADTG2NiYw+Hk5+dra2u3rAUul0sIYZfyLC8vHzt27OvXrz08PHx8fB4+fHju3LlLly4lJCT07t27kXYOHTq0du3agICA8PDwvLy8zZs3T5s2TUVFZcqUKYQQS0vL4ODgHTt2ODg4TJ48mRDSq1evRlr7+uuv79y589FHH40fPz4xMXHPnj1XrlxJSkrq2bMnrbBkyZLVq1e7uLh8//33paWlW7ZsKSgoGDBgQN++fe3t7Vv2VgAAvIOQ7gMAKDtPT8+cnJzu3bu37PBLly4RQpydnemmpqZmdHS0jY2NqakpLfnss8/WrVu3ZcuWLVu2NNJOUlLS8uXLv/nmG7rp4+MzfPjwX3/9lab7c+fOPXv27I4dO6ytrRcvXvzGqC5fvnzx4sV+/frRTUNDw59++mnr1q0//fQTIaS8vPyXX34xMDC4dOkSvc4ZNGhQ//79zc3NV65c2bL3AQDg3YTBPAAAys7Nze3GjRstO/by5cs//PADIeSTTz5hC/38/NhcnxBCp+Tev3+/8aZ4PN78+fPZzSFDhnC53OTk5JYF5uHhweb6hJDAwEBCCNvaw4cPxWJxr1692Hsanp6eDMNcuXKlZacDAHhnoXcfAEDZubu7R0RENL3+8ePHc3JyJBJJdnZ2ZmYmIeT777/v379/3Zrl5eUSiURDQ4MQUllZ2XizPXr04PP57KaKioqenl5+fr5YLG7upF5CiIuLi+ymoaEhIYRdm59uvnjxgq1QUFAglUppqAAA0HTo3QcAUHbN7d3Pyck5fvz4hQsXKioqxowZc/78+W+//Va2wsmTJ/39/fl8vpaWllAobOJQeKFQKFfCMAwhRCqVNj22hlqTa8rExGT48OHJycnff/99VlZWampqaGgoIWTixIktOBcAwLsMvfsAAMquS5cuEonkxYsXxsbGTak/Z86cjRs3NrQ3IiIiODhYV1c3LCzMzc1NR0cnNze3KUvsq6i0ZQ/RG1vbs2dPcHDwd999991335F/hhJ98cUXbRgDAMC7AOk+AEA74O7ufv36dTrAvZWWL19OCImMjAwICKAliYmJrW+2zXG53Ly8PC8vr2XLlmloaNjZ2QkEAkUHBQDQ/iDdBwBoB2pra7dv394m6X5WVhYhRHYof1ul+3TFz6qqqjZpbevWrYmJidevX3dzc2uTBgEA3k0Yuw8A0A6EhoYWFBS0SVNGRkaEkDt37tDNvLy8ZcuWtUnLJiYmhJDU1NTa2trWt/bkyRNCCPtwLgAAaBmk+wAA7UBgYKDcQ2dbbM6cOYSQoUOHhoSEjB492tbW1svLS09Pr/UtW1tb29jYZGVleXp6Tp06VW5+cHPRsUb+/v6enp7e3t79+/cfNWrUtm3bampqWh8qAMC7A+k+AED78P7778fFxbW+nS+++GLZsmVCoTAqKioxMfGLL77YtWuXu7t761tmGCY6OtrLy+vu3btHjx5tzageqVRaVFRkampaVlaWkJBw5cqVS5cuHT58eMaMGbIPEAAAgDdiWraAGgAAvGWrV68uLi5etWqVogN5G2bPnr1ly5b169eHhITQxf4rKipSUlICAwNfvnxZVlamrq6u6BgBANoHpPsAAO3DzZs3Z82a1eLH67YjlZWVfD7fycnp1q1bcrsGDhwYFxeXm5vbqVMnhcQGANDuYDAPAED74OLikpSUVFxcrOhA/nXV1dW1tbWFhYUVFRWy5deuXbt69aqZmZmpqamiYgMAaHewECcAQPvA5XIHDBgQGRlJny/bgWlrawcHB+/Zs8fR0TEoKEhHR6eoqCglJeXixYtcLnfz5s30EbwAANAUGMwDANBuxMbG/vLLL6dOnVJ0IP+66urqjRs3RkREpKenl5eXa2trd+/e3dfXd/bs2VZWVoqODgCgPUG6DwDQnpiYmCQlJRkbGys6EAAAaB8wdh8AoD0ZN27cokWLFB0FAAC0G0j3AQDak6+++io9PX39+vWKDgQAANoHDOYBAGh/dHV1Hz16JBAIFB0IAAAoO6T7AADtz5w5c5KTkyMjI0UikaJjUQpmZma4+AEAqBfSfQCA9icvL2/UqFEvXrzQ1NTEqpSEkNWrVw8fPlzRUQAAKCOk+wAA7dWJEydcXV2xSg8AADQC6T4AAAAAQIeFlXkAAAAAADospPsAAAAAAB0W0n0AAAAAgA4L6T4AAAAAQIeFdB8AAAAAoMNCug8AAAAA0GEh3QcAAAAA6LD+H8r49q8+OWyEAAAAf2lUWHRDb3B5cmlnaHQAAAAAAEludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzLCBsYXVuY2hlZCBpbiAyMDE4IGF0IHRoZSBVbml2ZXJzaXR5IG9mIEdlbmV2YSBieSBSYXBoYcOrbCBTYW5kb3ouue8CiwAAADV0RVh0VGl0bGUASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXPrmHTHAAAAh3pUWHRSYXcgcHJvZmlsZSB0eXBlIGlwdGMAAHicPU0xDgMxCNvzinsCMWCSuVO3Dv1BmpNOOqlV/z+U3FAjbJBsKPfH87Z9vu/9OGfZLhBFm8G6vcSy/lDUIahdBDTlYA0NZSezNTdL3TkhyYSEFTZ6Dsplc85UD8WAWAvPCDLk6+z1YbGVH7bwIFbkJae/AAACnmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSfvu78nIGlkPSdXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQnPz4KPHg6eG1wbWV0YSB4bWxuczp4PSdhZG9iZTpuczptZXRhLycgeDp4bXB0az0nSW1hZ2U6OkV4aWZUb29sIDkuNDYnPgo8cmRmOlJERiB4bWxuczpyZGY9J2h0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMnPgoKIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PScnCiAgeG1sbnM6ZGM9J2h0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvJz4KICA8ZGM6Y3JlYXRvcj4KICAgPHJkZjpTZXE+CiAgICA8cmRmOmxpPlJhcGhhw6tsIFNhbmRvejwvcmRmOmxpPgogICA8L3JkZjpTZXE+CiAgPC9kYzpjcmVhdG9yPgogIDxkYzp0aXRsZT4KICAgPHJkZjpBbHQ+CiAgICA8cmRmOmxpIHhtbDpsYW5nPSd4LWRlZmF1bHQnPkludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzPC9yZGY6bGk+CiAgIDwvcmRmOkFsdD4KICA8L2RjOnRpdGxlPgogIDxkYzp0eXBlPgogICA8cmRmOkJhZz4KICAgIDxyZGY6bGk+SW1hZ2U8L3JkZjpsaT4KICAgPC9yZGY6QmFnPgogIDwvZGM6dHlwZT4KIDwvcmRmOkRlc2NyaXB0aW9uPgo8L3JkZjpSREY+CjwveDp4bXBtZXRhPgo8P3hwYWNrZXQgZW5kPSdyJz8+mUIvmAAAAABJRU5ErkJggg==
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hugh of Saint Victor (1130), //The Didascalicon of Hugh of St. Victor: a medieval guide to the arts//, transl. Jerome Taylor, New York: Columbia University Press, 1961.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 93.
* Giard, Luce (1983), "Logique et système du savoir selon Hugues de Saint-Victor", //Revue d’histoire des sciences// 36(1), pp. 3–32.
* Grant, Edward (1974), //A Source Book in Medieval Science//, Harvard University Press, p. 53.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in //Medieval Analyses in Language and Cognition//, pp. 165–190.
* Jackson, Sidney L. (1967), "The Twelfth Century in the West, Its Libraries, and Hugh of St. Victor’s Classification of Knowledge", //The Journal of Library History// 2(3), pp. 185–200.
* Weisheipl, James A. (1965), "Classification of the Sciences in Medieval Thought", //Mediaeval Studies// 27, pp. 54–90.
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
Source: John William Lubbock (1838).
"I adopt that division of human learning which corresponds to the three faculties of the understanding. Its parts therefore are three; History, Poesy, and Philosophy. History is referred to the Memory; poesy to the Imagination; Philosophy to the reason." Bacon (1612), I.
"That is the truest Partition of humane Learning, which hath reference to the //three Faculties// of Mans soul, which is the seat of Learning. //History// is referred to //Memory//, //Poesie// to the //Imagination//, //Philosophy// to //Reason//." Bacon (1623), Book II, pp. 49–50.
"Knowledges are as pyramids, whereof history is the basis. So of natural philosophy, the basis is natural history; the stage next the basis is physique; the stage next the vertical point is metaphysique. As for the vertical point, //opus quod operatur Deus a principio usque ad finem//, the summary law of nature, we know not whether man's inquiry can attain unto it. But these three are the true stages of knowledge." Bacon (1605), p. 93.
"Let us proceed to the //Knowledge of man//. This hath two parts: For it either considereth man segregate, or distributively; or congregate, and in society: the one we call //Philosophy of Humanity//; the other //Philosophy Civil//. The //Philosophy of Humanity//, or //Humane//, consisteth of the same Parts, whereof man himself consisteth; that is, of knowledges which respect the //Body//; and of knowledges which respect the //Mind//." Bacon (1623), Book IV, p. 114.
"Three sorts.—All that can fall within the compass of human understanding, being either, First, The nature of things, as they are in themselves, their relations, and their manner of operation: or, Secondly, That which man himself ought to do, as a rational and voluntary agent, for the attainment of any end, especially happiness : or, Thirdly, The ways and means whereby the knowledge of both the one and the other of these is attained and communicated: I think science may be divided properly into these three sorts", Locke (1690), //An Essay Concerning Human Understanding//, book IV, chap. XXI, p. 549.
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
"Which demonstrateth the possible leading of water by Natures law, and by artificiall helpe, from any head (being Spring, standing, or running water) to any other place assigned." John Dee (1570), //The Mathematicall Preface//.
"Enseigne à construire les cartes marines et à connaître les différentes parties de la mer." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"L'hydrologie est la science des eaux." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Offrant la description et l'histoire des eaux" (Lancelin 1803, p. 133).
"HYDROLOGY, or the history of WATER; including that of Springs, Rivers, Acidulae, Baths, &c. of Lake, Sea, Ocean, &c. of Tides, Deluge, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The science of water and of the substance which are found dissolved or suspended in pure water; in springs, rivers, and seas respectively." Hooper (1906), p. 151.
"From two Greek words: one of which signifies //water// ; the other, taking a
//station//, //position//, or //level//.", Bentham (1816), //Chrestomathia//, p. 25.
"HYDROSTATICS, or the consideration of FLUIDS; their Specific Gravity, Density, Equilibrium, &c. Instruments to measure the same; as Araeometer, Hydrostatical Balance, &c. Siphon, Torricellian, &c.—Motion thereof; in Pump, Fountain, Spiral Screw, Hydrocanisterium, Hydromantic, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"L'//hygiène// [...] se divisera en deux sciences du second ordre, la //Crasiologie// et l'//Hygiène proprement dite// ; car, d'apèrs l'étymologie et même d'après l'usage ordinaire de ce mot dans la conversation, c'est cette dernière science que désigne proprement le mot //hygiène//. La crasiologie comprendra la crasiographie et la crasioristique." Ampère (1834), Tome 1, p. 156–157.
"Which demonstrateth, how, under the Sphæricall Superficies of the Earth, at any depth, to any perpendicular line assigned (whose distance from the perpendicular of the entrance: and the Azimuth likewise, in respecte of the sayd entrance, is knowen) certaine way, may be prescribed and gone, &c." John Dee (1570), //The Mathematicall Preface//.
"Measuring how high or deepe, from the levell of the Measurers standing, any thing is: Seene of hym, on Land or Water: called Hypsometrie." John Dee (1570), //The Mathematicall Preface//.
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
<$wikify name="img1" text=<<p_img1>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img1>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_1}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img1>> height="220"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img1>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_1}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img1>> height="180"/></$button></div></div>
</div>
</$wikify>
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<$wikify name="img2" text=<<p_img2>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img2>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_2}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img2>> height="220"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img2>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_2}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img2>> height="180"/></$button></div></div>
</div>
</$wikify>
\define p_img3() {{$:/prefix_img!!text}}/{{!!img_3}}
<$wikify name="img3" text=<<p_img3>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img3>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_3}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img3>> height="220"/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%"><$action-setfield $tiddler="$:/temp/Image_viewer" $field="image" $value=<<img3>>/><$action-setfield $tiddler="$:/temp/Image_viewer" $field="source" $value={{!!src_img_3}}/><$action-navigate $to="$:/temp/Image_viewer"/><$image source=<<img3>> height="180"/></$button></div></div>
</div>
</$wikify>
\define concatenate() {{$:/temp/searchimgindex!!displayed}}(?i)
\define av_maps() {{Maps_displayed!!text}}
<!-- Search & toolbar -->
<table class="centertable borderless">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value={{$:/temp/searchimgindex}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/searchimgindex" type="search" tag="input"/></$keyboard><$reveal state="$:/temp/searchimgindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton" tooltip="Click here to display back the complete list"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/><i class="fas fa-times-circle"></i></$button></$reveal></div></td><td><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to filter images in the database"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value={{$:/temp/searchimgindex}}/> <i class="fas fa-arrow-right"></i> </$button></td>
<!-- Diagrammatic type --><td></td><td id="hsmall"><div class="selfield" style="line-height: 1.6em" title="Filter by diagrammatic type"><$select class="clickbutton buttonfield" tiddler="$:/temp/searchimgtype"><option value=''>– Any geometry –</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option></$select></div></td></tr>
<!-- Counter --><td align="center" style="color: #cc0000; font-size: calc(8px + 0.4vw); line-height:16px"><$list filter="[title[$:/temp/searchimgindex]has[displayed]]"><$wikify name="search-entry" text=<<concatenate>> ><$calc><$count filter="[!img_1[]regexp:title<search-entry>][!img_1[]regexp:country<search-entry>]+[regexp:diag1_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_2[]regexp:title<search-entry>][!img_2[]regexp:country<search-entry>]+[regexp:diag2_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_3[]regexp:title<search-entry>][!img_3[]regexp:country<search-entry>]+[regexp:diag3_type{$:/temp/searchimgtype!!text}]"/></$calc></$wikify> <$list filter="[title[$:/temp/searchimgtype]!text[]]">//{{$:/temp/searchimgtype}}//</$list> image<!-- Plural s --><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$list filter="[has<av-maps>regexp:country<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:country<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:country<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:title<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:title<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:title<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:aka<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:aka<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:aka<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]]+[insertbefore[New]move:-1[New]allbefore[New]limit[1]]">s</$list></$wikify></$wikify> for '{{$:/temp/searchimgindex!!displayed}}'<br><$button class="tc-btn-invisible"><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/>(click on <i class="fa fa-times-circle"></i> to restore the full list)</$button></$list></td><td></td><td></td><td></td><td id="hsmall"><!-- Counter for diagramatic type --><$list filter="[title[$:/temp/searchimgindex]!has[displayed]]"><$list filter="[title[$:/temp/searchimgtype]!text[]]"><div align="center" style="color: #cc0000; font-size: calc(8px + 0.4vw); line-height:16px; margin-left: -8px"><$wikify name="search-entry" text=<<concatenate>> ><$calc><$count filter="[!img_1[]regexp:title<search-entry>][!img_1[]regexp:country<search-entry>]+[regexp:diag1_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_2[]regexp:title<search-entry>][!img_2[]regexp:country<search-entry>]+[regexp:diag2_type{$:/temp/searchimgtype!!text}]"/> + <$count filter="[!img_3[]regexp:title<search-entry>][!img_3[]regexp:country<search-entry>]+[regexp:diag3_type{$:/temp/searchimgtype!!text}]"/></$calc></$wikify> //{{$:/temp/searchimgtype}}//<!-- Plural s --><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$list filter="[has<av-maps>regexp:country<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:country<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:country<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:title<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:title<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:title<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]][has<av-maps>regexp:aka<search-entry>regexp:diag1_type{$:/temp/searchimgtype!!text}get[img_1]][has<av-maps>regexp:aka<search-entry>regexp:diag2_type{$:/temp/searchimgtype!!text}get[img_2]][has<av-maps>regexp:aka<search-entry>regexp:diag3_type{$:/temp/searchimgtype!!text}get[img_3]]+[insertbefore[New]move:-1[New]allbefore[New]limit[1]]">//s//</$list> found</$wikify></$wikify></div></$list></$list></td>
<!-- Small screen toolbar --><tr><!-- Sort criterion --><td style="padding-left:0.7em" id="hbig"><div class="selfield" style="line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/images_sortby' ><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr><!-- Diagrammatic type --><tr><td style="padding-left:0.7em" id="hbig"><div class="selfield" style="line-height: 1.6em" title="Filter by diagrammatic type"><$select class="clickbutton buttonfield" tiddler="$:/temp/searchimgtype"><option value=''>– Any geometry –</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option></$select></div></td></tr>
</table>
<!-- List of images -->
<div style="position: relative; display: flex; flex-direction: column; width:100%; height:99%; max-height: 600px; margin-top:25px;">
<div style="position:absolute; width:100%; z-index:2; margin-bottom:0px; background:none; border-style:solid; border-width:thin; border-color:#cfcfcf"><table class="centertable borderless" style="position: relative; background-color:#EDEDED; border-bottom-color:#cfcfcf; width:100%; font-size: calc(9px + 0.5vw); z-index:3; margin-top:0px; margin-bottom:0px">
<tr id="hsmall" style="font-weight: bold"><td align="center" width="25%">Scholars</td><td align="center" width="40%">Images</td><td align="center">Sources</td></tr>
<tr id="hbig" style="font-weight: bold"><td align="center">Scholars</td><td align="center" width="60%">Images</td><td align="center" width="25%" id="hmed">Sources</td></tr>
</table></div>
<div style="position:relative; z-index:1; overflow: auto; width:100%; max-height:160vh; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#F3F1F1">
<table class="centertable darkgreyfont" style="font-size: calc(9px + 0.5vw); width:100%; margin-top:-1px; margin-bottom:-2px">
<tr id="hsmall" style="visibility: hidden"><td align="center" width="25%" style="font-weight: bold">Scholars</td><td align="center" width="40%">Images</td><td align="center">Sources</td></tr>
<tr id="hbig" style="visibility: hidden"><th align="center">Scholars</th><th align="center" width="60%">Images</th><th align="center" width="25%" id="hmed">Sources</th></tr>
<$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> >
<$list filter="[title[$:/temp/images_sortby]]+[field:text[last_name]]"> <!-- Sort alphabetically -->
<$list filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/images_sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/images_sortby!!text}]+[!img_1[]]">
<!-- Image 1 -->
<$list filter="[title{!!title}!img_1[]regexp:diag1_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position: relative; display: flex; flex-direction: row; justify-content: center">1: <$edit-text class="rcfield" style="width:20em;" tiddler=<<current>> field="img_1" type="search" tag="textarea"/></div></td><td id="hmed"><$edit-text class="rcfield" tiddler=<<current>> field="src_img_1" tag="textarea"/></td></tr></$list>
<!-- Image 2 -->
<$list filter="[title{!!title}!img_2[]regexp:diag2_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">2: <$edit-text class="rcfield" style="width:20em;" tiddler=<<current>> field="img_2" type="search" tag="textarea"/></div></td><td id="hmed"><$edit-text class="rcfield" style="width:1.2em;" tiddler=<<current>> field="src_img_2" type="search" tag="textarea"/></td></tr></$list>
<!-- Image 3 -->
<$list filter="[title{!!title}!img_3[]regexp:diag3_type{$:/temp/searchimgtype!!text}]"><tr><td><div class="center"><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link></div><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td><div style="position:relative; display: flex; flex-direction: row; justify-content: center">3: <$edit-text class="rcfield" style="width:20em;" tiddler=<<current>> field="img_3" type="search" tag="textarea"/></div></td><td id="hmed"><$edit-text class="rcfield" style="width:1.2em;" tiddler=<<current>> field="src_img_3" type="search" tag="textarea"/></td></tr></$list>
</$list></$list>
</$wikify></$wikify>
</table>
</div></div>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=http%3A%2F%2Fatlas-disciplines.unige.ch%2F%23Iconography&dt=Iconography" /></span>
"Cette science, en remontant à la génération de toutes nos connaissances, offre le tableau des //sensations//, des //idées//, des //sentiments//, des //habitudes// et des //facultés humaines//" (Lancelin 1803, p. 133).
"Regarding properties or adjuncts peculiar to different classes of Beings", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Peculiar-properties-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Particular-matters-regarding" Bentham (1816), p. 214.
<i>Available widgets:</i><br>
<$text text="{{||map}}"/><br>
<$text text="{{||map-image1}}"/><br>
<$text text="{{||image1-map-image2}}"/><br>
<$text text="{{||image1-image2-image3}}"/><br>
<$text text="{{||image1-image2}}"/><br>
<$text text="{{||image2-image3}}"/><br>
<$text text="{{||image1}}"/><br>
<$text text="{{||image2}}"/><br>
<$text text="<div style="/>"<$text text="position:relative; top:-0.8em; left:-0.4em"/>"<$text text=">"/><$text text="{{||coll-toolbar}}"/><$text text="</div>"/>
<$text text="!! References"/>
<br>...
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="img1" text=<<p_img1>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img1>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
</$wikify></$wikify>
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="img1" text=<<p_img1>> >
<$wikify name="img2" text=<<p_img2>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:15px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img1>>/></$button></div><div style="position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img2>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:10px; position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div><div style="position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
</$wikify></$wikify>
</$wikify></$wikify>
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define popup3_name() $:/temp/popup_{{!!map_id}}_3
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
\define p_img3() {{$:/prefix_img!!text}}/{{!!img_3}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="pup3_name" text=<<popup3_name>> >
<$wikify name="img1" text=<<p_img1>> >
<$wikify name="img2" text=<<p_img2>> >
<$wikify name="img3" text=<<p_img3>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:10px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img1>>/></$button></div><div style="padding-right:10px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img2>>/></$button></div><div style="position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img3>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:10px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="220"/></$button></div><div style="padding-left:10px;position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image source=<<img3>> height="220"/></$button></div></div>
<div style="position:relative; display: flex; flex-direction: row; justify-content: center; flex-shrink: 1"><div style="padding-top:15px; position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="220"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop" id="scale_big"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
<div class="drop-down-pop grey1 nodragdrop" style="top: 50vh" id="scale_small"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup3_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img3>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_3}}</div></div>
</$reveal>
</$wikify></$wikify></$wikify>
</$wikify></$wikify></$wikify>
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="img1" text=<<p_img1>> >
<$wikify name="img2" text=<<p_img2>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:15px; position:relative; flex-shrink: 0; max-width:50%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img1>>/></$button></div><div style="position:relative; flex-shrink: 0; max-width:50%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img2>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:10px; position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div><div style="position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
</$wikify></$wikify>
</$wikify></$wikify>
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="img1" text=<<p_img1>> >
<$wikify name="img2" text=<<p_img2>> >
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render tiddlymap when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:15px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img1>>/></$button></div><div style="position:relative; flex-grow: 1"><$tmap class="mapframe" height="calc(10vw + 40vh)" view={{!!title}}></$tmap></div><div style="padding-left:15px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_small" source=<<img2>>/></$button></div></div>
</div>
<div id="scale_small">
<$tmap class="mapframe" height="282px" view={{!!title}}></$tmap><br>
<div style="position:relative; display: flex; flex-direction: row; justify-content: center">
<div style="padding-left:2px; padding-right:10px; position:relative; flex-shrink: 1"; max-width:48%><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="280"/></$button></div><div style="padding-right:2px; position:relative; flex-shrink: 1; max-width:48%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="280"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
</$wikify></$wikify>
</$wikify></$wikify>
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="img2" text=<<p_img2>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img2>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-shrink: 1; max-width:98%"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
</$wikify></$wikify>
\define popup2_name() $:/temp/popup_{{!!map_id}}_2
\define popup3_name() $:/temp/popup_{{!!map_id}}_3
\define p_img2() {{$:/prefix_img!!text}}/{{!!img_2}}
\define p_img3() {{$:/prefix_img!!text}}/{{!!img_3}}
<$wikify name="pup2_name" text=<<popup2_name>> >
<$wikify name="pup3_name" text=<<popup3_name>> >
<$wikify name="img2" text=<<p_img2>> >
<$wikify name="img3" text=<<p_img3>> >
<$reveal type="nomatch" state="!!title" text=""><!-- Hide images when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:15px; position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img2>>/></$button></div><div style="position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img3>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="padding-right:10px; position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup2_name>> tooltip="Click to enlarge"><$image source=<<img2>> height="300"/></$button></div><div style="position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup=<<pup3_name>> tooltip="Click to enlarge"><$image source=<<img3>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup2_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img2>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_2}}</div></div>
</$reveal>
<$reveal type="popup" state=<<pup3_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img3>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_3}}</div></div>
</$reveal>
</$wikify></$wikify>
</$wikify></$wikify>
"Among the crafts, such as the mimetic ones – painting and sculpture, dance and mime – the construction of which, I suppose, is done here by the use of a sensible paradigm, that is, by imitating forms and motions, and transferring the symmetries that they see, one would not reasonably refer to the intelligible world, unless it were to the expressed principle of human being", Plotinus (~270), 5.9.11.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Kant, Immanuel (1781), //Critique of Pure Reason//, in P. Guyer and A. Wood (eds.), //The Cambridge Edition of the Works of Immanuel Kant//, Cambridge: Cambridge University Press, 1992.
* Kant, Immanuel (1798), //The Conflict of the Faculties//, trans. Mary J. Gregor, New York: Abaris Books, 1979.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 132.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 231–233.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Princeton University Press, Volume II, p. 60.
* Rees, D. A. (1952), "Kant’s “Physiology of the Human Understanding” and the Classification of the Sciences", //Journal of the History of Ideas// 13(1), pp. 108–109.
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
"In the first subdivision we shall have those branches of science which deal with the //Distribution of Living Forms// (//Chorology//) and study habits in relation to environment (//Ecology//). These form the major portion of what in the old sense was termed Natural History." Pearson (1892), p. 465.
/9j/4AAQSkZJRgABAQEASABIAAD/2wBDAAIBAQEBAQIBAQECAgICAgQDAgICAgUEBAMEBgUGBgYFBgYGBwkIBgcJBwYGCAsICQoKCgoKBggLDAsKDAkKCgr/2wBDAQICAgICAgUDAwUKBwYHCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgr/wgARCAI/AfMDAREAAhEBAxEB/8QAHAABAAEFAQEAAAAAAAAAAAAAAAMCBAUGBwEI/8QAGgEBAAMBAQEAAAAAAAAAAAAAAAECAwQFBv/aAAwDAQACEAMQAAAB+5AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAUkYAAAAAAAAAAAAAABIVFJAAAAAAAAAAAACQlPTwiLQ5idgKgAAAAAAAAAAAeHObNsqzZbEpZFYAAAAAAAAAAAIi/PTwjOJm+FmZs040Y6AaobcYIgNsNkNkAAAAAABoktHsxEvorNbEpZlRScHOhluWZuhpZeFJeFgZU18ujLGCL8rNYNpNoMgcxOjmRPTwjLI5WXZthzM2w201suSyLk1M7eegAAAAAAx5wm7fYdJqtiUsyooObEJWbEXZrxlDGnhEXJYlgXZijOGAMoY87GekBUX56eER6AD08AAB6eAAAAAAAAAHhKWpEAAAAAAAYwyJUAWZeAHpfHp4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeo8BDGlSJJoSBFFpJrFF5poACASQSACCQAAAAAAAAAAAAAAAAAByvz/r9H5Pf2jo8mpGu4+nb102vo8e3jStGOp07z1/P63h6lcxk78mv4+l2n1PhOW8H10Nb5nXhurZatz+vLNMTn2ZPTlxOfZk78mV05Om9/ygAAAAAAAAAAAAAAAAA5lwfVXl8b22F5bDXsfTx1OnO6+fHFksbn1br1eDaV31Tn9fZN/MwGPo9Q7/ktYw9byEaxOFy7ru2NvXW7vhhcu/K347Ku/Se75cAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwjAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAKjwAAAAAAAAAAAAAAAAoKj0AEZIAAAAAARkgAAAKygAAAAAAHNjIm8AAAAAAAAFBUegAjJADhB0Q3Mw5mAAARkgAAAKygAAAAAAHwibuaYdXNZLYvD62AAAAABQVHoAIyQHp83GNOaHQjEGzmLPq8AjJAAAAVlAAAAAAAOcmtmvG/Fya0ZI6uAAAAACgqPQARkgB8+G3mjm8FmZIwp2gAjJAAAAVlBSAAAAAAAAAAAAAAACMrPQDwoJAAAAAACMkAAABUCoAAAAAAAAAAAAAAAEZWegAjJAAAAAACMkAAABURkhi9Kk5TOQMbpGSzkW8xbXY68XEM1jawvF/SbO0QWX1GE2jYMLWlou6yAAAAIys9ICcEZSUGuk5mDAm1FiXpZkReHhWSAAAAEZIcq9PHH6Ru/FpqnVS4rOP0je+G+F2jWumnSvO10/rpeUnS+ym1c1sbpF7Sd/4NOQetjs3NaCyyvAv856V52tYAABGVmvHxafbhmCM5mYcsCQ5adQOlnMjpZqhy06sbabESAAAAEZIaz0UGN1jXt62N46HwayQxmsWlo3XjvqHXSCWM0jN5TXE3NW18t+fd+eZxnXt65bK2F3rtHLfZeewAAAjKy3OJndD0jMQY80k2IsCA6saYbWakamb+XZkiQAAAAjPQAUltaLusgAAAAAAAAAAACMrPQDwoJAAAAAACMkAAABUUFYAAAAAAAAAAAAAAAIys9APCgkAAAAAAIiUAAAFRESGK1qhlc7AAAYbauYxtjNK5TOwAAA8LS0XlZx94yFJAAAjKz0AEZQRmtl0XZrpvhYFqDEFJcGzEgAAAKyAkOVepjjtImrMUxsvNe0vGO0jqvl68r9TKztHZfI20HvpNVgt6wyzeNtd6K21o2jlvidq3tJ1fprmcZsrxtvLffeHQAARlZ6ACM5oX5z03U0M2I3s5qdIOUmnH0QWZupIAAACsgJDWuigsNIoMtlJOhd2fXvK25t6OWaxtt3JfmPpZZPKYrL/Ode6KWd218lsnnbB71lqsdGbxnD6xsXPbYcLAACMrPQARmIMQaoQGeLM6oaYWZEa+bgbkRkgAAAKyArAAAIpiWJAAAEExLE1AAAAAAAoKwACMkAAAAAAIyQAAAFZCAAAAAAAAAAAAAAAARlZ6AeFBIAAAAAARkgAAAKikkLK8YfWuy89wAANO66ZrGcvla2tFzWRZXi9pIAAAAAAEZWegAjPSkiICgxBtZblmRHoKzIkgAAAKyAkObejlbyvKzg9q31Ju6Laz06Hwacr9PK6qwG9du5L43SMpnOI1jaea+6cdwAAAAABGVnoAIzmhljlIN5MYdBOYmWPTRTZzZjpBIAAACsgJDT+umvb12jmthtotrRYXi+pOQznfOLTVemkFmE2rm8baz00yuVryjIZzs3PcAAAAAARlZ6ACMwhjznJspliQ3M0o9MCa0buTG8kgAAAKyArAALe0WdoymdgAAAAAAAAAAAKCsAAjJAAAAAACMkAAABWQgAAAAAAAAAAAAAAAEZWegAjJAAAAAACMkAAABWUEhbWgXNZoKwUFZb2i4rON0iOYy2VgAAAAAAAAIys9ABGVnhbE5IUlRSUHhUelRQSAAAArICQ5V6mOOu2LCcPrGwc9sfpHglaWjcuS+o9VMfpGSztsPPbEa1kibqqw0i9pOOvGXynduPQAAAARlZ6ACM5sUnJzpRCQHWDjhMSnETtxeHWiQAAAFZASGn9dBr+9azZOa2oddNp5b65012Xmt6Ry27kvw/2sNv5LWF42bmvrnTXFaxvXDfT+um4cl9q5rgAAACMrPQARmAMcaOZ4vzw2g1cuDVzBmymUN/JAAAAVkBIAAAAAAAAAAAAAAACMrPQARkgAAAAABGSAAAArIDwAAAAAAAAAAAAAAAFBUegAjJAAAAAACMkAAABWUkgLS0XdZtrRc1kAAAa50V9hncZliQAAMFtWozeNhQVgAjKz0AEZWUlqXhSUExQUFJUVFRSSAAAArICQ9hxP2sNz476b103fi0trRhN653Cw1rornsbbZyW1vprJDU+qu081sbpFcMjnOD3jIZzuHJfmPpZZbKa4TVnX+iuxc9t/4dAIys9ABGc2KTk51AxZrZ3s48TlRxE+iTEHZCQAAAFZASGh9ueH2jL5TPWdb6KXtZnqyOdre0a/vXOY2y2U3FZrhr+9azEaxs3NbF611Tqrs/NbP4WtrJ6rK8RS1TqplMrdS83UCMrPQARmBMcaQZowBkDpBrBOawYM2suzoBIAAACsgJAAAAAAAAAAAAADGaRHMZfKwEZWegAjJAAAAAACMkAAABWQAAAAAAAAAAAAAAAAEZWegHhQSAAAAAAEZIAAACopJARzEkSBHKSAjlJARykgAI5iSJAAAAAAEZWegAjJAVlJ4AAegHhGSAAAArICQ9hyL1sdp5r4zSt7SdZ6a53C3QuC/PO+mVym1vGldlN34r0yhl4Y7SOoebqAAAAAAIys9ABGc4KDj5njohhDsRxMpKTVjZjIHZCQAAAFZASGh9uesdVJazdVm7qw+sZHOeoebrx/1sc1jNrZPVm8baR20uKs/haiXQeDQAAAAAARlZ6ACM10x5oZkjNA2A18tDHGtG4kx0wkAAABWQEgAAAAAAAAAAAAAAAIys9ABGSAAAAAAEZIAAACsgPAAAAAAAAAAAAAAAAUFYABQVgAAAAAFBWAAACspJAAAAAAAAAAAAAAAARlZ6ACMkAAAAAAIyQAAAFZASHOvQzw2td047xysNInqtbNq5rc09HLNY2trM5jOK1jYOe2H2i7o3bj0AAAAAAAjKz0AEZz0pORnViI1g70cXKyc1I2Uyp00kAAABWQEhz7vz1fppc1mgvKth57aj113jivhdq21mA3rc1XdJuKzrXTTf+HTeOLQAAAAAACMrPQARmsmMNANvM4cvOrmtmOMeaYdAMgdOJAAAAVkBID2Hkh7DyWjdue58d5okew8kB6eAAAAAAAAjKz0AEZID08AAB6enh4RkgAAAKyAAAAAAAAAAAAAAAAAjKz0A8KCQAAAAAAjJAAAAVFJIAAAAAAAAAAAAAAACMrPQARkgPTwAAAAEZIAAACsgJD2HHvXx3HjvpHbnvfFoMHtXM42u6zrfRS0sy2U3dZwW9dg57aj102rlvqXXTdeK+yc9uDe5hu/FfJZzg9oyuc3dJxWtej+fqAIys9ABGc8KDkZs5SY4+lDiZUTmhG2mXOrEgAAAKyAkNE7c9R66bFz2lidf3rWXNWVyte0m3tGTynRO6mSzm1tGVymx0i6pPhmcbRzGsdVbmjE6xe0nF6xs/Na9pO/cOgAjKz0AEZrRjjQC7NbM0dLMAWBYmDM0ZM6oSAAAArICQAAAAAAAAAAAAAAAEZWegAjJAAAAAACMkAAABWQHh6AAAAAAAAAAAAAAARlZ6AeFBIAAAAAARlZ6AAAVFJIAAAAAAAAAAAAAAACMrPQARkgAAAAABGSAAAArICQ0vspi9K6t1V3rhvcxNUJIWN4yFJw+sZrGaS3s8lrfRXN4zSXNZ03sz6BwaRyiltnLcAAACMrPQARmgFRoJsJYHp2042XhMctOll6dHJAAAAVkBIct9PK1tGF2ru/Fpgd64HevRPP00jtp5LyGWym0urhuHJfXOiskMbpG0c1sHvXEaxl8Z2rmvtvLcAAACMrPQARmrGpHOjdTAEh1s1Uw5jTRzpRlDqhIAAACsgJAAAAAAAAAAAAAAAARlZ6ACMkAAAAAAIyQAAAFZAAAAAAAAAAAAAAAAARlZ6AeFBIAAAAAARlZ6AAAVFJIAAAAAAAAAAAAAAACMrPQARkgAAAAABGSAAAArICQ0XtzjlncLYfauE2iaFzVncLXdJ0Xuz6b5uvLPTykheUmyvG+8OmL1izvF5ScplOF2ru/Fpp/ZSarTuym+cOlJhN653C2fwsIys9ABGaKTmnm5GsEp1c5KbUakVF0bAbaSAAAArICQ5p6OWj9tNw5L4nWt3Wc5hOs9Nbey1tGVynsvkb8H9vnSz/PaiVtZita5POcxjbKZTZ3jYue3IvWy2XmtiNYvqNk576X2Z9Q8zXZue4jKz0AEZqhppy86AaEZc7aakbCZY0Y1UzJ2YkAAABWQEh4RTFRVEwzEkPJCSJimJomOYAHsTTMD09iaZiGU0KomiYrifJQTEkJ4kRlZ6ACM9PSImKSIuCM8JSIFZSSAAAArIDwAAAAAAAAAAAAAAAFBWAAUFYAAAAABGSAAAArKSQAAAAAAAAAAAAAAAEZWegAjJAAAAAACMkAAABWQEgAAAAAAAAAAAAAAAIys9ABGSAAAAAAEZIAAACs/8QANRAAAAUDBAEEAgECBQQDAAAAAAMEBQYBAhMHERIzMRAUIDAVFlA2QAgXITI0IjdEYCZBcP/aAAgBAQABBQL/APPrrrbKZajLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy3DLcMtwy1Ft1t9BddbZT3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tj3ace7Tiw0sz0r6eVDw8tjA3pNcYWpV2X2mW/3Fa0pRw1Ri6FQxyFpkSceFAM/6lP8AcGf6VFfSn/I1jxfkp7Rh/R9KPe00/mT+fG2El7nTLLrtYHm5Jc9y51nyacT++KkSCVtzxH529XyusynN0YVTJQyyeIrHdxjX3akKz0kShcWZn9hYDzUOpY/8kX/8oXb8UahmPINf3JDcbOyymRxdpTa9vjwnYWx9f3a5kapS4W3kzgy+1HKXD39Zyp/HuEmVWurg/wD5wi+eH+0WyVXVyLlRb4nTzNYqo6y1ybKTBaf+QbpUSSQ0rDnBsDoqkid+rbWwoV9Kf8h5ZWyQNxWhcRtM0/ZZnEnWfo0y6Jx65VJZ4m09d0KZHHvaSpPp77eIyKBlSNcXBXwx2/Qv/huo7Qilr7/pT73RtSu7evgE3SCHwtW1rR/5Iv8A+UL7edhaSfJECOKPsVM/U3u9ukLe6HOkpZ1D20Lks1fm9ezLlBpUUWKIyU3yt6cf1p1/SlLZI2h9TRF1TJbY1L0rCZ72PyWMMi1yj7U2zdpSOcJfVwc4w/uVsqaDFrxSlLaC9OTeeb/tFfS+27lkOGQ4ZDhlOFTlFRkOGQ4ZDhkOGQ4ZDhmP2yHDIcMhwyHDIcMhwyHDIcMhwyHDIcMhwyHDIcMhwyHDIcMhwyHCy27kDrL+fMwczBzMHMwczBzMHMwczBzMHMwczBzMHMwczBzMDgztbtWzcqzmYOZg5mCxCkLW8zBzMHMwUsMNuFf/AESlK19Nq0ByhOmtMNKJv8fAw4kkVrSlCTyFJf8AJzJiYDnNXe9kQHTWpaeQT1K4rZ4luoYvh8ocojpsy6hr1Bv79JUEXbNULiKPyydnG6pVW00+tXS1oUmTGaLkxktend2Tz6W3x822+tkHIdEswfk7uTqTMHVWsO00u5afyLUJcmkFuoLo6kSp8kThEbn2blKf3AuLp2rUlZcmhUqf39R/CO8SkqqXOUXf3cpijTimfXePmOciRwRQlUp9OFxUcTRh6NsWwkxVFSocucE90Mlq++UM98hjn68b+znwV/TkoImU2Pv6AppC613q2MJiCRO0fMcn93hMXelELiyaHx5fEHYt9th59ixfCTV17ZGXEh7U6eqDbE0YfbkrTDHQuRf+v1rSlMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDOSMxIzFDMUMxQzEjOSMxQzFDMUMxQzFDMUMxQzFDMUMxQzFDMUMxQzkjMUMxQzFDMUMxQzFDMUMxQocSDur+AM66ePgV1fab1/RTwd1fbHdUo5JJW3z1pcZv/AGJnXTx8Cur1W6/xpG6Qqex2eoQsf2Rucvkb1/RTwd1falYnNTJtOJKkkmsz3M5E3tU7eJUunjKgnrnLk2qstR6KxeRTJpl32GddPHwK6vSnnRGtaTaOX2tWuLxNpG0NepjLc46tSCZvz/NS5Zqqt0g00ll5kt9Tev6KeDur7Ybp6XEXuN6Ks8bk1/8Ah+yx1Xpx7yUNEAsaps2aKtCaCsOm7sgdfsM66ePgV1ev+ST4leYrpKwxplO/w/VVR2X6aGShY7aVKTX9VpegVw9r0iOtknqb1/RTwd1c7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsHOwc7BzsBl9nCl9m3Owc7BzsHOwFX24udg52DnYOdg52DnYOdg52DnYOdg52DnYOdgMut4c7BzsHOwc7BzsHOwc7BzsFL7NjurjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONo42jjaONoNttx0tt242jjaONo42gq23FxtHG0cbRxtHG0cbRxtHG0cbRxtHG0cbRxtBttuPjaONo42jjaONo42jjaONo42A7qBjuhKdnF3QtV/q3uqJzv9FSohEmanRK8oXeUszIezyBqfixRzb7nIe/R+/eHdExILjirCWyUMjtQEr0ahT9RvXTwKKSKqPQrqPPJSkJVaZalbJ1DXlzfpfFouEzghWomecQ+QLQockCRVWtKUb3FC6pFzu1thqhQQkTpVKdamN6/pO6hJFa9HqFI3R8XOjI4rVUkslL3bH1F8rYpGxoH9evhzqqe4ytVPr5J3dc8LojHE5yZjWJn1plLM5Ni55USh2binhzWppOa4yhDGfYq66qOrcS7tlz0tU6cuCqyNvrfZPFzW3e4aJOapmqSOOq1+d5OXbWyz5m9dPEmVyJC2N2tMqM1NYjntQ2grq1ZjiZ8h8gVqEehU/aG1kgBy0mIakXuqgjTO9Uc3PRE0j6l9e44matXZjHU8kZ9LVyNq0meFVr1ItWo8kf4XDP6RN6/pO6goYzjpZImI56PUR9+TPjjG0keh9pix2mTEyHNJkWZzY+wOUfd7Hu2HKf15ERVMjWxt4TPJcOWLTVENky1kWRhctcnCMqVkSUsjh+2C2EVtm7lHvyT8TEpMWgtjSqj7WHSQ1rtYbrJP9BvXTwrsPNSW6BxJK2U32BXVJWsx8j1kXIPhhMBlS+j2xzWj2Vpmh/SSYdLndy3qHuMqHSVqSqnp4nErGWFyDRuIOKh/Y6OcXYm+9pZTev6TurIWMhYyFjIWLrib7UqRsQ0yFjIWMhYyFjIWMhYyFjIWMhYyFjIWMhYyFjIWMhYyFjIWMhYyFjIWMhYyFjIWMhYyFjIWDDC8dDC9shYyFjIWMhYKMLxZCxkLGQsZCxkLGQsZCxkLGQsZCxkLGQsZCwaYXwyFjIWMhYyFjIWMhYyFjIWOZQO6tqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDag2oNqDagNpTHSlNtqDag2oNqAqlMW1BtQbUG1BtQbUG1BtQbUG1BtQbUG1AbSmPag2oNqDag2oNqDag2oKW2g7qBjwhKeHJ5QtN/zen5uYCd/9Gd4RPzf9Fa7UQr0bmkDe6N7rb8zeunj4FdSlQQjTp1yNUha5/C3pwfpjF4vd+xMVWVq1PgD4vClzb0at7kLHG0rJIWOSJSdQISodX7UKFRddHZvE5aab1/RTwd1CSqnNHqFInORrXV3lF6uRHSx+NhCm95YZEwfnXt+/ZndHGUpZhKZuMlklY3Q4+TmeLdMP6MlqxQQpY5E4KGk5bI0EXUHPMikyeVvzQykSdW3qyKvbxKf2KS1hbEpOdLmp3VxjTVmkahPIbnp7Ka6GOrLLPkb108fArq1ZjaF8h7W1o3zTSdkqEi17/UIZISITKlunNzlMIW7kTaOqX57jiFq1ce2BCseY+ndnR8kL81ptJHN5RMsSgjAa0tZvX9FPB3UFDEadK5CxGvR6qPvqR2XxRatjjyzGubqwshrQcUibpBqaLYbIEKY2Kl2V/8ApljU9YG9XG38xzSw92IvcIwesib2aW2S2KR8h8ZErNLjFDaymIHsqJOadnbYw5/nEkIXWRlua5Pc40hSqiZezGrJD8jeunj4FdUkajHyPnRAhfB7oJMHq1zhE8/dzIvKn6NkQuVOrpzu2eoye6yqURN0cniPQxelcb9Ppe4pJhGD5QmBvX9FPB3V8yiSCPpPTJVQttsst+43rp4+BXV9pvX9FPB3ViKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoGFFY6FFbYihiKGIoYigUUVixFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFA0orhiKGIoYihiKGIoYihiKGIoUJKB3UHBxQNSZqmEZe1HzWz+Htyxofmd/JFViO1X6XuKItf95vXTx8Cuowywqwg8lSSatREKXJ3aWYlU9syBATO4OpOBqtKQa6PbMxlNUij77W2Qx+9wdZCwMQan1kfSzev6KeDuoKiSnfUicuKEujk5SVfKlk2PUOhM6WukfJcZY3S5I6zOQoVsteF6FuJWJ0LE+rmp/jCwtVJv8xVtzce85ZNHZM/vJlrlK5CpcDpCrlkbc3i93+43rp4+BXVqwyKnWHsLshY9PE6J8P1VcVSh31Y07SRN0jaSORyQzsmbRFS+PbKqQauakMUgfJFFFV1rlKY5DmaLSyNSx1mOnzsQYpN6/op4O6hIY8tWLnyPTWWNSZlVkyr8HJWde/tpyNnJq8LNQk8emDIlsiJiL0jzKranCjAr/akjDNW9vXR9wPkKiJyZ5u/CypmVpI45J3tA0Kkso+43rp4+BXVKWxQ9RoiJoVsNcNDYpdJ3ONSpqlMMjC+NIdPo4ti8Yxl7v0cXucwlDXLDHFBFpd7yKx7VSItry2Tsp3h0Xc2twN6/op4O6virSJF6dtZWZmp/cm9dPHwK6vtN6/op4O6sRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFDEUMRQxFAwovHQorbEUMRQxFDEUCii8WIoYihiKGIoYihiKGIoYihiKGIoYihiKGIoGlF8MRQxFDEUMRQxFDEUMRQxFChRWx3ULliS1UoWJElRdfYXT0rfZS4WKkxigOLy0tFG99ZHa7+zN66ePgV1eBS62ttq5BdUw0omgpdbW4VuttqacQRQpQnPGUnJeYWVbStLqG9f0U8HdQkrsmZtQpHKG98dHKVupry7yUh+hlr5JnJ+PnDhZEjzXIh8JlExXN5Z7/dO4o9qn1unqpGjkhlUsgk7pK5c2JXmSHs16qWvZEaUvsvRPSGRvdbSpPI0DvR8kjg/qJw4FRH81IW5++o3rp4+BXVqsgf1cS5KrNH2JpjsTLlkWYbXfSe1zVaf6YpXNFMLHhoMWPaB+S6tartax7f4LVhb1BSqOViry3JZnqjpyX+DlBvX9FPB3UFTUvMnMsa3B1Uro4tQP6+NPB0RY21YjkFsYev1d+Z1Tk/IEk7Z2dpalySUxNsWtZb21L1knM532fp7/AHsS9neZCiUsclPi7m2LVEsdY2+qzjY893nM7YsSSQ2Lvd8Wf21YukX1G9dPHwK6pegVOsVTND4XA3Vu1DnCFZ+/R2SafRtzj6KMMTm3TAuPMJThImJzXzeUUmqRySxB+krkeyajL4Y7R2QMMlg0feEKw3r+ing7q/gDeunj4FdX2m9f0UB3VhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsYSxhLGEsGFF46El7YSxhLGEsYSwUUXUrCWMJYwljCWMJYwljCWMJYwljCWMJYwljCWDSi+GEsYSxhLGEsYSxhLGEsYSxQksHdXojXInAoJViRbZ9CuXxVvUpJdFl95JxKkn5uMmjjOe2SSPvRvpZeWZb8Deunj4FdVa0pSl9lbLXJtvu8Cy+wywpQnP9K32W1OUJ01CVSVSPcJ6mmnEkW23W32m9f0U8HdQt/3Rp8Us8ZQvT+hfo6rlZLSY+vTgxx+SOCp2TSuWKIm4yF2/NJJOrRG3zp7RIHaTOdZCzKHNU36go0dYgqSIyYW3vspZ4w4Pb8sfzZm5ERxa/S5uWt749WuR8pk7Ma6yR3ukjQocFTc8OKNt1KXytOjYF0ikLKjZpOuvemB+VJ2RofZHWV+pvXTx8CurVZuelkRp7z/ACfZWRkhpWoDoud6xJhUyPRMpHHGzUux8ZDVr43PCXVrVZlcZA/Qb8I2Kij2CsSl0UbnFy0aqXUg3r+ing7qC5NLWyQFQp3QMSRukLxImFlcUDCpij1VkZGB9QyRPHXYvTWxlcKSFRGVDi7po0/+2kbW8Kl8NZlrEyy5uVu0aVN6o2LujA6KoQtbZC0yRRFH1THHhpXLHN1jrytdFERfjm2QNr6vWw9nWsTEU0L7Z3M2ta8x+XR5Q7hhaXGjmlY3VhLZ7nZVqJ6m9dPHwK6pc3qnaLJ2V6sgjm06jTVE96WMDgcwaeSFk02OapzMXixgYSl8hYXNwmsoKmqdxSQ1+kbgfH9Sl0RcW2bR96hMde0Tqb1/RTwd1f3boztr0Q1MTUyW+pvXTx8Cur7Tev6KeDurHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6DHQY6AwumOhdBjoMdBjoMdAUXTFjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoDS6cMdBjoMdBjoMdBjoMdBjoKFUB3V6FmlHW+phpZNvoUaUfZ6GGlE2/ChpVTPuN66ePgV1emO8UpWvx8itK0HG7alK19Dev6KeDuoW/wC6HuKtsiTXI3O99rMntSSqlTipcpRIqPMG/bHtAcNKv6CeZEuTOiaXKLkMnfHd3hRcmeSnxfIJLYevm9SGI6TSIk6KrVa6dfcb108fArq1TpLaRWqs9JpBGWqEstZa9Mz5qFArqmw3TS5/pLaHEVvef20rVfVR9TUkUScWNiQqY0c16cPZqCWag6WOpFHw3r+ing7qC1VKGaQfrUiQRVqZliaWNlZZGWvBIY5IFkakK6OOFJJKKCGuMgjcbV2vSN+saXtwJfGdzUwORIFiuRmsroasRMz3+vvKZ2aZVGUj6ZKPuN66ePgV1TNGqcYiiQyFNp++/uk/bVaN+h8607YXZqJirQ5IZqTDYqneZK0OSyeTNoeE0pbY88SqQmt08cITNW9Zn04jC1pON6/op4O6v4A3rp4+BXV9pvX9FPB3VjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdBjoMdAYXTHQum2Ogx0GOgx0BRdMWOgx0GOgx0GOgx0GOgx0GOgx0GOgx0GOgNLpwx0GOgx0GOgx0GOgx0GOgoVQHdX8Ab108fArq+03r+ing7qD5VTIJU4IItG1zvJ7kS9LMm01qTzY+h6iXKv2E6eVDE6WvjM6SdBFpq0tKlQij8hNbImmkf5dvbJd7ZK2yglVSyeGUsdZcoRvn3m9dPHwK6tTF0xbo1e7qUOk8PWR64+XRFsrdpyhXR6LxaTSR+1ODq6zcrU/U2T0RPMFWZE762SiKQp2UvEvmenzg6kPBvX9FPB3UJAkc2iRS9WolrG+NNiKTfrJrnFnZzWzIhlTHWTNuXL45GYHbWyFp2ixRqO2Jl8aPTVeELOwJVCZbGkKwp3VMqx1dCW9EtRo0hxeoH3m9dPHwK6pyScphaAt6T6cSV5cdRmjUFMseFk3ihkxZSorNydUyIczJ32VI1Z2oc7bjm6ZtShwUyiPSFnvUPZquOTvT9C5Kns3r+ing7q9N6jf03qN6g6DJ77U5BSUj03r6b+m9f7E3rp4+BXV6b1+e9RzvG9fQ3r+ing7q4VGOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOox1GOoMsrjpZXbHUY6jHUY6gqyuLHUY6jHUY6jHUY6jHUY6jHUY6jHUY6jHUG2V4cKjHUY6jHUY6jHUY6jHUULqDur+AN66ePgV1em1frN6/op4O6hb/uib+QwRRrlB6h2jUicG1tVymyxsaJIauWkz49QxuUqojc2V/uc1mp5xadkl05hy2Mkv/wCJY0sjq4UaZXRC3o5Rcde5ypS9xVfKVNjm7yUla5sskOcXI84tMRGDljdIlK9O1TxDK3FQoij84FX2TRWUHGVKCnbT1bRxN+BvXTx8CurU1ZMEEZMdlCHSWJVjxRs3d0ls8bpFNb9HY29tv7dxu2dnKaFaoanyaiR6gait5L972KJ9R3b28p0mdVzmjN6/op4O6gten5jkCWOvzWxFXrpPK40iWJ41Ul+SRuNoTkUuSNy+zSUtEr/aWxMpsnGoKNUubJe32nxh3j51bWBoS+4jzY4kr1CJZWTuLcvu0tKPWxOQY35c8FJVNJ9qBa5Ko/JNPLyo/wAXZc9tCZaQ40aHBemTsreutoauikmgiZ0K+JvXTx8CuqcJz1cNQFPyTTuSOL5qG0qbFcVn/wClyhZAlnuZg+EQeNpn+UoFyjUGcNqxBMWhHIX2VSJzkMwir0icY/PNO2xxtfTev6KeDur+AN66ePgV1fab1/RTwd1cTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMBlpmOlpm3EwcTBxMHEwFWmYuJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJgNtM4cTBxMHEwcTBxMHEwcTBxMFLTAd1fwBvXTx8Cur7Tev6KeDuoT1zUN0dgqpagIukjsvgaKboDlTjMEqJwUTNlIYUspTHua2coUqlbLGpI3rJYmXsDS9p1Cj9rarCGyYJHBwnxxyeGHvyqRG3Xls8hbZ+1uSRumaJetjM4KbWB0lDa1p2uVIXS2OS1PJ7vpN66ePgV1akPsrYY/+znM+mbE4yBQucFEimE4bJXN1cIX3ymCvOwcZPM7dStQ5MsROsNWu9CLJfIi4zIXCQP8ANYC8vV7ib1/RTwd1CQol0mmlja5RadGX8oPLS7qhc6HVfUll90BkVl10tOcVS0EmN90LvWOa1hTuBMekSPmqZWJwP/PahW33wlfGfxLMeuLeJMU1rVekpEsRPjgxk32aZuCK4i1iSoFSyB2VKh30m9dPHwK6p9bdfB3BhVyHRlxUsMkjpjmTAdRET07wyDw190+teyIoWQ+y0sy7UjURuRIZu0EKnySGlxMyGOR58Ln2nhal0kRvX9FPB3V/AG9dPHwK6vtN6/op4O6uJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mDiYOJg4mAy2/HS0zbiYOJg4mDiYCrb8XEwcTBxMHEwcTBxMHEwcTBxMHEwcTBxMHEwG238OJg4mDiYOJg4mDiYOJg4mClpgO6v4A3rp4+BXV9pvX9FPB3UH1zdlj9ezzFlMeZQ0MRzxMEn6gunSkkpPIVS/UBXPG1wa1sqa2clJJGRa1PU9RLQtLOORWSlzrph+zOafTdQ5O6EJ5WcgdmmRtL0mRzyNrlVz9e3yas7jlt7XIm53UTxwXNraF06jjeqdHW+xxjWobYnYnCTMzXVHIkDrRslthLExSRtkdnob108fArq1ClTxFWOyUXN2nzS6ajGnzqV1izQknq9HpIyKp8U8BdN5DTUOSNcxXqIItm66Qt83kLhqXLpM8UkUOUyc5Ob1/RTwd1B9O/WJhqCZBXlvtcUEdm1taODG6OrbVvQ3Uun6KywvRc+6rZK1TfasjUrk0dfzAiSnV1BeUp1J1I/6qj9hd0ocUapcdeoa3psk/+i17sswk/wDcnU80pOyJplEl6lpUktDZaisbRFJVGmmFNjeoQm3/ANfIJVY2QmLVjhLb6G9dPHwK6tQP6FdmpW+aILK6dKmGQMOoRL+W2SJ5/wAPpldPlcgIYnwp8l//AHL1EkV8Wh0WYi41Hl//AHvmbdFUWq+lViL88b1/RTwd1CtKVoWnTk2mFEnW8baW+1S4qFlUuwEYjCSDbKUpbSxMmL9MRWSpRVTKkk3XUJJtraWXbdaQRYZcQReKlFVGMvIaSSfbYgby7riCL77iy77qp01a1LLuuxFc7kCC+hKZMm9Teunj4FdV9lhllltpdpaVKUbWlK0KLLIsJSpU1RcSTfeaSQfaMJNTTiCFBdllhdpvX9FPB3VsaNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjhscNjgZQ3HShu2xw2OGxw2NBVDcWxw2OGxw2OGxw2OGxw2OGxw2OGxw2OGxwNobw2NGxw2OGxw2OGxw2OGxwpQ4HdX8Ab108fArq+03r+ing7q/gDeunj4FdX2m9f0U8f/EADwRAAEDAgQEBAMFBgYDAAAAAAEAAhEDEgQFITETIkFRBhAyUGFxgSMwQnCRFBUgM0OhFkBSYJCxY3LS/9oACAEDAQE/Af8Ag7kDzZTqVTDAT8k1j3glokDf4fwtY982iY1+i3T6dSk614g/HT3TI8wzGnhW4TKKf25cS98NPL0EmYb1OyotwFTxFiamFtBbSm+BwmVdLndt5A+Oy8VB1TLcLXqubVqm6arItPZpIiXD5bLw7WwtDw7mLzeHQwS0gaFxAH6+ruNFVBbh8TxXFw/ZqPbaRoNOnSZ+MrO8pwudeKXU6YeAyk179nEgNbAYNNTIGvXVY/wzh6bKNek2oxjqgY5tQC7XqCND+mi/w5lWIzd+Bwzqh4AeahgEmCABTHedJPzWL8JCoaDsIHs4j7IqgSD303Cy+h4eYzGjA1Hl7aNX1AQ7Tdsaj5HovCPAPiTCiqCRcNu/Sfgjh8mxtLFZpjHVSG1A2JBc6QdzHcfpom5HkWHq0cJjKjxWrBpFoFrL/TdOp+MQm5NgMFg8RWx5ddRq8OGxrv1O22/9lV8OZM3Mv2Bj3l7mXtOkDkvDXd9NyI+SYWyCRIWf1MJVyTAPw9LhtPE0m78W86LL6mCf4WxrWUYeAyXXTPN2jRZJhKNBn7yxbZY0wxv+t/8A8t3d+nVeKRHiTFf+5WWeGsPVy2nisS2q/iTApAcoBiXE9z0H6o+GsJhKmNGLe6KFhEfiDvgev/WqyjL8sw2dZbi6V/DrO0BiWua4DUxBbr8Chl+QPpYnMMRxAxtW0NFtxmTvEbzr20X7kfm1XDmq5zmMwzHENALoucA1u3XqVjPC1BtXDOpl9JlV9h4oEtPfTQgjbZZ9k+W5bSIpiqx4MRUAhw7tI0+nsuCznK6OTDA1ab9SS4seG3dgeU6AdPqsLm2XYJ9ajTpOOHqtAc0uF2hkEOA6H4LMM1w1XL2YDCUyyk1xebjc4uIjsBt0AWCzJuFyzFYUtnjWa9rXT/dVvENOrSqt4frosp7/AOiNduvZVfFFB+aftYpGH0+HUbduIA5TEt9IPXVVc2wDTRGHpOhjw4l7rnGOmwAH0VDPm0c4xGKNOada4ObMGHGdHdwY1T87w+GqUXYGmQabrrnuucT22Aj6IZ5k2HbiDhcKWurMc3V8htw/CI79500WU40ZbmdHFETY4GO8L95N/dNXB2+uoHz8gRH91T8QZdUqUMTi8OXVqIABDoa6303CCdPgRKxGcvxeX16NUc9WrxCf1kR9V/iOkc9Zj+Ho1lkT/wCOydvqhoFisxbicsw2FDYNK/Xvc6Vg8ybhctxOFLZ4obr2gysHnubYCm2lRrEMHT/v9Vnub1c7zJ+JfsToOw7LDZ1g3ZfSwuMpuPCm0sfboTNrtDOvyKOd0zRxjOFHHtiDo20z9Vh8/Zh25fDNcM5zt/VLg76bLFZrhqmArYWiwgPq8QSZjQiNh3VLxLTY5jX0iafBFJwugmCTc09NfmqubZeKtDhULmMMniOuL/gTAEdoCxueYR+WVMFhmPteQed99sGYboI+ZnT/AIG5EwiQP4AQfPZAyEXAIODtvKRMeUiYRIaJ8g4Hyn2t08QQnFxIkIE3FXG1czXDVAOJMJhlso3OdARJLCCm7I3B0hAgn4q4jqiTcfkpcGyo+1REiFJ4cfRHlcheRKGjiVz23SiXF0D2m3nlObMK110hFtrFqXhNESmi1sItMyFZyn4obItMyFZO6scWwrSTKLeSFBunys55RbLla6IlW6lWOiJUc0+2wB/vqRMIkD7guDfIEOE/dAyPIEH2h08QQnFxIkIu5olXusRlrgm3FyuIae/kL3C6Uea0+VL0J/zTXGCpcG3LVzoV7gDKug7rUuIVzrENeqBLaYQdzbypdH1WodHs9vPKc2YRa6ZCLCWwi2SE0QoDqs+VjhoCrdvh5Na9oiUWumVYdfii3khO0foU1twKh3UoCDKsMINN0lBhthAOnUqxEc0/lKSBug9p2+4NRgQcHbeU+ciY9w3qap5HUIlxdARfruryW6KXh4BQL3CQi4kCOqEwmkhx0TfUSuIYmVPMmucVLnbI3F4TSZIPt7mmZCLXuEFRzSocDonCAJK1NQSrXtEBWRHk0QSreaUGvAhFpulWuO6hwOitNwQHMT7mRKDQNv8AfU/ckgboOB290cYqBOcCQi4zARdcxXOLiArzZK1uEq55Ewua8wmOuCqaOC9ThARc8aoujXor3Wyrngwg46q5wOqucXEBXm2VLg7X2uDfKeCSEWkOmEWmxAG4q02QnCXBAPAtQBuJTQRKcDcD5WOtiEQXQFa62EQbgi12qtPQIA3FWm2EQS4flUCD5SD9ze0dVe09fuS5o3Qc07e0tMNQLg6Cm3wYVxLRCa4kwr3WXIuN0BXRMriECUXG6AhMaqoBYVAsQc4NBRc4ugK82n4IueCgTMK5w3RcboCExqiQKiLobICLnAIOMwU12kBBzroPshvDpCsIaO6hxdJTQQ1Wm0INcHSrTw4UG4FWySrXbQnAztKYC0J4lqI5YRabIRDg6Qi11p+KIMhFpJKsdGycCTsmAtaoN8p4JantlNBmYVpbCE8TX34gHdBoG35RsMNQcZgq87gK4zATnSxXEbjypehFxmAg/QpxJZKuN0EIud0CL9AVc7bqmmah9vNwcrXBqA5phC9oiFzNdKLXFpRudpHkwua2IWodcoJkpwPDhOHMFB6hAG0IyHSE2biT+djuZ1qIa06FF2sBXiJV/cK/mgBX/BNMiUXBrygNLig6GBXSCg/YIO7q/wCCLjdAHuDgQ65P526BOHNJVstKJL9EPWUCWtthM9AQH2hQlvKtQAm7lNBkKCS5QDpC/qe7Wflwx1rUHawU1xA2V2miDpMLicswi6DCDpMKrsnPZburoAV06IOgBXIuJbKLtYCLtQUHSY8myHXd1MPKDj2TXHWVf3CLtYCp6z7KS4OVrgAVq50po5VzBoTRD9kAeFC/EEPWVUEhPHKUW7FNHWE0HlRBuKIPCWrHFcxIlfjVSbYCdT5dFqTMdEJnRQSHBQD0WrHFMB1n84qhhqZIkFXEsKDwi+DCvFsq7WEXhF4ARdLSgeiuGqD5MKp6CrruULZ0IVAdUHgpr4ai4BBwKa+72pwLnwoLXr8BCf0RPMZX9NO9YUkzK0sCk2lTa7VfhOiB5tFU9BRbAkKZcCo+yV4J0Tf5ZRGxQiZCZ6B+c7iS60KHjZFwai/kkIv2V01EXgjRFwCubEp1QGIR2Vx4SuPDUkaK+CEHAriNKuhxV7UHAqoSB5F7QUTqE2oLdUXAIOBQfomuDvY3cr5VSwjTdSGv1W4cUSIaUP5hQ/krZ26jlJT3NdEeUfaWo+u1O9Z+Sb6h8kRN0LQiJTt3J34V/UVXZXsPVDQQSoi1Nc0MgoCLZX40HQwBNtjT2eP8jA/igfm//8QAQREAAgEDAgMDCQQKAQQDAQAAAQIDAAQREiETMUEUIlEQMlBhcYGRobEFQsHRFSAjMDRScHLh8GAkM2KCNYCQ8f/aAAgBAgEBPwH/AOjWk0Rj06vOicGj5vp7UKJ/pKSBzokD9UkDn5AQwyPSlzFCX13B7vQeum4otED53bl1I8KscCZ1XYbbH6+yrxXa7hG2N+f+/ChuyaRjvtVtO9tYgnG5IHxPOor1yWU4Jxnau2TpbiR8d7GP81Hf41a8HAztUrXZMfEAwWHLpV/q7G+nwrVcRskEYG491G5umVpIwNK/E450biWSRFix3hneheXHB4pAwDg/HFGrQSLcyh2zy+lTCQX0ZLbb7e6rmRmPBQ79T4D8/CrH+DT2VPeOsxRCox412ySQR8Medn5VcSzPbTRnGVHyNcW6DJEuMlc12kQBtIGS5Hq9pqO+bS+cEqM7datbiaY76SPV09CyW87XPFUj1ZHKngmkCsW7y+raooHWUyyHJ5e6pIS8yPnzc/OltCpU55MT8aFkwg4erkcj/NLBKdWs8xjYbU1qWt0TO64oWzuGEp5jGwxXZrhymt9lIPLnjxqePjQsnjXBPHWTPIYo2kwDIj4VvV488UluElVhyAxXY27KYs9c/PPkjhKTO/8ANj5VJCXmR/5aktYJTqZd6tbdbaEIKe2kEpeMjfxGa7MdUZz5uffmmtSxl388CkgcSq7HkMU1mTkg76tQoQS6W1NufDbFR2sgmEjkbeAx8f8A8GxE5iMnSo4nlB09N/1HiePGrrv5VVnbSOdSxtC+lqitpphleXwqaCWA98eThvw+JjbyaH0a8bcqiieZ9C86wScVJbTRZ1Dlz8hR1UMRsfRduqPYOGbG4q3jhSKXQ+ru+FTRotvGwG5zXZoePuO6F1GlFrPbyMEwQPGpngRItaau7V3EsNyyLypFghthK66i34VEkKXcMiDZvkauGDTNgYpWgltVikOnHwNTRyJCo1akz0pbaKQleFpHjnf4VFGjWyZ/nxQjtnuWgCeO/srWv6Mxp+9+HOo5DFIHHSuCi/aHE+7jX/vvqNe0QMx5lh86k7CkhiK8ttXXNSaZbaFAvP8AOgtm1x2cJ6s53zUSQRWxkkXJDYo7n0QswFqYvE5q3mEKuD94YpZ4GhVJFPd8KjuHuLvKL0xjxFYSKzkwhUHx55qeYShMdBirmUTzlx1qOeIw8KUZA5Yrta8eNgO6nSnOpyaS4haERzLnHLFG7RAqxLgA536mlu7ZJuIFOT4n6UlyiRqmOTZqO5VLoy455+dLNH2UxMOuR5O2/wDRcHG/j6qjn4cBQc8g/CjdWxfi6O98q7QvAQY7y12u3EnGCd/5Zrj5tjGeec+jWd3845/50IXMRk6Co4XlBK9N/wBxDBJOSF6eSWJ4H0Nz/dOjxtpbn5HjkixqHP0RbrG9g4dtIyOmat47dIpTHJq7vgRUVtpt1cIGLeJoWsAvQpGxGceG1LwZ7dyFxp3qfgQwKAm7DnXZonuUYDuEZ+HOmILEipBa204gKZ5ZPX3VEBbCePGcfn5PtL+Mb3fSrVFZWOjUflU1vGssXdxq5iglu9y0ITbffrtSiG3tlkZdRbPPwFNawSzRBBgEZo2yyIwMYXwOfrTcGK2jfTknNdnt+2BTyIzj1+FTqItJaLSc/wDqaliS5+0XBHm7+2prdWt2YoEI8DzrgwmUahtozWIprVnC4K49DrOBamLHM5qCcQhwR5wxSzwNEEmXOOWKS6RLjiBcDGMCoZRHE6/zDFTzCUL6hii8kH2bocbk7ezr5O1wOwkkTLj17H20Lonilub/AJ+Sa5sZ5NbI2faKW4gEZiKnTnI33pruJuHhcafp+dR3IS6MuOefnUIMloA6agDtjmKup2hmjIG6jl+HwppbUKeHHufHp7KkmDwomOWaN1G0oZlyMYqS5j4PCiBxnO5pr1O08VV58xUkttwysSYz1P4V2xdWcfd01HMEgePxx8vRpJbn+5DMvI1z/wCXJG8raUGTUtpcwrl12/cJY3cihlXY+ypYJoDiQY8mhtOrG3lEblC/QekFJi+z9Sc2OKso3PmSDvdDmo47dLXiyDJziks1WNSYy2fDpRskinYP5qjProx2slo8qAgjFNFZwOIpASep8M0lrCjyiX7lSFWclRgVPCksERLgd3rVyhW2jiB1b8/wr9HpxOFob+7/AHpSw4tmUn7wHqq4toIQQUI8G5g1w7WBVEoJJ39lRiBbWXO65Hvq4jh4SSx7Z9HwXCIhjkGVNQXFnayh0BPtpplNrw+uc1xreVF4uQRtt1qCQPK+lO6RuPVR4K2DhAcEjc009pMwklB1erkcUboPxS3N/JcTLKkYH3RiuOvZVQecDmnns5JOKwOfDp8aSeNYChHUGluraHUY9W/TpXGtZlXi5yu23WmuI2hdQMZINPKrWyR9Rn0mrsjalODUk0s3ntn/AJzpbTqxtSozZwOXk5/qlWC5xt5I4pZfMXNPBNF56kelLeJprB1HiKt7aSGKUkjzehBqO1iEIeXO/hUVu0F5pDcwT8q4NtHAkjk97woWUfajGTtjPypRGYZOCTjb370ba0R+EzHV49M0RB2GMyk8zyq6hWGTCnIIzVirvbyhTvt6qGqC2kEz5yNhnO9RWtpIwjBJPj0qG3WbUg88cvX/AJpbWFrgRZ6b+2lgtHhaQE93ny39lPbw/s2VsK3j0o21u8TNFq7vjyNcG3jgSRyd/Clsozd8PO2M/KuDBJAzxk5Xx6+i1lQWTR9cirWWOJZA3UYpLhHgVDIUK/OkuYRdasnGCN/ZU8iPBGo5jNdph7Trztpx8qglWOBx1OMU7WMsvGY8+a+v21LKjWyIOYzV1IkpXT0AFQyoltIh5nFDANdrgE4k1nT/AC4pJoYHaVTlun50s9sLnijqDn1Go5EW1kQ8zioriBRFq6ZzQuIcOryk6h4bCpZEa3jUcxmhcwi6D52049+KgkRLeRTzIH1/pUyOhwwx5GRkPeH7lbS6kXUqEimtblPOQiiCpwf3EdtcSjKKTUlvPCMupHlII9DXMKy3OXOFVQTTwwPAZIs7cwauFtTKgkzkgculCGGOZ1lPm/Op7dFiWRMgHxprW1W64G9R28XBd3+6cU1sriMxfe23rsULvwl1Z8elRW0fZ+LICd8bdPbUyxrJiM5FWDv2tBnrSu5vACfvVJBazXLoCdW/sqOGBIBLLnfkBQs4zcRgHuvSQWsiOQT3fnUkMPDSRTgE43oW1tMGEedhz6VFbxdnEr5OfDp7alWNZCEORUUbyfZwCsF7x5nFJas86xyPkHwOaS3gmclM6VG/ialtk4PFTIx0NTwK0zPKxwAPbUsFv2XjR5549CI1rJAEkOkjrjnRvInnfUO4wx69utNJbw27RxHJbrjFTzRvOrDkMUt1DxpTnGrk2Kmnhe3CaiSDnfrTXER+0eL93IozR9ndepOaW5WOKLTzUk01xBqL8Rj/AOO/1q3liVPPKN8c1dzJPNqX/wDvrq1kWK5V25CldRc6+majnjW9aQ8t/nSSQS24ilONPI8+dLdQLcR481KilRI5QevL41FcQpFGG3wckUt3AJCWkLZz05VBJAibSFD9au5UnnLLRlTsIj65zVnIkM4ZuVWlwsWpWJAbqOlTyx8PSrlj684+FNNFOXG+jbfwIqYRLYAJv3ufjt6ejlkhOUNSzSzeef8AkhBHP9QAnl5SCDg+UAnl+rg4z6Qu41kusM2BpH0qS3jEPFjbI5csV2SFSEd8MfVt7zS2sax65mwOW29W1vwb1MHII2PurssLg8N8sPV9PJ9qfxz+76VDbo0RkkbC01qutNLZVutW0MUV5oVsnvZ+FG2hMLPG+dPPb6UkFuQut9z4DPxpLLM7xs2NNC3tyDJrwnLluTV0ipZR6TkZPo9FtpoApbSw+YrtNu90zerAJqWZWtWRpMtz9XuqTstzJxWfHiOvurMFxAE1adJOM+BpLm3S4jGe6oxmo+z22qQPnwH5+S8jguLgyCUb+38qXgtAYGfGDkHoaMsMZijU5CnJNQyxrfM5O3eq3dFt5VJ3I/GhNEEXhvpA5jG9PND2iVg2zCoWilteC7acHIq5aAWyRI2cE/1sh0wWvHxkk4FI9zcJ+0jLKeoFRW2tNbtpFNaSCVUXfVyNNZjB4bhiOY/3nS2q9nEzvgGhY8lZwGPSp4uDM0fhUds9zZxqv8xqWVVcQRjCg+8mp7cSXUrM2lQaa34ToQdQNSWmppHJAAOKktiunQdQblRsRuquCw6f5qK0V4eK74HpCBo5bcwOcb5Bq0VbWYGWTbwBzUMpe24aEAgnnXaRHcx62zjwGwzUUaWjNIzg7HGOuamYGziHtqREuLkThhg49vwq+3vH9tNKV+z0VT941IyXAWfPe6/nTcJ5ZSuC2evLFTsrJEdQODvirl0MUuD96kmSKKBvAn60ZHRy/EXT0wBmnYGwUddR+npYXrbEqCR1pmLNk/03uoDPdYGwCjPwqW2CxcSNtQq5t0kkTU+MgUtsTIyucaedS24RA6tkGjYhZuFr71R2uuNnJxpqaDhorqcg19mgtMwH8pq1srtLlCyHGaMHFmkYnCg86a34el1OpSaltdbyOSBpNPbYCMrZDbVHarDchNQLeGNqS2Uxh5G0g8qitykc0bHw3qa3EcYkVsg0AWOBVyEkt2gXnHj/ADSo0tiir1b8Ke1jVTpkBI51dQIeEI+ZA6fOuxqchHBYdKjtVMQkkbSDyq/ThiJf/H0KkME0AwwDdc01xBLPImdiAM+yjotrV01Alsct+VXDo1whB6CswNcSnbOds8quHD2oBcEg9PwppE/SuvO2RRdOzSDP3qlZTZRjrk1YOqSMWOO6atH03KEnrUM4/axqwBJyCeVTythUZwd+mMfGp5Iyk+DzIpXTs0Qzyao5I/0mWztk0Ql1AgDAFdt9qzAkMqo2eXvosvYQud9VWHDWfW52Xerf7QzP31UA89vGv2SQqmvk/Tw8alZDG3GZW8CPOrixo0EueQAPjTTSJluKuOmAM/SsJdWyDUAVzzq9aI8MIc4GP6xWMayXHe5Dc+6r1UdklXYNQt4kvowMHIH05++nspArMCDjmOoqO0Z4w5YKDyzS2czTmLqKa2ZYy4IOOeOlJZOyglgM8smktZXkZeWnnnpS2rJOmcMD8KlhKqZOmSK7LKSgH3qktHjTWGBx4VYgNeID40sC24M8o67Dx/xWDNAzkDORUljLG4TILeH41JZuiFgwOOeOlXNkZJ+6QMgYHjtUVtJIxHLHPPSpbV48bgg9Ryq4tWtvOYZ8PRVu6W1mzsM6tseqjJHdWTKF06d/zoD/AK2F+mB9KtT/AN7+00kQ4CNGAfEk8vdTY7ezZ2Kn6Vb/AMLL7B9aEapoaMDG2WJoiTtkugjfoeRoJGk8RxpbPIGmjM9uVTmGNP3ZoQrgYHOp4xwGaVQrdMHn7qsNrxPbSXPFmaOY7N8j0pUMVs6Nz1CjIi/arFjsdvlTWrwozO2PxqY5+0k/9ajfVxo1xnVnfrUzOqojYG+cCr45vH9v9Z4I4kgM8gz0AoS2kwKuunwIqG2lnGV5eJ2qK0btaxSf6PVSWSky5I25b01uqWAbYkn/AHFJYyRyjiDryzSWskpOnYDxNNbzJLwyN6hsXTWZADhT1zg0hAcE0baP9Jacd3n7uddmjb7RCgd07+6ljifDBeb493himtQ8UmgbhvlUtvLCwDdeVPY3CLk9PXuK4Akto9I7xJrsNxjb61LbyRKGPI+FWMaSSMGH3T5Esrh1BHXlvuaiiBjk1LuPlVxYSNOeGAB03+lR200mcDlT27xYLbg+FSWuZ2VcLjxNT28luRq6+g4B2m0MI84HI9dWAvYXVZDpT11w3uLILHuQTkV/25rdG5jGfjUUUmudMb4/Gn/gYv7jTkn7Y94+lL+0tdKpqIY5FK+i5jRgF2Puz41a21xAJTIMd0+/yOw7Bx+pGn/fdULDsPH6qCtW/wDCx/3/AIVOT2aX++o2VBbM3Lf60FkhkZ+EBz3ycVbeZB/cah53HsP1o/8Axw/u/Cvs0Fp2A/lNNZ3SLqZDj2VKplkV0i1DbfJ/0Vr4naG+ntq6triW8Dpy238KkdXFwy8tvrQ/gV/up7XiXkkrDIB5eJq57QZNUoxn0IWY8zQJHLyamznNZNZOc0CRy8mpj18mTjFZOMVk1k1k1kkYrJrJrJxigSOVF3PM1lgMZrJrU3jWTWTjFa3HWizNzP8AV7//xABaEAAABAMEBgYGBwUEBAsIAwABAgMEAAUREiExMgYTM0GRkhQiUXGhohAVICNCYTA0UnKB0eEHFiRAsUNQYsFTc4KyFzVERWB1doOTo/AlVGNkcHSz4oTC8f/aAAgBAQAGPwL/AOn1TDH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThH1dThFSj6LRhpGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDGceQYzjyDHUN7AV3Fug80m7sqKKeYxoBusm+bEMNCuXDeiY8Br4QCiZgEohUBDf/ADNRGBbkFdxQbztyAJfEQgXErc27OcohQxe8PQYP8AD/AF9FB+FMKfiI/l/MlPvAwex/sRo761/4s9Yj07s+Glfwtw89Zajo3RTdGwpap1LH40wiXdOtWtWNi19i0Nnwg8wZtirODrJoNUjjQplVDgQtflUYlkh0kesHLZ6muoddq2Ehi2E7VilfGDaSt3zAyAKdWSg0VFY6dqm0wtUvphDjR+UPWyDBq1buVFFG9o5gNaqQO/whjpfrJecriYladCFAQtWltUBrVbr6XRM5DMl2LtVvLQdtFrOoJURELJ8bvnDCRvtIJZNE5gRWoy9uYoNzFLazYHiZ6XEXYlRlT1cnRzN73BCH7a9W6HrGeGTKy9TdPYKWaZLlSiO/4RhnMZ6BQdOEtachCWQKBrwDhT6dcW4iGsMUhhD7IjfCKsylQomScdVa3TpPyjUNZaZmRRQyZ21fhp/6H0G/1Zf6m9Bv9UX+pvQNnHdCyGlk8fy+dCoeirhU6aZRr1bHwCXCGcgbtCzKYnZ61Y5VNWnZC63X5jB5oaULa9F8Vq4ZVC0VQRpd2xJEzy1NHXqK65AruoD1TXDd2X98KTNwmY4FoBEyZjmEaFKHeIxMWM0kqjFX1cdVFVJe2W7daDA0S1pM5EdBJ+Sy3XFcDGtAS11g3VAIfP1pOZNjLjqJruBUvMcuAFLv/WGrOeaPmZlfVBqoC4H61LVk3YNK8IdzhOQmFqxcHTcnFcAHqjeJQ3x6lkcnF4uRuCy9pbVlTKOW/tGJK8ZgqgIzrUroiaglMAGqUaYwpPG+j6ikqSUEpngLBaEoDQTgT7MGlkgkwvjpIlVXNrwTAoGygFcREIlE0IRy2KvNjJasD0uKJg6/yuwgkxR0cVNLlHAJEdApU2Nm3Y+zWHL0dGj9CaKWVHCq4EMftEhRDrBEzO3dKlL+6plCAVQQoNvHvhy2mCIpjL5eivbE1daQU83EKQg+cNdQdZIDikI1sV3ej1W2fHoqaqN279IKQT2hAS1MO/2P9iFJVN2gLIKZij/UOwYqu8frJ0Gyide4vhC+jD8elSghbbN2Y15f8P6Q6SdN3igFsKF6AUBWTMUwGA5QHESiFfwiXTQ2kSk2IwbL65Yst6MimBy2aU3nH/LAI9RsNNnCMp11orZJvRchbVrVguBri/hWm+H2k3TK9NapI6jV0sWK31rfjDLRX1xXocxTda/o+ewvrbNLV3ZWsP3TiZGTI+lpWgkIneSh7dqu/uiWTmY6XlUUlio6pJGWFTSMQwUOFLQjaEPird2RNNEgm3/GSq5+kajZ6wa0pavp3xJND0teLhBwCjw6ZBACtLPXATYdahQp2xQoUDcAbvp1Za8LVNUtBpu+cEYsXfSGyKltuJVrNke2g4Qef6QO9e+UC7rVsfjvH0G/1Zf6m9Bv9WX+pvQJLQhUKVCBkJ5WwmJQKJEpg5eCFS/4yCUREYYvpECUwUQlvQ3SS62qthatgYo30oIjd2QdZ3qReO50i8cETP1EylMHVARxuCJZNJY1It0NcwqpnWsdUxBLXxgWrJYqbhNZNZsZTLbIYDBX5XQ8bv2TZmQ7I6abZNyCgqqD8VqgWQiSGTIX+BcW3HWwDUmL+N4xM5G6OVI7t8qsietQCpgEo+ES9SfsGrRCXK64dS51grqWRKFLuqF4jE1kerL0h2s4MiGsCggcbr4UnsgZN3YO2qSTpss41QlMnWyYBoNQvG6JeKhklHBZyL57ZNQoVrcFcaQroMzbtBYntETmJ3HWIiYa01dLzX90OS6P9Cca1qjr0HbvUijZCyB8BtFp2RKFinKqROcLOFlcttMTqdYA+dawjo02TaFbIKgBZlrqm1NqtnV0zUurhExbKSZgus6VOZGbO3NoxCDlIBKdUQwuuhwqLRMh19HOh2AXAQBa1hXs+cSWTtnJQVXbghMUyjX3BLJh8Q8YslC4MPQRydMBOmAgQ3ZWA+8H9fYBQmIdu+NgHP8ApGwDn/SNgHP+kbAP/E/SL0v/ADI2Ac/6RsA5/wBI2Ac/6RsA5/0jYBz/AKRsA5/0imp/8yNgHP8ApGwDn/SNgHP+kbAOf9I2Ac/6RsA5/wBI2Ac/6RsA5/0jYBz/AKRsA5/0jYBz/pGwDn/SNgHP+kbAOf8ASNgHP+kbAOf9I2Ac/wCkbAOf9I2Ac/6QKh8R7PRrkwrdQSx9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5x9VU8v5wU0zkJVxJlFVMo08YBJJicpShQpSgWgeMfVVPL+cfVVPL+cfVVPL+cHmKcoo4ULZOsBS2jB31j6qp5fzj6qp5fzj6qp5fzgLSYlKA1v3/APQa4IpF4QB3C5EwE1kBOalR7IKmqqUpjjQhTGoJu7ti/wBgNcsUlowFLaNSo9kVEYBZsuRQg4HTNUB/vRSe6fTQoytNuUjNlrjl97XrGoXMbcEStrOzvDprzez0DWCDp01qNhPtrSgj8om8rYNnDBkkRIUJS9ObWJjvUADCNCD/AFjRhsmLMyGuXOVN0iJwtFIAiOPZl7BiUiwapIG/et8AZhATUN1hqMJPHZ2ah3U2VbsrYimQphUPUVB7LjDduuh7LHrmVul0Zed03cy05hSGz8JgG8BhvpJOW8uTGanQJK0ROYpUrRRETqm7KBWgd0TBKery90LJgLsq8pUESHKHwUNgMSFTSSXy8jdxPWhilaKGttxt1ADVuN3hE2MwVIQwMz2xOA5KX0pviUaFaPtJSRReXHVOoCJiJJWTFwKA9g8YfT7R+WMDy6WqKEORwc4KudXnEtLi76ViWsNFk2oJzKUi8Ks8A3u7y0uAb82EBpS4l7ArZu+Bq6RKJxOp73VmOQfhv3DWDETUsmpcalaRpC2m83F6qUzb32p1dwp1oBa3RIlnM71rZVZbUswbgUE/d42q9aP3PkToUnKqAqPXRP8AkqHb982BeO6JOP8A8gSHUjlD2UNeggXXKTVYwCqcQtWSAX5Uv+cSFSQsELc3MsmoVcwiCRiBjUMQ/rGlMhe9DB1LGoa1ZIhrCqKiYmuCtSmuGJXopKyy4zlxKNeo5VIcE06CAVpWo3Uu7YmRWLRoku70oWQIdwqYqVrVkEVDjfu3BE1RdpM5g5lzLpKZpSoIprlv6t95RrBTPFpQ5bKoifWS1cbSBvsHKa8e/wCX9ym0nYzSXiBUCptEXzIymo7RLQ4XiO+GMxeThsSay5wdRs4RajqhAwUEpiCbeHzhxpRP5mm5eLNityA3R1aaSQDaoACIiN441iUzwroCFlplxMmJM+sIBfwhm4GZEHos6cPhDVZgUr1cd1cYCRGnKVppMxeSxwDbIa0YaHARobMYN10PTzebNhM5ZmQSRZs9WknUM1KiIj+MSySkmIEdSrVGbudTaLbIWzeUcQEBG75w+Q0mmKChHrXUahi11SaYfaxERN+MSxOd6VoKoyt6kuQEmVky9gfjG12dkPpEmuCQu2xkgUEtbNYZaQdKCjWXHbCnYzCYSjXyxMJPItI0m8umSpzqJqNLaiNvOBDWqX/MIYTFktZbsJULJNAQvpUtBr/swvosEzJbVmAuQW1VwVX1lKV/CKxNJ4ZyBizDU2U7OSwSz+MSudFcgUJedQxkxLntFp+EKPphJUFHChLIrGC+4LobyVACCciYa9Uhaaw32odzrR+ZM0+nlL0lJ8y1wAcoWbZLwpd/SJI6NNRVGVa0VTKk6y4nLTdhGkdqYFKWetUkSUT2VlMxa/PGGU7mD9E520qFooVJESgYbQDaCojTCFl0JqQjr12eYNFDN7RS2igWwYtesFAGH4vdIQScO0gTQNLm+qI2pvKFRGvbfDfSKdPmIqNkjFDoDHUisJgpU/WGvd/0gqMbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQI2gRtAjaBG0CNoEbQIzhBu7+4R7vaL90P5g3d9M80ObJrpO2Ym24BRWyN9mgw80DRauAdMkNaoqYA1YhUoXX1+IP5Ie72i/dD2HUpLo1OVzs1zJLGbtymCpRp9qDvZCueqRrK6CpbJ0x+YehtJ301RSdPK9FbnN1le7+RN3fTaUaX6PCb1jIpqDhEof2hKmtl4ROdJpcoQpF5CZQBUwINUce4YV0olv7RZvMXiLjri1ZGLLwCuFTRoxI5LpEtLyzNjVcUrwqNb6dsTz9nY/tKmBUJYXWld0AVTjZAQCu4L4PNDPxUmHrcWKbsxamAlANXvvpEuSZH0pfsnJ9XMgnUtEoEr8RRCtPpR7vaL90PY0xoP/ORv/wAho0qCTKIooFl5lFRPckRShRqb5AasfvTLP2hTeZOiOffHTZCWX0rlqYI0XEZu8SGYExSWpqKB8H2axNZYM10ibtZacEWpJAy1nWpmUvCFXKMqeBOEHYInOLUSqmR/0gFHEd10NGCf7RX6mtIJXkrnzeihj0/szBd9Mbu+mnE59ai59br6wyRkLOrxuxGuMTOeNpmczaZNFW/QdTTVFOYo3Gr8uyD6Kr/tAmJmAGtNG2qCwiNd4V63hEj0nUnY2pK31WqBttvnW11fGJvpmE1E4zZMCi31NNVQoBjW/CHWg0zmijlNw+F0RyVLVmSPQACl49njDeZ6QftCmc0BoH8M3N7on+1Qev8ASj3e0X7oexMJvIf2nvJf6xcmVWTbsg3mEaVt/OJhK1Ha7xWapmI/erD1zgIbuzGP3XeftCmCjRI9WaGpLYR/CvWiUzdtpKoxfSktEnCbcDAb/ZEbocaR6LaaPJOu9KAPgQSA5VhD4sbhguiimkEytEW1xZgLoRW1nb3f4YaaT6VaaO5wsx+qFWQKSz30Ea/TG7ozhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGM4cYzhxjOHGB6wYdsZw4xnDjGcOMZw4xnDjBesGUN8Zw4xnDjGcOMZw4xnDjGcOMZw4xnDjGcOMZw4xnDjGcOMZw4xmDjGcOMZw4xnDjGcOMZw4xnDjGcOMZw4xnDjBu6MocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwgeqGEZQ4RlDhGUOEZQ4RlDhBeqGUIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKHCMocIyhwjKEZQ4RlDhGUOEZQ4RlDhGUOEZQ4RlDhGUOEG7vQnJDnHpCqJlSFs3WQxvhsm9OIC7XBFGha1N7DhNocRFsuKStS0ob0qPHSgETSIJlDDuCCzBmCmrPl1qYkEfwGCtHixzLnCpUG6JlD07aFg55a5tCmNFUzlEpyD8wHD0DJwdF6UVLWijvsYV8fR6r6QXpGp1uq32K0rxg0zmJjFRIIWzFLWlYFwY4AQC2hN8obdEedZ2kKjdNQglMcoYjT0LMkXBTKt6a4gfBXD6Me70i0BYutKQDiSt9B3+HpL90IO6cqgRNMomOc2BQDfBHzVYDoqkA6agYCXtg0mlWkrRd0WtUE1b/1gn7xT5s0FTIVY94/hBZk0eJKNzktlXIfqiXtrB5bJNJGjlcmZJJS/9fQgxcuyEWdCIN0xxPQKjSKjBX8tclWRPkUJgMN28wfJpHdrapqQ43qH7Ag7t0qBE0iCZQ5sCgG+CPGioHSVIBkzhvAfozd3ol60ulYvFPVqoaoqoEutBfUYkacz0aOyIE2IIKGclPUey6JuycOLSTc6QIkoHVqW+KpOAO8dTozJqooUKJ1Njd2BEnl7nSQXjZ46EqpjtyENcUerdu8bonnq2fdBTJMz2RIgU5jnshja3Q0mj2zrVCDrLIXCIGEtfCHMhlM46AixRTMusREpznOe8A611I0gks0f/wARLC9ZdJMA6QmJbQVDd86Q2TXencDqSjrFCgA4YXQ7n8kZIzEi6RCLogsBVUhL2Q6dBK1mU1FoGtRddW0QMB7Bv3wg/wD30I9XFwQq7JBoAoAAmoIAekTAjdUCglo/rk/dlqB7WNaV/DCGWla89tnPqRVaagtgSmoGONb41nrhYA9UApYsFpZ1ohq8MN/bC8qcZHCQkGvzgkitf+0FHHqs4DjarQR5f6wyZo06K1lCxrOrCo2ADfiEN9JW87TUUXAivqwUCgnqx3Wsa0jSObrTVZUjVMqh0TEL7z3dQ3bsI/fNTSAhxBEHB5d0Yur1eNkDZq0htJpPORZt3Es16hwTAxi37q74AgntUDMO/wCgHu9BnWjUqSerk/5MorYEwfL5wrPG+j2tXdIlZFletwEB7e2tYIvpAyRbOTXmQQVtgT8fQX7oQ+cuZi+SBqwVOCLZ1YTUEC164fFAuGxxKf1KmFS/MCgP9YlD2VtU0lZY9ZCzOmWghVQpR4gIxOJ1pRJ3KyMxRRCWu0WgrAUhS0Mjdhff+MaSsm8uXbNh0p1BGZbjpJHEgmSDs/8A2iSBpfoG1YoA41UqcMnVRbHEtxDgFLqfhA6NpLrdLKoYglFocC1Lj1qU3RIJqSYvl1HYubRXTq2VMLOBA+EIO0dTF83IQpjD0F1qrd2Bu0PlEvmD5YE0G7Mx1DjuKAjGjmnk2mSJTr6QJEZtOkl/hGlg49YK5jDQRHuCHztWZvCFbsVDlTaO7Kat3xgGYIln/wBgl/uh9Gbu9DbSEFy2EGZ0RTpeIiIDWJcqkuUnQnxVz2gzAG6HM1kM1bplelLryOERNZEt1S0GBbTObKgKUxBwi+IlUUlBNcYwdnb3xJuk6Qs3yrdQ6oklwe7TTs5jXjeI0iYHVXKfpjwyxbIZQEACkN5QusVQyNqpyBcNTCP+cG0g0cmKKKyyQJuUnKQmIemA3b4mrFeZAq9mwDr3JiULWlAoHYAQk2MNRTSKURD5BC070YmqSBnQB0lByjaIcQwNdeAw7f6QzYFXLpiZoUW6dgqKY40gkiXnbNNJvY1PR2ghbEuFu/8ApDmYqPEquJP0QQAo561td0N9HSOSAoiVEBUELhsCH5QjpGzdogTofRnCShBqJbQmqX5+gdJ+mB0cfeA1p/b2bNvhCMyWULqCs1UFUt5rcJ6PDpImEvTEAKdNEQcasBuJarT5ViYOjOEjMZkgBF0BKNsKFs3D2QGjDnSJEZaAWBEG468yf2BGtPlCc8TVKCScv6OCVL8a1+hHu9CqTVUCKGTECHEMo9sIhL1lk5o3XBwnNhGpzKgNbwws13Rfj8vQX7oQ+kyKoEO6aKJFObAomLSsE0Pmh7ZOgA3VOTf1aVCJfKtKdJGzmWS1YiiaaDYSquBJk1g1pd8oPOtEtJ0UQXRAizR+iZRMoh8ZKDcMONEnMxVOs6VFw4mFOuLgRtaziAfgEMXGm2kTRwhLVtciiyaiTWqAFAMeo+ARjEn0gTdEKSW63WJmC89sKXQdEBpbIIQ30RnGpdlTRFNbqdRQK9gxLVJTo3K2pGswKs8KDQP4hKyYBTu+Yhwh3o4wsIAuzMgj1eqndQPwhpK1VAOZu3ImYxcBoFPozd0bQvGNoXjG0LxjaF4wJDmIIDiA74EGLVshazalMpa8I2heMbQvGNoXjG0LxjaF4xtC8Y2heMbQvGNoXjG0LxjaF4xtC8Y2heMbQvGNoXjG0LxjaF4xtC8Y2heMbQvGNoXjG0LxjaF4xtC8Y2heMbQvGB94GHbG0LxjaF4xtC8Y2heMbQvGC+8DKG+NoXjG0LxjaF4xtC8Y2heMbQvGNoXjG0LxjaF4xtC8Y2heMbQvGNoXjG0DjG0LxjaF4xtC8Y2heMbQvGNoXjG0LxjaF4xtC8YN3RhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEDdujCMIwjCMILd8IRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGEYRhGWDd3oSkRzG6QsiZUgWbrIY3w2TeGMAu3AIo2S1630Car8VPfKWEk0UxOY5vkARagszlxjCkcRs2y0G4afQ1GCP5e4BVFQKkULv9Ch5c6KqCKwpK2fhOGIeP0A93tF+6EHdulQIkkUTKHNgUA3wSZN3BTIKJ6wiuACXGseqpVpK1Xcbkinzd3b+EEJpBPEGplMhVBvH8Ag2kZZqiZiVO2Z0U1SgX8ITlcp0oQXcLDRJIpT1N4ehuwdOykWdiINkxxUoFRpHTp9NEWqQmoBlTYj8u2OnSGaIukgGgmSNgPz7I9RoaTtDOhNZBIFMTdlcI9WaQaQotV7AH1ShTVoO+4IVQ0bniTs6JQMqVMDdUPxD6M3d6JetKZR01X1ar7npJUrrQX1GJInONFOgJhNiCVT1gRWo9lCw8lqukziWoMhAhAaNrZlD0qIiNkbvlCr5JxR0hMCIlcaoSa4tsOtQe0IlaKs7VdJv1zIrpKlCgDZrUtMMIfLOp6sRqwmJiJN06e8uDMPZD+XLuzHmjZ+LNJQcxrY+7PwHwhNJdYTmKQAOob4h7YU0ua6SHa2tYdmyImUU7BRG4+8RGkaLTgr9dsLtQ1SomD3ZtWNRD57opXdDb76n++MNkTaUJyxsYDa0xBDXqG3AWoDdE8T9aqOegV6K7VSsHELFb7oZaVqz9U6p9QKrayGqEpqBTvvxh5JmE6VYNpcmnrDtyltqqHCu/cET11NXZXDhk+Kg3MJaFCoAADTxpDRVtpU6metXKm7bLMhKAAbExOqFKRNJZ68Wbtm2rsailqoh2juhR0ioZRwhMTNl3SaNoxUimoKlneMOmrHTkXrczfE9CuUT8oXd8S9dvMD6x8sVJI6pbQN61rQA+QD+MNGDbSJ1NG7oRIv0lqJTIjS4wDZC75QsZg8sKjpOLcprN1ioBSGUsVnKztF6ipbK4AOoYt9QoHtj3e0X7oQ/dPV3IdFYLHIRFyYhTCBa9YAzfjDOUTBcyaLmVpEVOQ9kaWQ3xJ5U40bJLpNL5ohqp2VUpx7ClsFvJaG6ow500nbw6jt+kRBBtYBQ9C/CkUArfE+Ys5URmtPZiZdrLVVQL0ZIRLcPYN2ES0ukppW7ZTByDa0yZ6ozVQQ6tLxtFgdGUXSovCqGIJBaKAFS1r1rNnd2xo/NEF3J1XYudZr3JjgHVwKA5fwhvpILYXb2XNlOhMxWApTCbffgO61GlaLhkEnm76WlBKWlUAwFCyIFW1hbjCI9mEG0ULoi9QmTFqGsIZgJQbHLitrMPncNRgdJZu2KfUsinMUS1ExqXF/EYNMpmUozKZG18wUAPiHAgf4ShcH0Zu70NtIgcFAiDQ6IpUvG0IDWJcqk4Kn0J8C5rQZgDdC820YnSLfplOlIuUROUTBdaCg4wEmVnRllxckWUcuL60PaoAbgiWzBNcpQYuhVOUQzdUQoHGH6ii5T9MeiuWyGUBALo9Zy1xrUGjYpnYkHqCsFQL+NPQtJJJpIkhLljGECHbWlUQNiUo4UiTJMFQTRlCgjZNeJwsWfQWVy+fyrVEMYS61moI3jXthvpC0mjMHxGmoca1sJkjX1qUK1LE0MvPSL+tEPeWkKCVWlK/d+UIaOldkA6JUQFUQGg2BD8oWcyzSFCXOV2xOkhMEaorgGBijXMGEThFw8VVRfP7STylDGEoB7wP9rCEPXelJFEGx7QEaoimZamFsa4d0P5qZcpivBJZIAXlshSFGTOeC3cesju0V0guvNWyYN4R+8M+mDZRcrcyKZWbfVlobERriME0edzclpouCkucoJUFKmFa474TmGkOkRFQRAQTbs0hTIYR3mqN8Ch09O+d9OrZHLUOr3wxnRVygVoVUDEELzWg9se72i/dCHslSWBMztqokU5guLaLSsF0LmLgbPQioGWSuvAMwfiENZZphpc2cy5qsRTVNWQkUcCQal1hhHt7Id6YSLSCUF1yZE2xXzE6hm5ADAogN1RqMOJRpbpG36SZYp2jyVIGS1IlvC4Rvvhk+040mau0pcrrWzZkzFMDqUoBz1GKWhiUaQpuyEJLdbbSMUanthS6Guk+jU6IymLVEyNV0dYkskYa2TB33w90g0mnIPJi+bggY7ZLVERSD4SBjvxhPRrSDTcjqTJKAIp9GEHK5QGoEOetKQyl6TtNFug/TXckMUfeEJeBA/H+n0hu76AQQSISo1GyWlfoQBy3TUphbKAxYIAAAYAH0493tF+6H8wbujZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8IH3ZcOyNkXhGyLwjZF4Rsi8I2ReEF92XKG6NkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEbIvCNkXhGzLwjZF4Rsi8I2ReEbIvCNkXhGyLwjZF4Rsi8I2ReEG7vQLyZPE0EgxOoakdFlk5SUV3J3lEe6uP0CjB5OQIqiayoTUnGg/gECvJ5gRcpRoaziHeHoKwM6TBcxbRUbYWhDtp6U5Wdei6qYnTTpiUMR/kB7vaL90IFVU4FKUKmMYbgCCuWyxVEzltEOQ1QMHaEJMlnaRFl66hIxwAylMaBvpAOJxNG7VMRoB3KwECv4wE2fTdsi1GlHKq5SpjXDrYQVu20ylSihzUIQkwTETD2Y+hNBdymQ6wiCJDHoJx+XbBV51N2zMhzWSHdLlIAj2XwcsjnrN4Ke0Bq5KpZ76DHqks9Zi6/wDdgcl1nLWsE9eTxoz1mz6U4Kna7qwdWSThq8KmNDmarlUAo/On0Zu70EZTEgKIspdrkEjhUtsxqWqfKNZNdFX4psVCKpzJsJAsD8hrX5R+78leIt0hYFXMsolaEnW3Q6aIaVS6WkaKaoCuiWjLGDEfkEMVZWmj0588FqUa1TKYK1P3UCJfo/NXrddB0mqcV00LAmoXD5U/zhfSGTO2iDYpz9FbKo2hVKQaVE27AYkjiQAkmeamEpwWLUCdX/KE0Jg716xQ94sBLNoe6J6k10cevQNMxETtRLQLsLxicaRuGhmRkmxSrMlA69AvtjugZ+XSeVBcJwlIh1xL2WvtQyfNGJFNbJFVydT3m7qgMN3KE9lq4qKUdSyzq1EQ30reIhD5bR942bt2bgyCQLI2xXOXHuCJMZJBNq8Vlq2u1nWBLCvfD+QTpdJY7QCHI4STs2im7Q+nHu9ov3Qh+ulpA7aEQYKmOg2pReha0Nv4Qxm8yVsIoSxMxx/2QujRrSjSAFE3EyTe6pmODZEqQWCd/WER+YxNkP3NCdnlrZFJqg5MUEUCmLaMbrXWhrT8IdSprIzJpIzFQHcpmAAoDVbESAA3Wd4d8G9XyBkiykBwtnQalLrXQhWlQDAoeIwOjSGkTU8wBQxBaAfr2i4h+FBjR+YOJ+7dA4FzYQXpYQCzgWkSI0qkLZ83aC4OuV6PubRiWS2g30xiZaFutHGEnmgMwUI4lqQatVM1QA+ADcO6C/s7kbBJ/pEJA1KrZH35Fa7dQ/wdt4xLHKMgYzBJpKDJqKTK9HXCIVGmIjdEy0cX0ZaSqYS9UnTEWRABNUDBUigUxu+jN3ehCfSJ6Ru/bFEoCoWpFSD8JoVlk2eMWiYhUCNLRtYbdaEcAg07MYmpGWlQAK9a0Bq8IdqaPdAWbvFRVEjy0ApHHGlAvCJcd/PyBMG70Dt3SqVEzKjXqjTAtLolqs1cNRVQaLGM3ZmExUi0oAiI7xEfCF5HIXTEWSpzigq4taxADYhQLjRI27FUopSsw60TjebqCH9fRNHTkxBK9eislYH4ab4ezNXVi0eMARMFrrgIfh84Lo8yeS8rdPqJPxKOuKT7tKVhCZt3gEIlLVG+t+MDjShqUpDZGdFlgC3WIcZkgUdeeyNey6sPS6NqsjNnq5lqOhMBkDmxEKZol0xcP+kA0YqJLqqD1znNS+JhOVDE1TpBIiYAN9S1rX6ce72i/dCH8oaCUFXTNRJMTjdUxRC+G2iekjUjhMjVNNdMDjZMJQ7QoMSp9LJGimwQ13rBIzpW0oIlDV0v3DXeH4wvpRoUdgfpyBE3rSYGMUKkynKYoDuupD10/dpuZlMXR3To5Asp6wQuKH+EITl82VTUeqLKLvlUhqB1TmERG/8ACLWrLXtpEknzc6QIy7Xa8DGG0NoKBS6Gs80SmqQKIEMmvL3hzahwUd/VwMHbEz0tmjxmE4cy/ozBJuJtS3AKiF4hUetvpAMJdIdGhOYbTlyd8vrFz7zGGxeMJT/RuYNTiZqCTuWPVTgha+2QQwH8L4mOkukTtFWZTQxNaDYBBNIhAoUha49/0Zu72jNHzciqR8yahagMGCUy1FvbzaouP80Pd7Rfuh/MG7o2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCB92GHZGzLwjZl4Rsy8I2ZeEbMvCC+7DKG6NmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzDhGzLwjZl4Rsy8I2ZeEbMvCNmXhGzLwjZl4Rsi8IN3egrEzkgLHLaKla6wh20hMrpyRMVT2EgOalo3YHotKHAodoj6QKJwqOAVx9B2ibggqpgAqJga8tcK+gpprM0GwHya9UC14wJJXN2zgQxBFYDf0/lB7vaL90IqMWwMFKY1iyV8gIjgALBFVlSk++anoEoGCoYhX0ABjAAjgAjjFpdYhA7TmpHuHCZ6Y2DgManXEt/YtXxbVUKUO0w0i0UQEBwEPozd3ol7x0ksYvq1UKIIioOYNwRI2zRs7IJZsQwi4ZnTDzBDiUSE0tT6HTXrTJYQAxhvslAP6wZ46liB1EZimiugc4mIB7YBUBAQr8omcmlTdkUGKhKLuQPS8taUAbx4QnPkZamLjpoNlEKiICa3ZGgxJzaTtWJnAqrmBRAD+4JYrQL8Y/eWXyNopLxGqbe2bpKidcwfD86RNEdH27a2dqgJ1XomsEC/cW8Rg6r9qVFwg4OguVM1S2i9kSJy/bmVSKda0QiOsEbg+HfEqc6MyBdv0RxrHbs7MUQ1f2L6VrCs5csJag2SOP8ABrrGByctaV7PwhnNF0ieq1go5VsjbQMOU33d0K6S+r0QKo5KDFAxRtGSE1KmvxHwhtJVmUvOZ+mczc6ZjgCIlpW39rHdSJoydytNw9lwhYIyqBV7QVDNhDJjPiSsxXyurAjJU2sRGletXGH8klSDIoNLAgu5A9LwwoA3+EDPgl6XSUnwNlkbxKI27I2YZyydtmeqmAnKl0YTWkjAFaGrm7wp9GPd7RfuhD5eUaTiwSRYqmcJEaAcVqFrS0I9T8IL0BAx1hkQAkRMKiJhToESp9pT+x8jFMTpJlmwqEOciw4GUKF5Kmh3pfp0geaNBTTSZMU2p1dR9obJcREd8KJtJoKNp0t6uMqGuO1Tr1SnARxDsGNKGs4nJpg4IuhbdGSBO11K5Qwj1cnNG4uAEQ1ALBbqGN0SBxM9JRdt1jOejNAaAmCHV7QHrD8xiQMSaJHnDZI7hVw1qBSGGxQlow3AFb4mshluhqWjs3Sa21krRTFMS+ycDhiFYa9ElLpLSIXhCjPTgYExV1l5xXwEnygujekBQcsZdJyuBajs1VTmpaEN+EaR6ENlDdCYLoLMUzGrqiqp2hIHyAfozd3oaTpNL+HSYKJnPawMJgpEpUZp2wazIqq1TYF7YeTNvow0mqL4QPZVMUp0j0p8QYR6vBm1K5O9TVMi0IBCFKBwGnzoG+Jw/cJ0SdrpGQGuYAJQYJLeihrgnWvEtsNnrbVeES1yROqCOtBwauAGJSC6Ky9ih7n3beaa+4qdcRJjapEwmTgvul26JU1K5hLWsPivUrOumSqqfWrUo4RJ5k2Sqk0MoK5rWWoXQJQPfS4YWkhtGmguzlHWTZdwBtZfiAYgIwxkEwZ9GZakBmQlWARNTBIP61g2jq6OtO2dp9GX1ge9RAwDUewQCJVNEkqotknALGtYWgLT+kT0WQ6vpmp6ObWU1gFzFuwrhDB7LNDGjMGLkFDpdJKKi27NTCJrMF06JORS1Jq40C+F5aVqGuPOxcFLbDZ621XhEoftkrSTVyqZc1coCQQD6Me72i/dCJlLGKdpZwxVTSLWlTCUQCEJKxe9CmCcvImRYL9WoAQ30Zn+j7Zg3Bwkd++K8BTWgQwG92UAuqIb4eP5NLAm7B6BBTbGfAkZocAoNK/COMPnc7Ml0yaTFR44SQHqJCanVDtwjSGbO0AKg/WRM1NaDrAUlB7o9bJSRoV0JhMLkrctuo4jaxiQzlqgBm7HX9JPaDq2i3d8NZvosUjxAhDEeSpRUE9ZXA5TbjBE10g0pQJLzv5V6vatUVtYKKd9TGNvG+A/Zsto0yTS6ODY81B4Ap6v7QJ0rapDTSzRZiWYWJcDJ6zUXBMxyhlOBhurE10n0jImm+nDghjt0j2gQTIWyQld40+jN3f3CPd7Rfuh/MG7oy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGB6u7tjL4xl8Yy+MZfGMvjBer8Ib4y+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4xl8Yy+MZfGMvjGXxjL4wbu9OvYuiLEAwlEyZqhUMQ9AqM3JFSlMJREg1oIYh9CZk+0jZorEzpqLgAhBk2WkTNUxCCc4JrgNChiMFcN1AOQ5akOUbhD6Dos2njVspZrYWWAo0gzeUTps5OUtoxEFQMIB2+m0moUwdpRr7I93tF+6EVEY1gHCzStqt0WSTJuIjgALl/OL4BRM4GKOBijUBgQQcJnpjYOA09AFMcAE2UBHGLTlwmmA4CocA/rA9GdJKUx1agGpwjo4OE9Z/o7YV4RbXWIQO05qQByGAQHAQH6M3d6AgjaXNirO304XRbEONCgNoRER7ghCQ6Sptj9MTMZq4agIBUuJRAYmC8lSaAk3fLm/iAERVvqIBTCGExkEuTtvSlMc65uo3LStR3jDyRTJVmsq2QBUq7IeqNdwhuGP3u1DMqSZTGOiJRqoBTUEQHdEvlUpQS/jmZlbS39nSn5xNGukIJCMtQKuKrctAOQfl23QTSF8pKjNhsioxQX9+mQfxvH5R+70ncsW4g3BUFX1fe13FC6CKzhiDdxgomU9Q7wiYuOho6zo+01QWuMKqos0iG9WD1iJAA5Ils3WbNOhatFMUL9bZGgAauH4Qro9o0m2KLVEp3Th0AiACbKUAD5RNHTlkmSYSo9hZMLyGwEBDvCGCCrdkf1kIkTIFoNSazavH4gpD+TzBuk4WatwWQ6MFnW1+G/CGa09GW2XTgiZ2SJvfJWvx61IV0clC7BudBIpqvhGqwjuKEJrTVj0dwIe9SA9QAYWVeydy8KMqTACNmWvEOsN9N0O51JtHF2qrewFl5LtRrKju7f/8AIRTmYsumv3FGxRNZSQJSo2hHGkBo/N3DFwZZAyiDhgfq3YlEK3QylGjssbJuXjlwJSjXVplKe84wOjM7QbdViK2uQAfedYA34ewPd7RfuhD5aWaRKMk0WCpl000QEVurWlRy/hBSSxsdVc0iAqKSRaiIinQKRK5jpX+yBk0SE6KfrIioHVRVGgAZQu7rdkL6E6PuBIp0M680dE/5OjQaF+8b+kSiRs5kZnr5eiCiqeNj4gDsqESqW6H6PryYyKipHiy6BkUnpADKSu1HfWPVic5aGcgYQFuVyXWVDELNaxo+5mGkJ3SKpnIt2oogUqHV+WMSFmjoj63aomcKuEVTWUbQkoS2bdffE10dZ6GtdHZsm0BRXUmtJqJjWyoBuwBhoLbR92jOxeEKOlJyHBuKmsvU1+8o9kBpPpSgtNGLRlZSlSDYVbSgjepYDMMTnoBTt2HrQegy1cR1rQtLymKN5K7i/Rm7vQvNZK2I+QdIlL0dZ3Y1Jw+IK3UhgZm4RNMmL07qhtmcT1tEr3DjDWeT9gizSYpn1DdNxrRMc11REIesHKQAosuuZMAOA1A2ESNBSWpPAl6Nl5LVHAFKoNLhrgNIUmp5MybNnLLVCg1UD3FMN3WrBtGzoF6WLVQmr1gUqJhEL8IlcwFINU2lxklhthcYbP5ROellstphL00CKAa+oVrdCUoPolJEhTslUmmqTPaKG8CWa1H5wIfu2xmzEyQAmgscqZ0Dd47oBi/UC1rTHKmU4mBIojcQBHsh5LWJAMssjZTKJqXweVkIGuFlqwLa+KzSGskRRKLhIre2XWBTqiFb4cT+QMUnhHyKZXLZRfViUxAoBgEflE4FyVI0xmpwNqkz9QgBQALUflElcoJgJGTgxnA2guDViX8b4mqzJbUdLlpUW7i3gcB4hCDeX6IS1io1WTVMYHIGO4Eo4WqXdt8HF1ouwmrVRMNWkssUh25t4WhC8ITl0wXA6hTGGgGEQIAjUCAI9mELT0Ug6MeXESKe2FbQD2QtL5emBlTiWyAmpgYIZv2TZuusyUEwNnYdRUohQQgZi70clssSKkJSINiEMoY3aJyhcHyiWLJmbBMklnIFZLLgHSEzmrQDduEHdTVFJI5ZVToySlvVVOFKm7Rp7A93tF+6ETGVsSAZZwyVTSKJqVMJRAIRkTV90J+nLyJlXLQ2rUAPGG+jekklZMWpV0zvnqT3WCuBDAahCU6tRDfEwmjZzM0XT0omUK2miiRDns0CoANIbymVzVdpOCAiqcFnplEgUJimH2SDhQIlaukkjaytrLHfSTap8Cx1zgFAAKB1Qvj1qlImRXQmEwuitCApUcRtUrEhnTVEot2Ov6SYVACzaLddvhrONExSdJpkMR3Kl1QTBauBynpcYIms+0vTSZKv5X0Bu1bLazUpjWphNvG+P+DlxI5am3FuDZSbA9qGqwqCVK2qQnNNFkyzNmLEjdaWuXmqsmLgoURuv3xNtKtJARTeTdRKrVse0VAiZbJQtfEPb9Gbu/nAbzNoVUpTVLXEo/IYOWWMwT1g1UNWom7xH2B7vaL90P5g3dGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NGY3NA9Y2H2ozG5ozG5ozG5ozG5ozG5oL1jZQ+KMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaMxuaDd3ptoqlOFaVIavsW1lCkL2mGnpBVBUpyjgYhqh6baypSB2mNT2RRBQtsAqJbV4fTj3e0X7oeikZB4RcHs3ReEVpFwfSG7vQEHUl8rUdrKTZYiaKfaKg3iO4I/d+eypJusZuKyRm7nWFEAG+t1wwtNpRo10iXoHMAq9IoopZxEhaXx6p0ZkwOlSNyrODOFtUVMDYBhjD87hkZBdm6TTdNxGtkwHKN3bDY890cBuzdKFTTWI5tGII4Ww3ehj/AN5/vmhOQyOVg6dnS1pwUVsETJhURiY9Oleoey1K2q31lSmClQEDdkDOH8oK3bHXanalBS0c/XCtQ3fKG0tnkhK2I/EwNlCOLYgIBWhgpdDk0s0ZKZs1GhlnTnVaygVGwFL4l06YSwy3rBUpCIieggI/rDeSEkSKs0VSFZZErmiSKdqgCJqRNlH8vFssRkgRRK1avATXgO8Ppx7vaL90IeL6NTRo1TTZKmcmWSMZQQAPgELg/GAdNwOZUJEGrs3ja1d0Sl5pFoROGR1DJAnM3LtTVmX3VLb6tR7Qh9IdKPWDlnLEEwQlkt1lVVDBaMobV0GgBSFC6NaTdPAFVAZKzAhrTf8A+EpvGzGkqGksyTcuU10AE6BBKmAWKgBQHDGNWC5LX2bYViRFm81amZKHcdFbNUzFEOrieuIxKdEZk9cosXCSjh6myta1zS4iIWb7xxievNGpm8BBm0Fb1JMSnttTgURqFsa2Rgv7T0ps8GfJtyvlnYuzUU3inYrZs0uwhCQaVvzoyz1MRy0ag6MiR0qYetUQEK2ez5xP9EJdNjvWEscJDL1VFbYlKcvWTtbwKYLvozd3oXdoy1eYsl0S6lJJUvuVAxuHcMNGmpUUq/OvM2jVayY5DCI2QN8oazZnogZmzM3OgpVUoqAI/Ga/CD6NstHTODkOfobwFC6qgjUBNvurDicElJpinMG6XSOiiUpiKkCmA/DE2cHZlI+mj0ipG9uurABLSo/hWGsldaOnZpprkO8cqKlEo2dxKY19DeSu9BpkdRG1aMmKVBqYR+1CWlzORLLEcsSoumYHKCqQgNQ30HHtidzp5LhRVfNNS1aWwE1AAcd1RrDKVoMjGXTFrbSClQsiFYkrtu3E6Td4cy5w+ALAw9JONGFpi4VWP0Vyo4DUFJ8N1bqd0SNgvKjkVYTAuvC0GUK9fuguk8slZnqSrPo7lBIwActDVAwVxiYT6bSzoxHTZIqBLYCIAURuGm/6ce72i/dCJmwZIiosswVIkmHxGEo0CG8vlRyNpklLiFT15QMBVAKFw/0hvos80MWl1XKJ5g9XXIKYAQwGHV0Go1p8of6TyrRhWaNZs3SBUGqhAVRUJd8QhUohSJlNp23K3cTeZHdmaEPUEAEAAC13jdfGkkxdszEQeLIC2UHBQATABgdIkJA2K+E5ji6AnXtGxHxjR6ZtWZjt2nSOkqhgnUt0SrTuSSj1gZikq3csynAqgpn+IlbqgMTXSWeyg0sReSnoCLZQ4GUEL6nPZu34QT9l6+i6iZ7ANV5triajUgN5wvtVs7qQ0QW0GQn0pIhYMgUpdekoGBgtCAWYmekMzliTFWaLJ6uXoiFGyKZbJC3b+36M3d/cI93tF+6H8wbujObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmjObmgesbD7UZzc0Zzc0Zzc0Zzc0Zzc0F6xsofFGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGY3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3NGc3PBu7+4R7vaL90P5g3d6CaJleKoNUmvSHepNZMrUaFLXcEEGRaWtpa7RUDXN3L+pVC7wMURgkolMnVmDsyWtFJJQpAITtMY1wQ7mb5FVoLAaPG6oddMey7Gu6G/rnRh0wbvDgVs5VVKYBEcAMAZKwro1LNH1XKyBia04KgUpSD8Q1/pvhw8Y6NunLBqYxVn6ZygFQxslxMHzhtOCIimDlEFATE1bNYmsxmKhhAJYjqkSjec1TXBC+ls7clVeOWhhRKkeqbdMS3FL+cSVi0lS7925aVIimcC3BiImNcETFu5l7hk5ZpiC6IqgJi9WoCUwRKpUhLnLtZ5L9ajbXATD94RDzQ9TmTMzJaXfWkzqAYAKIVAwGDEISmD7Rd23l65gBJ8dQo44CYmJQgdHJZIVHjnUFVLZVApbIjfURwp/n/ID3e0X7oQ6d6KAyKCbRQ667lQ4HToHwAAUEe+PXRnBxWJJNZrTG6wn1eNe+JWab6VaXIruLGqWfqKkauVfsgI4h3w80pmml87ZokT1iqbSYmImQADcX/1fC870mnToSuRFzZmDkT9ERpcURHfS8YI6emUQlzuUKLS5ia73YHAAUOH2jY/IPRJZdMVGiMtXMvqU2axxMrQv9pUA4RLtGFtIhlLVwko5mD1M1FNWW4CE+Zjdl8TJbRjTEZ40Kn/CN3Rh16KtMpjHoNB+cDpnNtNn6c7TKChmxnACgdQR2IJhcPZdDbRD1o5lzZGUleP+hq2FDnPcUlrcGMTvQqbzFR4MoXSFs6WznRVJaKBu0Q7fn9Gbu9CWl8rYHdk6PqHrdLPZrUDF7aQ5aaP6IL6wxarOnTMEzAAX0LW8RgZxNGEwWaOWaZLcvMe0kcu4QLfSJqEskyzVR0chkAduDGUWAggIWq5a0pDWSM5A9QP0pJR2o5QsERAg1Gg7/wAInbhRE5U1Qb2FBLm6o4QvoivInKjhIFgQWTTqiqQRMa1a3YxKymx6GSHz95LrZPVqRElVE7sTWgCHmieoVUYqoKKS1UCiOruvSH/KJI1fkmSUvCX+/KwTHWa2uBt4BSJ2mEmfIpu2usai4qYTABaXj234RIVFWahQSkJyKCYg9U11w/ONKGREzE6Y0QIioYKAYbBt8Iyj91pud5UhXCLhyqVAlMRtVoIQ6cagwJeqUiFUs3Vt4V/kB7vaL90ImzdukY5zy5YCEIFRMNgbobJSpimd8nLE9W2dk6pjAUOqIQ20Tl+iUybOTu0Du1XjXVpNAIcDCIG+LCgUiT6LItlTt3T8FH6gEGyCafWoI/MaR6mSm5mQa4hzGKiBwMBRrZEBxCGa7jS9ZcpJWa089VEKWzrA9zdcFe3GB0iTO86QKhj0M+UFOpsepWm+NGnSLVQySPSdaoUlxKl3juiTafhI1ZggxSVQdJt07aiVrKoUu+g1ic/tKaaOu27UsoBJFBdCwq8ULU1bGPyhLSTTfR/SKYzbMFuSqCi1HsTLhd24wjp6EpduWD6Vg2d9GbidVEwDaIIkxpeMTzTeZS5VoE2XSK0brlooCKRLIGMG6vZ8vozd3px9OPoWbITt+i0cHEyrNJYLA1x3VDjBGzclkiZQKQobg9OPsY/yI93tF+6Hpx9NPYrWM48Yx+kN3RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hA+9NhG1N4RtTeEbU3hG1N4RtTeEF96bKEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hG1NG1N4RtTeEbU3hG1N4RtTeEbU3hG1N4RtTeEbU3hBu7+4R7vaL90PTh/Km7vQEGWUQUWVWmqyTdBLMoe2N0Fkk5kyjFyomJ0AMoByqAGNBDfD7oWjyztNu/XMuoVQC0626uaGb+WS5d2Z/ToySYdoVvH4YcSqYylRm6bJAoKRjgYDFHeAhAaRpaOrCzLt1NaFS0Gg0D4qQ0lbWXKODvW4qI2DUwpjDmWO2BmzlrZFRMT2gEo4CAwycLKAQhJy3Mcw7gvh+0Z6TNFFVGxgTIRS8RiVMWzBR28dNS6lskOIAW8wjuCHkqmMuWYvEG4qGRE94k+0UwRJ5agxdOlH7QxkRVWtHqX7Q/5/KHzR7LDIOWCOtOjrAMBi7hAYXmhpW8aMhTTMk4Rc2FDjaDDsD5wpKZJI1X6rcoC5EqgFAlcAvxGNH52yZLntnXKVtZoe3ZpZ4wtJpjKTs3KKYKWDKAYDFHeAhB3CpqFIUTGH5Qy0teKG1WkR1SGA3w3+78P6xMJi7MIJISIpz07APDcr3Rdygi8D+GXtgcMKhaplieup4iYjdtMVjGUO4t6qgF92AdkIu5roy5asnBylSdHOA0tZbQfDB5LJZGq/WQIBnNhQClTrgFR3xOnxUjp6ybCOrUC8vULd7I93tF+6EO3WivRCAmzUOuuucwHToHwAG+PXArqCsSSWwUEwiYT6vGvbWJUtPJ9pigquKerXfPlQbLK42b93fB5Vpvpg8ksqBiVSXi0c6nXqV69TBfd2Q+nTFyo4cJqKernyhQ1ijYDXKiGFaV4RKmugWnj+dFcgYZsg7da0CJ0z35BruCK2R4RJGExVboy5Yy+pSarGqrQuKn5RLdFV9IFZY1cpqOH7pttjELcVNPfUxuy+JmGjGly00QIn/CtZmJukNlqYHE19kfnDYw/tDfL6WqKJGGWdLtJrWjXlBLAC03xLpbpPpG5k0kWaHMZw0X1YqOPsCcLwCkTKk1WmEsRf2JRMHA1OsnS+o76Dv+jN3ehczmVvHjBVEotOhoAfVn3gNL7+2JXNvVwqOGcyVdLMim61hSoUD5gAwwmhJM6aNZcRQRO8S1ZlDmClACJggs0UIc7lyJSGIICataRIGwoTEjQrekyTYFEFwGz1Q7aY1hw7Q0efoNXjCyko4ETmtB9uoiJa9kHlxmKwOOhqhqNWNuto26JO56Ipq0pUcqh7A0Kbq3D2DE0dHbnBI7NACKCW4wgJsBhkk0aHWEs3bnOVMlqhQEaj3RMEWjEDqGbGBMqaVREflElm7uUOnSLeX6h23bHMVUm8DUAQEe6HsyYaMumhOhikiq9XUFVTtCwYRoEaNHWl6xQQlq5VhMkIasRC4B7Ini4NFLCsmIRI9gaHN1rg7RhCXJsFRXBsiAoAmNoKCG6JmstJHrtvMVSLJKskdYIGAtkSm7O+JFMZpKtUcp3BlSJFqCICUbNoe2Fngtz6kZYUoK2erat4VgZPKmyh1X6xUDGTII6sg5jD2BS78YN6qns1cLMwBRmgs6tktF7C04Q6mwSE59fo8QAQcpiUplLV6Y1hkTRaVTlgXXB09s8r0YpPipaxHspGk2jhmK6Z3j1VdssdOiRyjZp1u26G7Aug00BxbJ0npjtYqKdMRA1uhvlExdHkbx21mIpqJqMktYJDFLZEoh/nE0dTWXGbHdTEVSpm+yJQ9ke72i/dCJq1aoHUUUl6xU00y1Ew2BuAIbIypon6wSlqerbuyjS2BQ6pghvoq20KmbJY7pE7xw9b2Em4EOBhEpvjwupE0n820VfTVtMEUgZOWTbXmQApaCkJdwCN9YmybeTmbg9nYPW0jMoAfw4GARR7C2qYRJQkOhMwlYy54Crl48ZggCaYBemX7deEDpMi1XB4ZQxxOL5US1NWvUtWd/ZGjb1uyVOih0jXqkTESp1LdUd0SfT1tIlZikyRVbuUGxLSpANgoUvxb+MTbTSWylaVArKQasQfo2TqqhUQUMTcAfOB0WX/AGfzAk6WIVM7tVqBUETh/agr8sQpCOlMykDucM/VJGyZmiOuO2VDMax/i7Ynmkh5MrLGUyVSFpL1gsmqUtDKiUMtod30Zu7+4R7vaL90P5g3dG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXyxtfLG18sbXywPvN32Y2vlja+WNr5Y2vlja+WC+8+EPhja+WNr5Y2vlja+WNr5Y2vlja+WNr5Y2vlja+WNr5Y2vlja+WNp5Y2vlja+WNr5Y2vlja+WNr5Y2vlja+WNr5YN3f3CPd7Rfuh/MG7vQdJiYQcuzlbtrONo2/hEw0bmKyrlxLFhoIjU6pBCoYxOXLorxI6LpXVrqUCgazIFBxLgMNGK0vfIg7oVq6Xb0TWNTcOPGFJY1lL98qgUBcAyQtAlXCtRCEtI6qGbLKFIAlJeURGl4DCcqcy141UXKItjOUqArTsv/rSHCDeUTB2Voajpdq2tESHfUa/0hrMU9a4B7ToiTdO0dWoVuCJmcgPpeuyRtLEOiALJ76gAjQeMJyaqx1iS9JcyygB1wEO/GJg6cW0k5arYcHOXEaBhTHGE5atKnzNRYgmb9Mb2QVAOy8YmS7dUxDlamEpyGoIQloho29sqA3KaZPQNsS0wL2mHwhjKkpg71ZZeqbo9xiqWfiMYRrahSaAydJMkkNYd4snQlfsXYm7oSl68sfMzuAEWovG9kFqX3Xwc71lMXQIOlukuUkbZUgtjiIj/AEhutZVcGefVEGqdo6t1bghwTozhuu1JaXaOUrKhQ7Yty+WOyt9VaB0snQgm+wHaP0Q93tF+6EOHei8jI4ErVQ6jpR2UnR6BjZG88E0reCK6qcrKqNv+0PZ3/jDNVp+1Ns+mR1CmmEmWOmCIEHMUlAqBgh9ozKdJXEpZSlumKyzQhdYqse8A6wD1QCJm2Ztgdz6WTI0vFQidxjAIe9p90fCJNr9M3M2JMngNnLR2mTeGdOyF1Oy/0SmROZSDGWrHXocrsqgu7JcRAMofKJfosxnhJWDtNRw+mR6VQQJ9m1daEbofrN9K0tIGBErTFxrCivbpemayAB3DDfTQn7QTLzNVcoHkGrJq6iegogSlsDB21hHQiTTlWVpJS/pb9y3KXW3jQpC2q03xONENIX3S3MncJgR4JAKKyKhbRBMAfF9Gbu9DaVtHqrVOWodIM4ImA+8NcUL7oZzB3NV3yczTFusqokAWTBeXL/6vifygUlNeSYLnMmKQ5TK1AfnEgAiYjZmyFaBh1Rh+znUxftSlVozasG/1gtM1oAvGGrA7VYqrecpgskqmNQ97X8YkJrI0ByraEAw92MTBnOHkxSdiqoRvLGLeyUwfCNoAvrvGsSUszl74pW/u1HbYDFUZqBvpSohE9bpvHD5iWXfw7tw1sHE99S4dYIQfzVNUiDiSIJpqlRMYLYfDdGkLp9o64XTXe2uh5TnJQt4fPf8AhDJpo9N3z5oYR6Wm/bD/AAxaY2xAL90TMhCiIi0NQACGM+0WZgR3L0QMKRf7dMQ65R7RiXTVomfVKyZyN5BuwuH5wxbs2pjKIgmsKFLzgVS0IQ0aSqVqrjaEy6iyBidFu+YY7omCepMBh6Z1bN43jGj87eGeptE5SCC6rKttEwgAgI3Vpuh/N5eEyWKDEUivHqg0UxGyUogA3dsS5MSCWjYLhD6Ie72i/dCJuQhRERlq1AAMeoMBImyQ69aTJgQg3VMBQGnhEr0W0S0aXQm6DpuP1AyYsrJgtmMenZXffWJtM58iuDCcIIqIu0W5lA1pAsiQbIXDSJ1pyeVHI6nM6Ou0bqpCIplPQpROUL9wmhF6+nz6aztcdWV46YLABRHcQLNEwgZ76+mhhFQxuineVQv3WaYRouoVMwlL0m0YC3B1Ykum05lJ3cvbIKoObCOs1BhvIoJd4VifaR6Cszs2q8m1DdUyApAu6vocCj2XXwSTSvRV2TSoCABTdBOC5HP+kFWmFb61hDSufJqGaPZMRq7dIomPqliDW8C30GoxP9OTs1UW8zXRTYFWJZMZNIlm3QcK/Rm7v7hHu9ov3Q/mDd0bbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLG28sbbyxtvLA+93fZjbeWNt5Y23ljbeWNt5YL734Q+GNt5Y23ljbeWNt5Y23ljbeWNt5Y23ljbeWNt5Y23ljbeWNt5Y2vljbeWNt5Y23ljbeWNt5Y23ljbeWNt5Y23lg3d/cI93tF+6H8wbu9CWikhcg3OKGudOhLaFMlaABQ7RhJ5LJ4vM09YAOGzwC1Eu8SmDCCNXZ1TrKBUjdsgKhxDtoG6HekEiVOoZNI4EojUU1KDnLupviRqJNHYdLWKDqrLOWyNQL86390Ky8VXyTZq3KJUAb0KYwgNRP8uyHKkidLEMm2FTpZmgmIl8h3WvlDZN+qqsuuiBypNW4nOYKXmshgEHnaL8vRkq645wpq6YgIbhiXISV06QUWmzYvvW5k9ciJqGpXEIVRbKimoZIwJnLiUaXDATAHJhmJv4Uqnxa4TWK9++HLxw6MMwaidsZTfrbVkB/wA4cS9WdGMohINdY1XW1n+kt8bolnrR+sZJeSAcyJCWzKq3XgAYjCrpmuYoNzCVwVclgyQ/4gHCE2yS65dcayguq2MVJUf8JhxibGmDpTobOXpK6sArZxqIQQFV1iEVCqKx2xrCvyKO8YVZtwWTWRABURcIiQ1BwHuhmqwdGSMeaoJnEm8ojeHoUarLLm1I0cKotjHTSH/EYMIlZWczKVJ2oapQSta4LNbh+GEhnr1yssUxukLlbGOVLrDS2YLghuDpyP8AFAItxTLat3VupDluzMqk4QTqZJyiJTFuuNTeEMnb4rx8dwmJtezl4iA9YdwZYWNLyrhqFLCoLo2BA3Z6R7vaL90IWeybRxd6crc59aQxQIjQMTVGvCE9Lpp7w5JaVdUChS2az+cNXp53KXhlTFF5JEgKVRsmbeBq1EQ+cCZihr37i0Vi3+0YAqIj8gC8YZ6bTBIrl6uzSEqZQsgose4A+V8IJvNIZVNUjGszFu0ApDsh+VB6191/olmi4SBVozXMtbcuLA9Isl+Gg3BCZ9GdKkZemUlFSKsAWtDXG/CH5ZtpIjMJaz9yVRNiVLWL/FSm4P6wjou6kCsvZ+rlVqObAnXEDAAGCyNwQlolIJk1YGBoLp9MXhQEqBK0KAAN1RGF0tJFWrgE1f4OYMxCw6T7aBgIfRm7vQnpQ6SOLFy06M5VIWupMA1KI/KHT+QtSv5kcoGM4bHOYEihSpjX0wuhw8ny5W6TyXIg1cq3F6uYtd26NLJuwTN0V2mp0YbO0olQTB+MaLTMHyfR0n6esWtdUvujBePfE5Eo3erW39DQewABVqqI/wDiGgXb/SQ0rScyxAGzgUSiU1kvWLU2F98TWay1y6fEUfIqLnOgBAcFTELQkAMQpxiSoyZyRycs5bH90GxLawN9n9PR+6Wr/h20yPM/lSyFnzjH7pFJ7iYP0n49lCgNrxAImv8A2ZH/AH4kxzFARLo51flljTJnLyiKpyIWSlxH3cM5WGmjl0J1EgJL0GaesSEKY/ZpGk4CP/Maf/8AaNFCWQp0tKgf9xC//VBP9+Ga66gEIScNxMYw3AFYKyZaSslVVDWU0yOAETDDuWTbTNRisRdXWsRakEylRxLW81Y0UZE11CKGsg4AAOAaobhpC8tmapU16rfwxy9Ze0I0EofFWNEWUxToqkmrUpvh6g3fhDz/AKkD/eGJPIGkwRbOnjcf4lY1CoJ2hqfv7ICXaOP0XCaG0MmpaETDvN8x9I93tF+6ETj/AKsX/wBwY9WMCGMqpJU7BSYjQAG7hEob/s9QR/eEHbfVdGJRyQbQazWjjSlqtqJtpMgjKHSRmRkWguXChTN0bHWAoAWlRH/KGqTlomKLdJqu1BioYVToFGpq9hqdkaPf8FCTXppHgC5NLyUstrPWBb/9r4GaKaYuVGwqGEJcLVMCAA4FtUtXf5Ror/8Ayv8AdCHk2Q29gE2wdqpxsl8RhpJE7xQRDWmHEx8TCP41iW/9nFv/AMkIz7T1FIZa5lQJoKOwq3BYg4H3YDdWNIHGiydjR9Rwl0AChRMVbHvRT/w1/DD6M3d6LIhUBxAYEiLZMgDiBEwCsWFkSHDsOQBiwBQp2UjUdESsfY1YU4QKgJFtCFBNZvGNRqCWPsWApwjVrIEOX7JyAIRZKFADAAj3bZMtRqNlMAv9Gu1RbdKW7N/GAWFItsMD2bw/GBOZEgiIUERLiHZAGKiQBAKAIFwDsgTlTKAmzGALxgVSN0ynHE4ECowYToEG0FDVIHWD5wW0kUbGSpcvd2RrdWW3Slql9I1a6JDl+yctQgFE5egUwYGKgUBCAVUbpmMGBjEARCAMdMoiXKIhhBTC2TqXKOrC7ugDmTKIlyiIXhGt1RbVKCazfSAA8vQGyHVqiW6B6M2TTrjq0wLXh6R7vaL90IFNQgGKIUEpgqAwBEygUAwAoUpBl0mqRTmzHKmACP4xQQqA4gMAkgmUhS5SkLQAgRbNUkxNmFNMC14egqp0SCYmQwlvL3RYXQIoADUAOQBv9ALiiTWAFAUs9YA7KxqnCBFC/ZUIAh4wCaZAKUMClCgB9GbujOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXljOXlgesXD7MZy8sZy8sZy8sZy8sZy8sF65cofDGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGYvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLGcvLBu7+4R7vaL90P5g3d/cI93tF+6H8x//8QALBABAAIBAgQGAwEBAQEBAQAAAQARITFRQWHw8RAwcZGh0SCBscFAUOFgcP/aAAgBAQABPyH/APnmnhjEP7Nv2f3Ox/udj/c7H+52P9zsf7nY/wBzsf7nY/3Ox/udj/c7H+52P9zsf7nY/wBzsf7nY/3Ox/udj/c7H+52P9zsf7nY/wBzsf7nY/3Ox/udj/c7H+52P9zsf7m/7P7mUQ8K6xuzoP8AydD/AOTof/J0P/k6H/ydD/5Oh/8AJ0P/AJOh/wDJ0P8A5Oh/8nQ/+Tof/J0P/k6H/wAnQ/8Ak6H/AMnQ/wDk6H/ydD/5Oh/8nQ/+Tof/ACdD/wCTj/WpSPs+GrwooNV5Mw1Beq4Aaq7Eq0HfzlqDmiBRUvYHRP8ApQAAMrwmHCRI/dj9XBDhBaHmaeGjcVetj/Dw3qIPOx/Hjnxz4Zmfxz458czP4Ch4E+rXhq8NDprMffjFyirGnEw/OewhBA5v/kYVyqZUhOwLNBa8hiUf2o2QuNO6kjnXJ5cgTLwGy46bYS/UMNDLwSzHRluWcolQ0N5qM0wgstCl8sxn6vTguk5N8XLxpCtWTjdAl26xQrILgtxZLHYecINvgoj3GF3vz2+HBd/Q0/ct/Q/uPDh/OMzNKE1a37gPB/ChJojRq3hMlbXa6MA4tcwfBjYVNoazUHOU8iyqYFpayvWLHNNgYTXQPa5xgGm8P5wA/c0IFSGDRKrMezAc0dDAV5odXSFpLKuBTarLeFNYN7IK1dMC8I1MsxdRUoK6DQN8JZOzgtaKG6mioIZemrr6hf6YoauNhfLQ3m7o0lNQECLUHVKwbsrfnDbAlZWbwbwjVh1GqsvnXdZqLI4mkrRAvW801Frnah8CDinHWI8m6t1P3eqIssLVF2d/ArTdE4f65vaMaAahWZ8NXhodNYeKeDR4IZDgke0yElxQ4DjWGLTpl7gmu96ByYZeYMMnuUArxFh0hae1qZNLf1zQOrG6xUiLlghuxivAjbdprT5pw0VXGemT/g86VrK1p0g2BwqVrmsqMdOOYmPS+S3TAGCmM2170d1ZTS+UtzC/Z4rBktwbQCCgUCgbeeiLJ7OwcxzFH0RAbdZe5rWstKQblqvEGNg8H8KFmv47OS+JEdUzEukghzTmoMkGK9oQUC2zaCQyx4NoMf3Oko/7Y7AFG0yrjBQyU0O65s0fWaPWSiCqDtrnMYcDtJwH6DSDZ9VKnVzzNZbSiOc6KcONukdtbcC30Ew2XAmUpQTqjcEnEd9cLBuxWgOoxTyCIsYywMiplbYDJlYwO6pxyLOwDZmc/wCODUdfiqayzHcra02hxUcUY1XUUM1XTYEsKcUIWq4VlwMoBQ2PBcBA1Gr+Toezw1eFgTSrQPw666pyQNH31/8An8+uuuuuuC627P8Ak6666666666666666666wFxlcAcP74CNnrWpdle77/8AA666666666666666BfKcxtfBCGGAAGgBh+DrrtSWqbmg5K/B112rBCuX7F8NX/4TV59CUrQztFFIesAHYeV0F6rtKZelJ2Dq9IiqFev4cPlmt6C9V4ERGALVdCabRZ9MmH8aausSlLDTXwRGk8QVoPBE1K/8fAlU/sbQXxRdR1C7HXKCMUljTLHh4oLG6Glq9EfBryyCYKalcXMo7+CnhnW3CghtUEmVpPurj6cNWZSefGpvHpp1ybTOotFLq65CVleY3BltlKyX1xRGIHmBqOJwxgpiYIFl2VsKRo6QY8t/yrhumuLu6uOcwgZzcGwC11Hu0BI1QtFHDPHEdpkkQaQArMwHJDmMh83g1x9JoEVUCARAL3zrFDWklNQJeuoRMkSM5xDwoS4ZgV6cWnlDpXL1rQCs+NKxNWDZpYaai6YVpcQ5Q1zg8CGVLpgc+BAxQsgcxboqAtOvFjytHBGgAl7K+tUwKEZ1w2bTBc+PqrUS0oGf/FY0akPmsBzdLqiZHr1JeSlGQOMRAVHVOwAoqhbUjFqFN6KvRuFbSJGiHgf2NpmbJitZaOZWpM8QrWhazfjowS3PnBc4rCLbTVE1hN7bsDaKy8GkTizhx1ci8tAW2jKiBglFXWLlBePpZG3gOCuMQrCrZaAs6lXB8WwLs5e2WM3Mg1QqA/WGV85c7mIxyjVyFt6tdCXE/gvCW9H7gKBKb4LU5qHgFYJlNFamniKpIQZVBGxpGkiG6gaCq6U4oivYEC3lZ158NIm16whYEqtZzxmuOiDu7IsImvKa4P41IxDXJyMYmt8/8E7zAKL/AP0FiqAyzvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk75O5TvU75O+Tvk71O5Tvk75O+Tvk75O+Tvk75O+Tvk75O+Tvk7lO+Tvk75O+Tvk75O+Tvkof7T5WW/+B81E09PwZ0bbzk29T+nlHyvnBn4aeIvRa1yGIidtbukjJvHBlO3hTt/wVoen4M6Nt4mWobt0/S3FqsxdRP4djbTkOcmMMpmnG5deteP56nqf08o+V80giSH8keYv9RMLC1nxtAb9JcUDsp2PTTPzHwhqQsN+Gml6Yh2XWBLo9QG0BNqSB6zmCwHpX74DlI76G8cNebWh6fgzo23joesOUJL0Rmom0aJt+4EztjuEFlqqqPdG5Rh9zeBMEo0ZK6jw48prDmGlRtGlR4vCD6WHs2208FFDT8NT1P6eUfK+cF6AFGay+KIjoBQUni6xMNeUs1XRUWvUcuy26gHzFSsU/YaVCec6RkOfe0NYWHEalIpa91sSrqLBcUJfRv51aHp+DOjbeJhuO1qmqXqVhdEo+WAdFauI8c63MvXIFirvMubAtanC0e+ltHg3dYZlV8FYI+aVHO1JGTdNVOD95mnzsFcFIrrwz+Gp6n9PKPlf/CEIQhCEIQhCEIQhCEIQhCEIQhCEIcUH4AQhMEEX6h5whCEIQhCEIQnutY+R5QhCEIQhIPlf/B5znOc5znOc5znOc5znOc5znOc5zmEcJTbX4Oc4zmZ+hcvO5znOc5znOc5Ybhw5nk85znOc4U5g+V8BOxE7KC4DKYhtel7Og1oc/HWWotOogobmTPjpdyKLVgD9+KG+pWzxl8nNDypQ5xs3I4qMPgYX1LrY/TYP34fKR/4OlQL4iedVocOcuLBDgpd+0wNO8DoUaeCUyN66Lt6nl/LzQ9PBPjQGMhTa0frwZ17aPgySgrVyCJfyDKLMuFTUT+B1rXD9Llb4KyO4La56SqGhL7QqEydbGpqn0vwZUHOX2gRE9AWrwlj27Wi01+xhu35gy6uL8RlHFUFav1NEShJrE/U1vU/p5XyvhmZzS1ehhFw0XKZxIiZFVXILbd7iCh4NKCAWB+8S3Icko0KvrZQdWYEs85kJAONC9YKNNVSIBwvL9xqh67iAwAMwxdkOAwS8hrBymhvoEQlCFGkArf0Rotim7pmjc5Z7p4BU80GNdMih2xwVzHeoQbfSzQydGkazqb9TRvrGr4RVOBPfZyz1cXGoGg7HqYf00xGKq1oXnzWDVs809aq5K4NW5mlIEcrXh3d3VyuO+MyEpYqUrXjcD10HXf6AvWaPTc55q1XWcVeIhpCOpzeR8vND0mXPivYnSehlGA2fCgpuv2wVM2AGywt9MRnXto+4FTQKzGzRlCqNqQqX6UNkGencTnW7mY88R9ACtvsY0YGuDBxZcbqAIza7AwLCqLsR7+pJJcu+zeYhgJAwt042hDxxKLPmBi0niCNF9oOJ2sZGGAntK4URv7jWygnxeHbW9T+nlfK+A57LVTA6ViBPwCOwVxhzvX0yGDNOjiZsoVKNsKijRySeFbcCDJi6MyhRbQyIvjiHFK8G48Ebn0+/CAxLRK6gdcdCVA+6EhQLg7a3jdBYoYm1+YGlVVWm3aW/+XB45tGMmqCe1pqyPo1jkYQ5i0a5h5J3cAVBxZ47eGtprmxW7OL9sVErUaQDTwxcaOaFWtAaFBdS1pCtUslYLd5g4kBg39E1BdRPVr7OotpVHk/LzQ9JfAd8IUU5ayk04MS+uo0+8wKmGeC4zr20GLpqoAq4Zh8nw7gMrTOSaaaSOJVTBalpNFwb5oi55o1B1FeAbSaFADkc4O7incXTS1oC5iq0yZvi1ItoVXGAeQK8LKlHzS2QlNjPGD0TapQUzaM4jY5yE6Q4NiH0mqlOxfpNb1P6eV8rOyZ2DOwZ2DAgNSxBskLeaH7lUudgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgzsGME1XDKD+GdgzsGdgxp/wwAvonadgzsGdgzsGdgzsGdgzsGdgzsGdgzsGdgxDDVOHcnYM7BnYM7BnYM7BnYM7BhVn2M+VnL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2nL+05f2mh+rhKbHTacv7Tl/acv7Rr0+00v8AS5Tl/acv7Tl/acv7Tl/acv7Tl/acv7Tl/acv7Tl/acv7Tl/aX2Opw5k5f2nL+05f2nL+05f2nL+05f2nL+0oaPafK+FaCS10LcDaYms+sc9L2OfkIHODJLrKcQDrUl5IUi1asLHqeSCJQFszOJpR3zAVoi2UAOAK2agPfyPl5oen4M69tFOZVQVq/UFLWzalM9Cs5ln5UaumtkD9zAk7bqe9C1z0g6tqvmrezbWV34DJV4snhe27Oz2gQDgo9ygZXoRTkr5yg5XqQb7HtDSzyuazpg5VZJwYNXZZZoWrjNb1P6eUfK+GED/OHTTG09oYM+AnrEGZwWNGQWEM0E8CEUsWZJnA3K4axjhW0qJKsaikLrdAVxZiYYa23+FOuHcADKjt6rJELDKbbJWG8YXdkd3olZSa1PHwGvx6pQ0IuK3XlB7S5A1NKWia1mXWiC1UpV4Wy1l5JWCA5KBFVWWX6GYqkPBse5Yvwe0qlGTzStwZYX08DAa4q4xsTpGiM6BXvcxBf5Ta1gRjCyY7Kfa9GtBQZygfnw02BCziD/V0aK0DUjxLbCEshQ3p+fy80PT8Gde2iZNzSAtgLNIrZ8CHZXBen7iG08XsSg0HeZ5z9r1zios28M6hDWEQM2zDDUaXvct1+C8IToVW08pXYBpZC/AWcHhGbAYBhsKGdI4kctouCuAsFxudjF7eMrwIgmt7sUZcsQpZVZihgm1jRzUP3DzcLGD01IuV6s1vU/p5R8r4JljDupDpWIOWLu7RWjENNo5FKxKY2xAx5I2AgbFBwiLHpKXkRipCtUoFr1cRiCxSSHfEpvaiCjZE8HmRXd8RqzjDN0DUWQTjm8xLRyiNuuK3JDvMUnhxuYXzhM2h6UYpxS92ky7uAouNcxaBb3A6hhyIxiatNh2yeCglTpHVaDUeJMGNPFbOKN7jrQw9cNpNJKF7zwYbJcR6S8T0ynbKyyGuDMBh4kmcvsaTKLXnQ+LXSOKe5ggp0xX5/LzQ9PwZ17aI6qsogQahcUmy3QardgZWD3xkKBVBaFpGuEku1kTJK1s2mnGRgCqr1zwRqUMCyTYWtLcFFxW2ra5lGzhWixgquMuHa0II6OBRMxX8vLQlhGU2bgvidcOJLAtC2o8oli5gNNGvg1vU/p5R8rLN5ZvLN5ZvLN5ZvLN4eCVJWbtSzeWbyzeWbyzeWbyzeWbwYA0q33hIbUCglm8s3lm8s3lm8s3lm8s3lm8s3lm8s3lm8s3iNfjiKZ4SzeWbyzeKbxmv2pZvLN5ZvLN5ZvLN5ZvLN5ZvLN5ZvLN5ZvEWzxP6SzeWbyzeWbyzeWbyzeWbxHFPlZ2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2Z2zO2YRTWcMpP4Z2zO2Z2zH/AOZiFfSO07ZnbM7ZnbM7ZnbM7ZnbM7ZnbM7ZnbM7ZgGmscO5O2Z2zO2Z2zO2Z2zO2Z2zKP8Ahnyvho/hYXtzeUwTZfUKhPZ5Dj64QuFqTPM00XmUn78HAzfV9RqQ38Sb22Lq5KrFn/B8vND0/BnXtonmtABqrwIHiQ5XIhhHeaJsbhu9mjLWktCaL9gszPSoWkBOrhmGsE+JoAuvgF0owxaF1BnExdqAVdFltQ+KilF0yqikxaW7bfQnGR+DOuUuAHK9BkE2ma3qf08o+V8DxitgxJYUHzE9XwmJVmC0RWY9zlcqjS8rYcssx6ZLdlyZ8Yl2P6XrFOQepLz+YhcMtyZs1IMAO6sK1rdAl39klur+w+ukFTW0C5zsxR+oCyEFaZV5giAalVx8rBCrjXfp+jz9RwqrhnHg9TSOBG6rjAOpyL3kXJKjF/SizNszNFQldYN+7wPb6zHafRri1pK8/wCXmh6fgzr20ZH7huLCYYrIwwrfHP4QOKuA3Yi021o6es/4x3BpPB9WyBi+SXBDowAR1MG6B9kJ9YYFXdfwjYxH1Baq1FnpLmO2JDhJvq2wbs26Ewy6lA1g3PJaRqscdE2+gOWo5A5ehWkQXVxY+G0FWOMzYDSriCjuyXNb1P6eUfK+D+gJZUkZq8jwl6cLV+aMy5oNajOYseqGqrDnM2gpHMk1zmmpiW+vIqOZIXlqxrVJmiqlU4GBKDwVVVEMCqKmssu/WDbABltfDwPTEqogagp945A17LI0wqlm4bArTt4L2kxd/qcRsmYkZGlv8lU3hGDAoOlOUmPPTptLQXmmoXlne0VCqrDxxiPCsrroCqNd/P8Al5oen4M69tGvxqCQsChbtCF9ahNMBZyhZJedg82incjgan+spL1EZrWLamTUDVoAF66vKPgPiIogXHEQCv0HfvMMcnKOiIedpBsKC5kWS7SrjEc0hUiWbiq3gGkuxqOVu9PjhGloPgzUrmR4FXNzmnJ/GGq1Zrep/Tyj5WWbyzeWbyzeWbwIOUR+gwytTSvrdWWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbyzeWbxGvxRFM8JZvLN5ZvFN4zX7Us3lm8s3lm8s3lm8s3lm8s3lm8s3lm8s3jLZ4n9JZvLN5ZvLN5ZvLN5ZvLN4jinys7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7Vnas7VnasKpquGUn8s7Vnas7Vj/wDKxKvonadqztWdqztWdqztWdqztWdqztWdqztWdqwLTVOHcnas7Vnas7Vnas7Vnas7Vn0xnyvgiKrzTwjUhvAITA2t7V5eGYiVSD58QTdWF+jfwPAoJLUHC/Cz1FsD1q2YJV7fg9F/yfLzQ9PwZ17aKCwA1WW2nYOFb3BbwpCr7w8eWhG3vBEscOjFitIyPU8FijVQ+jeCUpoGV/cXTlpvswatBqD8dY/O9Tj3YfOFosSa3qf08o+V8NRN+68NWucpoXgGmEBeUItgnZZSOCrWMwMYLmMdBm1fvDTpKkVHaRvOAGjHuXecmjV0XTjcriPGBmEXgiojwmsOd+Exakw+cQnDooUNQfqooiZltlbmnnLGbW8hBfZGk74GucC1j9yhXAOOImK6lJVivGNtG6XqxY5vhBwC7QBfe2VVKu5f/wDgQs1pAbjtLzQuAwole9rLd3B7IUxHBSpdXeVqrWlfHAN4fBZYSXVjnhenG5cwQNb/AGOHAy4eX8vND0/BnXtoJLOFpSRwKvLMMauOoUBrrKOQr0D1kFupKCdHVmm7knBWZSKOduOTNmwIQI8dOGoEQl1RiKU5VxoM7sp9onkIDzeNatSXUKFKSxVazemkArgCMaMnyFTEcR0DO3s6s3VRxZ9tm4NUVDvAfq+s+RziUes1vU/p5R8r4aEg+tIK10GWg14lZnLX0I0NOOQeUtgOJq62Q0jFg5mD7JMtlg4Z3h3+srOS63VrL38qIsGh11lZ0LZ4JQsMNrl0hnmzZQ01gmnWxZlsaekEBSyKGYav6lzQmbDvAvSVV5YjpHAJdvEyuKTNLlsKg2y7jVmTAU3rUFFTuLnx1btB2O/RAWOWtbnDIrnELUKA1zawcKqq55hwnHKiNTkut1aw7VEkshXHL5fy80PT8Gde2g9HDNLFuDLMbXCEP1Esp5MxAUMhFpW8hcNXn9M5lHaZu4IElJNA7wAt3YDcgtU4jORxiYzATuMDJttvNzMrAE1DFzZ2mRaZsrfHgKcJG10sSFEAV0GMRg+pSVG3TRxcvMCi+N1obsd56ddq+yybTGm01vU/p5R8rKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtKdpTtA6fHA0xwlO0p2lO0R2hdPtSnaU7SnaU7SnaU7SnaU7SnaU7SnaU7SnaFtjif0lO0p2lO0p2lO0p2lO0p2mifKzpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNTpqdNQ6nE4pRf7TpqdNTpqPdoouriNp01Omp01Omp01Omp01Omp01Omp01Omp01BtOI4tydNTpqdNTpqdNTpqdNTpqU/tnyvgFtEQU4qDV6g+FL4L5VeoeS1qaVvmRIyRDWNcDeGJtcFFiO3kG0kG8GjTMlP6QhYOFp7+OVZ1VHufj8vND0/BnXtoiMBqrpBz6oBw3vaAGdS5X0hQKqDVYOOLBBuJF03T/eqceDUYoEfRvBrUoFXbKPBXQ/aLQRQGo/tXMhjqufdh42u2E5M1vU/p5R8r4fImWWWsJzNZJjITPu3jwcMzDPVY7AV4W3E5co+XHZlwBF/qlqsXFoTearhjeWja0Z05y/eJkuptrXGjix6pxAbRZasiLBe2WQu8DsukuRrHV4M25/yZyPAY3UODrEFkHiVmcLilLYyeoLl2P0XHlOWjhxhCXfO2qLXK4GraLd3HSfqVDHfR5LMFuBdR85Zgg0h0rKu6zLAjO8xdjcxU5GlDiJMHFzAmYlCHgnB1llJZx1HA5xX45EFdfpzssXLKcEZmz5Ku5e2gOsc2VTY3nMIYWgfVLtXGL1ZSaEmCqULRaJnhn8Pl5oen4M69tCfoiwXEsCvVCKSCpwBx1mCyUbxgZbjSkt++fQYHfKDhZ2lf2dadKirYL5ylrEtDZjfgOGYxXBWLGhkKbKxUHtYHDhcnTVsK8OdUbgaFqUroQ00sIYMBdi7BKnzB+pCHBSm6gQl1SSpc3A4EwuSDc9sspoE1vU/p5R8r4KujancFkuIZxLaWETm6BgIHIqYNsUAAYNYYv+ol1mDX9THsgd83NHDjMqPyitdtQGtUwXylSlAJTTPgSa0W9DUK1dWSV0HEP7UKslnbZMbKcFurMbZo+jVCyitNKj4btOYyhBiI/SCLY4uCMQPqK4K9NeMEaQoBbrU6PrASUZrRJE4jWKe2lKU6rwy7x78OK4lDucIXwnWTC4OBi+cFiGS5YFi5S9o7U2sVxhdxKllgG1uQELlymq+1A1Ti04xCCY5bDlxoQ8n4LenYg4EXaOxGTjhHBin7g3hktpFYEtL92eH0hYbDjh+Hy80PT8Gde2g/3tEMW4MurMTvGBfqCynlBCbxrIAaTVguDjFCfHZGAzwjyQ4C3SUy1hgl7XCWhtUt5j1jADcUcjbbebZn7uwoFU3ltCGWbbJBeswkcrK7TUMB3gxiF7gBkVonRxauXm469K5sNHGiIv+dvc0LRy0xjWa3qf08o+V/7MkQGTcBkfSJAwsFGmQv4fLzQ9PwZ17bztb1P6eUfKzvWd7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7zved7wi8RxSkf2Tved7zveP8A9rEL+4bTved7zved7zved7zved7zved7zved7zveAbxji3J3vO953vO953vO953vO95Q/wB8+V8a86kQWamPwSlDk493x0ePInonjneIVhboW/iiMIYodFNQ8/5eaHp+DOvbeFN4Z2iJbEvTPoREaSU1deAKWGmsBVC4jSHrOI63qI0z6ERGkmt6n9PKPlfD5ExveN/Qb4sr28DVgwP6JgMgk6rTTDxLqYjaQMt2zc4VLAnzOcBoSk9ZTtgTa91cljxhCs4RM57kOUpuroBwhLey7wxRlU5qV+4pqxUGyD+1L0EZatljqN3dmCKnNqYgraZcaItKlB/tILISummsbM3iLKhqCU+f8vND0/BnXtoj0bBFpltCWGVV1BVVKVlbSX8tvJVidNEom5/DSxkUTNDvcs322Cyhu9Xs0ka54oWsoG7jeZaJtVfgXctsdJE1rcTWKOUxs6rb2ltQahqVHrNMhomxdMl8Yx3QU1HrU6HuiNGskFnlFVaFt0UbKnmsLPGa3qf08o+V8AvynMzJTgNkvdNQ+ReLsl5LgCYGgHDbkwrDG2G7cFacQBupUBCcp+KFheOIXwDgHBoLSUDrHFneRdhqhGcpxtUF34xZ6xDL54oNQK5tU6645Gi8SwFxsl8dYBjNpYKUBf21M67xX020WtKHzmJQ0ynf68pxIu80bDYl8JYaxfeoLbwz5/y80PT8Gde2lRtebBBe6zQUE6aeTg/tEUmpbejKqllLhgMJM1sIBleEhi6hMgDFNkb8o1bLyxApvDjMzdnnAS7dFfrM2yBMEXtvLtKibhSYauUwpYw40n972COAsxL5dXSGpGOU8YfT6ywLEI1LEZdhYhZ+4Yq0xpNb1P6eUfK/+D8vND0/BnXtvO1vU/p5R8rO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nO853nCLx2rlJs753nO853nH/7OIX0x7TvOd5zvOd5zvOd5zvOd5zvOd5zvOd5zvOAbxzV7k7znec7znec7znec7znecof6p8r40mp5FP8AzfLzQ9PwZ17bxp2lO3la3qf08o+V8LeB3hhrOBWos6+7fi2KaMyUtPPRrRwOMaqQ00xOBmLGtw93wKZXXBcQoLFvtYFVoWWjtCnEuo1LiuES2ayDsXRftOQQNRZ/XgS74kABo4XfiZW6Ckz6OPWZR2eQrDHGjvAuOUplYMONq20ZWrRaUYgQHgaTFy7tOjG5jLvNWz34BEo03yg/4Pl5oen4M69tDkzpJW26F6jMrlYEOgLVeGYjgXSsFxqNlUGVNVJRmLAtftS9tg6NvWa7vKM7+BXsbQcQ38GZJiHjSTF4FlzIsIYY9SjKw5I5FNbXXJDqp61CHBBYVcE3XJhMGtdrAZKrVEWlrdwjY1u9E1vU/p5R8r4WWQeLYmdSvHOVg9dAmEv1W1XMR8Px1biQ68GK43cF4NtYD0uXWmKCbnXZRB+YmApbbjVylVvsMemo7HOIMdC4/UqsNwLoIcXWvrHIcvoVYNKf2JWGTqJUYf7EKesNUO1abYea9IeKgoZ9NyS+4PNJ44qavaOrIGgHDhVgcpmZllcKxxPL/g+Xmh6fgzr20cG5VQABqwfOSGSHVV0meMQChhC5wvcYmowwbYisZhXEt5QeBWrHaUN6JU28Q1cCsS1e1VAmTHlRVHDSZPiMamqMW5yqCVf2d0gayXiIhA4vu6HA3lgU41tbb04L5QaN5UPBkgGtGc5IK6jHXxHqmt6n9PKPlfFTKoIyM11imFTmaaQN+LTOyWidgQeumgAoJbVXLTAzJdveW7yzqy3S5gq1S2qv/g+Xmh6fgzr20FGxmuWLlK95aFD4W1ljbwtChgo2Mscl7+EAGxXFVtZrep/Tyj5WdX9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0hl4rb6lJs9NJ0f0nR/SdH9I9G/yKX2Tb0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SdH9J0f0nR/SCe6bbnKdX9J0f0nR/SdH9J0f0nR/SdH9J0f0lDrfE+V/4ddP8Ag+Xmh6fgzr23jz3tAXQ8ETU/BE1JTV1AXQ8Nb1P6eUfK+HyIBZdrVUL0N3hNALt6bwTWo7lBWFmjqBtNOa9b1DYAcWX/AMnaVehQgoqh5B4TdjjtKVbODYtoU3cq3uJoFNTDExinQa1eVS2RLVWgYmOrOBCUMBvCgxKUCGEc45MGVjVaMLrqrwEHL4pDFB3qoKcR64JXOR5g5yjaPv126kzXOIsePAWr0rU6UXLBNKJUcwhhnw4AthiiXYeXvHvDkg0W5LHGIbE4Bxjiz4zmQU4ZKxnSEXqlIqQ5DZ7xTQeOm01GZqHhMUmoUbiP4/LzQ9PwZ17aVkArBWwU0urTNSj1qcmC1NhnWW1PNeGBOTYFLjEnj5ex1JqtMDyJQWGqDx9aLCvzNCcMlGPMldtW8PQDS6WDRi8ZFy/w8FkVQhlWOSCebdrDFjSkpia5Fb4sLnGtWLiUCE20q4NZxrCV3GxMOocY8tzW9T+nlHyvgVpFcG+HDBtiUA8+c24DcVvKzFfkg3NFWukMXdsFYDrfDeVcd3MMBjbQzpAGIbRVVKOBcolVDZWMGN23f7llapWxVuQ45R02nS4DQUuKYiCrQhwcXSH3vNwYAWsJfVt6AKQbG0UoiI9F9BrisAdq4MyTN2YvKOKg3bmGDeL4vuayY3iVUQMEhDeizRmF3NlGoBYIr9tQ6tKO1jsXXCDixoLzTgGzCjpT2FDiKHDjUuzcqXihh1xrLVWdDuFzkgp36gQk02dEB2LgUhtGjgE1XQvVTWHE0RqvS7geTDpnnf4/LzQ9PwZ17aJaaA+ADKrwlbtRZ2FwTROTM50u3XOWnmQ/QPc8Ju4qw3BwmhtyqbCnOiNEoUSmVxAo4JUuJhYZMdLGBwqpo/NoCUircL1h1d1n140FCGagB28H2UrCHExX/KAi79JSjLhF7EBrbDWmNjbMAM2vgtZ5OLW5rep/Tyj5X/wfl5oen4M69t52t6n9PKPlZ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJ0BOgJmPG4JQcmydAToCdAR7BMB/UbToCdAToCdAToCdAToCdAToCdAToCdAToCWN8Zwbk6AnQE6AnQE6AnQE6AnQEo/RPlfFE1Jrp5euDw018aTXzfl5oen4M69t52t6n9PKPlfB3J0qD1TmWf1OAxW2DVlWwt2hwXl6PEZoNjZYVdtkMWauFC4a03JBdoLrNFxV1oBrICqdePJhvVZnybCRrNBRAVjQhYQXRrRgcZlejGDhrdVGDVAvVM4jTRK3sHwItrVcpVZ1i0atCrDFLagaN8Jxk3lQbnA4NMdDoBO4mkyRfmaV/Moy4OVNq4iscOZKKzFhfRZ95tKaGxsLXFms00y6W02HgxwcLUQhU7AfUwYpu2icCG0pspaI1qNRFVQhdAoLReaxh8r5eaHp+DOvbQ//AIxvPHFWgVpFaH9ZUVbmi5lLCjTDVrhtuuEQ4CKbYQFFoM25JakhjWbOKLXha4YlHYSjauISjVodZbWv3OD60wjIFd2zfGaNvEoFcY6LpszTKKx1kwacTNVMkOMqpWgfsLqXPI6NuYA6lp0nAfEQbgoGU3P3rep/Tyj5XwZ2qrrUK2aF5RMI/fXtKrhbB5BcyrFigm0LKlh2pkdiH4Q5HR2qjZVlSyrDD0zs4EbMQIPpCbjaW7gkzRoGKUEbAKIQ4FhdWFR/SMgxRwQKzXGUN6DpFVVGM0iKH29hp1umsrGZ2AwtlVMwUbFsuNpTQoNJDuzKXxlSqBIt4rS2K5TEgaJHReKGkpwuWAebzX0EzyCyCPDlOPQwGArdVE0JW1zgCf0imy3UTXh5Xy80PT8Gde2g627ZWkES8yFgLlXCUxQC0a6CqHCgW5UFp4igE4NxvMjpSw0BBrSE4g0Q3XnqrA3ZnwqlOEvBeKJl1hBw9XhGFmb5omLaS6aadoC/J99xg6hcmUbWjc4bUVmOECdt5plRGqVrHXKjz/5g6S84Zrep/Tyj5Xyb/wCj5eaHp+DOvbeN+Rfjrep/Tyj5Wc57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57Zzntma3y4JSf4TnPbOc9s5z2x3nsmC14FNpzntnOe2c57ZzntnOe2c57ZzntnOe2c57ZzntnOe2c57Zzntlnd8nBuTnPZOc9s5z2znPbOc9s5z2znPbOc9sp/RPlf/AAfl5oen4M69t52t6n9PKPlfB8vjuRAxdx4VKzGmk6MLGzrG/fNd115zRbFDcKqzQjVpKMNlb/ZgQVzS3ZGpityYZ8Y2OSlj9ziz4ygLQXzmJBsBsDWoshtCUyheHZNPi63I6mQqSqP3UzJY1WqvQ/mHE3I8/uESM3pCNcDmZNDjLVcJpuJXqx/xBTC3kGYEY5C8CqNBmCF2s3ai6NijcpygNXS4X62z4S6qtYpb0svi8mOs9E49ZEqCzVAnBnoruABjxhVBo1KgRhTTBqK2UGtx2mry6yYUH75mgvWVRXK5mDvSivB8fl5oen4M69tNTYWt2OQa0HSCBUBXQ0HC1X7mQ/vpJsSUUGcyqJB+M8iynKFSOGvKRotHpeooMNlBkAPgD35mrDMbs20xteUtewUaKKhC9uqOQ03orxXKOwxmUgkrpK1bzwmd1A5ugW6axAGYy4HHIzDTTNb1P6eUfK+CjwEeIkZtaXFRVKHwFOGFXmYS4OuezSqrjU1VrKDpTZ90XvIM3jdisPWFdU4jqRqlG5xXJKow5uCmp8Djc2HCV6FM1rRxjnyNrinMOCnwXgr3zPir7w42/OD5bMjb4X7S9QNPuTjZD42UOaDCNmlpopQmuXY4wFuVasXVRwGNUp1muUmYeCaq7VLhZlV0A4sygnd2cHDSIUx9iBAwE0ojFFa3GKDkY0qWNAa53HqCH6lJzgVnPNYuvAN5iFgPnCxxLfH5eaHp+DOvbTF2HlUemen5oNbULh2fiI2l5edVyzZZW80IGLQRJChTlVtIfAtA7lwzemNWAbJnE4OCw3duqIouJRiibgPxj6DEwoGqfqytRld93LXInzcDrsdHWKWWGx8G6YNMtGk1vU/p5R8r4IhAoFiTQgXh6gMzO87CN+jCkIlBwrapthbNf3wqCyiEKDQXiQCga1wn9Kgok0AP0lQgMFAoDYlluwBuwa8/AFg1ZTc24q5RAMaY1bGolQM/V3DxOUqLj6OweBylD5qEp0t4zSzDb1kLYgh3LORhk5M1ES6DbTsTTbllfoXrUydq6d29MVlNsBuIYnx9D0lLIgxrHV7jwit+rWetWP1NIoSvoPCZORxq2y9uUPd0BwdizEGH24CmfH5eaHp+DOvbSjFJINkdYME6rA2A0nyY3+wWxEYFAsSDZahC7AYI81bbvXTPhYQanbNban6hoRQyDRp485rliiizjyDVXKIndtYv1hAITRINgNJrep/Tyj5Wd0fc7g+53B9zuD7ncH3O4Pudwfc7g+53B9zuD7ncH3O4Pudwfc7g+53B9zuD7ncH3O4Pudwfc7g+53B9zuD7ncH3O4Pudwfc7g+53B9zuD7ncH3O4Pudwfc7g+5mOs4vuUX9H3O4Pudwfc7g+53R9zAaMGW3rO4Pudwfc7g+53B9zuD7ncH3O4Pudwfc7g+53B9zuD7ncH3O4PuWN6xxbnOd0fc7g+53B9zuD7ncH3O4Pudwfc7g+5R/1fc+V/8AB+Xmh6fgzr23na3qf08o+V/8H5eaHp+DOvbedrep/Tyj/9oADAMBAAIAAwAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACQCSSSSSSSSSSSSSSAASSSSSSSSSSSSQCQAAAAAAAAAAAAASIAQSSSSSSSSSSSQAQQAQQQAQAAAAAADGiACCSSCQCAQAQCACCSCACASAAAAAAAZQQASSSCSQASCSASASACQAAAAAAAAAAAACCSSSSSSSQCSCSQCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAG2KgC2AAWigQUAAAAAAAAAAAAAAAACAAIatHLcbePrPiNgAAAAAAAAAAAAAAAQAeBmLRMcRx0QqxwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQSSSSSSSSSSSSSSSSCSQSSSSSSSSSSSSAAAAAAASQQAAAAAAAQACASCQAAAAAAAAAAAAAASQSCQAAAAACAAQAACQQAAAAAAAAAAAAACASQAAAAAAQACASSSCAAAAAAAAAAAAAAAAAAAAAAAQACAAAAAAAAAAACCSSSSSSSSSSSSSSSSSSCSSSSSSQSSSSAD4AQDjUYdGBgAAAASCACQSQQSAAAAAAAex4dEnoEK+UtAAACSQAACCAQCAAAAAADu/xDE7GUS/BAAAASSAACCQSCAAAAAAAADIAAAAAAAAAAAACQASAAAAAAAAAAAAAAAAAAAAAAAAAAAASACAAAAAAAQAAACAXgAAAhgAAATzAAACQAAQCACQAAAAAAAAkVUsuznwRUBUAAASAAACAQAACAAAAAAdl1eERcIz4cFYAACQAASASCSQAAAAAASSSQySSSPSSSSSSSASSSSSSSSSSSSSSAAAAAAAAAAAAAAAACQASAAAAAAAAAAAQAcAAABggAAAAAAAASAAAACACAAAAAAAAVSD5BFvnQAAAAAACQAASQACQQQAAAAAAbx98NNWNgAAAAAASAACSQCAAAAAAAACSSHySSSSSSSSSSSQCSSSSSSSSSSSSSQAAAAAAAAAAAAAAAASAAQAAAAAAAAAAAAEjAYECgAAAAAAAACQAAQQAAAAAAAAAAAorphl2R5mJgAAAASAAACCCSAAAAAAAASIO6KRR/iNsAAAACQAASSSCQAAAAAAASSSSSSSSSSSSSSSSSSQSSSSSSSCSSSQCSSSSSSSSSSSSSSSQSSCSSSSSSSSSSSACcEAAACagAAD0DAASAAAQSAQSCAAAAAAanpnvDk4nuQIvKgCQAACQCCSCQAAAAADI51qKGDCJ+kP7oASAACQQCACQAAAAAAAAAAAAAAAAAAASgCQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQACAAAAAAAAAAAAAAgCAAAAAUAAAAAACQAACASCQQQAAAAADIWiHYhlLAAAAAAASAACCQSCQSAAAAAAa4FQQ/6OAAAAAAACQAAQSSSQCQAAAAAAAAAAAAAAAAAAAAASAAAAAAAAAAAAAACSSSSSSSSSSSSSSSQCSASSSSSSQSSSSAAQAAAQAAAAAAAAAASAAACQAAAAAAAAAABPRquq1hgAAAAAACQAAQQCASSAAAAAAAEY+O2360AAAAAAASAAAQSACSAAAAAAABQKdyKSCAAAAAAACQAASCCCQQQAAAAAAAAAAAAAAAAAAAAAQACAAAAAAAAAAAAAAAAAAAACAAAAAAACQAAACCACSAAAAAADLM14PcBTV2t4ZgASAACQQAQSAAAAAAAO0KlCMB03pz7SoACQAAASCCQAAAAAAAAAAAAAAAAAAAAAAASAAAAAAAAAAAAAACAAAAAAAAAAAAAAACAAQAAAAAAAQAAAQASAAAAAQQCAAAAAASAAAAAAAAAAAAAAATxgHlX4QtE0gAAACQAACQQACQQAAAAAAE5poZzbLczoAAAASAAAQAQSAAAAAAAAAAACAAAACAAAAAACQAAAQAAAQAAAAAAAAAAAAAAAAAAAAAAQACAAAAAAACAAAAAAAAAAAAAAAAAAAACQAAAAAAAAAAAAAABhXAvE15bSb6exWASAAASCQCSQAAAAAAVSn2fjlCEx3xaq8CQAAACSQSAAAAAAACc782MWk4mqo56LgSAACQAQACAAAAAACSSSSSSSSSSSSSSSSCSQSSSSSSSSSSSAAAAAAAAAAAAAAAAASAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACQAAAAAAAAAAAAAD/xAAsEQEAAgICAAUCBgMBAQAAAAABABEhMUFREFBhcaGBsSAwkcHR8GBw4ZDx/9oACAEDAQE/EP8Aw7dhigXBExHi0FQKgbWhwcujmM0yUCg7UwHvBHX4M+6FULQ2taDlcHMBQGVjN5bAo9xpPw2XUUHwETHioTcEdeTrTM5JUK0FlWi8sKgvlBGCiRsQQdhibhJAIlIGzaERvczLnLaQNWDpusYHMHb98ES4gULEF2im8yARgGEuyWdMQMkMAuVjBLOyruU1IHQYWrsvQK1AKhQcWQwsLUrvgRK5gbJlKdx4C5y2t2QNIViDctYbDsMvCQYJpa91oVYOg0FpjHaBJaRk0ikC45KBODG9A7XKOMZNilt3hNQmVopQFKWYLNgUurOS81fdNdSrPN9WEUxK1esaMEztvaRdRgJjS3KaTW6xoJzcPpxvDB/vcf7O8ztsoNVNF7VKN5UQU4GykIbBWbCm4Yi1rZLJDgQswxIEzCUUWAoJ0AUVuKVyRNAwGVi1BVUAlZs0A1OSFGXIprcAHfIldl0qhsqjhx5KNW5XLi6qIq1Xa3iteLNajIOgWI03G9gAhF1BAAAduYvVAFqLzspvKikreYe2JeGHI+RTGCXtiSqSNC0QQpXgOsQmzIdYQoAriytqx53oYngYMilWapgvs4uqpIIl4LKqsXZboG14rWDkqUS1lfeyGmzV01+k3reaYDFKyvOzWp26GQzvjQukDMuqgK0DRwOW5nAVUuV0cK1Fkw24V6XzBQRqmQtZhaKxWnLe8RgCIWo5YrN62RyGqFKLbpY12iXIi5G5ssBde0t4bJK5gmBKJQKXC+DSUpCmRbBuxu13UAlVnClBjSwre79IcWiGgMVWN2EqjFLmVxA7BaEzEUIMN2MJlXPJqEQAGAU2trBhUWMKgmdpRaXv/wAG0u6Kl8/gta48VBbCuI/TuFeDmM+HuEvCWBcp6d+AFQ48rYYXhmEzMYTxUr2ytRSGwy4VZimtxJagjSZPmBDbcCyu/wBY1aqnMc7P0rH6w1XqiK3rEty4/fUJlzFu7+vtFQcA/EpC74lmnX8RT9RUJpQl+UqR1IjRw3EEu4NC83fU2iNdRXbluOEBv7lqFzAoEC13BJXlKjaUx6RZTsqKj2xql4rw7+OvWUC6pIda+Ze7hltuHzMfaryzcNA/zpI84qXz+QRb4Vh+UFh4A48oYQW0zDZnuOrAHUUvyNRZi7llbgZWlwa/iAhmYFTojXZV/wAR1NP1+8SIYHzFZ7rmIqc4itDQQTq0agwRPeIZ5QTaNjX07jbhc+ZlbfxGACD6RLFzhMdNj5O2HUiNdNwDWrlhObuMzqK2+W4UDQZ9/Cg4HzHOuv4eFMD9IolLqoA5XCov1EI0NZvTCtO3f7wvNB1+8Ve0AqNN3Mt59IK91qZb9LEtVXzcVHS/LQDX5KDv/LwbUbpfkIUufrCrV+FLq8+NHZ5ghXwJzDHMstWIqwBXcCnZaggrG4oajjwZgKLbKVTniMUlek4h7RuUOLiIie0tK6DHvFwDTOjXl6A1JGaB7QZ7Uvsae4m0DuGoYHUEEK+0tSceCseWWvwSUSld8xADxUa5THPMvsae+IEi3RFO5XmYCmaNX+c2XXMQb8NfhsWvDbqmzX5oTnpguXvqPdOO4dic1MIMRqVzdRRg3n6QqOHzBwvW4mTZELfWNKqrbVQRQB1zHqv+Ihh5xEFBmYJLTrmBJXPUoAYiWLN1KgBnytY8KlCcMQANx9KLviER5hW5XfzFZxmUcfWGZpqZh3FE0XHJLFRfcGUo5/iK38PxEMaLiGvNRtGimER5i75XfzHA4v8A1UBY+BofkqqRcNIQRLPyFaQRGlfjY+TPWGVYI5eog1MLLQd/EUurOoLolFyEHRxzMpquuZnIPeNWFMsFRBocROVWPeIOPcQRMwAGsy2WUi8aZ45l/UV3zGrCmZiXjq4KUK7KgK6t+I7EfaIES257TX5IFBY+uoVjRv8AWAQVUUD6xrautkxEAnHEB757UIu9pIVFHv8A8i9A+0pLEcIj3VGkbxER3cVZuHKcfxGoxZUUAAr5mSBPtKQwJ8KiIIlULTuZUD+9wEuS8dxu3Tz4WhNG/wAkEdfgUPER144Pw2XXmCYS237x1amWjYItRtl3Zkc/rLxgGOpphKlsTIZJfxRiBihcCug9ZSQu4klIZCU0eXpyFjKk9chFCKGpgtuniUil3WvSKFZWYap2x1ACfxEIDZrmVmNmooAziIocMuUsfiU1NMNevFQ1ir/3ZbKoq2YJCesroWwRrFbg7MAxVriReUSHMo+0VHojqyKfpGIFqQpkpJQAXZDbpSbl9qDuGyj5gCBfDEoZvUlNRROJekVfzUAAJkuFtfSIkrz7T40FZOIVeHH8Qqm6rjuBMLkxCXnESHYQAWX9agIn0PNktgtPEACj/W4W7yxWpTFFWpY40XcdEUkE8KUgLuXCKSICvZHMBqFMFqQyIpJWQWyG4lJHdEPeLgXUNEdx0RTFAuMC1/REOeCKS6XCX0F/+QeGgeYpUtNxWfr5KqsU4qFGZFa94I6IHcYZXcoPNc1uMjYEmhZplN1cQN3oRAovJLKjiNkFKp7g2hGObv8ASAbGhlhriUBWcQUEUepkx3Ac/SW9jEoyLWpm8n9EIFU7vUKh2rCoXv1upaVKPUKoVb/uJcG3EYZSRdN7/fUUhTmBQF9oiOMChCXBKAtbqBHd6g0LE/WGvsuVieIVgR9YkY6iqL7ssILqBYNdxCqS9XDyC7h47vVS0xScQNRryoGGqzKdW7xN8637z7pFoSdVzAaFaf3n3v2jQJvohsjjnqWiNlbgAdEIWq2t1GoNTm+PrLPtSnHJ8xpnTBpA/twCB/yCvrSi+6riFKzW2Y/7nAiV6y6Ks6Y9TuFaS1Uc+kEhmiKbfWoKXtg1TiUBVk/SBUEMznX1lTVyY+sb1uIK08fMPU4gio5LQHhMobgBHk8KQzWO4AXlNfMsQ2SgrbfRLSuPI1m6SpbOcWLjYVNJp/iZ0xf7T4BKH0v3jvaWGY2Jbk+tSkZZPp4C9Ab/AL9YX0lHwASMj6PtLPa6xRPiQY937T9swL6kEUC4x6LnFQsEf7M47iIbZjv7SkWl56lVHfkgBqIO/ClVUolFVEHfhQceFF3KLuUSiUSi7lEolFxB3AOJQtyhlHUolF3LOIAaP9vf/8QALBEBAQACAgIBAwMEAwADAAAAAREAITFBUWFxgZGhEFCxMMHR8CBgcICQ4f/aAAgBAgEBPxD/AODQhiLf76By2jNv30KNwXeGkOP/ACKL+nGD1B84gCxePfx/xg1Lr6+M4ysKet/ujvVgUT2YSvRirYhs82eVkX1ziFk9LU8gVi+ecDlUoF2Ar9vod4yIXu5jt333J6mPGnlAC0VvRF11rETIwtTXSOz77yAjYNQKKr8TcPjEJfJWxDrfDjyXkzduG6fk7ydwNW+O57wOiq2IInBfD994qEiIr6CaPVuCxG3WuOh3zx+cbEQG68idG+BvzgYgxyoCc5PKSvGV0NiJPld5qSRf9Dbj7usV+Fmk4at2pYB4Jt76wZhZN6fY6+m/WdsqJYgui0dezG49BbCIcW8QnneQ4ACqVBeTroxAGMWweN7EeecIrQWtq8I7+v7K44IAj7Js2vfjWGiGURaJERez3hEVAQgBvlee1wnwcHmJ+MjT4H21z15y8C15w1dLHlOtYIhqAgL3Kq/XDDNwylCbPCXWCZTUgPfK364mDQEhp2vjxN7xxWQl8XPdDHmo38YTpCo0nkUN+xmK/wAOfaN+mcB12T6XP0x24hWnB4ifnCSynXmkxqBXf8fbOQANp2+cA61pyAlNk18mAtvmpuE64y66A44gn159YWRa4S7G8vjBgSyShQInevjH6UITHsFW+a5Y8Cdikuzfgh/9DYxNUHfbxrFkca+P+BLHGPj9TA1MD3iyVPCP5MdkA1VBfFcEELwjR+E0/omVtZer4/H6fNO3uWfbDY1cbnGEkb4+uNcRghEF4P0mQpHzOf2uNLzRenox4FVqj+ckyCne46xfiDAduuN3lzYP4iTab33143m/gjlQCvE7+dZxYEl9g/3yqoICoBout2540OK6GMe/V+uJwiui+ed+cYC0oxaf4yOVUdovJ5GdZWaCjXEotTfgMdjbTbJOJZ9efeS0BrTRp4smpOZ3k9W6WvM+XPU49ZzfoftgmeMPiUMJxTLWbPVn4xL0K2OjucS4BYLQa64PL3y36Zo43FvBU4lzTpwVB13Ou/OIRCftEdbCutCYMFqPV7xSxqKCjuNH8ZETdmyBsHz2fGMLAF5q4CGgt/OBgkl7iuH9CNPoD+2HVoVIEvJukcdVYSty135XBB7V+7ihBdKIPJuiZFM+6ocXqTxilqlgweeH2uDRe2cST5xDqcHfL/OVUu0JLA36+P0Qj0fq2ff8ZEGwHxhyXd0o29yX3Lzi4ULRpNt2c24X12518gl9y4gE1u64T9sFGmPi8eVf5/70FZYHe6+slJrW+v7/ANCBOlVYB7XO8cxicN53/R5x2cHJ+jglAnseH8ftHfSKujqG8rQVrUPO3DtKLoAsAKb95vSXZarVPDxgBLAEtlka7+ckUlVXW+jy9rmkSmdEafc/OEhBdHj1gQ5hF2Z5QC+MWRJNvZEHfHfznefjfwYQGcg8TtYjfGMcdA0ozW3nxcL4DXbam8ya4nGCTXBUEnSbXKrnW96VS/gfGShIoFacGzb6DBOdtbNPgTeRIhQmCileMCivSofd38OCTgUGmJKr5TfjIBjTYDsSuzm4DLR+qLiBLIl2PTV+/wCz02oV1oSYxBqPV7cd7tpAx3Gjq5JGgewm3t7XFWVAetjgeEl8ou81IKK5uP0L986xeODZCHCJb8YAGhydO36JqiWQ0Txj2j0ADUiyOEBa6jbVjfLvjEupwd8v4uOkc27HkSOnnBdELyAV2/l7y8gEqErmJz4XjC7DufNc10Wx50Sj0+M0ZILY64CaDGpEKM0bzJwcT3gEi5IKeoNe3nFxX5jmO/jEIb5vH7ae2X5/ov7j4Ziqr/24qhOjKKnlpPxZ/QrV2DdvzhFKePfw8foKyoZZq+L5/UCGwF9vH7g/SUk5gWfXNVaEbL8kl7HK4UwMuu/jFKZu0A8HtmOYyPpDIemscYEIi2V590+0xlBCDBC6O5TKtJCTV3/f8ZWkcFs+uRlY1Xn0OQXFIeN6jvXeRC8XhfM9vxlLyM37bTITI8g6s0D84lwYowDx1twcSUpp5T494YhFiLYnh/b2PbWmInCf4xMX5gh3Atfa4WA7fSJPv9MnonaEBxakfvhW2oDsE2XlHb9esMdB0CvKAdB/OROQUinBrs43zkTaCTg3f4/Stdqvm9Y70r4aj7vk8Yko9utP1WeplTSydQ5Lb/vOCIUJcmk8tnWssySOBAcW8eO81X2BwBdfP0w6WqfG5J+5lkB2c48LRxX/ALyOwoZer4uBpmKzo8vr9AVAv6gpQ/QY1XD0zmfoujRzBf4woQPYn7oxIPcgcPbrFTBR/gHLDd4EYGqr/HOGFAIgDKYiM4ji1SHUdMtTR62r4xjXjPc2Kb+s+mD4SGM203rjiRPeSvd4Jix79Lg4ACEV47dAfnByhBeY+feTPIhXy89YDJpAF7aWTER04BLLPMOFwRj0NQHJxfI3Hx3l66JZIAsNcHDzXxgEYgD2WemzuzL0KaxYY8QfXGI8EWkhZqced4usb1HTzU1+b6zYu5uhmxdP1/tnCQF0gWUmzfTf2tIO7B6BxAJcfOURAaFBb0mzNzhoSqqL3K9dYp+kPqtxv+gnnWffH2/kI3Hb+0OfA4RfrnNwJPA8Y4q1Pk5xpel7jvICmTCSQRPS2IfnNyo6pLyvjgMBrCRHYJ9RdmOVtZ9Fv84L7eJZeHemc4WwQGvsXn4x2ux6rrHlo6nwT74y8EPcC/8AlV0lzvw8P6FBBS78PD/RILHCDHDxAWFHl4MenE0n9CD5xQuFRrqpN/qhEn7K8YqsGHPAAe1xvxYQOnhE/OKhB8A0hbz+MUrKADash0fXD2RSctdjqjmwksB1BSg6374ym3RB3b/jnL1bsdEnfjeDog2M3T6aHpuFgVR4u1HE3grI/D8YCtOlZ9sZgnRV7zYMHdbFUnPq3EBQ0gHXKr71MTMlR4Tmj8OBAc11pZo6V+cUySXKTvXOupm8WyI2n01et4MsknxeW88SNZMYiGzwDvvCI2eHDvx/fefWkglhDq/jCsSQI73wjC+8S88bSmjx9cIE21M1peufT+yJ8SsVD0zdOrrDxAHqIkfJUxgrCqAG4Du+cRfQXT1zhDu1CkLsnJfW8Pu/g7c9snvnABeRY8ADrnFV6I11v/OcpGh6ZN+5kVE1KEXpqQ9bwPzu0ED4Hk95IOoFSKOUHbjaxK94dT2/S3Hg31Y+U1ieWlAhNkTm3jKHQkqbbVYe+MarZDTnR+msADbg6T7PxgP4jkAfV29aMNhVagoOmDp+cEODPSoRUO3nHhdXE6TzxilwXq8jkHAnYho/5MRdzVQAdCWvtxxbCwLBNnM6xO0bUTRWHgv789kX8/J3io0nHQfAf9kXgnz/AMEYK+v1jiPh1+qMFfX/ABQ0ae+v294wuIkV9DQdr0ZeoEVpXibaYTXRrYXgpr7ZuFlEUpy8mjzkBQngoo34neT8yqMCHNXf2MOc/wBT0yGSYQqvMDXHeC2hhETcaeT5we4QaQNpvv3lCSIY06quy/GHSnXofK6c4ohVmtf/AJjuQIHoKgXr5wOvOMnIcnSft42GNUdnGy7M2QGolBIVN89eMCqSDTw605+gYSkCGh4ETSb63l3ryBFLyXZgJpyjlRsPlhicNogCO+60T1cMD3I07aAwzAngdhHqnGtZwkQCFU47gZOQtH5GffIsgAeWHHUTEFb3ubvzkLFM55Zr5/GJAKg2NIjNmVJWLJzNl6/9sLkNobCFWdvjH8kZsj0iH3MJTCyoqvgDbO/GPgdRwnnfE78YuaagJo5i6j1kQKIRVTon5eDC4t0Y2PFeBfDiMNpLxcLvyvght/xkpQWmg7X+xhMNgou3gA25DdZGMdxEd/Jm5VaGvoD9j84++wgTYxEeExDXKkeuQ4KeMAkumxWgSBtvxrn9wPK9lxZEfFwXvhA32zQH84QTRCRHsXVM05JRgQIycy1cFAQCrCGuvdwoopueNnPzg61iLogE7PGpiEnlkSrRB31F+vGS8AgbLvR/vga+Ls4OTpbjgdLQSt0a0TnjBcGlN8m9nrEE21OzTrJLNlId4JKPm5WBsTv2n7jDOf0gZMOi0AhuuO4p5TEzVdv6QyH6Q/SH/l7xhVBAngI3i0osdIi8UenzkOGMIvXc4wzpqn5mjlfjDXOliRPI5ezXBHdKV6uIJhA33eP8YO4fGTZyJhmVTD3rDigKpjgbVXt0B2uEi4FnfhHEOUBhDfg/t7wSBUMke6YTqTVQI8+X11hlUdFWcuuD3h1SD8Jbft151gwnJYiJ0jhnmdYImlfOv7/4zlCUMMPAKJ3GXmYU8SoRau3vzd4qNRUD1zHuYCFCUKs5Yde8dRGDZw7d/soeTXYlHhOteDNQZ18WWvpTnCwb6KAVq8b6wyCFW8SX7YW9vbPfb4s4uVuXSAj6AM7TGgHsUnB3xml1QhdpvZ5MEKRU7LJrA8UJWbZD58YsDAWuvrgmAkBXJFRDWxyvbsgA8MBX8YbC1kTYWp5DAKFVS8Gtvg94BZ7FJw98YfllC2Fonn45zRYQi6UO4cz+2MkbGXcnM8Yp8A7PKcB5bv6YBbxEQzyb98q0FNoUjQDrGVqOpT1YGvNxLShAdEt143iL6rsXeBNj3cSOXIoItE/uYTH3PsX/AH4/9iEJ1vwf5OsNML8AjHjx3i7hQFd9gnHI/gzkY0GheyTXcWZXFGyWcyDmgIS86YXSXnrrDLsbK150fcpi6vcQXxCPPVmMGdhQjW3/ABhrUwRVdRZSfGa4CIF1Ppx479YQWpoH15snH2xiDUasvmh+Lhy0RpykYo868vgfnCjoV4S9AEnnFAgwDufIIfOA0+xWtb0d61cDmzAx7IB58y5o0OZQ6bf7GJuhglT4vP4wSMqQ1nl1ofv+1BBb2M028bwLyYAVo65ff6YxSUdpybfE94Rs8/ymFQ6Xkl4pIHw3GAjRIkeH031iA7gvBlUkeyLqdAYRluxBF92DiSHJoA1HlBfnE3FNKGnvaawDoQ7A10+nj63jIVTkW18FJN3ELeIzdc8vg5Hg6+MVYjv552esmopXiwPwYLLoAjz9PHe8NF1/iYKE2gTAKIbCnJlu7ieOCqKb8YCRu37jP6U/8sjCXoV5b6MGC5yOehF3cWkA5QF8V7ycQFLvmjl3eph5oX0tNvqab3rAyNt3YCQ9vOBJIxwL7O57xPIhKAHqvLhzd8Bu3hHhMYAE6EBSx0+MDGglPJ3g3xePUGnx1jwlZOqKn9s0Wmxdf6DdyGJDVgbdugwsvKGj8JziUh5IBD2GzACUa+eIOCpApyAp7To99d5BFpFUpyfOAyQUvkNP0w4w2g5BB8A7cQERO5ymzv4y8YCIF0WHbvAfnaydbvjvxiUyNKo72Xpx2oIIPg7ecGJ0pG6/Y6rNAdQkQ95CkUABV4DVd7uIl0Y53wz74iv38nFoH4MC524durr6bwoT/qmAb/oMAXLqIldMOda9Y6MBAKqDBPDftjIAnvtHjz8+/wBOUav5t/DF0f5I6/C/oIgHf+ecPpvELEKdA3jmr4z/AF3rG2w/ksGJVn9sTBjaqgYdJCJAh3GQ4oJKh6cOLg+XytRKL1Md3Z286b+vOfl/4MZ54ZtgQ+PL4wreuFIQ6PR+x7GmI1F9q4vUj6Zlbe87xfNb98UIus7xvm7++L1I+mYqtceQn6v6dgzx19sjs146wMgvnnvz84uRX79+cUAXRjcKh1WfbA5F1xvj4wCxd8+/nznYa8YvUj6Zg0VPl/zhIIPFZgAg84AILfO3fzgAg6c7DWDlGX2/5zmp+Vf5/wDXv//EACsQAQACAQMEAQQCAwEBAQAAAAEAESExYfBBUcHxkRAgMHGh0UBQgbFg4f/aAAgBAQABPxD/AO+6X/vkVTOn/ZlbGjCq7AZXaWZQdEz/AD/nZ8+fPnz58+fPnz58+fPnz58+fPnz58+fPnoZEdVxzrFFOER7I5HZ+ioZ6vXtu7f5PvPnz58+fPnz58+fPnz58+fPnz589+G9SR/wH+Pp/wCJOn/YO7Mw6mi/BAKeVraaGtbACrLtpsWtH6SkOtQLCzRVoMIiImo/5KJiKKAaq9CKX0YyNNHHereKQIMxoZFqacjTS/QATQW7pT8F/wA+gFyEmgCv3RbZ7st7y3uyq3TLe7Le7L7mW92X3MvuZb3Zb3Zb3Zb3Zfcy3uy3uy1ost7st7staLL7mW92W92W92EFowNaBDsj/B9P/EnT/s/kZsbL7oXpen8d9amx9Q1GDGgNzpcEqCITcef+NoYqIjnABrKEOZwswrQaM1R6wS2GBYyzoednakiQoNBvTMkEI9WoA3CejwpZ2WSgWzaKuvjIMqJ9TKy4iYQwUh6b6ClKY6tIXc4Cl0CBQGIMAm727srGGFmks4xJI591QMhfnS2TFNV/wC+0pT2I0qrRXWjHFmTou0QQK6rwioLC8NfcABooTfTQ0x/Moz6jn1DQHMUzcwriRQDkIM2mgCCIrRacV7bwIbrMLwTiWi0y0YRXEGymmjtuKrvEZcS6QCkbp3FqU1UMm8HHsAk1Vo6jSjYncsmaIIDVGWqcoypJgUoyI2sshBfuIYuqi2LTehrFXZB0FrrSdjvYDcIj1wNRJhXKCajFYpGFyrNRqgEriCUXBwsW9Uu6FTSmtGc7y71sHQXiZaCjgJAF7dyhTfIqCsI1I0gGgrQMXLRDRxjsLETu1y6wIs11AAAIEvGsGm6vZjKDqIt1eigXteDinihGqtFc/wDfp/4k6f8AZ/IySTIhCbRCGVCJB1RFQgFxtTNWFiWIPuzdSi5avCVwNLUW2JxqN0IREJPKOB52RQRkIy5sdyKESW0JdQSxpCsO2dF+8WxUH8xwP/6gTaqfwjySKUREIooUwDdJZmNcZkVqqLQGP6orc60R2OhRHgCFSi5Sgs/DgCgBoBQHY/O62mGaxS3QAdLM2RKl8whwDjFpBUsrthNLkGnN0GQhd39wAX2iISslnRLse8q5cjDV04x+9cuCyNYO/DVUkbV1GeNjw6Rc0LNNJbY2GG3nQm6Ud4w+OovQNBqFBNYhj6vLgkSCF1ORrDajqu0t3KvVmldCF0PaRfbWLoC8RaNRh5mtGKqC8MMJwzYB2WoZFK6yts8PzfimRaku8FvXcMWCpHjUaqEW16HqYNlTTUGdllU6dBXG0kslFfAwpmSAirTrVw9ZhLoypyLMyo0RGtVpL0YmIV16iQwNlhF1i8Ai0cLJuwQVqOsLCIEB6BQfH0HaK2EAn7p8fWF/4k6f9mCgq1jqPZvI/ZNNMOA0cJAwKXS5r5+/NNNNNNujvp3+v8PNNNNNNNNNNNNNNNNNN2o1kKlgpeqzrlV936YwRrBJInFjgcPZUf8A9CHsIewh7CHsIewh7CHsIewh7CHsIewh7CHsIewgzviqzaFezmtNoaAam1AIAKAnsIewh7CALiLWDz0OhPYQ9hD2EAE1l7GQAgXTd3jT6f8AiTp/3/fKurOn/fsQSl1sYXpbVQzLljsKYwpMdNOYKwDK6DHHLEBFUBoFqzQsvlXYV9gDKRX/AKvi1gbVwDGAOpwBaq6AZuXXrwuKNtoRGnUT7Uw2LRrDBxKagaTXSInCaiUn1BKV0AyxEaSk1JT32LLKs7/6Pp/37CBE9r0zxSpg0HMKPHbc28pdphVTe6t8j+ESpirRVFc2C4fYquA5qMF+Hi1VhFTBVRmqZ0GSbVJQepIEofOb0dYRVmrEvmI3FPVgtqLUoRt46Rep2RbBNKloJMeQxqjpDojOq9MSgwpSWM2MPpEAEGgKWsLFCmWFpKupBC9SrmCqfNQtnBGmWKF5zTCEiEIqsriVMi86UVpUaci6qyJNKGOlFGmpyWVgOWER9qQwKIOCEE8QVkbC9QW08gEysbKemHcNJF6iu5piaidGuKSsDIYShLpKB2I0KyBjT1A0IPZ7vRdB+pRFiqqwgywKyghQVa9J7yAKCUumBgvtBO+orMBgghZ/oen/AH7BkckCLRMDAEEBsWFy2LceSUbIzBYGlAzGcE9ACqMXChxRktaug1jWO4irFur4S1ZTAuOtuCQ1sYUA6NlzPLttSYpAogKC1hlufArpixLaFi4D0XxdLypXgCAI4dAJhfaoC1igI+Olj8yVA7WQILeVUA4rAsl6iottyp3CLRRe2jpEnyKu5YLKw2VrNIPYzxNNkUTQW4dIBZQiH/Y/aFvXwotwqgxnWJ5ZSZwCCxvJbTEoYNPKXwoWi9CXUuLDgwk6XCHCL90xu2eSpZYlKuyq0EAACquW81FOzNQiAwEL1l6KGVVwAk1KUBiF74C0WVAIcUpyHX0MmQ4WWoBQJeAdkgxsyyTd1gD/AEF4r/fsRoKaB3/0Pve973ve973ve973ve973ve973vIFv3KXvekIWfmXve973ve973kC0f3+F73ve97yyr/AEC3GW92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92W92Jpt/dtNQOiW92W92W92Jpy6Rut6Et7st7st7st7st7st7st7st7st7st7st7st7st7s1ixre7Le7Le7Le7Le7Le7Le7Le7G0y/mEZahl+dOyQwaEaqxDS38oBSr6SVk0vBdq/Up1qZKtf6lN1URNSvy/yP/k/gPs0P6nMdn1CA6sIYHQpLSaqCToaSlqJsSi5ECjKQuxmC6aignBLHWPp6wF0L+iJqV+WtpfmFqP3GomgrNfVa3COsrHq8BNKgGjCmjuD4O9vgpSE4xkHGTggLCijwVuksCAdasrtqwvIq4DOG7zOyjOgFOUuVhhGjWbraIAy6gWW0en5P5H/yfwH2aH9TmOz6/wABCMjcDrMJmBA61DEukK4zCsyyOGQaKBRtqqFZolQQVdZK3XZWAb2ZUtFhU6d00zofoJ1ktxlCyLTA6STwiXVGxyC7ppr+StpfmENNwGaeDiCaLYt0dMwUY5YAinNDSOdCNnaPwZOwbQXJiVErxNfcnWpoa5mES51nXLaTXh0tTKzraL4vytAEUMWe8uzlEopVwUFGa/k/kf8AyfwH2aH9TmOz6qjsYFsqsNgBc4ZGtF1AaEDVOjCOStdqgFyEMydJzcSUBnK2MjylwBL2wIR1gQ5kBSL1C7GjoGbSZK6SG7YaIqlUA3OZaB9WY2AuAc6RVbev5K2lGDrL1n+56z/c9Z/ues/3PWf7nrP9z1n+56z/AHPWf7nrP9z1n+56z/c9Z/ues/3PWf7nrP8Ac9Z/ues/3PWf7nrP9z1n+56z/c9Z/ues/wBz1n+56z/c9Z/ues/3PWf7nrP9z1n+56z/AHGEO2wDtNkJ0/3PWf7nrP8Ac9Z/uU3sdv8AcCDQkRjCes/3PWf7nrP9z1n+56z/AHPWf7nrP9z1n+56z/c9Z/ues/3PWf7nrP8AcO1uiCXrP9z1n+56z/c9Z/ues/3PWf7nrP8Ac9Z/uVX/AIvpb0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehT0KehQbhOsHtNgDonoU9CnoUoPY7ItFLYp7J6FPQp6FPQp6FPQp6FPQp6FPQp6FPQp6FDqF1Qy9CnoU9CnoU9CnoU9CnoUIC3+n1E364BKmoKSyw6EAgdo+Ux9QUB1gE7MRND0sTH10O7hnafol65SOjWKWa0UESI2mUQ0uyOLVCpNWgLEyTJZmnt9BKhG2Zniu6rsY+mTiQutsaayNd2aRdNtQCcwFS9AZYqM9cDa3tqiWmh0HAATqn0zMkCVdcrqFLj8fKdp/AfQIQRF9/VYJ7r6aH9TnOyXGDugKdAKvYiu4rBo6BEhzWNYzpQUy9bgpSt8BdBioiLUM0gUnGGXWVf2i4KkuEFVcU3VMHCq8koCADqsGun0egk3HEA3qq0Q3qy2AFqwnqt5N2SFgC9o7hyI4BhaC1wLLSy73rOhFOgBX9S1RgdYtgaUJZ1/KeFqdroGQChRjVuVwJlYqjIazbiC09SFIBQDlTpRKKORxPy1ocpsuZezQCavOxEAIoRHRJzUyMBg1dbWYnW1IRLBRYumEFI0AGdVq1WvUibRU7BcMCWWZEYeSjWOIFA1aBauYnD2+ojSc0K0yxeJKYCpok5DLWDnmfQExS5expA1augwtQrIMDlmXtBsTcoRQMmYCBMi667cowL581dKUq7RZYF4BD5cBGTruT2CnpEE3mcqRoFYCtDDd2Qt6Tjk1IadIwZszg7SZDKN3ZlXwhsAwaLw6PRMMq9821jdUCypB9WaCgC6xbq/v8ABynafwEu30bUZGjsoHS7gOAqoJshbBkrqXJQIgYMB60p0WaH9TnOyGJnLJ6oI5gqzeZHl/vhGnWdIoxiGFaGqJdIK0GHapjJVbpxDVOWDJDXBbDWIRihoszGgtIAYjRwW2mBCw9Q+gwKRWgCqQQZLrAILFqGna4H1kfUKJvKHN3O2mHdMa0NOsGj2xgmAbb1kwjRZPMLOBAguH7uO0/LR4TlGYtFAAMRzmMzOuGp6Zd3ECwSeiMbdRsEtoCJNtgdJQBRgocAxtZW/cKgdwQwgtAUdUFtmMww3lIm0ZFBG+oy+Yu8cO/7Q0mvW1NmyniQoQF2gdoCvAkUIHNKXLoNjuEa8FNIERH6zKwQqy3jvDjtacBshgQiusKgErMwitZ4s7pelW5GIYUVXpZcF0AD9BijGqVuxCmraKJb0LT1VehLBqq9kGBQPXOIGX+EBgpUjBa7jeHwjcR5WINqqB89UApFIkV1Fn12ApjoKa1v8PKdp/ARi1WHdIRbOFmSODDQIgkQDgoVLKrbFQqlFM1eauaH9TnOyBy0qQzkhChmiF1S1zDqkAu0Qmhlj8NWIGoVeiOf2UarTcaS6ymt10NjewXUg4C1waBrjgP2bCVpQRW2rteIiZtKSk1Fnq6QBJfWJwu2YBLb1anMsonZD1BPsMYFTv181FuzTBEWuDR2DEf2UVECyBbA5z+M8wdZXcr+ZzrzOdeZzrzGADc8KUYRMI4YrQhF2ZEfITnXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68znXmc68xjFSAyY/cGDpHK5zrzOdeZzrzLTtcswiFCRsGm8515nOvM515nOvM515nOvM515nOvM515nOvM515nOvM515jGLon/pTnXmc68znXmc68znXmc68znXmc68x4bHLr9Behz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0Oehz0OCp/Te02Aumehz0Oehyw7PbFK/pPZPQ56HPQ56HPQ56HPQ56HPQ56HPQ56HPQ5/AVS9Dnoc9Dnoc9Dnoc9DnocUV+H9RCCsVU1WVgVMwq0EpPYY/8AZ+Ax+Upl0YBXsQWABrQhV5OjtGmYXZuLVMldzP4bMhI9Aysqye0X0pQdRMhpARWrQQFttpXSMhaswz+DlO0/gPs0P6nOdksvpOQV6AFf1Gyl3WKCWa1KNahYsJjaDSM40D2jRgn7tJrdxY/aWly5ppwXLDSjhLxE6dDhSEZgXKafRfsN4UQD3VaN7xAdGzxl1TUyiIZcRPBMORdUVM0DWYzUq5QadSuKNvFXDK+OyoXVT3LxpOjVsomZuBV/iPaX1EVLN+T2HLRbe0P2EWD06SM2x06yjovQUmEYAuWzqsc6BTgJqkHWqgxcXSnSgllRRejaqd8GXWCM2yxFuJSHDQ1Sq1nDQXrH+d9ZQ3y2/wDYs3bpqc6BChR0aFHt2oaqyRFsvQXmLVDStmhq7v05Oi/gqK2TlU2oI1A+dXVxRVXRMVUyyPbrnTgWdu6IB04w26b9oLBd04xWhQlGqUCvELMTBe3d8mqGHsXqxyvMwWrQdVgWktoqFMcCQoKFCBWVMXGO5UZuSS0QKLh1iBer74Io7ZHUxEkJDOV5stWl3bREImSkIMVDbeWgWLDNpCVayjmHT7+U7T+A+zQ/qc52SmgQr7pYcCViAkijWcmP5AjrAFqCri+u8YFmEdun7dqXzVatsoGxMUb3Zr2pRQFDLrxgbLfTWlwMMSDXZIKIwASpY4P8fEX31gDSFfnIoCkrhhDlpMMlkRDRjUDhRcwLyK79iR1AKLSxf3m7SWgIZvGGrJWFimAiKBTRb+I9pfUTgysRgDADEc5jBYSZKeuO7iDejMecayIVVP8Ah9pLT1BxU+BW8tqdmPwYBDbihh8Npol6YW2YySyGhjbhivGo3oLbI2MUiwQkPNmok+ThFw/qyC9rg5jEuqI4uhEjYKaX0Jexf2A1AukyrKFxG7Tf5QbgsFHuYba+PMrEGGer0suMCZBYTdtwDpqOWFpAmEmgASA0QcXKlJzhUidAQuHELfmQFQ3FjZWkY83iLF0VW1SgmlR6X3NA2ilbWUBSQr2bBfiAuGcwsV6iLGgDslbCyIVzuGL+bzcjeOAGTFhqN63j7+U7T+A+zQ/qc52TIpyo8yIuhlCFNQ6GpshAj2pj5ndbYgodUPSBt+qlZgpTdBYJQcnIZuCIssh1hZPIPCFlQO1dSz5ULuq/U/fAMAgCs9XSaNw5YjPpMtWaCIF29W3MXKLQzjXhV/0A86N2i0gd69oSwd44THQo6H4j2l9BINI+Ztvmbb5m2+Ztvmbb5gTYfmL/AFmpdaBbu5m2+Ztvmbb5m2+Ztvmbb5m2+ZtvmOTSyR9yrUq+ycHsBgJtvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5iKR+zaAsGjrNt8zbfM23zKbhp3gLR+zbNt8zbfM23zNt8zbfM23zNt8zbfM23zNt8zbfM23zNt8xlI/bLbfM23zNt8zbfM23zNt8zbfM23zK7D5hE0lybxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeJwbxODeIa4jCEmP1Eo6JyqcG8Tg3icG8Sk9nliImKyrK02nBvE4N4nBvE4N4nBvE4N4nBvE4N4nBvE4N4nBvE4N4nBvEB0dUD+Kcm8Tg3icG8Tg3icG8Tg3icG8Tg3iNLwP19RKAELFtBeU6C16EtESGjMpWAy0aM/gtR9Va5pH9KQJdLYRYBtmlC6x9DTnia373oFHX6qYpboAhQLAot4H/AAOU7T+A+zQ/qc52QLynCiqYAFVwBA1GkVFJERAojDRkwTG7FoUGjbRC4p7YlQ7Bn6jG+CNbWXSMQFpnSYpQALViI0kuaGQh9DmAFDLiLOEFfYPUFobouL8pSBSFSo1dXUcN0gBrRk2hc/omz2Nsurq4GTpYlEzAoNKF/iPaX1EfnfVmuBMLGs4AlICTZpvjROlQ+phSqVArocAyNRww3ZnUoa4ycLL3JMnoqrDfrUzLS4ikUqJYag1UGM3VRQbHAlVKeIVJWIjnqOgVWxgOx7TAKhFRb1dZUDP5ay3uwJUFPtB9TkYFobY8pvLAo8wWzUAuESgUGDrdggUsxBTK0yLcwHC5zHqOVEHXVzWc3MsrhHagsJRsGlxMlOzWKAp6OT8/Kdp/AfZof1Oc7JQS31xvw8xYS5UhfFoO4SBMoEzyQRVHTWa7aNDE8ywMESoY0aqXAi4heKACM4GpGwwfeI7IhjtSQ5rHF6+LfxWAXgcdCypACVWhVAMwsYW7rICgGXQs1mbgMBQIWFylWJcekGnhYLfdSK1IChacuGqhUGtuXb4ECo/YYOEXmj8J7S+olSB7VFwwANqzUMH+zhBbiBOUK8TBTglNmNRhyvp1iOQYhwKJGuDi4wNQYVq/VUVTXUGpLaVPASkABeVsA5iXZRyYOUyBFnZOBbQoDq/QgVSfAEVpoI3jtDcQN1jJqj0lEUI3YCMZuuBVVSxLip2O1KuQvBSY0artxq901Fo0qpowFsXMQFqoF1BlkcG4qy4EUoDHCgEZ2QA1pFebD8/Kdp/AfZof1Oc7Iq3ib10AlokLw6QsBr8W2ajHJ6nSIrncOLL6QYRZoC6qi8kgJRQAGmZTJfpE1LYgVdWSDe+OvlssLDRxUoeXWhXdXC52mBTLOFHQDS4tJNCRRgrAyr4uxdHYvBTU0lUCmsBZx5a4Lq0KABUSYO8io/1LSYKnZHZedPGRBIaM3+E9pfQW2+Ztvmbb5m2+ZtvmU6cifXO5kdSLtRMaullgdBam2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Ztvmbb5m2+Yikfu2gLBo6zbfM23zNt8ym4ad5oF+zZNt8zbfM23zNt8zbfM23zNt8zbfM23zNt8zbfM23zNt8zQL98tt8zbfM23zNt8zbfM23zNt8zbfMrsPmETSXGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4ga6VJgx+olHSOFTjHicY8TjHiUXtcMRM9WVsWm04x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4nGPE4x4ge7qn/hTjHicY8TjHicY8TjHicY8TjHicY8RwvhbfUQPQ1Ey2WSCChSO1QEaQEX9Bn6Y4QyadC0FvaIjSfR87IOq1ot02+luvLo1C2KNLrT9GgiBJ0ogwsuu83/16eUIf4nKdp/AfZof1Oc7I9CFooDuvSDqfKrrdA1VZvSC7YmhoAXXaU0fM12Flu0AGQEGxHRO5Bz6Jibog2XTV619HuwAIC0DlBnE6qOSYULBdo8lAjIdLur/ALFZitSDXN6fEYIwCldBQCHZYHS0RMJufiPaX1F0mi9oPTLNKMRGVg/TC0DobqUoCY71uVSkIK71cdKBkYNMZLKZppEeXhxrq5cqSqIixEdyAXdLWKZW6ShQgoYKJWDmBKSKa58UyAWhXdDFj1ha54aqxRW9Is++yEaISIWTJbVxusgupFUg04VazHm5ZcrU4hSH7NEnKrgxivzE0943k9KSDs4pzRYJC1Yc0hAFwwEQ66XWaXLbQMl4qGZQCpjZRHKlAUp0tH5nV7ktsxIW9DIHXo1PS6w4IWRtQeZzJMjGNtNnQM5HSGBXBJKIFMIfx8p2n8B9mh/U5zslWaRfyL1GDROyivv8BGchDR2nYDzho0oFkbCVE+OUIJYloGpSiCiG0jipqaVbVVREgwQkL/qfRdFsPAkiBVFIIlWCvSDDgtVwrsYIodLqag8mLjLbWKoJahB+YGlMPtqiHFZlK03KAhT6AQAT0VbYYaRIFfEKtWEtYIsieUAN+LfVv8J7S+ohHJsPJN2FFhRWYZzBMPAIubjAQ3ifkNrtkI/9s6pz4SAVJWUx0iqZqigQ3VhVLhMDmUndYbmTsq4cO3nOCLa9F11g44a/1nXooNvlLSPIyBKt2HIDeIPw+uurZs05ZOsYs+n0KSWomDXWF6ONu4Q/4cxoC+2q6Jqotl6ESWD8WUjRlMKA3Y0C5MHMbUypgS+q7zYowjcjKDVZ1il3crHOqgaDqhzOwAMFhFyodMQgjxcVSG6qa1cZ6exii0hq5OyrjHSi0VE3Yii6v8fKdp/AfZof1Oc7IZJSQoLFgFqB1i0aOe3mizGLyJ0mE6ov1g1qvJ1Q6V1gwTaCNTsh2r2pFQhaktNQGLyTKo1ZoYoF6kL5GTlIXCWQEN2xNhadSzFAmDXWAJn8kAGSWy3RYd607FwsrGh3Q3pkBakrVglHd9qV/qinwCGrGlulz+2D+NBQNZoXk/hPaX0Fvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74m++Jvvib74msX6Npqxo6TffE33xN98Sm5adoytfo2TffE33xN98TffE33xN98TffE33xN98TffE33xN98TffEBav0y33xN98TffE33xN98TffE33xN98QoRIRNlzf3zfc95vue833Peb7nvN9z3m+57zfc95vue833Peb7nvN9z3m+57zfc95vue833Peb7nvN9z3m+57zfc95vue833Peb7nvN9z3m+57zfc95vue833Peb7nvN9z3m+57zfc95StRj9X7mWdBzub7nvN9z3m+57ym5ac8xY6qXrU3m+57zfc95vue833Peb7nvN9z3m+57zfc95vue833Peb7nvN9z3m+57xVI6vmpvue833Peb7nvN9z3m+57zfc95vue833PePLfjv8AUSAFq0EF1aNgYnQInRPoGWNtiFNEwnR/CcALZwIK2NI/9h+9a52BYJF0EALzouCYUIj1H8DhbKG6lBppp2i8VERWBUoL7jv9FAtYacEFlMJYljqdPt5TtP4D7ND+pznZBgupwA1VdCWAOGKt0DTTN3VQ901HtACV2IQQCooA1V6E3k+/ABE3Go1naRP0N8vor7iYQtCtoMoXiYjBbKuiAtZog55FqDpkVe8KCFoD+8D4ncHJL2tC9rlBDSu/Aom5+I9pfUX8D/7F/wBQxNOhFhlr5b4JoyMYNSLlarWKm7jfwAIKZDmqgaGui4YRQuXNtBHK56Ww5hLLWPSAA5uzmlb1MWzXAHlbPyAXSohWrDIEZsgbTswtYRsdoZt6pSFsVgNZzhlrDvRc0pQtCpcWHg3ZQGnGAHJdMS2B02D7vXW7hkNjWc7Mr65zK3yYPqhZvohS7IjAv8BlALpALxjK0JVmbMmR0uSC6wie9RkHVE0EVCrjP6aAKo0e3BZ0hjgcd+gJjEqLaNQWBVC5S06KBQukdV4W0FvRIBmwacx8qtbcpjgxvxHcy12ExiC2DSCNkrh4MgW4AmckBU0Sz4aLUtwANFQGnxrElOOMWjggAd76jqJDnJEZV9nKdp/AfZof1Oc7J0kjtY1pRuVKMViqbg6hDW0JUheWHK8F0SacQngsImiYOqQHq7WcouM6QgmtsFE9nOy2hdXJAbNwL7NckGE0yAlCmFdWBhxkGxno3mI2GohIwUoqFYsiyrgF02i4FIFixMgM8FsTrOGGbNossERChzIx+Mm4QEqAlxYRba/Ce0vqJTBkDRAoFKImL87r4A6WkYVZbV2FYkpdHD6jVrqxKRiAtLNgLFHqqWlihLr0rBYruUILHNncULIUzqEyPwfzKMMDlXRdOkLoxfiBvTeAQrXMy/huxch1HaA9GOtzQZWPRa0CneWCCVwDe6MYwXku510WcdO2Ir8QMmpcwDlY08IC3qLQ0ZV1lHI72qvCKqdGLuOvqnmfCpFMlS7wZg3wlAyLVodGsdWpNfCLVBVkM6QRwJwoVaFaOBpkijZEYljvYKoKys0Zu4G9i3YqxoTQste6gNBakBQCREu3qCMinvB6dnixODI5cwoE9MKVRgSDDxorzllIBCW29kRJ8kjSCA3gtEjPHk7LCGGYABV5fs5TtP4D7ND+pznZG8BEuA4KBYBqxp9BVLvBa6F2mukPJW/QKzbuYGtTRJI0k+Rq5ZHCkOPDxoAeNSxMYmCH8LTg1wqKMwt9YpUwswyACtse0uhKsF4Rq11isBRhAgJErO6LAC/7SOgGs0B1gPy4HUywxFB3poOvKF1CvZTZwNLjpe8FXAKETIWy/Ee0v80RimMCSrkpYtGJV3kLyrKkXQtF/ZynafwH2aH9TnOz/HPaUNnLgvmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8zhPmcJ8y0XTU9r9xDdE53OE+ZwnzOE+ZQezxzKQNtQRo3nCfM4T5nCfM4T5nCfM4T5nCfM4T5nCfM4T5nCfM4T5nCfMtM91v5pwnzOE+ZwnzOE+ZwnzOE+ZwnzOE+Ysryd/qIFaCPgIB7UikscJqOv2FVgDtWgUAVQO6wRLGzufS+seKdpto0iYdT69SG1MqwC1QDVWoiNJSaj9jGdHL4BbFNKU01p+flO0/gPs0P6nOdkBWgtdAidzLVhm4mOAtVUS5F7WRAgTUSJhsHRTD9FiU1A0hxqdALWWzHYUxC/8Afq+ZbK9rGPnCaiUn4j2l9RfwP/sxLEa0toSjbjVmIMPahk1SrumiDmE3fFBbyqaBayiGKjJXl6mX0jVg3+PVn4TacXTRgVrBPKBkuocFYKBswBANAJE0UkXmDs0Qu0GQUvowIO1BHEahdNqqAH9yNtaR/Yo3lcDl0AiXDiZsYFAWNCBRJXfQCmYQBYuirbl7BeVj6oQBpPSrMEdE0JgUqB1EE/PynafwH2aH9TnOyJpVbFwC8RCiBViWShfdS3QS2UZxNxeSkASsKzUe0vqpc6g2UOMEcISyDUxAWqlAAWwVzToKhUyVsVcoT6SxTUrkKbKxUZpYjToKrBiZrK48O1QYdxXcit1MKvUmr6ppzQC20HVxQipFiFCUWixvQ1YLJ/jprLObZSndjQqExVa6oPwntL6iZ1OtqqHDUZbHEpcRnTCjggolXFOSxMVbEqhh1rIRo1pyK7dLooHNyyR/oG15RiydMUje7pPOaFZ70XUNvhabVepVSjpMhDqNQpqaGG4eARs1GEpKRWguBH6Ai9VJvIH6omlEA1zG8GUAvqjKGl0xcAnhpZ9IohgLbKW5w7EQreKKUu28A9WzOCuqqB2sBOkzRygTdwUW6zUXb0wiQyAWAAtX+flO0/gPs0P6nOdke/Q4d4QWALQzrDhPoBCi0VDmsjSV9TBCZfpahrkmZ/FQaACIsjDiZnkdkRAaAWDOUQC0SJagBVQyYuL9doKPvolVkcxH0JwcgqEKOmal+TQAS3RV7hglqKR29dZnmKQC76Rkp7hYAqEELXIM4C60+Sm3QUBzLBbsfBEb6wjJb/Ee0v8ARC5TtP4D7ND+pznZ/jntKGzlyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZyfzOT+ZaKrEk0/cV2Fzucn8zk/mcn8yk9nnmUpraghh0vE5P5nJ/M5P5nJ/M5P5nJ/M5P5nJ/M5P5nJ/M5P5nJ/M5P5lqropPmnJ/M5P5nJ/M5P5nJ/M5P5nJ/M5P5jyvF3+ogXQjqA/Z99NXWJgumu8RGk/wAXlO0/gPs0P6nOdn1o1XxBCxfERNSpTV19Ke0BcBETCSmrrEBcBETCfiPaX1EMtaxTf9MUNVNakzwSugsaRbJclwHlgMnGtsss2Uf0gLPYIrrWAmxhXaDSKXyhA8ujBCokoUkKwVX/ANxeMeyjMtIZEGIIAu5BHen6hOE2V7D8C1dNArpFhikVJK0J17KagiTnsieUrAyV/U6jCCBxayEwNGpY/rxkOlFKAFBkI+CD/wAlSl+S0VopreBQC0ztLih3lcOa6FpFFtaQDWFoKU9T8/Kdp/AfZof1Oc7JgvdebPpoSFP3BbqmgC5aaTqvMQLSimlsEAuByW6ED3OGlS0al6wE0VvhatGAw1FgUqbTc9zAKsLFzk11TEDbGHBWKgKSs3gZTLnBgOCNqUqtlI2fvxdIJh4lthp3od4RAESLYsQ/doRAS2ooqHSF/eq/lwqBIvA/iHtL6icY9TC4AyDWmENgu5tbucCsNTSFlOWUFbyhkA6Zl8QdrvqC44FU0iGQXECRTasMjAEhYc10prKlpZk1bRCHrA/VbZRxN2mRzogqMVZMANh0XKFpXSHyNLvVlGcSwjbMG6KysoO5ggXx34zPIamCxKPyFVhEzU50tOIQqA4rBirApeWY5u5JEG2+Cq4UQ1u3k7qFAAtrql/wOU7T+A+zQ/qc52Qqne08ZUUAC1ZnQesBESwNKRejH/HhhGa18i69LJU55EPnKaAhaxcwPTR0B0GpGgiRO97CA4qkqGjBUWiGxy4ca0N2kCI67MD81jYwWLdIIBooXV2pGMUWlU3H1amDqNNz2nQDR5Vp0cJyNg1Er6C9aGwIuJ0lypdB9hcsu6hqn4j2l9RCjY0nUi4omiukTtj3GKq1b3YKKBoLAKp5XlpL4u3DAOe7My0EPZZWHA/QRQNUOC8QMpB1BgaILqmTN/revWIkVttt1YoCVGhekWvQaF4nUVXdX/gcp2n8B9mh/U5zsgBAjhHSKpRV1WAng0HAg40HUHD9Ovcryxf0HGg6g6wc4TRGN7S2pzPapQs7hzESFdVfxHtKZncvex9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYx9jGwRWJauIX2J1j7GPsY+xjRex3iAAtqGphiPYx9jH2MfYx9jH2MfYx9jH2MfYx9jH2MfYxQ7OitZPex9jH2MfYx9jH2MfYx9jFZT5/sRCOiP6fpjoj+n7lDVD9swavz9NdIiNJTv9AVQV2+lmlnz9bOifq5ZpZ+r+iJqVen1sdE/4/bynafwH2aH9TnOya6SkaTPaIFpEagP6JprNWD9n0BSw01gK0Fu0QpB3J1zXeohSLsREaSnf8R7S+ov4H/2DiPZ0SkBlMDMrCCxBcBpbMupZQRQ85gW0pWl3E6gLqF09RFKugu5X8a7GxVyhEKsgwwwyqAtRkagFw0V2XFmDTXnpVUrBB0ZeqXBEEQRJeoJanboArsRabUB2FqWGWAa5xqsC+rRMfzZu+QAbFIbRnwswF12jFpGHEOZ9AfFBE1YIxXCTM+MTqO0IV/kNdoYU9BnMqrrVjsoKja0TRGhPEfMFtCkrEXEY9DSL+hiEquBqddMGuwSkAlATQdXEIekGOJcTVVhbVytlwKldZYUreoFZTY2ExkeQFukXNCZbZBzUk9EzMBmieuk0Axjr1+3lO0/gPs0P6nOdkP8AucpZ1TpEIUYp8QXqsQ2bZu4x17RnJA4MHHWCwDX0NZIUB3ebjh+fcFkssoYQtjdPzFdClWC8yExcamKjdVX7gdu0OFEWgQos6gxBCT2w66ERQvQ29X+2r9QzQypqJUoLNTho0UB1tGXy+rfZKINIyzE1v2l0BASGr0PxHtL6ioLLFLFBgJUZqqjwfwoWTV5Q5FGSo8TtqGudcOWnaUj1Wl3hJSwPZcIPM10rVjwr1MXNGC18d/EbbhReEXlHl8eEAKtA9YcGR9CVDSYEi2xiPxFHYOGEWCpZesrweskatAlmA5YmtgpTIXYBmVZ9nu4vo9qbRoQRnYzw1a1ZpmCmFwexFrAygoXNRufOmKDoMjKUxmLW1TVuKUCpVlN6RM7XQmU0aNFOkzqdIttnAt0sLqF9l7Mg1scsrrNTESkwZMl8VBn0Yug/quFmqwKxAuDXk0fjt0y8BFKgkCgC27PgTrYJqhXSxxyNnIKtUkDY0uSMoGy1tXRcVQ9WjJBVXMBDoxGXKqgEaBgjAB0+3lO0/gPs0P6nOdkPs9UlZVgAFVAmcOaaYCrqUsL0SD4fS4BMVwrdXQYJPRY6sDNJc7buCAph2RsoiLgaVItUkwHJYOgtLNYj9Iz57HBUTmiEEQQWtXplsSwsUXFLqMEHI4PvYaqLlAdDjULzAHppRwiT5Fekz0DpbNEYkVCENGpUpcv68A0UlZ0HaNgv4T2l/ohcp2n8B9mh/U5zs/xz2lOnZc/9k2/DebfhvNvw3m34bzb8N5t+G82/DebfhvNvw3m34bzb8N5t+G82/DebfhvNvw3m34bzb8N5t+G82/DebfhvNvw3m34bzb8N5t+G82/DebfhvNvw3m34bzb8N5t+G82/DeAW0zFF4mGdDhc2/DebfhvNvw3lNx04ZiEpGosrCbfhvNvw3m34bzb8N5t+G82/DebfhvNvw3m34bzb8N5t+G82/DebfhvALaaNEuf+ybfhvNvw3m34bzb8N5t+G82/DebfhvK+j9QQK0EQpB7JAVQV7H4wVQq6BKbqs9oiqCPZ+qigjv8Al5TtP4D7ND+pznZ/jntL6iVXxsTumSlp1gyjGfPCtIAZCmsTv4fNDdGCgaBzKIJFoLeYaNh4U5Lo9ADBVqOsK6QHqJNQcKaAvDCUQxUieQS7RhnpLjzNk9Os2BhslvwUFtEytFKacRGcSZC0ICdxlJWgSFNXyXDUqpRvMGAAUiI5EVGRYJioCwoyxDojpApNqaYSFbjBeBSLCq7U0i8rcytbMtE5JCuAqswTHsZYC6wssotorYALH5XJtlrGamq4J1RAFLQ6MGCBVZLMDJABJwsLtCHKsBXgGdgE2wpCuHWZaAmVbRLVv4eU7T+A+zQ/qc52QKA2bpI0wrFgV0aPJvcDyuvDuwROJALf5wr7HKxbYiGdzCoiw0GDpxGIL8ATNcqAMZ+6nO36oKLXVEsq2YhHoCctLlIFlmcKgsajZPQAcp0pYkOR49pN1dEAdAq3BbNvoUIUvYtAyEIpnyGqgmzjWRLq6yGdULFQdOxfxD2l9RNAicVMsBq7diwAHj5SudmSGoLxC8xG0hXMbk41qDAHQCmgwYy0EVGpuIvfKiIld6C2FE4FqBeXWu2xiNlUEULQV/1L80fRLeiQCBe2Wtr1h2kUJoy65Jb+Zo8YTpjvaMysbgAqtJuBI0mrSKrBQdReAgw7BpFOLHooS4oPEb+gAq/qIsKd6hOsKs1iWgK1sQFQbKbUAzbWcmQrlLVYaMWROGYWgVu1uoiaPKoClLVKrGekfuiGDI9DaJi9KWhEOCoRKZti0B1g0nn22kUCOev4uU7T+A+zQ/qc52RBGunBAWqugZYzYRlwS6WalUsDTTY3a1XtkL1h/JNh21B6GBZTDnteuOQOJkVKkFLP9AeaW7FAyLHx+UC9ijL1hLaj1dyoK6ir9LS5YP8AI5CYqZRUWFMJIZwp4KA2ldIGMkmhvLa6CcbaS/nzYp4mSUUD9KKdlNQA3CDKqkX8J7S+0V/S3S5bEFtDGmJY0Zb3lstJbd3LdPttqr+lsVfx8p2n8B9mh/U5zs+qnrLnW2W8PqKaRV1ZbvLirr+I9pTv/wDQzLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLJ1UFxXeJqRofZsss0XtTeiBqs0Ux+ZllllllllllllkaoNGn8M2yyyyyyzS0/wDRYuU7T+A+zQ/qc52f457S+ouvJi+BAs0sBMSClvhXUOy40XHNeovqiQPVWj2aV8GrswKaUaGtjLoRnMKC4BOSUYxKnbIAjTQFCCK6RTD7TjVcJNRQvsYllaZCa5WuBsLpnRL49+80ixd6XOr/ANksCWKV13IckigIQiCXf8hJvNgRNULo/WCuAqNaOKSbHUxKhV66fXUqaRR6RXq2hAJ3C8UVa1KmkT5UMa2s4oeogwV+QLo47TWlxLAkZGgVQFl9IGbJiAaavWi6S1S+C8sWjrgAdSmoCHfhZSDhAYMwOuJQ3vqczRy8611hXM7LVpwBUjeCHwGcCDblaDbVYjutMsQZAtEVCu8ICmlGd5C7FGqxcektMRpqALC3bqnXDdeBYbQcmotJ9eU7T+A+zQ/qc52S4w19pRAg3BEdYBYnkq/YrgGCBOH8KAoGBlKdIEtlWTNdGUxQOsCyVVRmFTUOghEfuq01QaWJsdfoOLZIMTLkIUsKq4y0AE0GoDoYxfWJdglAEAPTGkBqZg0DXTMkpojRiKcnjUrj2ZIC6wHeJhe3IaklhWjf4T2l9RXxsmRl7lYGGdBhvFAV0HY3rDM+yBsDe1ISYetS92IEibAoqENF5uN84oBnQeTemEu2LcEYf1FowhDOE9XQvsB0gDHTOgqDoE1M4i3b3wFT0BQtco2uAAUbfQZDinLFEGm4KzAZlRA6foqhpMddED3yG1XMFoXltCQuiECpQjs1i4GtkuguNQFdVrrDArCZg1vNToZF2EQkZcZMRWZoKUpRsg/8jwatV3idY3zPZgAVexBdewZAZtHQIJ+ytZhXCiLnGKI4CT9yDISWEKqV8E5JkoCxa1ldVFCCytbfwS7ZVTQtu8r94o7ixDV1bn0zQxC2zPTdxXW/rynafwH2aH9TnOyMSUFywAuNrP2eogOqkQjmg/pg0G1SmqoJi1QwQpH7hCBgSQRNRmsCoybwRKvNRzKCWNiv+4RNikCAkoFYAkWEcLWhesrtcd0KVqg6GbXSPYpHU9kavHqsJUXo3mVjOn1OlQ6wbK1qYJoe8KnbnQdhofhPaX1E3uAFLURwmzP4zgx5H/UEDoDEaIKDvUMUs3D2AUG1VMLtbba6YDebq7gkEB8EIWFtC0XiZFzRK5tOgVVKq2KxMXUMFOFGlEDxoeFoBgNjE0H/AI+sjosOiydH6CmucQ5HSw7movLLKnVBQ9QaZmwZnW7BdubY2mx8/u4LwmFGNIic02ApEXUwWtGCWzhzS60lm7AojcgaM4VuoZ0hYEAKYKNjIMDSjGkDRIS3bWOtrZq6uYyNweaUUszmrzDZYBQ0Aq3G4XgwrZ0tP+DEChYsKVCtFLKamuQ/+e8ls86MxFbKuKU3F2MNJZFELaGQXettuprMyVOXTdKgtWii1hRZiTkaND5fXlO0/gPs0P6nOdkcapUMpAQJhEpgMskRNAAB0DBLU/599aq3ra3H7wAJFIjhEwjrAVTNE0HAOwBLP8Ajd2wc+9/TSbsflWRtMNi+sVVBJixFAdNR0iqsVdVdY+cbGltoVblDS5qEmMuY0UlJ0age99tCABsH4j2lL4/9DPTp06dOnTp06dOnTp06dOnTp06dOnTp06dOnToVcZgJ0nBNE+ynTp1pZ1KEwiyFPzTp06dOnTp06dOnTobcZQL8NenTp06dOnamv9FUXKdp/AfZof1Oc7P8c9pf6IXKdp/AfZof1Oc7P8c9pT//2Q==
"I do not include in the phrase 'inductive sciences', the branches of Pure Mathematics, (Geometry, Arithmetic, Algebra, and the like,) because, as I have elsewhere stated (//Phil. Ind Sc//., Book ii.c.I), these are not //Inductive// but //Deductive// Sciences",
Whewell (1840), //History of the Inductive Sciences//, vol. 1, p. 15.
\define concatenate() {{$:/temp/searchindex!!text}}(?i)
\define av_maps() {{Maps_displayed!!text}}
<!-- Archived message -->
<div style="text-align:center; margin-top:-15px"><span style="color:#920906"><i class="fa fa-exclamation-triangle" aria-hidden="true"></i> This is an archived version of the website, dated 15.09.2020. <i class="fa fa-exclamation-triangle" aria-hidden="true"></i></span><br>(The most recent version is available <a style=" text-decoration:none" href="/">here</a>.)</div>
<!-- Clickable image -->
<center><span id="hsmall" class="center hovpic" style="font-size: calc(9px + 0.5vw); padding-bottom:0.8em; max-width:94%"><$button class="tc-btn-invisible noprint" tooltip="Click here to discover a selection of disciplinary maps!"><$action-navigate $to="Selected disciplinary maps"/>[img [Lulle_home.jpg]]</$button></span></center>
<center><span id="hbig" class="center hovpic" style="margin-top:-8px; padding-bottom:0.8em; max-width:99%"><$button class="tc-btn-invisible" tooltip="Click here to discover a selection of disciplinary maps!"><$action-navigate $to="Selected disciplinary maps"/>[img [Lulle_home.jpg]]</$button></span></center>
<!-- Image (old) <center><div style="max-width:94%" class="nodragdrop centero">[img [Lulle_home.jpg]]</div></center> -->
<!-- Search & toolbar -->
<$reveal type="nomatch" state="!!title" text=""><!-- Hide toolbar when printed -->
<table class="centertable borderless noprint">
<!-- Toolbar small screens --><tr id="hbig"><td colspan="2"><div align="center" class="noselect" style="margin-top:-10px; padding-bottom:10px">{{Homepage-toolbar}}</div></td></tr>
<!-- Search & sort --><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$edit-text class="noborderfield searchfield" tiddler="$:/temp/searchindex" type="search" tag="input"/><$reveal state="$:/temp/searchindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton" tooltip="Click here to display back the complete list of scholars"><$action-setfield $tiddler="$:/temp/searchindex" $field="text" $value=""/><i class="fas fa-times-circle"></i></$button></$reveal></div></td><td id="hminus"><div class="selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/sortby' ><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option><option value='country'>Sort by country</option></$select></div></td>
<!-- Toolbar regular screens --><td id="hsmall" class="noselect" style="padding-left:5vw">{{Homepage-toolbar}}</td></tr>
<!-- Counter --><tr><$list filter="[title[$:/temp/searchindex]!has[text]]"><td align="center" style="color: #A4A4A4; font-size: calc(8px + 0.4vw)"><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$count filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/sortby!!text}]"/></$wikify></$wikify> entries found</td></$list><$list filter="[title[$:/temp/searchindex]has[text]]"><td align="center" style="color: #cc0000; font-size: calc(8px + 0.4vw); line-height:16px"><$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> ><$count filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/sortby!!text}]+[!regexp:title[.*SyntaxError.*]]"/></$wikify></$wikify> filtered from <$wikify name="av-maps" text=<<av_maps>> ><$count filter="[has<av-maps>]"/></$wikify> entries<br><$button class="tc-btn-invisible"><$action-setfield $tiddler="$:/temp/searchindex" $field="text" $value=""/>(click on <i class="fa fa-times-circle"></i> to restore the full list)</$button></td></$list></tr>
</table>
</$reveal>
<!-- Message zone -->
<$list filter='[title[Maps_displayed]field:text[map_id]]'><div style="color:#cc0000; text-align:center; font-size: calc(9px + 0.5vw)"><i class="fa fa-info-circle"></i> {{!!message}}</div></$list>
<!-- List of maps -->
<table class="centertable" style="font-size: calc(9px + 0.5vw); width: calc(200px + 55vw)">
<tr height="30px"><th>Name</th><th id="hmed"></th><th>Dates</th><th id="hminus">Country</th></tr>
<$wikify name="search-entry" text=<<concatenate>> ><$wikify name="av-maps" text=<<av_maps>> >
<$list filter="[title[$:/temp/sortby]]+[field:text[last_name]]">
<$list filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/sortby!!text}]+[!regexp:title[.*SyntaxError.*]sort{$:/temp/sortby!!text}]">
<tr height="30px"><td><$link to={{!!title}}>{{!!last_name}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}</$link><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td width="30px" id="hmed"><div class="center">{{!!importance}}</div></td><td><div class="center">{{!!dates}}</div></td><td id="hminus"><div class="center">{{!!country}}</div></td></tr></$list>
</$list>
<$list filter="[title[$:/temp/sortby]]+[!field:text[last_name]]">
<$list filter="[has<av-maps>regexp:title<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:country<search-entry>sort{$:/temp/sortby!!text}][has<av-maps>regexp:aka<search-entry>sort{$:/temp/sortby!!text}]+[!regexp:title[.*SyntaxError.*]sort{$:/temp/sortby!!text}]">
<tr height="30px"><td><$link to={{!!title}}>{{!!title}}</$link><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td width="30px" id="hmed"><div class="center">{{!!importance}}</div></td><td><div class="center">{{!!dates}}</div></td><td id="hminus"><div class="center">{{!!country}}</div></td></tr></$list>
</$list>
</$wikify></$wikify>
<tr height="30px"><th colspan="4"><$link to="Interactive Historical Atlas of the Disciplines"><div class="clickbutton" style="display: flex; justify-content: space-between; align-items: center; height:28px"><p></p><p><i class="fa fa-angle-double-up"></i></p><p></p></div></$link></th></tr>
</table>
<!-- Link to general bibliography -->
<$reveal type="nomatch" state="!!title" text=""><!-- Hide when printed -->
<span class="center" style="font-size: calc(9px + 0.5vw)"><$button class="clickbutton tc-btn-invisible buttonfield noprint"><$action-navigate $to="General bibliography"/> <i class="fas fa-book-open"></i> General bibliography </$button></span>
</$reveal>
<!-- Button to add a scholar to the list (disabled)
<$reveal type="match" state="coll-toolbar!!enabled" text="yes"><$list filter="[title[$:/temp/searchindex]has[text]]"><$button class="tc-btn-invisible clickbutton borderless" style="float: right; position: relative; text-align: right; padding-right:6em; font-size: calc(9px + 0.4vw)" tooltip="Click here to add a missing scholar to the list"><$action-navigate $to="Add a missing scholar to the list"/><span id="hsmall"><i class="fa fa-plus-circle" style="padding-left:6px; padding-right:6px; padding-bottom:6px"></i>Add a missing scholar to the list</span></$button></$list></$reveal> -->
<!-- Google analytics -->
<span style="opacity:0; position: fixed"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Homepage&dt=Homepage" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="../Technical/Counter/Counter_pageviews.php?page=Homepage_Archived_09-2020"></iframe>
"Exterior-concerns-regarding; viz. International Politics", Bentham (1816), Appendix IV, Section VIII, p. 178.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Howerth, Ira Woods (1907), "The classification of the arts", //Popular Science Monthly// 70.
* Howerth, Ira Woods (1911), "The classification of the sciences", //Popular Science Monthly// 79.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Saint-Hilaire, Isidore Geoffroy (1854), //Histoire naturelle générale des règnes organiques: principalement étudiée chez l’homme et les animaux//, Paris: Librairie de Victor Masson.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, p. 163.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Isodore of Seville, //Etymologiae// (~600–625), transl. Barley et al., //The Etymologies of Isidore of Seville//, Cambridge University Press, 2006.
* //Institutionum disciplinæ// (doubted authenticity) [Paris, B.N. lat. 2994 A (P) & Munich lat. 6384 (M)].
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bréhaut, Ernest (1912), //An Encyclopedist of the Dark Ages: Isidore of Seville//, New York: Columbia University.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 91.
* Fontaine, Jacques (1965), "Isidore de Séville et la mutation de l’encyclopédisme antique", //Cahiers d’Histoire Mondiale// 9(1), pp. 519–538.
* Fontaine, Jacques (1988), "Quelques observations sur les “Institutionum disciplinae” pseudo-isidoriennes", in //Tradition et actualité chez Isidore de Séville//, Variorum, pp. 617–655.
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in //Medieval Analyses in Language and Cognition//, pp. 165–190.
* Pascal, Paul (1957), "The “Institutionum Disciplinae” of Isidore of Seville", //Traditio// 13, pp. 425–431.
* Weisheipl, James A. (1965), "Classification of the Sciences in Medieval Thought", //Mediaeval Studies// 27, pp. 54–90.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Lorhard, Jacob (1606), //Ogdoas scholastica continens diagraphen typicam artium//, Sangalli: G. Straub.
* Lorhard, Jacob (1613), //Theatrum Philosophicum: In quo Artium Ac Disciplinarum Philosophicarum//, Basileae: C. Waldkirch.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Øhrstrøm, Peter, Uckelman, Sara L. & Schärfe, Henrik (2007), "Historical and Conceptual Foundation of Diagrammatical Ontology", in //Conceptual Structures: Knowledge Architectures for Smart Applications//, U. Priss, S. Polovina et R. Hill (eds), Berlin: Springer, pp. 374–386.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Zabarella, Giacomo (1578), "De natura logicæ", in //Opera logica//, Venice, pp. 1–67.
* Zabarella, Giacomo (1586), //De naturalis scientiae constitutione - In quo de eius disciplina artificiosa structura//, Venice.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Jardine, Nicholas (1997), "Keeping Order in the school of Padua: Jacopo Zabarella and Francesco Piccolomini on the offices of philosophy", in D.A. Di Liscia & E. Kessler (eds), //Method and Order in Renaissance Philosophy of Nature - The Aristotle Commentary Tradition//, Ashgate, pp. 183–209.
* Landgren, Per (2016), "Jacopo Zabarella, //On Methods//, i: Books I–II; ii: Books III–IV, //On Regressus//", in M. Feingold (ed.), //History of Universities//, vol. XXIX/1, Oxford University Press, pp. 194–202.
* Mikkeli, Heikki (1997), "The foundation of an autonomous natural philosophy: Zabarella on the classification of arts and sciences", in D.A. Di Liscia & E. Kessler (eds), //Method and Order in Renaissance Philosophy of Nature - The Aristotle Commentary Tradition//, Ashgate, pp. 211–228.
* Mikkeli, Heikki (1999), "Jacopo Zabarella (1533-1589). Ordnung und Methode der wissenschaftlichen Erkenntnis", in Paul R. Blum (ed.), //Philosophen der Renaissance//, Darmstadt: Primus Verlag, pp. 150–160.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Von Bielfeld, Jakob Friedrich (1767), //Erste Grundlinien der allgemeinen Gelehrsamkeit//, Breslau: J. E. Meyer.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Buridan (~1357), //Questiones super octo libros physicorum//, ed. M. Streijger & P. J. Bakker, Leiden-Boston: BRILL, 2015.
* Buridan (~1357), //In metaphysicen Aristotelis Questiones//, Paris, 1588.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Day, Carol A. (1986), //Jean Buridan on the Classification of the Sciences//, Indianna University [PhD dissertation].
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Piaget, Jean (1918), //Recherches//, Lausanne: La Concorde.
* Piaget, Jean (1964), "Classification des disciplines et connexions interdisciplinaires", //Revue internationale des sciences sociales// 16, pp. 598–616.
* Piaget, Jean (1967), "Le système et la classification des sciences", in //Logique et connaissance scientifique//, Paris: Gallimard, pp. 1151–1224.
* Piaget, Jean (1971), "Méthodologie des relations interdisciplinaires", //Archives de Philosophie// 34(4), pp. 539–549.
* Piaget, Jean (1972), "The epistemology of interdisciplinary relationships", in //Interdisciplinarity; problems of teaching and research in universities//, Paris: Organisation for Economic Co-operation and Development.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Braun, Claude M. J. & Baribeau, Jacinthe M. C. (1984), "The Classification of Psychology among the Sciences from Francis Bacon to Boniface Kedrov", //The Journal of Mind and Behavior// 5(3), pp. 245–259.
* Vidal, Fernando (2014), "Psychology and classifications of the sciences", //Republics of Letters//, 3(3), pp. 1–22.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Omalius d'Halloy, Jean-Baptiste (1834), "De la classification des connaissances humaines", //Nouveaux mémoires de l’Académie Royale des Sciences et Belles-Lettres de Bruxelles//, vol. 9, pp. 1–15.
* Omalius d'Halloy, Jean-Baptiste (1838), "Note additionelle sur la classification des connaissances humaines", //Nouveaux mémoires de l’Académie Royale des Sciences et Belles-Lettres de Bruxelles//, vol. 11, pp. 1–7.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 164–166.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Gence, Jean-Baptiste-Modeste (1806), "Tableau méthodique, ou classification générale des connaissances", in //Dieu l’être infini, ou le principe vers lequel tend l’intelligence humaine//, Paris: Migneret, pp. 23–25.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Review of Gence (1806) in //Gazette nationale, ou le moniteur universel// 37(261), 18 Sept. 1806, p. 1157.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bentham, Jeremy (1816), //Chrestomathia,// London: Payne and Foss.
* Bentham, Jeremy (1823), //Essai sur la nomenclature et la classification des principales branches d’art-et-science//, trad. G. Bentham, Paris: Bossange.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), Philosophy as scientia scientiarum, and a history of classifications of the sciences, New York: Arno Press, 1975, p. 162.
* Itzkin, Elissa S. (1978), "Bentham’s chrestomathia: Utilitarian legacy to English education", //Journal of the History of Ideas// 39(2), pp. 303–316.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, p. 62.
* Schaffer, Simon (2013), "How disciplines look", in //Interdisciplinarity - Reconfigurations of the social and natural sciences//, Routledge, pp. 73–97.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Darjes, Joachim Georg (1742), //Introductio in artem inveniendi seu logicam theoretico-practicam: qua analytica atque dialectica in usum et jussu auditorum suorum//, Jena: C. F. Buchii.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Van Ringelbergh, Joachim Sterck (1538), //Lucubrationes, vel potius absolutissima kyklopaideia//, Basel.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Overmann, Joseph (1903), //Joachim Ringelberg: ein humanistischer pädagoge des 16. jahrhunderts//, Münster: Westfälische vereinsdruckerei.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Eschenbach, Johann Christian (1756), //Logic oder Denkungswissenschaft//, Rostock: Anton Ferdinand Röse.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 243.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* J. G. Sulzer (1758), //Kurzer Begriff aller Wissenschaften und andern Theile des Gelehrsamkeit//, Leipzig: J.C. Langenheim.
* J. G. Sulzer (1774), //Allgemeine Theorie der schönen Künste//, Leibzig.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bödeker, Hans Erich (1996), "Konzept und Klassifikation der Wissenschaften bei Johann Georg Sulzer (1720-1779)", in //Schweizer im Berlin des 18. Jahrhunderts: Internationale Fachtagung, 25. bis 28. Mai 1994//, M. Fontius & H. Holzhey (eds.), Walter de Gruyter, pp. 325–339.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 136.
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), p. 269.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Alsted, Johann Heinrich (1610), //Panacea philosophica//, Herborn.
* Alsted, Johann Heinrich (1630), //Encyclopaedia//, Herborn.
* Alsted, Johann Heinrich (1649), //Cyclognomonica oratoria//, in L. P. Piscator, //Rudimenta oratoriae//, Várad.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as a Scientia Scientiarum and a History of Classifications of the Sciences//, New York: Arno Press, 1975, p. 113.
* Hotson, Howard (2000), //Johann Heinrich Alsted, 1588-1638: Between Renaissance, Reformation, and Universal Reform//, Clarendon Press.
* Hotson, Howard (2007), //Commonplace Learning: Ramism and Its German Ramifications, 1543-1630//, Oxford: Oxford University Press.
* König, Jason & Woolf, Greg (2013), //Encyclopaedism from Antiquity to the Renaissance//, Cambridge University Press.
* Savini, Massimiliano (2009), "La Panacea Philosophica de Johann Heinrich Alsted: Un projet architectonique d’accès au savoir", in V. Alexandrescu (ed.), //Branching Off: The Early Moderns in Quest for the Unity of Knowledge//, pp. 211–224.
* Sheehan, Jonathan (2003), "From Philology to Fossils: The Biblical Encyclopedia in Early Modern Europe", //Journal of the History of Ideas// 64(1), pp. 41–60.
* Tega, Walter (2000), "Le prisme d’Alsted: l’Encyclopédie comme système des systèmes", in B. Saint Girons (ed), //Art et science à l’âge classique: actes de la Convention entre l’Università degli Studi de Bologne et l’Université de Paris X//, Paris: Université Paris X-Nanterre.
* Vasoli, Cesare (1975), //Unità e struttura logica delle scienze negli « schemi » enciclopedici di Johann-Heinrich Alsted//, in //Studi di filosofia in onore di Gustavo Bontadini//, Milano, pp. 413–438.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Tönnies, Johann Heinrich (1753), //Conspectus Encyclopaedie, litterarum naturalem ordinem exponens//, Kiel.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), pp. 243.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bilstein, Johannes (1588), //Syntagma Philipporameum artium liberalium//, Basileae: Waldkirch.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Freig, Johannes Thomas (1575), //Ciceronianus//, Basileae: Henricpetri.
* Freig, Johannes Thomas (1582), //Paedagogus: hoc est libellus ostendens qua ratione prima artium initia pueris quam facillime tradi possint//, Basileae: Henricpetri.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Luccio, Riccardo (2013), "Psychologia–the birth of a new scientific context", //Review of psychology// 20(1-2), pp. 5–14.
* Mandosio, Jean-Marc (1997), "Classification des sciences à la Renaissance", in Danielle Jacquart, //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, p. 383.
* Williamson, Elizabeth (2016), "‘Fishing after News’ and the //Ars Apodemica//: The Intelligencing Role of the Educational Traveller in the Late Sixteenth Century", in //News Networks in Early Modern Europe//, J. Raymond et N. Moxham (eds), Brill, pp. 542–562.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Argyropoulos, John (1457), "Praefatio in sexto libro Ethicorum", in K. Müllner (ed.), //Reden und Briefe italienischer Humanisten//, Wien: A. Hölder, 1899, pp. 19–30.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Mandosio, Jean-Marc (1997), "Les sources antiques de la classification des sciences et des arts à la Renaissance", in D. Jacquart (ed.), //Les voies de la science grecque//, Genève: Librairie Droz, p. 336 ff.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Case, John (1599), //Ancilla philosophiae seu epitome in octo libros physicorum Aristotelis//, Oxoniae: Barnesius.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Dee, John (1570), //The Mathematicall Praeface to the Elements of Geometrie of Euclid of Megara//, New York: Science History Publications, 1975.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Clair, Charles St (1964), "John Dee’s “Mathematical Preface”: A Sixteenth Century Classification of the Mathematical Arts and Sciences", //Proceedings of the Oklahoma Academy of Science// 44, pp. 165–168.
* Clucas, Stephen (2006), //John Dee: Interdisciplinary Studies in English Renaissance Thought//, Springer.
* Rampling, Jennifer M. (2012), "John Dee and the sciences: early modern networks of knowledge", //Studies in History and Philosophy of Science// 43(3), pp. 432–436.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Duns Scotus, John (~1295), //Quæstiones super libros Metaphysicorum Aristotelis//, transl. G. J. Etzkorn & A. B. Wolter, //Questions on the Metaphysics of Aristotle//, New York: Franciscan Institute Publications, 1997.
* Duns Scotus, John (~1303), "Quæstiones in Lib. 3 Sententiarum", in //Opera Omnia//, vol. 7, London: L. Wadding, 1639.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bermon, Pascale (2018), "Tot scibilia quot scientiae? Are There as Many Sciences as Objects of Science? The Format of Scientific Habits from Thomas Aquinas to Gregory of Rimini", in //The Ontology, Psychology and Axiology of Habits in Medieval Philosophy//, N. Faucher & M. Roques (eds.), Cham: Springer, pp. 301–319.
* Demange, Dominique (2007), //Jean Duns Scot, la théorie du savoir//, Paris: Vrin.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Locke, John (1690), //An Essay Concerning Human Understanding//, London: T. Tegg and Son, 1836.<br> Cf. especially Book 4, chap. 21.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Di Biase, Giuliana (2016), "Physica in John Locke’s Adversaria and Classifications of the Branches of Knowledge", //Locke Studies// 16, pp. 69–165.
* Di Biase, Giuliana (2013), "The Development of the Concept of prudentia in Locke’s Classifications of Knowledge", //Societate si politica// 7(2), pp. 85–125.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York : Arno Press, 1975, p. 122.
* Totok, Wilhelm (1981), "The ordering of knowledge and the knowledge of ordering between Renaissance and Enlightenment", //International Classification// 8(1), pp. 2–9. Cf. especially chap. 5c.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Lubbock, John William (1838), //Remarks on the classification of the different branches of human knowledge//, C. Knight and co.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 202.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Huarte, Juan (1575), //Examen de ingenios para las Sciencias//, Leyde: J. Maire. English transl. by Camillo Camilli, //The Examination of mens wits//, London: A. Islip, 1594. → See especially chap. VIII.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* García, Emilio & Alonso, A. Miguel (2004), "El “Examen de Ingenios” de Huarte en Italia. La “Anatomia Ingeniorum” de Antonio Zara", //Revista de Historia de la Psicología//, 25(4), pp. 83–94.
* Virués-Ortega, Javier, Buela-Casal, Gualberto //et al//. (2011), "A systematic archival inquiry on Juan Huarte de San Juan (1529–88)", //History of the Human Sciences//, 24(5), pp. 21–47.
* Vleeschauwer, Herman Jean De (1958), "Autour de la classification psychologique des sciences: Juan Huarte de San Juan, Francis Bacon, Pierre Charron, D’Alembert", //Mousaion: South African Journal of Information Studies//, vol. 27.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Vives, Juan Luis (1531), //De disciplinis//, Lugduni: Ioannem Frellonium, 1551.
* Vives, Juan Luis (1531), //On Education: A Translation of the 'De Trandendis Disciplinis' of Juan Vives//, Cambridge: Cambridge University press, 1913.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Del Nero, Valerio (2008), "The 'De disciplinis' as a Model of a Humanistic Text", in Charles Fantazzi (ed.), //A Companion to Juan Luis Vives//, BRILL.
* Nauta, Lodi (2015), "The Order of Knowing: Juan Luis Vives on Language, Thought, and the Topics", //Journal of the History of Ideas// 76(3), pp. 325–345.
* Vigliano, Tristan (2013), "Introduction à: Juan Luis Vives, //De disciplinis//", in //Juan Luis Vives, 'De disciplinis' - Savoir et enseigner//, Paris: Les Belles Lettres, pp. XI–CXLII.
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
"//Just// or //Perfect History// is of three kinds, according to the nature of the object which is propounds to represents; for it either represents a portion of Time; or some memorable Person; or some Famous Act: The first we call //Chronicles// or //Annals//; the second //Lives//; the third //Relations//. Of these, //Chronicles// seem to excel, for Celebrity and Name; //Lives//, for profit and examples; //Relations//, for sincerity and verity." Bacon (1623), Book II, p. 59.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Burdach, Karl Friedrich (1809), //Der Organismus menschlicher Wissenschaft und Kunst//, Leipzig: Mitzky.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Richardson, Ernest Cushing (1901), //Classification, theoretical and practical//, New York: C. Scribner, p. 128.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Pearson, Karl (1892), //The Grammar of Science//, London: Black. See especially chap. 10.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 292.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 2, pp. 48–53.
* Machlup, Fritz (1982), "The Mapping of the Sciences", in //Knowledge: Its Creation, Distribution and Economic Significance//, Volume II, Princeton University Press, p. 72.
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
Source: Von Bielfeld, Jakob Friedrich (1767), //Erse Grundlinien der allgemeinen Gelehrsamkeit//, vol. I, pp. 10 ff., 213 ff., 263 ff., 301 ff., 401 ff.
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
Cf. Pearson (1892), chap. 10, p. 458.
"The science of motion" Hooper (1906), p. 170.
J. G. Sulzer (1758), p. 26.
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
Source of this disciplinary scheme: Cassiodorus, Aurelius (~583), //De artibus et disciplinis liberalium litterarum//.
"In this view we have endeavoured to give the substance of what has been hitherto discovered in the several branches of knowledge, both natural and artificial: that is, in the knowledge of nature, first, as the appears to our senses; either spontaneously, as in natural history; or with the assistance of art, as in anatomy, chymistry, medicine, agriculture, &c. Secondly, to our imagination; as in grammar, rhetoric, poetry, &c. Thirdly, to our reason; as in physics, metaphysics, logics, and mathematics: with the several subordinate arts arising from each, as agriculture, painting, sculpture, trade, manufactures, policy, law, &c. and numerous remote particulars, not immediately reducible to any of these heads; as heraldry, philology, antiquities, customs, &c." Ephraïm Chambers (1728), //Cyclopaedia//, preface, p. iii.
Main source: Dove (1851), //The Theory of Human Progression//, Appendix, pp. 501–528.
"The order of human knowledge, therefore, is, logically: 1) Metaphysics, which furnishes the abstraction and the axiom to mathematics. 2) Mathematics, which furnishes the computing power
to physics. 3) Physics, which furnishes the correct rule of the arts to political economy. 4) Political economy, which furnishes the correct //mode// of action to politics. 5) Politics, which furnishes the correct mode of action to theology. 6) Theology, which furnishes the ultimate rule of action for mankind, and leads his hopes towards //immortality//." Dove (1851), p. 481.
Source: Robert Fludd (1618).
"There are of knowledge two kinds, whereof one is knowledge of
fact; the other, knowledge of the consequence of one affirmation to another. The former is nothing else but sense and memory, and is absolute
knowledge; as when we see a fact doing, or remember it done; and this
is the knowledge required in a witness. The latter is called science, and
is conditional; as when we know that: if the figure shown be a circle,
then any straight line through the center shall divide it into two equal
parts. And this is the knowledge required in a philosopher; that is to say,
of him that pretends to reasoning", //Leviathan// (1651), chap. 9, p. 51.
"All content of cognition, objectively considered, then all cognition, considered subjectively, is either historical or rational. Historical cognition is cognitio ex datis,a rational cognition, however, cognitio ex principiis." Kant (1781), p. 693
Source: Adolf Friedrich Reinhard (1770).
Source: Schütz, Christian Gottfried (1773), //Grundsätze der Logik//, pp. 130 ff. English transl. by G. Tonelli (1975).
Source: Vincent de Beauvais (1244), //Speculum majus//.
"//The Knowledge that concerns mans body//, is divided, as the Good of //Mans body// is divided, unto which it is referred. //The Good of Mans Body//, is of four kinds; //Health//; //Form//, or //Beauty//, //Strength//; //Pleasure//. Wherefore there are so many Sciences; //Medicine//, or the Art of Cure; //Cosmetick//, or the Art of Decoration; //Athletick// or the Art of Activity; and //Art Voluptuary//, which Tacitus calls //Eruditus Luxus//." Bacon (1623), Book IV, pp. 118–119.
"Now let us proceed to the knowledge which concerns the //Mind// or //Soul of man//, out of the treasures whereof all other Knowledges are extracted. It hath two Parts, the one entreateth of the //Reasonable Soul//, which is a thing Divine; the other of the //unreasonable Soul//, which is common to us with Beasts. [...] We will stile that part of the general knowledge concerning mans soul, the knowledge of the //spiracle//, or //inspired substance//; and the other Part, the knowledge of the //Sensible// or //Product Soul//." Bacon (1623), Book IV, p. 132.
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
J. G. Sulzer (1758), p. 54.
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
"LAW, or the rules and measures of SOCIETY; published in Act, Statute, Charter, Rescript, Constitution, Decretal, Senatus-consultum, Pragmatic Sanction, &c. Recorded in Institutes, Code, Novel, Register, Pandect, Corpus, Domesday, &c. Kinds; Civil, Canon, Sumptuary, &c. respecting 1°. Persons; as the King; his Prerogative, Royalties, &c. viz. Granting Dispensation, Pardon, Commendam, Exemption. Dignities, Franchises. Forest, Park, Purlieu, Vert, Chase. Impost, Subsidy, Toll, Tax, Aid, Hidage, Scutage, Prisage. Waif, Estray, Escheat, Treasure Trove, &c. Officers, and Magistrates; created by Writ, Warrant, Commission, &c. Their Oath, Test, Declaration. Visitation, Procuration, &c. Corporations; Regular, Secular, &c. made by Charter, Patent, &c. dissolved by Quo Warranto, Mandamus, &c. Subjects; as Denizen, Alien, Naturalization, Husband, Wife, Marriage, Concubine, Separation, Alimony, Dower, Affinity, Bastard, Adoption, Emancipation. Lord, Tenant, Villain, Vassal, Client, Patron. Servant, Slave, Retainer. Manumission, Enfranchising, &c. Tenure, Service, Homage, Fealty, Sergeanty, Escuage, Relief, Guardian, Wardship, Socage. Heir, Intestate, Ancestor, &c. — 2°. Estates, or things; either real, as Tenements, Hereditaments. Freehold, Fee, Customary, Tail, Gavelkind, Courtesy, &c. In Reversion, Mortgage, Hypotheca, &c. Manor, Demesn, Honours. Common, Glebe, Anvowson, &c. Acquired by Occupancy, Prescription, Descent, Conveyance, Feoffment, Fine, Recovery. Defeizance, Lease, Devise, Attournment, Investiture, Livery, &c. Lost by Alienation, Mortmain, Disseisin, Abatement. Surrender, Discontinuance, Disclaimer, Forfeiture, Resignation, Deprivation, Lapse, &c. Or personal; as Goods, Chattels, Emblements, Annuity, Debts, Specialty, Recognizance, &c. Acquired by Succession, Heriot, Mortuary, Heir-loom. Testament, Executor, Administrator, ordinary. Judgment, Fieri Facias, &c. — 3°. Wrongs or Injuries; either to persons; as Crimes, Treason, Parricide, Murder, Felony, Assault, Rape, Assassin. Adultery, Fornication, Defloration, Polygamy, Heresy, &c. Prosecuted by Indictment, Accusation, Actions of Conspiracy, and upon the Case, Habeas Corpus, &c. Punished with Hanging, Crucifixion, Wheel, Furca, Scala, Pillory, Transportation, Divorce, Scaphism, &c. Or Civil, and to things; as Trespass, Nuisance, Deforcement, &c. Remedied by Writs of Quare Impedit, Darrein Presentment, Appeal, Atteint, Error, Right, Disceit, Supersedeas, Audita Querela, &c. — Suit, or course of proceedings, whereby redress is procured; including, 1°. Process; either by Bill, Summons, Subpoena, Attachment, Capias, Exigent, &c. to which belong Appearance, Attorney, Bail, Essoin, Default, Nonsuit, Arraignment, &c. — 2°. Pleading; whence Count, Declaration, Aid Prier, Voucher, Age Prier, Bar, Abate, Release, Replication, Outlawry, Sequestration, &c. — 3°. Issue; whence Demurrer. — 4°. Trial; whence Proof, Evidence, Presumption, Oath, Affidavit, Affirmation. Jury, Challenge, Array, Verdict. Battel, Duel, Champion, Purgation, Ordeal, &c. Paine fort et dure, Rack, Torture, &c.—5°. Judgment; whence Arrest, &c. — 6°. Execution; whence Scire Facias, Reprieve, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
@@.center
//To provide new informations on a map or to leave a comment, <br>please fill in the fields below. Your participation is much appreciated!//
@@
<form method="post" action="./PHP/Send_mail.php" target="_self">
<p>
<label>Name:<br>
<input type="text" name="first_name" size="61" required>
</label>
</p>
<p>
</p>
<p>
<label>Comment:<br>
<textarea name="comments" rows="5" cols="60" maxlength="500"></textarea>
</label>
</p>
<p><button><i class="fa fa-check-circle"></i> Submit</button></p>
</form>
"Government-by-legislation-regarding; viz. the Art and Science concerning Legislation", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Science des lois et des institutions publiques" (Lancelin 1803, p. 133).
iVBORw0KGgoAAAANSUhEUgAABoAAAATQCAIAAABcKBA7AAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzdd1xUx/7/8Vlg6VUQG4giVpoFNRpRDCLYEhNrbFexYaJRE+M1kcC1x5sQNTFWLAlRMfYOdlTUiIqKih0FRUGliCB19/fHud/9EVACCqyyr+dfe+bMzPnsJubx8J2ZMzKlUikAAAAAAAAAVAwtdRcAAAAAAAAAVGUEcAAAAAAAAEAFIoADAAAAAAAAKhABHAAAAAAAAFCBCOAAAAAAAACACkQABwAAAAAAAFQgAjgAAAAAAACgAhHAAQAAAAAAABWIAA4AAAAAAACoQARwAAAAAAAAQAUigAMAAAAAAAAqEAEcAAAAAAAAUIEI4AAAAAAAAIAKRAAHAAAAAAAAVCACOAAAAAAAAKACEcABAAAAAAAAFYgADgAAAAAAAKhABHAAAAAAAABABSKAAwAAAAAAACoQARzwOh48ePDNN9+4urqam5sbGRk1atTok08+2bx5c35+vrpLqzzbtm0zMTH5+eef1V0IAAAAAABvNR11FwC8ezZs2DB69OjMzEwdHZ3GjRsbGhrGxcVt27bt3LlzH330kbqrqzwXL158/vx5VFSUugsBAAAAAOCtRgAHlM3evXuHDBmiUCgmTZr03XffVatWTWqPiIjIzs6Wy+XqLa8yTZw4sXr16r1791Z3IQAAAAAAvNVkSqVS3TUA74ycnBx7e/vExMT//Oc/gYGB6i4HAAAAAAC8A3gHHFAGmzdvTkxMdHBwmD59+j92PnHiRM+ePS0tLfX19Zs1azZnzpzs7OzCHQYOHKivr5+fn7948eImTZro6enZ2NhMnDjx+fPnz549++qrr+zs7HR1de3s7Pz9/Qu/XW7ZsmUymezEiRMHDhxo3769oaGhpaXlJ598cvv2bYVC8euvv7q4uOjr61tZWQ0ZMiQpKUk1MD8/XyaT1axZs0ipHh4eMpns8uXL0uW1a9dkMtnMmTNjYmI++ugjCwsLfX19V1fX3377rfAoqQx/f39Vy/Xr1ydOnNioUSM9PT1DQ8MWLVosXbq01L8uAAAAAABVE1tQgTIICwsTQgwdOlRH5x/+7Kxbt27YsGFyudzDw8PCwiIqKsrf33/fvn2HDh3S09NTdcvJyRk5cuTp06eHDh2qpaW1du3an3/+OT4+PjExMTMzc+zYsQqFYtWqVXPmzMnKyvrpp58KP2Lp0qXh4eG+vr59+vTZu3fvtm3boqOju3btumXLltGjRw8bNmznzp3r1q27du1aVFSUTCYr65fdunVrUFCQt7d3YGBgYmLismXLhg8frqWlNXTo0FcNmTRpUlhYmIuLyyeffJKamnrw4MHPPvssPz9/woQJZX06AAAAAABVhxJAqbm4uAghwsLCSu6WlJRkbGxsamoaExMjteTn548YMUII8d1336m6DRgwQAhRt27d9PR0qSUxMVGK55o0aZKZmSk13r59W1tb29jYOC8vT2qRlpVpaWmdO3dOaikoKHB1dRVC6OrqxsbGqh7asGFDIcTp06ellry8PCFEjRo1ihTcqVMnIYSq2tjYWOm/D7NmzVL12b17txCiVatWqhapjOnTp6taLl++HB0drbrcvn27EKJRo0Yl/1wAAAAAAFRtbEEFyuDp06dCiBo1apTc7Y8//nj+/PnYsWOdnJykFm1t7aCgIF1d3SVLligUisKdhw8fbmpqKn2uVatW8+bNhRCjR482NDSUGu3t7Zs0afL8+fN79+4VHtihQ4eWLVtKn7W0tHx8fIQQ3t7eTZo0UT20a9euQgjV3tIykcvlEydOVF1269ZNR0fn0qVLJQxxdHSU6pd89NFHxsbGt27dKvKVAQAAAADQKARwQBkolUohhJbWP/zBOXnypBCiY8eOhRstLCxcXV2fPn165cqVwu2qkE5iZWUlhGjQoEHxxufPn5fLwFJq0KCBiYmJ6lJLS6tatWp5eXk5OTn/ODY3Nzc9PT0tLa169eoKhSI3N/c1CgAAAAAAoGoggAPKwMLCQgjx5MmTkrs9evRICFH8rINatWoJIRITEws3mpmZFZ+hWrVqxRuVfz+z+LUHlpL0ZQuTXiRXwmzXrl0bNGhQjRo19PT0zM3NLSws4uLiXuPRAAAAAABUJQRwQBk0btxYCHHx4sWSu70qqJJaipyH8NL1dKU5M+G1BxZX5HjWEuYvwbVr19q0abNx48ZevXpt3Ljx0KFDR44ckZbgAQAAAACgyQjggDJwd3cXQvz5558ld6tTp44Q4uHDh0XapRZpHZxaSPFc8TeyJScnv/nkCxcuzMjImDp1anBwcP/+/T/44AMPD4/8/Pw3nxkAAAAAgHcaARxQBoMGDdLX1z99+vT69etL6CYdKhoREVG4MS0t7eLFixYWFs2aNavYKl9NW1vbwMDg6dOnhV/KlpycXOR4h9dz584d8fc33929ezctLe3NZwYAAAAA4J1GAAeUgbW1dUBAgBBixIgRixYtKrxzs/DpCoMGDapWrdrKlStVm1UVCsXUqVPz8vJGjx6tra1d+ZWruLi4KBSKlStXSpcFBQVff/11uZxSam1tLYSIjo6WLrOzsydNmvTm0wIAAAAA8K4jgAPKZtq0aRMmTMjNzZ00aZKlpWXLli3btm1rZ2dXvXr1BQsWSH3MzMx+++23nJycNm3a+Pj4DBo0qEmTJitXrmzdunVgYKB66//iiy+EEOPHj/fw8Bg4cGCjRo0OHDjg7e395jOPGzdOJpMFBAT07t17yJAhjRs3vnXrlqen55vPDAAAAADAO40ADigbmUz2888/Hz58eODAgVZWVlevXj1//nx+fr6Pj0/fvn1V3Xr27Hny5EkfH58zZ85s3bpVW1v7P//5z9GjRw0NDdVYvBBi0KBBq1evdnZ2PnXq1P79+93c3CIjIz08PN585vfff3/z5s2Ojo7h4eEHDhzw9PSMiIjw8vJ685kBAAAAAHinyYof1AgAAAAAAACgvLACDgAAAAAAAKhABHAAAAAAAABABSKAAwAAAAAAACoQARwAAAAAAABQgQjgAAAAAAAAgApEAAcAAAAAAABUIAI4AAAAAAAAoAIRwAEAAAAAAAAViAAOAAAAAAAAqEA66i4AeEvduXNnwYIFt27dcnNzU3ctVVD9+vV9fX3VXQUAAAAAAJWBAA54iQcPHrRs2dLd3d3NzU1PT0/d5VRBcrlc3SUAAAAAAFBJZEqlUt01AG8XpVI5a9as7OzsuXPnqrsWAAAAAADwzuMdcMDf5OXlyeXy2NhY0jcAAAAAAFAuWAEH/M3XX39do0aNKVOmqLsQAAAAAABQRRDAAf9fSkpKw4YNnz59qu5CAAAAAABA1cEWVOD/+/zzzy0sLNRdBQAAAAAAqFII4ID/USgUNWvWHDVqlLoLAQAAAAAAVQpbUIH/r3bt2ufOnatVq5a6CwEAAAAAAFUHK+CA/zl48KCjoyPpGwAAAAAAKF8EcMD/+Pv7e3l5qbsKAAAAAABQ1RDAAf/z119/TZ06teQ+t27dkr2MjY1N5RT5hrZt22ZiYvLzzz+ruxAAAAAAADSIjroLAN4Ke/bs6dGjRyk7GxoatmjRonCLtbV1BRT1platWuXs7NymTRtVy8WLF58/fx4VFaXGqgAAAAAA0DQEcIAQQuzdu7d79+6l7Fy/fv0TJ05UaD1vLiUl5bPPPgsKCiocwE2cOLF69eq9e/dWY2EAAAAAAGgatqACQpQxgHsnhISE5ObmFmm0sLD4/PPP69Spo5aSAAAAAADQTARwgLh69aqhoWG9evXecJ7c3FwnJyeZTLZjxw5VY1pamrW1tVwuj46OVjUePnzY09PTxMTE2NjYw8Nj3759Rabav3//Bx98YGpqWuRlc1OmTPnHSVJTU318fCZNmiSEmDBhgjQwNDRUCLFs2TKZTObv71/4Wc+fPw8MDHR0dDQwMLC0tGzbtm1wcLB06+nTp/Pnz3dzczM2NtbV1W3QoMGUKVMyMzPf8IcCAAAAAECjsAUVEF9//bW5ufmbz6Orq7ty5coOHTpMnjzZ29tbX19fCBEQEPD48eOpU6eqXhu3fv36IUOGmJiYeHh45OTkHD9+vEePHitWrBg1apTUYd++fT169KhevfqkSZOMjIzWr19/6dKlpk2b+vj49OrV6x8nUSqVHh4eubm5R44c+fDDD9u1ayeEcHV1fWnNmZmZ7u7uFy5cMDU1bdu27YsXLy5evPjgwQPpbnBw8LRp02xsbLy9vYUQR44cCQoKiouL27Jly5v/XAAAAAAAaAoloPG6du26b9++0vS8efOmEMLR0bGEPuPHjxdCzJgxQ6lUXrp0SVtb28HBISsrS7r75MkTU1PTOnXq3L9/X2qJjo6Wy+VGRkYpKSlSS4cOHYQQp0+fli7T0tIsLCzq1aunekRpJpk+fboQ4pdffilc29KlS4UQ06dPV7V89dVXQoiePXtmZGRILc+fP09NTVU9es+ePQUFBdJlQkKCiYmJEOLBgwel+bkAAAAAAIBSqWQLKiAiIyPd3d1L3//KlStFdobOnj1bdXfu3Lm2trbff//9vXv3vvjii4KCghUrVhgYGEh3N2zY8OzZsylTpqhexNa8eXNvb+/MzMywsDCp5erVq7q6uqrDE8zMzJycnO7evZuYmFj6SUqjoKAgODhYW1t7xYoVxsbGUqORkZFqPaCZmVn37t21tP73HwobG5tOnToJIW7cuFH6pwAAAAAAoOHYggpNd+HChYYNGxoZGZV+iJGR0XvvvVe4pX79+qrPJiYmS5Ys6dWrl6en5+3bt0eOHNm5c2fVXen41IMHD167dk3VeOfOHSHE7du3pUtra+uUlBRp4ZvUkpSUJISQ9rSWcpLSiI2NTU9Pd3V1rVWrVsk98/Pzs7KyFAqFVFJ2dnbpnwIAAAAAgIYjgIOmO378eJmWvwkh6tWrd/DgwRI69OzZs0+fPlu2bLGysvrxxx8L35Jer7Znz57io1Sp1qhRo6ZMmeLr6ztr1iwjI6M1a9bcuHHD29u7WrVqpZ+kNKRcr3bt2q/qkJqaOnfu3K1bt8bFxSmVytLPDAAAAAAAVAjgoOlOnDjRp0+f8p0zNzc3NjZWCJGWlnbv3r3CJzxIMdapU6eKrKEr7Msvv3z69Om8efO2b98utXh7e//+++9lmqQ0pHlkMtlL7+bk5HTq1CkmJqZLly7+/v62trY6Ojpz5swpOXwEAAAAAABF8A44aLS8vLyIiAjp0INyNHfu3KtXr/bv31+pVI4aNaqgoEB1S9rseffu3RKGy2Sy5ORka2vrXbt2RUREJCQkhIWFWVtbl2mS0pDmfPjw4Uvvbtu2LSYmpn379uHh4SNGjOjSpYuHh0eZ9uoCAAAAAABBAAcNt2LFClHiHszXEBsbO2/evHr16q1du9bPz+/s2bOLFi1S3ZW2u+7atauEGc6dO7dq1aopU6b07NmzY8eONjY2RTqUZhIdHR0hRF5eXgl9mjVrZmJiEhMTk5ycXPyu9FK5Dh06qA5hUCqVly5dKmFCAAAAAABQHAEcNNrdu3enTJlSjhNKS95yc3MXLlxoYGAwa9YsKyurgIAA1Wq1IUOGmJmZhYaGbtiwQTUqJydn/fr1qsuEhAQhRH5+/queUppJatasKYQoOS/T0dHx9fXNz8/38/PLyspSzSMdciqtj4uOjlb1nz9/flxcXCl+BgAAAAAA8P/JeLE6NNkHH3zw3XffFT6ltGS3bt1q2LChoaFhixYtCrdbW1tv3bpVCPHrr7+OHz++e/fuqhMSgoODR48e3bVr1/DwcKll586d/fv3z8nJadiwoZ2d3bNnz65cuZKdna1K3JKTkx0cHLKyslxdXQ0MDGQymYmJyXvvvTdhwgTVuaj/OMm9e/caNmyYn5/fq1cvQ0PDjz/+uH///suWLRs3btz06dNnz54tdcvIyHj//fdjYmIsLCxcXV2fPXt248aNwYMHL1u2LDU1tWnTpklJSe3bt2/cuPHFixdv3LjRv3//1atX79u3z8fH5/V/dwAAAAAANAkr4KDRzp0716pVq7KOysrKivy7M2fOCCHu37//zTff6OnpFd5zOnLkyNatW+/fv191isKHH3545syZAQMGPHv27MiRIzdv3nRzc1u8eLFqyM2bNx0dHQsKCs6fPx8ZGXnixIl9+/YFBga2b98+JyenlJPY2dmtX7++SZMmYWFhERERcrn8pd/FxMQkMjLym2++qV69+smTJ2NjY52cnHr37i2EsLCwCA8P9/T0vHz5snSia2Rk5JgxY8r6cwEAAAAAoOFYAQfNdevWrW7dut28eVPdhfxNaGjooEGDfH19Z86cKb2cLi8vLyEhwdfXNyIiYv/+/V5eXuquEQAAAAAAlAEr4KC5Xm/5W0WTVrH99NNPqqMh5HK5vb19ly5dhBCPHz9WZ3EAAAAAAKDsCOCgud7OAC43N1epVD548KBwY3Jy8vr162UymZubm7oKAwAAAAAAr0dH3QUAanPmzBl/f391V1HUuHHjoqKi2rdv37dvXxsbm2fPnsXFxYWHh2dlZU2fPr1Ro0bqLhAAAAAAAJQN74CD5tLW1r5z546dnZ26Cylq586dixcvPn/+fFpamq6uro2NTdu2bX19fUt/WisAAAAAAHh7EMBBQz18+LBVq1aJiYnqLgQAAAAAAFRxvAMOGiomJsbZ2VndVQAAAAAAgKqPAA4aigAOAAAAAABUDgI4aKjLly87OTmpuwoAAAAAAFD1EcBBQ7ECDgAAAAAAVA4OYYCG0tPTy8jI0NXVfVWHQ4cO7dy5Mz4+noVypVG/fn1fX191VwEAAAAAwNtIR90FAGpw8+ZNOzu7EtK3s2fPDhgwYNiwYQ4ODnp6epVZ2ztKLperuwQAAAAAAN5SBHDQRCXvP122bNmkSZOuXLnSoEGDyqwKAAAAAABUSQRw0ES7du1KSUl56a3U1NTJkydnZ2dXckkAAAAAAKCqIoCDJjIxMWnVqlXx9tzcXGtr69u3b1d+SQAAAAAAoKriFFRoopSUlBYtWhRvHzNmzIIFC+rWrVv5JQEAAAAAgKqKAA6a6Pr1640bNy7SePbs2aioqPHjx6ulJAAAAAAAUFWxBRWaqHgAd+LEid69e2/dulVdJQEAAAAAgKqKFXDQOA8fPjQ2NjYxMSnc+OOPP65atapjx47qqgoAAAAAAFRVBHDQOMWXv92+ffvy5csfffSRukoCAAAAAABVGAEcNE7xAG7y5MkDBgxQVz0AAAAAAKBqI4CDxikSwOXn54eFhX377belGXvr1i2ZTObk5FT81t27d2UyWZMmTVQt9+7dq1mzZv/+/d+85tf2NtQAAAAAAICGI4CDxikSwO3atatnz55GRkbl/qC4uLikpKRTp06VfsiqVavOnDmj3hoAAAAAAED5IoCDxomJiSkcwIWEhAwdOrQiHtSpU6c1a9aU/mTVlJSUzz77rHwDuLLWAAAAAAAAyp2OugsAKtXVq1cfP37coEED6TIzM3P//v0VlE/JZLLhw4eXvn9ISEhubq56awAAAAAAAOWOFXDQLHFxcZ6enqrL8ePH29raVtCzrl27JpPJOnTooGrJzc0NCgpq3ry5qamppaVl69atAwMDk5KSUlNTfXx8Jk2aJISYMGGCTCaTyWShoaHSKKVSuWbNmnbt2pmYmBgbG7///vvr168v8qyaNWv27NlTCLFgwYJ69eppaWmtWbPmpTUIIZ4/fx4YGOjo6GhgYGBpadm2bdvg4GDp1tOnT+fPn+/m5mZsbKyrq9ugQYMpU6ZkZmZW0E8EAAAAAIAmYAUcNMvt27dVy9+EEGlpafPmzau0p48bN2716tX16tXr1q1bWlrahQsX5syZM2rUKLlc7uHhkZube+TIkQ8//LBdu3ZCCFdXV9Wo5cuXW1padu3aVQhx9OjRwYMHnz9//scffyw8eWJi4vz586dNm+bk5NSqVatGjRq9tIbMzEx3d/cLFy6Ympq2bdv2xYsXFy9efPDggXQ3ODh42rRpNjY23t7eQogjR44EBQXFxcVt2bKl4n4WAAAAAACqNgI4aJYiAdyOHTsq7f1o6enpa9ascXBwuHLliq6urhCioKAgOjpaWoI3bdq058+fHzlyxMvLa/z48apRe/fuXb58eYsWLY4cOWJmZiaESE1N7dy5c1BQUPfu3T/44ANVz+vXr//www8REREdO3YsoYzAwMALFy707Nlzw4YNxsbGQojMzMy8vDzprp+fn7Ozs4+Pj5aWlhDi/v37zZo127p1a2JiYu3atcv/RwEAAAAAQAOwBRWa5datWw4ODtJn6fxTmUxW1kmuXLkiK6Z+/folj5IiLS0tLW1tbalFW1vbzc2t5FHLli0TQsyePVtK34QQFhYWc+bMEUIsXry4cM+srKzx48eXnL4VFBQEBwdra2uvWLFCSt+EEEZGRubm5tJnMzOz7t27S6UKIWxsbDp16iSEuHHjRsl1AgAAAACAV2EFHDRL4RVwO3bs+Oijj15jEkNDw9atWxdpzM7O/uuvv0oYZWJi4uXltX///h49egQFBTk6OpbmWSdPnhRCuLu7F2708PCQyWQRERFFOvfr16/k2WJjY9PT011dXWvVqlVyz/z8/KysLIVCYWFhIYTIzs4uTbUAAAAAAKA4AjholsIB3IYNG7y8vF5jkvr16x89erRI4927d/9xEdz69etHjhy5Y8eO8PBwLy+v6dOnS+vLXiUvL+/p06dGRkYmJiaF26WWlJSUnJwcPT29woWVXEBSUpIQooTNpKmpqXPnzt26dWtcXJxSqSx5NgAAAAAAUBpsQYUGiY+Pr127to6OjhAiMzPTwMDAw8OjMguwtLTcvn376dOn+/Tpc+jQIQ8Pj8mTJ5fQX9oe+9IgTGossn9WerVcCV46SiUnJ6dTp04//vijvb39qlWrDhw4cOTIkS5dupQ8JwAAAAAAKBkBHDRI4eVvd+7cqV27do0aNSq/jLZt227evPnMmTN2dnYLFy4MDw9/VU8dHZ0aNWpkZWU9e/ascHtmZmZGRka1atX+MXErwtraWgjx8OHDl97dtm1bTExM+/btw8PDR4wY0aVLFw8PDyMjozI9AgAAAAAAFEEABw1S+ASGAwcOvN7+0/LSqlWradOmCSFOnToltUhL81QHkkqkPapFXvcmXXbo0KGsD23WrJmJiUlMTExycnLxu3fu3JGmVR3CoFQqL126VNanAAAAAACAwgjgoEEKr4A7ePBgJW+ujIuLu3btWuGW6Oho8X+r0oQQNWvWFEIUCbzGjx8vhPjuu+9SU1OllvT0dH9/fyGEn59fWWvQ0dHx9fXNz8/38/PLysqSGnNycqRDTqVKpKok8+fPj4uLK+tTAAAAAABAYRzCAA1y+vTpAQMGSJ8PHDiwc+fOynz6lStXevXq1aRJExcXF7lcHhMTc+nSJRsbm08//VTq0K1bN7lc/ttvv6WkpBgaGn788cf9+/d3d3f/9ttv586d6+DgoDr89MmTJ59//nm3bt1eo4xZs2YdPnx427ZtNjY2rq6uz549u3HjxuDBg5ctW9anTx9/f/8DBw68//77jRs3vnjx4o0bN3x9fVevXl2uvwQAAAAAAJqFFXDQIDdv3rS1tRVCLF++vFatWtKWz0rTunXrSZMm5ebmbt++fdu2bdnZ2WPHjj19+rSFhYXUwc7Obv369U2aNAkLC4uIiJDL5VL7nDlzQkNDGzduHB4eHhYW5uDg8Ntvvy1evPj1yjAxMYmMjPzmm2+qV69+8uTJ2NhYJyen3r17CyEsLCzCw8M9PT0vX768ZcsWKyuryMjIMWPGlMvXBwAAAABAY8leesAiUCVVr149NjbWyspqwoQJ+vr6P/zwg7orAgAAAAAAVR8r4KApsrKysrKyrKyshBCXL1/u0aOHuisCAAAAAAAagQAOmuLu3bv16tWTPp84ceI1jhAFAAAAAAB4DQRw0BT37t2zs7MTQpw+fdrNza2SXwAHAAAAAAA0FgEcNIVqBRzL3wAAAAAAQGUigIOmIIADAAAAAABqQQAHTaHagrpr164WLVqouxwAAAAAAKApCOCgKaQVcLGxsfb29nXr1lV3OQAAAAAAQFMQwEFTSCvgzp49265dO3XXAgAAAAAANAgBHDRCTk5OampqzZo1z5w506ZNG3WXAwAAAAAANIiOugsAKoPqBXBnzpwZOnToP/a/du1aaGhoWlpacnJygwYNKr7Ad0/9+vV9fX3VXQUAAAAAAO8AAjhohOjoaHNzcyHEP66AW7dunZ+fn5mZmVwu7927d7Vq1fT09CqrzHeJXC5XdwkAAAAAALwbCODeSffv37e1tRVC3Lx508HBoQoX0Ldv30OHDm3cuLFr165vMs+ZM2fkcvk/pm979uz58ccfg4KCunTpYm9v/yZPBAAAAAAAkPAOuLeIrBRGjRql7jIrj1Kp3L59e1pa2p49e95wKhMTEy8vrx07djg5Ob2qz/nz53v37r1x48YxY8aQvgEAAAAAgPJCAIe3l0wmmzhxoqur6+DBg99wqoSEBFtb2+Dg4Pfff/+lHdLT09u0afPs2bNGjRq94bMAAAAAAAAKYwvqWyQjI6PwZatWrW7cuDFjxowvv/xS1ahpL94KCgoql3kSEhJq1aqVlpb20nMDrly54uLiUlBQUC7PAgAAAAAAKIwA7i1ibGxc+FImkwkhdHV1i7TjNSQkJGRkZLRo0eKld9977720tLRKLgkAAAAAAGgItqC+27S1tY8ePert7W1ubm5gYODk5DRv3rz8/PziPe/duzdmzBhbW1s9Pb06deqMGDHixo0bL53z3LlzgwcPtrGx0dPTq1mz5oABA6KiokpfUimHFxQULFy4sFWrVsbGxsVfdRcaGip1c3BwkMlkwcHBhcfGxsZOmjTJ0dHRyMhIX1/f3t5+9OjRd+/eLaGqhISER48eNW/evPitatWq/fLLLyYmJqX/jgAAADLiLVkAACAASURBVAAAAKVHAPdu27Vr1wcffHDw4EG5XJ6bm3vlypVvv/32008/LdLt5MmTzs7OK1euvH//vqGhYWJi4tq1a1u2bHno0KEiPRcvXty6dev169cnJSWZmZmlpKT8+eefbdu2XbRoUWnqKeVwpVLZt2/fyZMnX716tX379l27djU0NJRuWVpatmnTpk6dOq96xOXLl5s1a7Zo0aKrV6/q6+vL5fK4uLjg4ODWrVvfu3fvpUPS0tLkcvnVq1eLr4CbPn26n5/f8OHDS/PtAAAAAAAAXgMB3Lvtyy+//Oyzz5KTkx8/fpyRkTFu3DghxObNm8+cOaPqk56e3rdv34yMjAkTJqSlpaWmpiYmJvbq1SszM3PgwIHPnj1T9Tx+/PjEiROVSuXs2bNTU1OTk5PT09MXLVokk8kmT55cPK0rovTDd+/evX37dgMDg7Nnz+7fvz88PPz8+fNGRkZaWlpHjx7966+/3N3dX/UUJyencePGLV26NDk5+enTpxkZGZGRkZaWlk+ePPnhhx9eOkQ6gSE6OrpIAHfgwIG1a9fOnTu35O8FVFW5ubn169f38/NTdyEAAAAAUMURwL3b3N3dFy9ebGlpKYQwNDRcuHBhrVq1hBCHDx9W9VmxYsXDhw87d+78888/m5mZCSFq1aoVEhJibm7+5MmTdevWqXoGBgYqFIp//etf06dPl148Z2Bg8MUXX0yePFmpVPr7+5dcTOmH79y5UwjRr18/R0dHqaVx48b9+/dXKBS///77P37rJUuW+Pn5Va9eXbps3779lClThBDHjx9/af9XBXDDhg3bvHnzPz4OqGjnzp2TyWT9+/ev6AfFx8evXr1adalUKl+8ePHixYuKfi4AAAAAaDgCuHfb0KFDC1/q6uq6uroKIR48eKBqlDKmAQMGFO5pZmbWsmVLIcSxY8ekltTU1KNHjwohiu/HlE4OPX369Kv2eJZ1eGJiohCifv36hbvVrVtXCHHr1q1XPaIETZs2FUI8efLkpXcTEhKMjY0bNWpU+AzZX375pV+/fu3atXuNxwHlKyQkRCaT7dq1q6IPA9mwYUPhAE5PT+/Bgwe//fZbhT4UAAAAAMApqO821QoyFWk1XHZ2tnRZUFBw4cIFIcSMGTOK7NBMSkoSQjx69Ei6vHDhglKpFEIUP6mgSZMm+vr62dnZZ86csbOze2klZRpubW0thEhOTi7cTbo0NTUtzRePj48/duzYvXv3MjMzFQrF7du3pS/70s4JCQnp6ekZGRmqltTU1IkTJyoUitI8C6hQ+fn5GzZs6NOnz+bNmzdt2jR69OgKelBubu6WLVt0dXULN2pra1fQ4wAAAAAAKqyAe7eZm5u/tF3KwoQQaWlpubm5QoiHDx/e/rvnz58LIfLy8qSeT58+FUJoa2sXn1NLS6tatWqiWGRWWJmG9+rVSwixadMmVfyXnJy8adMmIYSPj0/JXzkjI2PAgAH16tUbOnSov7//vHnz5s+fX/JO0oSEhBo1arRu3VrV8vnnn3///fclPwioHPv3709OTh41apSzs3ORLdj5+fkBAQENGjQwMDCoW7duv379YmNjVXeXLVvm4uKir69fvXp1Hx8fKVIXQhw5cqRdu3YGBgY1a9acNGlSVlaWEOL+/fvNmjWLioqKjIyUzho+e/asEEJfX3/IkCGqOTMzM6dMmVKvXj09PT1bW9uxY8dK7Zs2berSpYuZmZmurm7Tpk1ZNAcAAAAAZUIA9277x9UrqiTu8OHDypc5ceKE1EEmkxXu/9J5pD4vVabhvXv37tWr15MnTxwdHYcMGTJ06FBHR8cnT554e3v369ev5G/06aef/vnnn6ampgsXLrxz505ubq5SqZTCu1dJSEjIy8tzc3OTLh88eHDs2LGpU6eW/CCgcvz+++/m5uYffPDBJ598EhkZGRcXp7o1Y8aMuXPnDhgwYOnSpSNGjLh9+7b0dkUhREBAwLhx44yNjb/55ptRo0YplUorKyshxLFjx7y9vY2MjBYtWjR48OBff/1V+jNlbm6+du3aunXrOjs7Hz9+/Pjx402aNClSiUKh6NmzZ1BQUOvWrQMDAz/66CN9fX3p1ubNm01NTQMCApYsWWJqajp8+HBpyzkAAAAAoDTYglrFmZuba2trFxQUFH4r3EtJ20IVCkVKSoq0YE1FahRCqM49eMPhWlpaW7du9fb2Pnz48Pr163V1dR0cHL766quvvvqq5Ejxxo0be/bsEUKsXbu2d+/eqnbVOr6XSkhIEEIMGjRIuvz444+l19IBavfs2bOdO3f269dPLpf3799/xowZISEhAQEB0t2IiAg3NzfVQb0zZsyQPiQlJc2fP79Lly5hYWFF/sh8/fXXjRo1Cg8Pl9r19fXnzp175syZNm3adOjQwcDAwNTUtEOHDi8tZufOnUePHp0xY4aqAJWNGzeqPnfv3r1OnTqbNm3y8PAoh58AAAAAADQAK+CqOB0dHRcXFyGEaqXbq7Ro0UL6G/v58+eL3Lpy5UpOTo4QolWrVuU1fNGiRYcPH/b391coFNnZ2ZcvX542bVrhQxJe6urVq9KHzp07F24vvGioOGnLrZOTkxDi8uXLCQkJM2fOLPlBQOXYvHnzixcv+vbtK4Ro1qxZs2bN/vjjD9VdJyen8+fPr1u3rsja0sOHD+fm5o4ZM6ZI+pacnHzmzJmuXbtmZGSkpaWlpaVJf+j+8Y+/ZN++fUKIcePGldytdu3a5ubmUqoOAAAAACgNAriqr3///kKIDRs2SGePvoqxsXGPHj2EEIUPSZRILS1atLC3ty+v4bNnz9bW1i6+0KZkqvfHv3jxQtX44sWLlStXvmpIamqqmZlZSkpKvXr1hBA///wz6RveHiEhIXp6evb29rdu3bp161anTp1u3rx56tQp6e6cOXM8PT2HDBnStGnT4ODg/Px8qT0+Pl4UO0dY/F8SvWDBAov/06dPHyFEampqaYqJj483MjJ66ULXI0eO9OrVy9bW1tTU1NjYOC0t7VX7zQEAAAAAxRHAVX2fffZZvXr1nj175unpGR4enp2dLe1I3bhxY/fu3W/cuKHqOWPGDLlcvmHDhsDAQOnM0Ozs7J9//vnnn38Whba/vUrphyuVSqmMP//88/Hjx9JSHWmVXMlatmyppaUlhJg5c6YURjx8+HDYsGEPHz581ZAHDx5Uq1ZNWv52/fr1Cj1lEiiT+Pj4iIiInJwcJyenhg0bNmzYcOnSpUKIkJAQqYOFhcW+ffsiIiLq168/evTo999/Xzo7RQq/9PT0ikwotfv5+R35u1LuuVYqlcXnFEIcP37cy8vr4cOHS5YsiYqKunDhgqGh4Rt8bwAAAADQOARwVZ+pqenOnTtr16597do1Hx8fAwMDHR0dGxubgQMH7tu3T6FQqHo2b958zZo1crl85syZ1apVq1GjhpmZ2cSJExUKxaxZs6SjS0tQ+uEymUza5jZkyBBra2tpqY6+vr6dnd3MmTNLeKFbzZo1pfhs6dKllpaWtWrVql279t69e/fs2fOql8clJibq6+s7OzsLIZycnDj8FG+PP/74Q6lUzps3b1MhLi4uGzdulA4vlnTs2HHfvn0hISFnzpwJDg4WQtjY2Agh7t69W2RCOzs76YPH3xVfK/dSNjY2qamp6enpRdpDQkIKCgo2bdrUq1evxo0b165du/ASVAAAAADAPyKA0wjOzs6XL1/+7rvvnJ2dDQ0NdXR0atWq1aNHj99//71BgwaFew4ePDg6Onro0KHW1tapqanSFrbjx4/7+/uX5kGlHH7nzp1nz54Vj8zi4+MDAwM///zzEh6xePHimTNn2tvbv3jxoqCg4JNPPjlz5oynp6e0xq046fQJJyen1atXDxs2bOzYsaX5IkAlCAkJsbOzmzp1at9Cxo4dm5KSIh02Unib54cffiiEkBZ7enp6yuXy1atXF9kHWqtWLTc3t40bNz5+/FjVKJ13LH02NDRMS0t7VT3dunVTKpXFd5FLw83NzaXLnTt3sv8UAAAAAMpExt+jUMliY2PbtGkjhAgODu7evbuJiYkQQqFQPH78eOnSpdI+1szMzH88kKGUZs+evWDBgl9++SUoKGjlypUtW7Ysl2mBN3T27NnWrVsXP3I0LS2tVq1aPj4+27Zta968ube3t7Ozc05OzoYNGyIiIo4fP/7ee+8JIaZNmzZ//vxOnTp5e3tnZWUdP358y5YtlpaWkZGRnp6e1atXHzJkSI0aNRISEnbv3h0ZGWllZSWE+Pzzz5csWTJ79mwbGxt3d3d7e3t9ff2+fftKJz8UFBR07Njx1KlTgwYNcnV1TUpKunv37ubNm//444+hQ4d++OGHAwcOjI2NXbt2rRCiffv2oaGhavjhAAAAAOAdpKPuAqBxvv/+++fPn8+fP3/AgAGqRi0trRo1avj7+8+ePTsvLy8+Pr7I0rzX9uDBg8zMTCFEdnY26RveHiEhIVpaWsXfzmZubv7JJ59s3rz56dOnXl5eGzdu/Omnn4yNjZ2cnHbt2iWlb0KIefPm1apVa9myZYGBgQYGBp07d5bey/b+++8fO3YsICDg119/zcrKqlOnjirmFkIEBATcvHlz9uzZhoaGe/fuLXKsira2dlhY2PTp07du3RoaGmplZTVy5EghxJAhQ65fvx4cHBweHt6yZcvt27cvXbpUes8jAAAAAKA0WAGHyubu7n7ixIlVq1YVjx7i4uLs7e11dHRSUlJUkcEb6tatW2RkpKOjo7u7+3//+99ymRMAAAAAAKD0eAccKluzZs2EEL/++mtiYmLh9nPnzn3yySdCiI8//ri80jchRFxcnIODQ1RU1Lx588prTgAAAAAAgNJjBRwqW3x8/Hvvvffw4UO5XN68eXNzc/MXL17cvn1bert827Ztd+/eLb2vqlyYmZk5OTk5ODj89ttv5TUn3i2DBg26cOHClStXZDKZumsBAAAAAGgiVsChstWtWzc6Onrq1KlNmjSJjY09dOhQdHS0kZFR3759Q0NDT548WY7pm0KhyMjIePjw4bBhw8prTryGo0ePyv6PgYFBs2bNAgICXrx4URHPio+PL3KO54sXL168eMH/bAAAAAAAqAsr4FCV3b9/v0GDBgqFIi8vT921aLSjR4927tx50KBB7dq1S05OPnDgwOnTpz08PA4fPlzuq9Lmz5+/a9euEydOFG5UKBRaWvz/BgAAAACAevA3UlRlDx48KCgoGDNmjLoLgRBCdO7cefz48TNnzjx16lS/fv2OHj165MiR8n1Ebm7uli1bireTvgEAAAAA1Ii/lKIqu3PnjkKh8PPzU3chKGrw4MFCiAsXLkiX+vr6wcHBhw8fdnR01NLSOnTokBBi06ZNXbp0MTMz09XVbdq0aZG3+GVmZk6ZMqVevXp6enq2trZjx469f/9+s2bNoqKiIiMjpe2uZ8+eFUL4+PjY2NiUMFBqj4qKGjRoUI0aNeRyee3atb/99tucnJzK+TUAAAAAAFWbjroLACrQ/v37tbW1nZ2d1V0IitLV1RV/X5h27Nixf//733369Pn000+dnJyEEJs3bzY1NQ0ICDAzM1u5cuXw4cPt7Ow8PDyEEAqFomfPnkePHu3bt2+LFi0SExO1tbXNzc3Xrl07ePBgMzOzJUuWCCGaNGlS5LkvHSjdOnXqVFxc3Pjx421sbA4cODBv3jw9Pb3AwMBK+T0AAAAAAFUZAZw67dixQ1qhgwqyYcMGfX19dVeBl5DWuLVs2VLVEhISsmfPnu7du6taNm7cqPrcvXv3OnXqbNq0SQrgdu7cefTo0RkzZgQEBBSetkOHDgYGBqamph06dHjpc181UAjxxRdffPHFF9Ln4cOHnz9//s8//ySAAwAAAAC8OQI4tZkyZcqyZcumTZum7kKqMplMZmpqqu4q8D+pqan37t1LSkrasWPHwoUL27Vr5+7urrrr7OxcOH0ronbt2ubm5ikpKdLlvn37hBDjxo0raw2lHCiTyZo2bXr69Omyzl9cTk5OeHh4WFiYlpbWrVu3Wrdu/eZzvp3q16/v6+ur7ioAAAAA4G1EAKce/fv337Vr16VLlxo2bKjuWqqytLS0P/74Q91V4H+mTp06depUIYSWllbfvn2XLl1a+AjUxo0bF+l/5MiRn3766cKFC+np6QqFIjMzU3Vqc3x8vJGRUfXq1ctaQwkD79y5M2vWrBMnTjx+/Dg/Pz87O9vKyqqs86tkZ2dHRkYuXLjw+vXrmZmZDRo0qFGjhlKp1NPTe+0533JyuVzdJQAAAADAW4oATg0+/fTTsLCwxYsXk75VtA4dOixevDgpKalGjRrqrgViwoQJ3t7epqamjo6O1apVK3K3SDJ1/PhxLy+v5s2bL1mypFGjRtra2q6urqq7r51kvWpgampq+/bthRCzZ89+77339PX1x4wZc/Xq1dd4REFBwcyZM+fNmyeEcHNzCwkJadu27WvMAwAAAACoMgjgKtvGjRt37dqVmZk5cuRIdddS9dnY2Jiamu7YsWPMmDHqrgXCxcWlR48epewcEhJSUFCwadOm+vXrCyGysrJevHihumtjY7N///709HQzM7My1fCqgfv27UtKSlq9evWIESOklszMzDLNLNm2bdvZs2fXrVt34sSJNm3avMYMAAAAAICqR+ufu6Bc/fjjj5mZmS4uLuouRCPUqVOnoKBgzZo16i4EZSbtNjU3N5cud+7cqdp/KoTo1q2bUqlcvXp18YGGhoZpaWmvmvZVA4s87u7du5cuXSprzV5eXt9++210dHRMTAzpGwAAAABAhRVwleru3bvXrl3T1tbu2bOnumvRCLVq1UpLS4uLi1u/fv2gQYPUXQ7KoFOnTsHBwcOHDx84cGBsbOzatWttbW1Vd3v37t2+ffuvvvrq3Llzrq6uSUlJd+/e3bx5sxCiXbt2S5YsmTNnjo2Njbu7u729feFpXzWwXbt2urq6/v7+GRkZaWlpCxcubNSoUVJSUukLbtiw4UcffTR58uQSjpIAAAAAAGgmArhK9csvv2RnZ5uYmHh6eqq7Fk1Rp04df3//LVu2EMC9W4YMGXL9+vXg4ODw8PCWLVtu37596dKlGRkZ0l1tbe2wsLDp06dv3bo1NDTUyspKtac7ICDg5s2bs2fPNjQ03Lt3b5EA7lUD7e3t161bN3369NGjR9vZ2c2ePVupVH711VelrNba2nrdunVeXl7l9wMAAAAAAKoOWeFdXahoJiYmz58/l8lkeXl52tra6i5HI7Rv3z4oKKhDhw55eXlaWuy5RvnLycmxtLR8/vy5ugsBAAAAALylyCMqz/bt26UAqFmzZqRvlaZOnToPHjzo37//n3/++eaz3bp1S1aIiYlJixYtAgICUlNT33zywr7++msLC4u//vqrXLqh4mRlZRkYGKSnp6u7EAAAAADA24sArvL8+OOPz54909LSKv1BkHhzNjY29+/fd3d3X7x4cXnNaWxs7O3t3aVLFxsbm8uXL8+aNat58+b3798vr/mFEFFRUWlpaZcvX1a15Ofnz5w5Mzk5ueRuqExZWVlmZmYpKSnvaKR++vRpmUwWHBwsXQ4aNKhZs2YsiwYAAACAckcAV0kePnwYHR0thDA1Ne3SpYu6y9EgUgD32Wef/fXXX5mZmeUyp52dXVhY2IEDB2JjY69du+bm5hYfHz9lypRymVyydOnSZcuWDRkyRNUSFhYWGBhYJIAr3g2VpmfPnk5OTrGxsaqzU1+DtJRy9OjRxW8FBwdLd0+fPv0GZZbBixcvXrx4QQAHAAAAAOWOAK6SbN68uaCgQAhhaGjo7u6u7nI0iLQFVQgxcuTIP/74o9znb9CggbSAaNeuXfn5+eU1bdOmTceOHaunpyddKpXKNWvW/GM3VJrp06e7ubnduXPHwcHhDacyMjLaunVrXl5ekfYNGzYYGRm94eRlsm3bttu3b/OqRAAAAAAod/xFq5Js3bo1JydHCJGVlaWvr6/ucjSItAJOCDFs2LDff/+9Ih7h4uJiYGCQlZX1+PFjVeO9e/dGjhxpY2Ojq6tra2vr5+eXmJhYeFRubm5QUFDz5s1NTU0tLS1bt24dGBiYlJQk3R04cKBMJjt48KAQ4uzZs87Ozlu3bhVCODs7S6uiHj16VKTbZ599Vng7ocr06dNlMtkPP/wghHj69On8+fPd3NyMjY11dXUbNGgwZcqU8loYqDlmzpy5Zs2a//znP+Uym6enZ0pKyv79+ws3Pnr0KCIiwsfHp1weUXqkbwAAAABQEfi7ViWJioqSPtSrV0+thWgc1Qq49u3bP3r06M6dO+X+CJlMJsUWqmj1ypUrrVq1Wr16ta2tbd++fevUqbN8+fJWrVrdunVLNWrcuHFTpkxJT0/v1q2btIl1zpw5ubm5xefX0dEZMmSInZ2dEGLSpEnz5s2bN2+eiYlJkW79+vUTQmzbtq1Iu9TSt29fIURwcPC0adOSkpK8vb179eqVmpoaFBQ0bNiw8vopNEFeXt7s2bOLxKlvok2bNlZWVqGhoYUb//zzTyMjIw8PjyKd7927N2jQICsrK319/datW2/fvr3wXX19/eDg4MOHDzs6OmppaR06dEgIsWnTpi5dupiZmenq6jZt2vS33357VSU+Pj42Njaqy/Xr17do0cLY2Nja2vqDDz7Ys2fPm39ZAAAAANBMOuouQCPExsaq3tHu5OSk3mI0jY2NTXx8fHZ2tr6+vr29/YgRIyIiIsr3EdHR0ZmZmba2thYWFlLLiBEjnj59+vvvvw8dOlRqWbNmja+vr6+v77Fjx4QQ6enpa9ascXBwuHLliq6urhCioKAgOjra1ta2+PzNmzdv3rz57t27pVV1r/pXqGPHjtbW1ocOHcrIyFDFc9evX4+NjW3VqlX9+vWFEH5+fs7Ozj4+PlJieP/+/WbNmm3dujUxMbF27drl+7NUVZ06dXrpP6bXlpub26dPn/Xr10v/lkqNoaGhH3/8cZGzHR4/fty+ffuCgoLJkydXq1Ztx44dH3/8cUhISOGXAB47duzf//53nz59Pv30U+lflc2bN5uamgYEBJiZma1cuXL48OF2dnbFo70iDh48OHjw4AEDBkycOPHx48cHDhzIyMgox28NAAAAABqFAK4yHD9+XHo7mFwud3V1VXc5mkUul1evXj0qKsrd3X3jxo0NGzYs3/kTEhLGjBkjhBg1apTUcv78+aioqNatW6vSNyHEiBEjlixZcvz48UuXLrm4uEj5l5aWliph0dbWdnNze5NKtLW1P/744+XLl+/du3fAgAFSo7T8TVocJ4QwMzPr3r27aoiNjU2nTp12795948YNArjSSEtLk8vlK1euLMc5FQrFwIEDly9fvmfPnj59+ggh7t27d/r06YCAgMJLJoUQ//3vfxMTEy9duuTs7CyE8PPz69Sp05dfftmvXz/VewBDQkL27NlT+J/yxo0bVZ+7d+9ep06dTZs2/WMAJyXFa9eulTLBr7/+uly+LAAAAABoJgK4yhAWFpaVlSWEMDQ0bNy4sbrL0Tjt2rV78uSJEKJatWqenp6bNm1SBVKvJz4+vmfPngUFBY8ePYqJiSkoKPDx8Zk2bZp09+TJk0KIjh07FhnVuXPns2fPRkREuLi4mJiYeHl57d+/v0ePHkFBQY6Ojm9Sj0q/fv2WL1++bdu2VwVwKvn5+VlZWQqFQlq1l52d/eZPf/To0c2bN3fv3m1oaHj16tVGjRq9+ZxvlfPnz+vp6bm4uHzwwQflOK1SqezYsWPt2rVDQ0OlAC40NNTS0rJLly5FArjdu3e7uLhI6ZsQQiaTDRkyZOzYsSdPnuzcubPU6OzsXDh9K6J27drm5uYpKSn/WJW0em769OmzZ882MDB47W8HAAAAABAEcJXjxIkT0geFQlH1Uom3n62tbUJCgvR57Nixc+bMecMALiMjY8+ePVpaWhYWFp06dRo6dOjQoUNVa9mk4xFq1qxZZFStWrWEEKp3h61fv37kyJE7duwIDw/38vKaPn16p06d3qQqIYSHh0f16tX37t2bk5Ojp6f34MGDqKioFi1a2NvbSx1SU1Pnzp27devWuLg4pVL5ho9TiYmJGTRoUFxcnI6OjrGxsaenp7a2dtU7m7VRo0bLly+XwvTypaWl1a9fvxUrVjx//tzY2Dg0NLRv3746OkX/+xwXF+fl5VW4pW7dukKIO3fuqAK44hH/kSNHfvrppwsXLqSnpysUiszMzNL8o+/fv//Zs2eDgoJ+//33cePGTZo0qVq1aq//DQEAAABAsxHAVbjExETVKZMZGRkEcJWvbt268fHx0mdPT88ePXrs27evW7durz2ho6Pj5cuXX3VXJpMJIYpnHFKLdFcIYWlpuX379r/++uuHH37Ytm3bgQMHJk2atGDBgteuSgihra3du3fvlStXHjp0qHv37jt27FAqlaq0MScnp1OnTjExMV26dPH397e1tdXR0ZkzZ450iOrrCQgI+OGHH4yMjD7++OOIiIgqn9E0bNhw+PDhy5YtK/ezjAcOHLho0aIdO3a4ublduHBh0aJFxfuo/uUpQZHc8/jx415eXs2bN1+yZEmjRo20tbVLvwv+v//979ixY3/44Yf58+evXLly//79qsV3AAAAAIAy4RTUCnfixAnV2qgaNWqU5q/QKF+FV8AJIZYtW/bnn39W3OPq1KkjhHj48GGRdqlFWgen0rZt282bN585c8bOzm7hwoXh4eFv+HQpbtu9e7cQYu/evaLQ/tNt27bFxMS0b98+PDx8xIgRXbp08fDwMDIyeu1nubi4RERETJs27fLlyytXrqzy6ZsQ4rPPPhNCvMmP9irvvfdevXr1QkNDN2zYYGNj4+7uXryPvb29KkqWSJclnK0cEhJSUFCwadOmXr16NW7cuHbt2i9evCh9VQ0aNFi2bNn58+ezsrK+++670g8EAAAAABRGWTtBzQAAIABJREFUAFfh9u/frzo90MHBQb3FaKbCK+CEEMOHD9+5c2dpXoP1eqSdpMXPWpVaOnToUHxIq1atpFfInTp16lXTShsS8/LySn56586dLS0tw8PDc3Jyjhw54urqqvq37s6dO1IB0hEQQgilUnnp0qXSfa2/KSgo0NHRmTZtWkRERGBgYPH9tlXY2rVrpV3D5T7zgAED9u/f/9tvv/Xv3/+lSX3v3r0vXbp08eJF6VKpVK5bt87c3Lx9+/avmlNad2lubi5d7ty5s5Rbjwt3c3R0dHBwKJ4pAwAAAABKiQCuwh0+fFj12cXFRY2VaKwiAZwQYsCAAZMmTaqgxzVt2tTT0/P8+fNr1qxRNYaEhERFRbVp06ZFixZCiLi4uGvXrhUeFR0dLYSwtrZ+1bRSyPWPeZmOjk7v3r3v3LmzcePGrKyswm+7kyaXHiSZP39+XFxcmb6dECIzM3Pt2rVt27YdNGhQWcdWDbm5uQkJCd9//335Tjtw4MDc3Ny7d+9++umnL+0wZcqUevXqde3adfbs2cuWLevevfuxY8e+//77Eg5JkOLg4cOHb9iwISAgYOrUqba2tqUp5l//+teYMWNWrVr1xx9/+Pn5nT9/vn///q/3vQAAAAAAvAOuYj1//vz+/fvSZwMDA96gpBa1a9d+9OiRQqFQrfxavHixnp7e8uXLK+h4x5UrV7q7u/v6+i5fvrxBgwZxcXGnTp2ysrJSRXJXrlzp1atXkyZNXFxc5HJ5TEzMpUuXbGxsXpW8CCE++uijjRs3TpgwYf/+/VlZWfPnz3/V+wT79eu3atWqOXPmiL+ff9qnTx9/f/8DBw68//77jRs3vnjx4o0bN3x9fVevXl36r7Zz587vv//ewsIiMjKy9KOqmO7du0dFRUmnGZTjpvLmzZs3adIkPz/fzc3tpR2kn33atGkLFizIzMx0dHTcsGHDwIEDS5hzyJAh169fDw4ODg8Pb9my5fbt25cuXapak1uCLl26SCcwaGtr169ff8GCBRWXWQMAAABA1adERdq3b5+ZmZn0U5ubmx88eFDdFWmoevXqSed+qgQFBX355ZdlnefmzZtCCEdHx3/smZiYOHbs2Dp16sjl8jp16owcOfLevXuqu48ePZo0aZK9vb2urq6hoWGjRo3Gjh17//59VYcBAwYIIQ4cOFB4Tn9/fxsbG7lc3qhRozt37ryqW15envQ6Nmdn5yJVXbhwwdPT09TU1NTUtGvXrhcvXjx9+rQQYt++faX5+itWrGjRosWKFStK07nKs7a2njt3bl5enroLAQAAAAC87WTK0r0PCK/n3//+948//qhQKIQQRkZGsbGxpdz/hfLVqVOnWbNmdezYsXCjiYlJXFyclZWVuqp6t4SHh/v6+j548EDdhbxFmjRp4ujouH79+iJnjwIAAAAAUBjvgKtYly5dktI3IUSNGjVI39SlyEGoEj8/vx49eqilnndOTk7Ohx9+6Ofnp+5C3i7Xrl179OiRmZlZbm6uumsBAAAAALy9COAq1smTJ1WfS/PeJVSQ4ucwCCF++OEHHR2dwv+M8Cq7du1SKBTfffedugt560RGRqanp1evXl3dhQAAAAAA3l4EcBXo6dOnhdfF1KhRQ43FaLiXBnBCiP/H3p0H1JT//wN/31v3tl6VVmmGiBApMlGUpMXYKruyZaJsZTD5WAdjGsbQWEaRskzIlm1GqSQ7SaUitO8XbbQv9/7+ON/P/d3PbVG3W7fyfPzlvM457/M6996T7qv3YmBgsGzZso7Pp8txd3e/ffu2uLPopKSkpGRlZUNCQsSdCAAAAAAAAHRSKMC1o6SkJP6ZoTD+VIzKy8ufP3/eMO7m5lZRUZGQkNDxKXUhDg4OCxcutLCwEHcinVd+fr67u7uHh8eNGzfEnQsAAAAAAAB0OijAtaOkpKTq6mreZv/+/cWYzFdOU1Oz0Vm6hg4d6uLi8scff5SVlXV8Vl3Cnj17ysvLvby8xJ1IZ/fmzZvk5OQNGzZYW1uXlJSIOx0AAAAAAADoRFCAa0fPnz+vqqqi/s1kMrW1tcWbz9ds+PDhdXV1je76z3/+o6CgoK6ujhpcQ4sWLTp79uw///wj7kS6hpCQkN9//93Y2NjQ0PDJkyfiTgcAAAAAAAA6CxTg2lFMTAzv39LS0r179xZjMl85bW3t9PT0pvb++eefaWlpSkpKHZlS5xcWFpafnx8fHy8pKSnuXLqMqVOn/vzzz87OzmPHjpWQkDA2Nn7w4IG4kwIAAAAAAAAxo3G5XHHn0G2xWCxepypFRcUbN26MHTtWvCl9zdTV1RMSEtTU1Jo6IDw8fNq0aRUVFR2ZVWemrKy8cOHCAwcOiDuRLqmuru7Ro0fe3t6vXr0qLS3V1tZWV1f/9OmTiYmJuFMTJW1tbWdnZ3FnAQAAAAAA0NmhY0t7ef/+fX19PW+zrq4OPeDEi+oE10wBbuLEifv3758wYUJgYGCvXr06MrdOKDU1lcFgbNiwQdyJdFWSkpJmZmZmZmY1NTV37tyh1khNTU3lX5ilG2AwGOJOAQAAAAAAoAtAAa69UEugVlZWUpuVlZUowIlX3759MzIyjI2Nmzlm2bJl4eHhxsbG2traTk5OLi4uHZZep8LhcAIDA5ctW6apqSnuXLo8JpNpa2tra2sr7kQAAAAAAABAbFCAay+JiYm8FRgIITIyMkwmU4z5QPPTwFHodPqlS5eCg4OTkpI2bNiwfPnySZMmjRgxomMy7DwyMzMDAwP5u3ACAAAAAAAAgNBQgGsv/EugEkJUVFTEmAwQQvr27RsbG9uSI+3t7e3t7bds2ZKRkeHn59fNxgy2xMCBA7W0tOLi4gwMDMSdCwAAAAAAAECXhwJcexGo9WAon9hpa2tfuXKlVaf07dv3l19+aad8Ojl5eflTp06hAAcAAAAAAADQdnRxJ9Btpaam8m/27dtXTInA/6HmgBN3Fl3GokWLTp06Je4sAAAAAAAAALoDFODaRUFBAf8mjUbT0dERVzJAackccMBDp9MVFRVv3Lgh7kQAAAAAAAAAujwU4NpFYmIig8HgbcrIyGhpaYkxHyCEMBgMVVXVvLw8cSfSNSgoKOjo6CQlJYk7EQAAAAAAAIAuDwW4diGwBCqDwejdu7cY8wFKdXX17du3xZ1Fl+Hh4XH//n1xZ0EIIba2tu1Uwo6NjVVQUAgMDGyPxgEAAAAAAAAoKMC1i+jo6Orqav4ICnCdwYQJE2pra8WdRZfx/fffx8fH5+bmtr2pu3fv0v5LRkZmyJAh27Ztq6ysbHvLbVRXV1dZWSnwtAIAAAAAAACIFlZBbRdxcXH8m9XV1SjAdQZDhw7NyckRdxZdybhx49avX3/u3DmRtDZ//vwxY8a8f/8+LCxs165d9+/fv3PnDo1GE0njwhk1alRlZaWEhIQYcwAAAAAAAIBuDz3g2oVAlae2tlZFRUVcyQCPjo5OSkqKuLPoSn7//fd///1XVK1ZWFisWrVq586djx8/njVr1t27dyMjI0XVuNBQfQMAAAAAAID2hgKc6L1//15gnGOfPn3ElQzwQwGutbS0tKZMmXL27FmRt+zo6Ej+t6/o8ePH9fX1paWlNTQ0XF1dCwsLmzn9r7/+0tXVlZKSGjhw4JEjR3jxJ0+e0Gi0Fy9euLu7q6qqysnJjR8/PikpKSEhwdbWVl5eXlNT083NraysjP94Pz8/XgtpaWlz585VVVWVkZEZNGiQj48PIaSiomL//v0jRoyQlpaWlZU1MzN7/PixaF8QAAAAAAAA6MZQgBO9tLQ0JpPJH0EXm05iwIAB7969E3cWXczatWsPHDgg8mapZ4RO/78fQb/++uuyZcsGDhx48ODB5cuXnzt3zsLCoqlJ4n777bdVq1aZmZkdPnx42LBhq1at+v333/kPcHR0rK6u/vfff319fV+8eDFt2jRbW9sJEyaEhYV5eHj4+Ph4eno22nJBQcGYMWNu3Lgxa9as7du3GxkZSUlJEUIkJCT8/PyMjIy8vb13796dkpIyderUoqIiUb4iAAAAAAAA0H1hDjjRS09P53A4/BFVVVVxJQP8lJSUaDRaUVFRz549xZ1Ll2FkZPT27dsTJ04sXbpUhM1GREQQQkaMGEEIKSws3LVr14wZMy5dukTtHTdunJWVlY+Pz9q1awVOLCoq2rFjx/Lly48ePUoIcXFxMTU13b17t7u7O6/wraSkRPVcGzVq1NOnTw8fPrxhw4affvqJEDJmzJjg4OAbN27w95vj+e23396/fx8VFWVmZsYfl5KSevXqFW9TQ0Nj/vz5ERERs2bNEtHrAQAAAAAAAN0ZesCJXkpKSnl5OX9EQ0NDXMmAAIxCFcKZM2eCg4Pb3k5xcXFmZuazZ882b97s7e09ZsyYcePGEULCw8OrqqqoQamUiRMn9urV6+rVqw0boQ62srIq+S9jY+PS0tLExETeMba2trx/9+/fnxBiZWXFH2Gz2Y1meOvWrSFDhghU3xoaMmQIIQQ94AAAAAAAAKCFUIATvcTERIEecFpaWuJKBgSgACeEadOm5eTkxMfHt7Gdn376qW/fvsbGxr/99tuMGTNu3rxJLYGanp5OCPn222/5D/7222/T0tIaNkIdPGPGDKX/okbIFhcX847h73MqKSlJCFFWVuaP1NfXN5phVlaWtrZ2o7uOHz8+btw4dXV1Fos1ZswYQgiXy23hjQMAAAAAAMBXDkNQRU9gljFJSUlNTU1xJQMCMA2ccGbNmuXs7BwTE9OWRlavXm1jY9OjRw89PT3+UcBUGa6FqLKXj4+Prq4uf3z48OG8fzMYDIGzeJPNfbFxatI3AV5eXps2bZozZ86vv/6qoaGRlJRkb2/f8pwBAAAAAADgK4cCnOhlZWXxb0pJSampqYkrGRCgo6MTGhoq7iy6ns2bNx87duzp06fGxsZCN6Kvrz958uSG8X79+hFCsrKyRo4cyQtmZ2dTcQHUmsKKiorjx48XOpOmaGlpZWRkNIwHBARoa2ufPXuWKuShEyUAAAAAAAC0CoagihiHwxGYGUpSUhKLMHQeffr0efLkibiz6JJ8fHx27NjRHi1bWVnJysqeOXOGF4mIiMjLy2u0WmdlZSUtLX306FH+YaQCg76FNmnSpNjY2IYd/bhcLovF4nWju3btmkguBwAAAAAAAF8J9IATsfT0dDk5ubKyMv4gCnCdx4gRI3JycgoLC/knBYOWmDRpkqur6/nz5+fOnSvalhUVFXfv3r127VoHBwdbW9u8vDxvb+8BAwasWrWq4cEqKiq7du3asGGDiYnJlClTZGVlk5OTU1JSIiMj256Jp6fnuXPnLCwsli5d2qtXr9TUVE1Nze3bt5ubm584ccLT01NfXz8iIiI2Nrbt1wIAAAAAAICvBwpwIpaWliYhIcEfqa+vRwGu85CTkxs5cmRycrKpqam4c+l6zpw5s3TpUpEX4AghHh4eysrK+/btW716tYKCgoODg5eXl7y8fKMHr1+/vlevXvv379+9ezchZMCAAT/88INI0tDS0nr06NF//vOfU6dOff78uV+/fr/88gshZO/evWVlZb6+vhwOZ9KkSbdv3zYxMRHJFQEAAAAAAOBrQMNCfqLl6+u7du3ayspKXoTJZH78+JHFYokxK+Dn4uJibGwsqpLN18bd3V1TU9PT01PciQAAAAAAAAB0GegBJ2Jv377lr74RQjgcDqpvncqgQYOSk5PFnUVX9eeff6qrq4eGht65c0fcuQAAAAAAAAB0DViEQcSSkpIEIqi+dTYowLURm80ePny4t7e3uBMBAAAAAAAA6BpQgBOx1NRUgYiSkpJYMoGmoADXdnJycvv27Xv37p24EwEAAAAAAADoAlCAE7G8vDyBiIqKilgygab0798/MzOzrq5O3Il0Yb/88ouysvLLly/FnQgAAAAAAABAF4A54ESptLS0vr5eIKihoSGWZKAZgwcPfv369bBhw8SdSBcWHx/PYDDKy8uZTKa4cwEAAAAAAADo1NADTpTS0tKkpaUFglpaWmJJBpqBUagiUVRU1LNnz9mzZ5eVlYk7FwAAAAAAAIDOCwU4UUpPT+dyufwROp3eu3dvceUDTenTp09sbKy4s+jyWCxWQUFBcHCwhobG48ePxZ0OAAAAAAAAQCeFApwopaamVlZW8kekpaXV1NTElQ80hU6nX758WdxZdAfy8vIVFRWBgYELFiw4duxYYmKiuDMCAAAAAAAA6HQwB5wovXr1qra2lj/CYDBUVVXFlQ80ZcmSJdevXxd3Ft0Eg8GYPn06IWTlypVMJpPNZtvY2EhKSurq6oo7tY6mra3t7Ows7iwAAAAAAACg00EBTpRev34tEKHRaCjAdUKDBg1KTU2tra1lMBjizqWbmD59+vTp09+8efP8+XM2m/3ixQspKSlxJ9XR8HECAAAAAACARqEAJ0pZWVkCkfr6ehTgOid9ff2XL1+OHDlS3Il0K7q6ul9hxzcAAAAAAACA5mEOOFFis9kCkZqaGhTgOieqACfuLAAAAAAAAACg+0MBTmSys7NlZGQEgrW1tYqKimLJB5o3bNiwhIQEcWcBAAAAAAAAAN0fCnAik56e3nAGKBaLJZZk4IvQAw4AAAAAAAAAOgYKcCKTn5/P4XAEgvr6+mJJBr4IBTgAAAAAAAAA6BgowIlMSkpKWVmZQPDz589iSQa+SFVVlUaj5efnizsRAAAAAAAAAOjmUIATmdTU1IY94Hr27CmWZKAlJCQkTp8+Le4sAAAAAAAAAKCbQwFOZNLS0hoGsQRqZ+bi4lJdXS3uLAAAAAAAAACgm0MBTmRyc3MbBjU0NDo+E2ihUaNGRUdHizsLAAAAAAAAAOjmUIATmffv3wtE6HQ6esB1ZkZGRs+fP2/VKSkpKbT/otPpPXr0MDAw8PT0ZLPZ/IcFBwezWKyDBw+KNN8m+fj40Gi0LVu28CIbNmxQUlJ6+vRpxyQAAAAAAAAAAM1AAU406uvrG663ICUlhTngOjMNDQ1JScmcnJzWnigvL29jY2NlZaWrq/v69eu9e/fq6+unpKTwDoiPjy8rK+PvXldXV7dz586GVdp2Eh0dXVJSkpiY2DGXAwAAAAAAAIBmSIo7gW4iNzdXVla2vLycPygpKYkCXCdHdYLT0tJq1Vl9+vQJCQmh/p2Tk+Po6Hjv3r0ff/zx+vXrVNDd3V1VVdXOzo53SkhIyPbt2x0cHNTU1ESVfDOOHj167949JyenDrgWAAAAAAAAADQPPeBEIycnR1JSsJpJp9OVlJTEkg+0UNungdPS0jp16hQh5Pbt2zU1NVRQSUlp5cqVvXv3pja5XG5AQEAbU22VwYMHL1++XEpKqiMvCgAAAAAAAACNQgFONHJzc7lcbsM4CnCd3ODBg+/evdvGRvr27aukpFRdXV1YWEhF+Cdle/78+bBhw65cuUIIGTZsGDV/XEFBASFk7NixNBotOTmZv7Wff/6ZRqMdPnyYF3nz5o27u/vAgQOlpKRkZWUNDQ2PHj3afEpz586l0Wjh4eG8yJUrV8zNzVVVVeXl5fX09FxdXePj49t44wAAAAAAAADQEhiCKho5OTlVVVUCQQ6HgwJcJ2dqajpr1qw2NlJbW/vp0ydCiJycXMO9kpKSTk5OPj4+mZmZHh4e6urqhBAWi9Xy9j08PEJCQvT19R0cHIqLi8PDw1esWFFXV7d69eoWtnDmzJmFCxcqKChYWFhwudykpCRfX19zc/Phw4e3PA0AAAAAAAAAEA4KcKKRnp7OG37IU1tbizngOjk1NTUDA4OYmJiRI0cK3ci9e/fq6+uNjIx69OjRcK+BgYGBgcHNmzczMzOXLl06dOjQ1ra/b98+Ly8vAwMDavPatWt2dnaHDx9ueQHO29ubEPLixYt+/fpRkdjYWD09vdZmAgAAAAAAAABCwBBU0UhNTW0YrKqqQg+4zs/MzOzevXtCnMjlcgsLC8+fP+/k5ESn0728vESeG0VPT49XfSOETJ8+XV5ePiUlhcPhtLAFOp1OCGEwGLyIoaEhk8kUbZ4AAAAAAAAA0CgU4EQjKyurYVBaWppGo3V8MtAqQhTgkpKSaDQanU5XUVGZN28ejUa7cuXKxIkT2ylDnpqamtLS0pKSElVVVQ6H07DTZVMcHBwIIRMnTrx161Z7JggAAAAAAAAAjUABTjTYbHbDoLy8fMdnAq0lRAGOxWJNnjx58uTJvXr1IoT4+PhMnz69fbIjhJDk5OT58+erq6tLSUkpKioqKSmlp6e3qgVPT89NmzalpaV9//33Q4YMOXnyZMt7zwEAAAAAAABAG6EAJxpFRUUNgwoKCh2fCbRWz549e/XqlZSU1PJTvv3225s3b968edPf358Qsm7duurqalHlI7CaR3Jy8nfffRcUFDR16tSgoKCIiIjIyEgVFZVWtUmn03fv3p2SkuLh4ZGVlbVkyRJzc/OysjJR5QwAAAAAAAAAzUABTgQ+fPjQ6HRaioqKHZ8MCEFKSiokJESIE21tbadPn56SkvLrr78KcTo1QlmgM9r79+/5N729vT9//vzTTz/5+fnNnj17woQJ48ePr6urE+Jyffr0OXDgQEpKysSJEx88eLB7924hGgEAAAAAAACA1kIBTgRycnIaLcApKyt3fDIghCFDhmRmZgp37oEDB6Slpffs2fP27dtmDpOUlCSE1NbW8gdZLBYhJC8vjz8YHR3Nv5mWlkYIMTMz40UyMjJKSkqEy5YQoqGhcejQIULI48ePhW4EAAAAAAAAAFoOBTgRyM3NbTSuqqrawZmAcBwdHd+9eyfcudra2hs2bKiurl6xYkUzh2loaBBCXr58yR/U19cnhBw/fpzXCe748eOJiYn8x6ipqRFCYmNjqc2qqioPD49WZVhTU3Pnzh0ul8uLUK1RLQMAAAAAAABAe0MBTgRyc3MbXY+SqrlA52draxseHi7cuE5CyMaNG7/99tuIiIjAwMCmjqFWaVi9erWjo6O9vT3VXc7FxUVGRubChQv6+vrz5s0zNjZ2c3NbsGAB/4lubm40Gm3btm12dnZOTk66uropKSmWlpYtT6+mpsbS0lJTU3P69OmLFi2ysLBwcnJiMpmtLeQBAAAAAAAAgHBQgBOBzMzMyspKgaCkpCR6wHUhU6dOvXHjhnDnysrK/vHHH4SQdevWNTU4dN68eVu2bFFQULh48eKrV68YDAYhpH///uHh4RYWFpmZmdevX5eWlg4LCxPoSWdqanrp0iU9Pb3Q0NCwsDBLS8uoqCgrK6uWpycjI7Nnzx4NDY2IiIjz58+np6dPmzbt3r17JiYmwt0vAAAAAAAAALQKjX9gGghn9uzZFy9eFAjKysoeOHBg2bJlYkkJWuvEiRP//vvv5cuXxZ0IAAAAAAAAAHQ36AEnAhkZGQ2DkpKSSkpKHZ4LCMnBwSEyMjIsLEzciQAAAAAAAABAd4MCnAjk5+c3DNLp9J49e3Z8MiAcJSWlI0eOBAQEiDsRAAAAAAAAAOhuMARVBGRkZKqqqgSCCgoKd+7cGTFihFhSAuFIS0uXlpZKSUmJOxEAAAAAAAAA6D7QA66tysrK6uvrG8br6+sxBLXLcXZ29vf3F3cWAAAAAAAAANCtoADXVrm5udLS0g3jtbW1KMB1OZmZmQcOHBB3FiBiTk5OtP+i0+nKysqjR4/etWtXUVGRuFMTmczMTA0NjdmzZ4s7EQAAAAAAAGgECnBtlZOTIyEh0TBeU1OjqKjY8flAWxw8eLCuro7D4Yg7ERA9AwMDGxsbS0tLLS2t58+fb9u2rV+/fqGhoeLOSxgREREXLlzgj6Snp7PZ7MePH4srJQAAAAAAAGgGCnBtlZubW1tb2zAuIyPT8clAG/Xv39/KysrPz0/ciYDobd68OSQkJCwsLD4+vqCgwN3dvbS0dOrUqdHR0eJOrdU8PT0FCnDm5uYBAQFXrlwRV0oAAAAAAADQDBTg2io7O7uysrJhXF5evuOTgbazt7dftWqVuLOA9qWiouLt7b1p06ba2tply5aJO53WiY+Pj4mJEQjSaLTFixePGjVKLCkBAAAAAABA81CAa6vU1NRGRywqKCh0fDLQdra2tocPH3Z0dBR3ItDutm/frqKiEhcX9/DhQ/54Zmbm0qVLtbS0mEzmN9984+rqmpeXJ3Du7du3J0yY0KNHD9r/Wr9+PSHEx8eHRqM9ePAgLCzMxMREVlZWWVnZwcGB+nFx5MgRfX19aWlpFRUVJycnNpvN3/KbN2/c3d0HDhwoJSUlKytraGh49OhR3l4PDw9jY2NCyOXLl6krurq6EkKSk5NpNNrYsWMF8gwICDA1NWWxWPLy8np6eh4eHlS8urr677//njhxYs+ePRkMRq9evebOnZueni6aVxYAAAAAAAD+l6S4E+jymprHXU9Pr4MzAVFZtmwZm81WUVFZvHixhIQEk8kUd0YdRFtb29nZWdxZdBwmkzlt2jR/f/+IiAhTU1MqmJSUZG5uXlhYOHr0aDMzs7S0NF9f32vXrt2/f19HR4c65tatW5MnT1ZVVfXw8JCTkzt79uzLly8HDx5sa2s7depUXvtHjx4NDQ11dnaeMWPGv//+GxwcHBsba21tffnyZRcXl4ULF16/fj0wMDA5OTk6OppGo1FneXh4hISE6OvrOzg4FBcXh4eHr1ixoq6ubvXq1YQQHR0dJyenEydODB06lCoTGxoaNnWDLi4ufn5+DAbD0NBQWlo6ISEhPj6e2hUXF7dgwYIePXqYmpr26NEjOjo6KCjo0aNHycnJsrKy7fBiAwAAAAAAfNVQgGurt2/fNhpvdFwqdBVbt251dHT09vbOyMj47rvvxJ1OB2EwGOJOoaMZGBgQQl69esWLLFmypLCw8PTp0wsWLKAiAQEBzs7Ozs7O9+7doyK//vorl8u9fv061RnN1dVVW1u7srJy//79/I2fP38+OjoP1N5sAAAgAElEQVR6xIgRhJC1a9eOGDEiPj7+5MmT8fHxgwYNooKDBw+OiYl59uwZ1RQhZN++fV5eXlRihJBr167Z2dkdPnyYKsCtWrUqPDz8xIkTurq6GzdubObWbt686efn169fv/DwcG1tbUIIh8N5//49tdfY2PjKlSs2NjZUua2mpmbcuHHPnj27du3avHnz2vSaAgAAAAAAQAMowLXVx48fG40rKSl1cCYgWv369Tt48KC4s4D2paysTAgpLi6mNl+8eBEdHT1q1Che9Y0QsmTJkr/++uv+/fsvX77U19cnhLx69YrJZPIqswoKCkOHDr1//35eXp6mpibvxLFjx1LVN0IInU63tbWNj4+3sbGhqm+EEAkJCWtr63fv3iUmJvIKcAKdZ6dPny4vL5+SksLhcOj0Vkwa4OPjQwjx8vKiqm9UDhoaGrwD7O3tef9mMpmzZ89+9uzZmzdvWn4JAAAAAAAAaCHMAddWJSUljcZVVFQ6OBMAaK36+nrC1/Xv0aNHhBAzMzOBwywsLAghUVFR1KaamlpNTQ3/s0/N4yYtLc1/1tChQ/k3qZ8J/fv3bxgsKytrmFtNTU1paWlJSYmqqiqHw6mpqWnVrT158oQQYm1t3fxhXC63rKyspKSExWIRQqqqqlp1FQAAAAAAAGgJFODapNGvzRSqZw0AdGbUkEze01pQUEAI4e8mRunVqxchhLcUww8//EAIcXZ2TkxMTE9P37Zt29u3b21sbHr27Ml/VqMrsQgcQ+Fyubx/Jycnz58/X11dXUpKSlFRUUlJSYi1Eerr6wsLC2VkZBQVFZs64NChQyNHjpSSkmKxWEpKSsuXL2/tVQAAAAAAAKCFMAS1TQoKCqSlpWtrawXiTCazqe+9ANB5REdHE0KGDx9ObVIrIfCXwyhUhLdOwo8//lhYWOjl5XX16lUqYmNjc/r0aYGzGh0xymukUcnJyd999115efmSJUusra1VVFTodPqsWbOaGureFIGEG3Jzczt+/Liuru6ePXsGDx4sLS39zz//7Nu3r1VXAQAAAAAAgBZCAa5N2Gy2hIREwziDwejRo0fH5wMALVdcXHzjxg1CiJWVFRXp3bs3ISQ/P1/gSCpC9YMjhNBotPfv36upqZ04caJHjx79+vXT0tISSUre3t6fP3/euHGjl5cXL1hXV9fadiQlJZWUlIqLiz99+tTwZ1FeXp6fn1+PHj3u3bunpqZGBePi4tqSOQAAAAAAADQDQ1DbhM1mczichnEJCYlGR58BQCfB5XJXrlxZUVFhYWExbNgwKmhubk745nrjoSJjx46lNmNiYk6cOLF+/fopU6aYmZmJqvpGCElLSyP/OwldRkaGwESTkpKShJCGHW8FjB49mhASERHRcFdGRgaXyx00aBCv+kZQgAMAAAAAAGhPKMC1SUFBQaMzo9PpdPSAA+i0kpOT7ezszp07Jy8vT60WShk8eLClpeWLFy8CAgJ4wTNnzkRHR3/33XeGhoZUJDs7mwjVMe2LqIpYbGwstVlVVeXh4SFwDDVFXWJiYqPVf55ly5YRQjw9PbOysqgIl8tNTEzkXSUlJeXz58/Urnv37gUGBorwRgAAAAAAAIAfhqC2SX5+fnV1dcM4l8tFDziATmX37t1+fn5VVVVZWVnUsga9e/cODg4eOHAg/2HHjx8fN26cs7Ozr69v//7909PTHz9+rKKiwl+SMzExYbFYW7duvXTpkoyMDI1GY7FYo0ePXr16tZKSUluSdHNzO3v27LZt2549eyYvL3///n0Wi2VpacnfkU1XV3fQoEHJycljxowZNGhQnz59du7c2bApOzu7xYsXnzx5csCAAUZGRlwuNzU1VUlJKTk5WUdHx9ra+vbt24aGhubm5tnZ2REREatWrTp48GBbkgcAAAAAAICmoAdcm1AjuRrGuVwuesABdCpxcXGhoaGPHj2qqqqysbE5fPjwu3fvRo0aJXCYtrZ2dHT08uXLc3JyLl68mJWVtXTp0piYmCFDhvCOeffunZ6eXn19/YsXLx4+fPjgwYNbt25t377dxMSk0Yp8y5maml66dElPTy80NDQsLMzS0jIqKoo3RR2FRqNdvHjR1NQ0KSnp2rVrzYxF9ff3P3bs2PDhw+Pi4p4/f66oqMhb6jQwMHDRokWfP38+d+5cYWFhUFDQ/v375eTk2pI8AAAAAAAANIXWaP0IWsjCwuLu3bsN47KysikpKbwp2wGg2zh//vz8+fOdnZ137typqalJCKmtrc3OznZ2do6Kirp9+7ZAvQwAAAAAAAAAPeDapKCgoNF4bW0tesABdEuHDx8mhOzfv5+qvhFCGAxGv379Jk6cSAj58OGDOJMDAAAAAACATgkFuDYpLCxsNF5fX4/BXADdUk1NDZfLzc3N5Q++f//+7NmzNBrNyMhIXIkBAAAAAABAp4VFGNqkpKSk0bi0tHQHZwIAHcPNzS06OtrExGTmzJlaWlqfPn1KT08PDQ2tqKjYvHmzwJIOAAAAAAAAAARzwLXF58+fVVRUampqGu5SUVHBSDSA7ur69euHDx9+8eJFSUkJk8nU0tIyNjZ2dna2sLAQd2oAAAAAAADQGaEAJ7yUlJQRI0Z8/vy54a4+ffpkZGR0eEYAAAAAAAAAANDpYA444RUUFEhISDS6CyswAAAAAAAAAAAABQU44bHZbA6H0+guBQWFDk4GAAAAAAAAxG7+/PlDhgzBUDMAEIACnPDYbHajE8ARQpSUlDo4GQAAAAAAAGi5u3fv0mg0Pz8/0TZbWVlZWVmJAhwACMAqqMLLy8urqqpqdFfPnj07OBkAIITk5ORoaWmJOwsAAAAA+HoFBwdzOBw6HZ1dAOB/oAAnvMzMzKZ2qaiodGQmAPDhw4cpU6ZISUm9ffvWxcVF3Ol0H9ra2s7OzuLOAgAAAKArQfUNABpCAU54OTk5jcbpdDqGoAJ0pMzMTAMDg9GjR9va2mZlZUlJSYk7o+6DwWCIOwUAAAAAMcjMzPzPf/5z+/btsrKyYcOGbd682c7Ojrf3+vXru3btev36dXl5OS8YGRk5fvx4W1vbxMRE3rfF8vLy7du3X7p0KT8/X01N7fvvv/f19SWEREdHHzhwICIioqioSFVVdfHixdu3b8fvsQDdGApwwsvPz280zmQyFRUVOzgZgK/ZhQsXpk2bdurUKXEnAgAAAADdwYcPH0xMTOrr69euXduzZ89r167Z29ufOXPGycmJEPLkyRN7e3tHR8dDhw6lpKSsWLFCV1d3586dI0eOFGiHw+FMmTLl7t27M2fONDQ0zMvLk5CQoHY9fvw4PT191apVWlpaYWFhXl5eUlJS27dv7+hbBYCOggKc8AoLCxuNS0pK9ujRo4OTAfhqLViw4OXLl/Hx8eJOBAAAAAC6ib179+bl5b18+XLYsGGEEFdXV3Nz8x9//HHWrFlSUlJBQUESEhLHjh2TlpYePXr048ePAwICrK2tecU1nuvXr9+9e3fHjh3btm0T2LVmzZo1a9ZQ/168ePGLFy8uXLiAAhxAN4ah6cIrLS1tNC4hIaGgoNDByQB8nWJjY2NjY1F9AwAAAAARunnzpr6+PlV9I4TQaDQnJ6cPHz48evSIEFJSUsJkMqWlpam9LBarurq6pqamYTu3bt0ihLi5uTV/ORqNNnjw4KKiIlHeAwB0MijACenz5880Gq3RXTQaDT3gADrGhAkTrl27Ju4sAAAAAKBbSU9P//bbb/kj1GZaWhohxNbWtry8/PDhw3V1dampqRcvXjQ1NZWRkWnYTlZWlpycnKqqasNdaWlpS5YsGTBggKKiory8/I0bN7hcbvvcDQB0CijACamgoKCpCTK5XC56wAF0ACcnpwULFvTv31/ciQAAAABAt9JUZwvKnDlzNm/evHr1aiaTqaOj07t375MnTzZ6JJfLbfRrY3FxsYmJya1btzw9PR88eBAXF2dmZiaSzAGg08IccEJis9lNrS3N4XDQAw6gvZWWlt68ebOkpETciQAAAABAd9OvX7+srCz+CLXZt29favPdu3eTJ0/ev3+/pqamvLx8U+1oaWndvn27tLRUoIvGrVu32Gy2v7//kiVLqAj/aqoA0C2hB5yQ2Gx2Uz2Ea2tr0QMOoL3NnDmTN20tAAAAAIAI2dnZ8S/zxeVyAwMDFRUVTUxMCCF5eXkXLlxwc3MbOHBgM9U3QsikSZO4XK6/v79AnPouqaioSG1mZGS8fPlS9LcBAJ0JesAJqaCgoNFZNgkhtbW16AEH0K5ev34dExMTFhYm7kQAAAAAoGv7559/CgoKeJsTJ04cPXr0+vXrz549a21tvXr1ahUVlWvXrt27d8/Hx4ea6E1FRYXFYu3atSs+Pp5Op0tJSRkaGo4fP75h43Z2diYmJuvWrYuJiRk+fDibzc7IyLh06dKYMWOYTOaWLVs+f/5cUlLi7e09cOBANpvdYXcNAB0PBTgh5efnV1VVNbqLRqMxmcwOzgfgq3L8+PGtW7eKOwsAAAAA6PKuXr169epV3qa0tPTo0aOVlJQePny4cePGAwcOlJeX6+npnTt3bu7cudQxOTk5o0ePDgsLe/r0Ke/EZcuW+fr6CjQuISEREhKyefPmK1eunD9/XkVFZenSpYSQfv36BQYGbt682cXFpU+fPr/88guXy123bl373y4AiA0NK60Ix8nJKTAwsNFdLBbr06dPHZwPwFeFTqfX19c3PzkuvzNnzvj6+sbHx1dVVSkrKxsaGs6YMcPR0bHRxaoAAAAAAJqSmZk5bNiwH374Ydu2bYqKilwut6ioaM2aNWfPnv306ROLxRJ3ggDQSWEOOCHl5OQ0tUtOTq4jMwH42syfP9/c3Lzl1Td3d/eFCxc+evSoV69eenp6VVVVISEhnp6eIk8sNTV17969Im+2vUVERFy4cEHcWQAAAAB0DVFRUZ8/f3Z1daVmcKPRaMrKyn379qXT6ejdAgDNwBBUIfFPEyCg+Wk4AaCNHj9+fPPmzRYenJiYePDgQSUlpfDw8BEjRlDBly9fZmdni7z729GjR0+ePPnTTz+Jttn25unp2bdv39mzZ4s7EQAAAIAuwMjIiMFgrFq1ys3NTVFRsaioKDIy0sfHZ8mSJZgKHACagQKckAoLC5vahR+7AO3n6dOn6urqenp6LTz+wYMHhJClS5fyqm+EEH19fX19fdEmVlFRce7cOdG22QHi4+NjYmL69u0r7kQAAAAAuoYhQ4Zcv379t99+c3FxKSkpYbFYQ4YMOXjw4PLly8WdGgB0ahiCKqRmxpkqKCh0ZCYAX5UzZ84sWLCg5cdLSUkRQiQlG/9jw/Pnz2k02ujRowXir1+/ptFohoaG1KaGhsaECRM+fvy4YsWK3r17M5lMbW3tTZs28ZZCPn36dN++ffPy8goLC2k0Go1GGzRoELXrzZs37u7uAwcOlJKSkpWVNTQ0PHr0qMDlbt++PWHChB49etD+1/r16wkhycnJNBrtl19+SUtLc3BwUFBQkJeXNzU1vX37NiEkLCxs/PjxLBZLXl7e0tIyOjqav+Xmr+7h4WFsbEwIuXz5MnVFV1fXlqfdRaWkpFA3q6ysXFdX1+gxbm5u1DE///xz269oYGBAo9F4ExdkZmZqaGigy2F727Bhg5KSEv/c2MKpra09cOCAvr6+vLz8N998s2DBguzs7JacSD25Y8eObf6w4OBgFot18ODBNubZFp0hByF4eHjQaLS///6b2mztk9VF7xoAOglbW9u7d+9+/Pixrq6uuLj44cOHK1askJCQEHdeANCpoQAnjLKysry8vKb29u/fvyOTAfh6pKWl+fv7L1y4sOWnUD3dgoKCGl0axcjIaMiQIU+fPk1PT+ePX758mRAyb948XiQuLm78+PGxsbFr1qzZtm2bpKSkl5eXm5sbtVdRUdHDw4MQIisr6+Xl5eXlxVvEysPD4+DBgzIyMg4ODmZmZgkJCStWrDh06BCv5Vu3btna2iYlJXl4ePz2229UwoMHD167du3UqVN5h0VFRZmbmysoKOzYsWP27NmPHz+ePHnyzp07p0yZMmDAgF27dtnb29+5c8fS0jI3N5d3VvNX19HRcXJyIoQMHTqUStve3r6FaXcDRUVF4eHhDeP19fVXrlxpv+ump6ez2ezHjx+33yWAEBIdHV1SUpKYmNjGdjw9PX/88ccPHz5MnTpVRUXl77//trKyqqysFEmShJD4+PiysjKB0nkz6urqdu7c+f79e1ElIEQOnVNrn6zucdcAAADQlXCh9dLT05uZ6G3p0qXiThCge5o8ebKzs3Nrz/r+++8JIdra2pcvX66vrxfYu2fPHkLIr7/+yh+kuixlZGRQm+rq6oQQKysr3ulsNltWVlZSUrK4uJiK1NbWEkKUlZUF2k9MTIyNjeVtUovcDxw4kBehOsg8efKE2iwpKVFSUurbty/vgNevX1M/W7y9vXnB1atXU0F/f39ecMmSJYSQ3377reVXDwsLI4TMmDGjtWl3Xe/evSOEUC97ox8nqipnZmZGCNm+fXvbrzh8+HBCSHZ2NrXJ4XACAgKePXvW9pahGa9evfLx8amqqmpLI6WlpRISEsrKyh8/fqQi06ZNI4QEBgZ+8VzqyTU1NW3+sKKiosOHD+fk5LQwpRs3bhBCEhISWnh8S7Q2h07C3d2dEHLmzBlqs7VPVhe9awAAAOi60ANOGB8/fmymg7GSklJHJgPw9YiMjBSiE1ZQUNCUKVPS09NnzJiho6Nz5MgR/t4rTk5OdDr9/PnzvEhaWlpcXNyYMWP69OnD3866devo9P/7mammpmZsbFxXV/fq1avmr66np2dgYMDbnD59ury8fEpKCofDoSKvXr1iMpnfffcdtamgoDB06NCMjAyBbrZSUlLLli3jbVJVRUVFxUWLFgkE+bv8fPHqQqfd1enr66uqql69epWqnPK7cOGChISEjY2NqK4lsGIvjUZbvHjxqFGjRNU+NGrw4MHLly+nBqELjc1m19fXDx06VFlZmYpQpVv+fqZtpKSktHLlyt69e7fkYC6XGxAQIKpLC5dD59HGJ6uL3jUAAAB0XSjACePjx49N7aLT6ZgDDqA9hISEmJmZycrKtvZEeXn5Gzdu/Pvvv9bW1hkZGatWrRoyZEh8fDy1V1NT08rK6uXLl7yOZg3Hn1L4C1KEEFVVVULI58+fW5hGTU1NaWlpSUmJqqoqh8PhzR+npqZWU1NTUlLCO5LNZhNCpKWl+U/v378//7KtKioqhBBqwXuBYFlZWcuvLnTaXV1VVZWDg0PDUajU+FNzc/NGJ/rkcrm+vr4GBgYyMjIqKipz5szhfWx4IiMjzczM5OTklJSUrKys7ty5I/ChbXRqsLKysu3bt+vp6cnIyCgrKxsbG/v5+VG7CgsL9+zZY2RkJC8vz2Qy+/fvv379+vLy8i/eY0BAgKmpKTU/oJ6eHjVKmncjAQEBY8aMofaampqePXtW4HQNDY2JEyeWlZV5eHhoampKS0tTM0xzudyUlJQ5c+aoqKhISUnp6+sHBgbyn+jj40Oj0R48eBAWFmZiYiIrK6usrOzg4JCamsrhcI4cOaKvry8tLa2iouLk5ER92inh4eE0Gm3u3LkCmdBoNOqzzd/+vXv3goODx4wZIysr26NHj4kTJwqMPZw7dy6NRuN/f4WY2VBTU1NSUjI5OZk3XWBISAghZOjQoc2fyCMhIZGZmTlv3jxlZWVpaenhw4efOnWq4cu1ZcsWXqSgoMDd3X3AgAEyMjK9e/eeMGHCX3/9VVtb+/z582HDhlHjo4cNG0ZNU8hbk536CA0ePFhaWlpZWXn69OkCgyupD96+ffvKy8tdXV2VlZUlJSVTUlIazUGI12ru3LnS0tJ1dXWHDx8eNGiQlJSUlpaWu7t7WVnZp0+f1q1b16dPHyaT2adPny1btghMv9iSJys9PX3u3Lk9e/aUk5MbOXKkj4+PwErWTU2619SD0PCuvzjdJyUyMnLu3LnUAerq6nPnzs3KyiKE+Pv7Dxs2TFpauk+fPhs3bmz0RzEAAAB8zbAKqjA+fvxYX1/f6C4Gg4FVUAHaw5UrVxwcHIQ+fdKkSZMmTUpKSlq9enVkZKS9vX1SUhL1/W3x4sWhoaHnzp3buXMnIeTy5csSEhKzZs0SaEGgcyvV+YLL5TZ/3eTk5J07d0ZERDQ1Z9MPP/ywfv16Z2fnXbt2ycnJBQQEvH371sbGpmfPnvyHNVrZFziGwp/SF68udNpdXWVlpaOjo6+v78WLFydNmsSL37lz5+PHj3PmzOEvifK4ubn5+vp+8803kydPzs3NvXDhwq1bt+7du8crzt66dWvq1KlcLtfc3FxdXf3p06dWVlaKiorNJ1NeXj5u3Li4uLgePXoYGxtXVlbGx8fz+lj5+flt3LhRS0uL6pQXGRn5xx9/pKenU5Xipri4uPj5+TEYDENDQ2lp6YSEBF7RmXcjysrK1tbWhJC7d+86Ojq+ePFi3759/I28evXK3t6ew+GsX7/+w4cPR44ccXd3z87O9vf3HzVq1ObNm/Pz848cOeLk5MRisaixmTxHjx4NDQ11dnaeMWPGv//+GxwcHBsba21tffnyZRcXl4ULF16/fj0wMDA5OTk6OlqgK1NLbN68OTY21tnZec6cOXFxcadPn3748GF8fPzAgQObOsXDwyMkJERfX9/BwaG4uDg8PHzFihV1dXW8Ad0NycnJ2dnZXbp0ad++fWvXrnV3d79z587333/P/5lpXnl5uampKZPJtLKyys/Pv3fv3uLFi+l0elOLyVRUVFhZWSUmJhoZGRkZGeXk5Dx9+jQvL8/NzU1SUtLJycnHxyczM9PDw4MaGs9isairmJubv3jxQkdHx97evqioKCQk5NatW0FBQbyJHSl5eXmzZs2KiIgwMDCoqakR6OfblteKEFJdXb106dInT54sWLCATqefPHny4MGDWVlZeXl55eXly5cv53A4J06c2L17d0VFxf79+3knfvHJys7OHjNmDJvN1tfX19PTS0lJcXNz4y/LNqX5B6EharpPFou1Zs2a2traU6dOeXl5sdnsEydOUAeUl5fPnDnz06dPY8aMMTc3f/PmTVBQ0NOnT+fNm+fl5dWnT59p06bFxsbu2bPn/v37UVFRTS0BBAAdLCsrq6io6MWLF3fu3NHW1hZ3Oq2gra3t7Ows7iwAQDTwa4EwPn782FQ3EElJSeq3YQAQrfPnz+/YsaONjejp6YWFhZmZmT169Ojy5cvUEgR2dnYKCgpUAS43N/fZs2eWlpbUl1t+/H3NWig5Ofm7774rLy9fsmSJtbW1iooKnU6fNWsWfy/aH3/8sbCw0MvLi5pnjRBiY2Nz+vTplly9+bJFS64u2hO7kNraWnNzczU1tatXr/r6+jIYDCp+4cIFSUlJBwcHXgc0nvDwcF9fX1tb2+DgYKp/4l9//bVy5cqVK1c+fPiQEMLhcFasWFFfX3/+/Pk5c+ZQV5k3b17zlTJCyPbt2+Pi4qZMmXLu3DlqgtHy8nLe2FhXV9dhw4bZ2tpSn4GcnJwhQ4ZcuXIlLy9PU1Oz0QZv3rzp5+fXr1+/8PBw6msGh8Ph1VL//fdfX19fQ0PDyMhIqrBbXFxsYWHxxx9/fP/99xMmTOC1k5+fb2xsHBwcTG2OGjVqxowZ+/btW7hwIa8b19ChQxctWnT06FGBAtz58+ejo6NHjBhBCFm7du2IESPi4+NPnjwZHx9PrRG8du3awYMHx8TEPHv2jFqNt1UePHhw7969cePGUZuqqqr79u07duyYQA2R3759+7y8vHg1nWvXrtnZ2R0+fLj5otK+ffvCw8M3b9587Nix9PR0W1vbCxcutDzPmJiYhQsX+vv7UzNXHDx40N3d/c8//2yqABcREZGYmMj/CpeVlWVlZdFoNAMDAwMDg5s3b2ZmZi5dupS/F96OHTtevHjh4uLi4+NDfU7i4+PHjRu3ZMmS8ePH8//x4OTJk5qamikpKd98803zdy3Ea0UIuXv3bkJCAvWXyCVLlmhra1+9enXQoEExMTFUV9D58+cPHDjw+PHje/fupYpTX3yyCCGbN29ms9lubm5//fUXFfH29l67dm3zyTT/IDSquLjYyMgoJCSEehmXLVumra19+vTpP/74g6qky8nJBQUFDRkyhPf0rVmz5tChQ15eXhMmTLh586aMjExFRYWlpeWjR4+OHz/OW6sHAMQlKytrwYIFNTU1z549Gzt2bM+ePds4O0EH4/2KAgDdgdhmn+vKNm7c2NTr2aNHjwsXLog7QYDu5uLFi7179xZVa1Qhb/fu3byIi4sLISQmJoYaZnXixAn+46liXG1tLX+QqrDcunWL2mx0EYbly5cTQjZu3MgfpL7FVVZW8iJLly5VU1O7ceNGVFQUb6p+nkancqcGl1laWvIHIyMjCSHTp09v+dUbXYShhWl3UdQiDNQtu7q6EkL++ecfaldtba2ysrKVlRWXy/Xy8iL/uwjDjBkzCCH8a1PU19dTBazc3Fwul3vv3j1CyMiRI/kv9/btW+p/B947K/CG1tXVKSgoSEhI5OXltfAWpkyZQgiJjIxs6oDJkycTQoKCghrdS62uy7trys2bNwkh9vb2vAj1sb9z5w4vUlFRQd1LTEwML/jhwwdCiJaWFi9CPURmZmb87Xt6ehJCqO6BPCtXriSE+Pn5UZvUp3HOnDkCCQs8WVT7o0eP5j8mKiqKEEK9dxTqCQ0LC2v0RaDIy8vT6fSGa7Pwe//+PW9Uo4uLC4fDaeZgftQbTaPR8vPzecGqqio6nc5gMARuZ/PmzdQm9UY0s5qTqakp+d9FGOrq6hQVFRkMBm9NGMr69esJIX/++Sd/Po1+cgRyaNQXXyvqBd+2bRt/kCqt/vHHH/xBPT09QkhKSgq1+cUnq7q6WkZGhsFgFBUV8R+jpaVF+BZhaPijsvkHoeFdU8Sf4P0AACAASURBVJ/5kJAQ/sMsLCwIIQ8fPmzqxnlDfXlr6XC5XKrsbm5u3tRZANAx8vLylJWV16xZExwcLO5cAAC46AEnjGZmX6bT6egBByByr1+/FmH3e6pkwD98adGiRcePH//nn3+io6OZTKYQY10lJCRoNJrAjP5paWnkv+tpUjIyMgTGNsbExJw4cWLv3r1UVUWEWnJ1qgeKEGl3D7NmzfLx8bl48SK1fkVERERhYSFVR2jowYMHhJAjR47wL8JDdUJMS0vT1NR88eIF+d/XjRAyYMAABQWF0tLSpnJ4/fp1aWnp8OHDe/Xq1Xy2dXV1FRUVHA6H6tBUVVXV1JFPnjwhhFDDSxt69OgRIYTXd4wyfvx4Go1GlbH48XezkpGRkZOTKy8v79+/Py/Y1MyDArOkUYfxn9jMuS3RljkZa2pqqFKyqqpqenp6TU2NwJSLPAkJCVZWVmw229zc/P79+0FBQW5uboaGhtTeyMjImJiYSZMmURWlRmlpaWloaPA2paSklJWVP3z4UF1d3WgXDBMTE3V1dX9/fxaLtWnTJuq+mvf69euSkpKRI0cKDHa2sLDYt29fVFTUmjVreEEVFZXx48d/sU2elr9WFCHe9y8+Wa9fv66srDQ0NOTvyken00eOHJmTk9NMMs0/CE1p7UeLen+ZTObIkSN5wcGDBxNCvrhKDwC0q7CwsD///HPLli38s6ACAIgRCnDCyM/Pb2YvCnAAIhcSEvL7778LceLFixe1tbVHjhzJG60ZFhbm7+/PZDL5Z3EyNTUdMGBAVFTU06dPbW1tvzhpV0M0Gk1NTY3NZmdmZvKmVVJTUyOExMbGUteqqqpq+CtgdnY2IURgSnKRaMnVqa+OiYmJHA6Hf43XL57YPfBGoR47dozBYFy4cIHBYAjMmUWpr6+nlgtoODSV/LcWRg1ta1hHU1VVbaYARzXb1GBSQkhxcfGvv/565cqV9PR07pfmHKRSLSwslJGRafRjXFtbW1hYKCcnJ/BfFRUpKioSKAw1nHyw0bWGGiYm3KyFLSfEnIytndmwoqJi0qRJbDb7999/X79+vY+Pj5ubm42NzYMHD6iZ5jZt2vTkyROqm1VTqKeJH/WgNZWqkpJSaGioo6Ojt7f30aNHHR0dN23aJFDAEkCtw8Bf5qNQH0WB9ZRbOPOR0LNAtvZ9b+OT1UwmzT8IzfjiR+v+/fve3t5Pnz59//49768XNTU1DYeJtXyVHgAQOUdHx8zMzOXLlzc15B8AoOOhACeMZn4f5XK51Aw+ACAqnz59SkxMNDExEeLcy5cvBwUFKSgoDBw4kMlkZmdnU7MpHT58WGAKpIULF27fvp3D4TRc/7SFpk+ffuzYsfHjx5uZmZWVlV2+fNnNze3s2bPbtm179uyZvLz8/fv3WSyWpaVlREQE7ywTExMWi7V169ZLly7JyMjQaDQWizV69OjVq1cLfA9srZZcXVdXd9CgQcnJyWPGjBk0aFCfPn127tzZkhO7BwkJCQcHBx8fn9u3b1tbW1+9enXixImNFgs4HA4hREpKqpl+Z5SGE/M132OI+mLf1HR+1dXV5ubmCQkJEydO3LJlyzfffCMpKbl7926BxVtb3mAzhapGT2w4+WALF0wQYtbCRjX1grd2TkYhZjY8d+5cbm7upEmTqLGcrq6upaWlGzdutLKyevDgQXZ29pMnT0aPHk31dWpK8+9+o4YPHx4fHx8cHLx3715/f/9z5875+/s3XByWp6n3tNE3lMlkfjGBtswC2dr3XVxPVjOa/2hdvnx59uzZXC7XwsJizpw5VNn60KFDCgoKDf9QgRUYAMRl+fLlHz58+PnnnydOnCjuXAAA/j/8ZiCMoqKipnbV19ejBxyAaIWEhNja2gp37vLly+vq6hISEt6+fVtRUaGmpjZ79mx3d/eG5bwFCxZs3bpVVlaWmiFLCHv37i0pKQkPD79w4cLo0aMJIaamppcuXdqxY0doaGiPHj0mT578+++/+/n58Vey3r17p6en9+TJE2oAI+XWrVvnzp2Li4tryzzBLbk6jUa7ePGiq6trXFzcmzdvqPnCW3JitzF79mxqFCqdTi8qKpo9e3ajhzEYDBUVlY8fPxYUFDTsakSh+uM0/AsNNeS5KVQPqaY6VgcHByckJJiYmISGhvLqAt7e3s00KCkpqaSkVFxc/OnTp4arcktKSqqrq7PZbIG95eXlnz9/7tmzZ0sKNO2EqpVQFRkeUa3D6+3t/fnz540bN1Kz+1Ga73lKzSnGvyqFp6dnSUnJb7/9ZmVlVVtbS6PR9u7dK5L0BEhISMycOXPmzJnnzp1bvHjx0qVLra2tGy0NE0J69+5NGvsIUZEvDm1uSIjXSmjt92Q1/yAIbevWrRwOJyAgYPHixVQkMTHx0KFDdXV127ZtE2KtHgAQucTExJqamsmTJ6P6BgCdDX5REEYzg4lQgAMQudOnTwvRi4RiYWFx6dKlN2/elJSU1NTU5OTkBAUFNdqZjvqyOm3aNDk5OYFdBQUFXC5XoC/D+fPnuVwuf2VQQUEhKCiosLCwsrKSWg+BEOLg4BAfH19ZWclms/39/ZWVlT09PblcLnVH58+fHzdunJ6eHjXXOJfLrampSU1NNTc3T05Opib1HzRoEJfLpaZJ4jEyMuJyuQLdoMaPH8/lcnmrqX7x6pShQ4c+ePCgrKyspKSE9327JSd2D2ZmZurq6teuXfv777+ZTKadnV1TR1KTpt24caOpA6gVP6kZ1njevHlDjbBrypAhQ1gsVkJCQqOVJmo+vrFjx/K+2HO53JcvXzZ3S4RQ9d+mCqbm5uaEEIHp3qhN3moDYkH97ykwZJI3w30bCTGzITWisLi4mD/o5eW1bNmyN2/epKWlbd26VWAqPZGbN2+evb19RUUF701vOG+jrq6uurp6QkKCwF8HhX5PO3gWyC8+WYMHD5aWlk5ISOCfLrC+vp63RmpTmn8QhJOSkkIImTVrFi8SGxtLCCkvL3/69KkILwQAQqN+8XN3dxd3IgAAglCAE0YzM0bX1tZiCGoXNXPmTCUlpdu3b4s7kTYZOnQoNb5SXAk8ePBAWVlZ6A5rjaqsrBRu/GmrnDlzhhDSwROFUO/U/v37eVOAMRiMfv36UX+zbb5/B4gENQq1pKTk7Nmz1tbWzUwXtWrVKkLI1q1b+etfHz9+vH79OvVvU1PTb7/99uHDh7xITU3N5s2bm09AUlLS2dm5rq7O1dWVt8xodXU1tXwqbz4+3vF79uxJT09vvs1ly5YRQjw9PbOysqgIl8tNTEwUuBFeaam0tHTLli2EEGpZWHEZPHgwg8F48uRJfHw8FSkqKvr5559F0rjAK9mSmQ2pxQoCAgL4S6hv3rzh1QSjoqK+OHCytaKjo/lHelZXV1MT+fPmkqO6ifF/CGk02qpVq+rq6tavX19fX08FExMTfX195eXlnZycWpuDEK9VW3zxyZKSkpoxY0ZVVdX27dt5B3h7eze/AgP50oMgHGqlVF7tLzk5efPmzdTMeitWrMjMzOQdmZubm5SU1JZrAYAQMjIyvL29Bf4YBgDQSaAA12rFxcXNjNDhcDgyMjIdmc/X45dffqHRaAIrrIkK1W+opKTkn3/+aY/2vx537twpKioKDQ0V6DbSFhkZGVZWVqJqrVHJycknT57U1tYWbenwi2pqarhcrsDCyu/fvz979iyNRjMyMurIZL5avM4sTY0/pUyYMGHjxo1sNtvQ0NDIyMjKyopauvTgwYPUARISEtRKjvb29lZWVvPnz9fT08vMzJw+fXrzCezatWvYsGHBwcFaWloWFhYjR45UUVHZv38/IWTGjBnq6uphYWGmpqbOzs4jR47cvXv3F1cEtrOzW7x48bt37wYMGGBqampiYqKhoTFz5kxq77hx4zZt2hQfH6+jozNjxoyZM2fq6OjExsauXLmSf2WSjsdisRYvXlxfX29iYjJ16lQHBwcdHR0NDQ0tLa22N+7m5kaj0bZt22ZnZ+fk5KSrq5uSkmJpadnMKTY2NnZ2dvn5+Xp6es7Ozj/++KONjc3QoUPj4+O3b98+derUqKioWbNmiXZsZlBQUK9evUaPHu3k5DR79uz+/fsnJCRMnjx5yJAh1AHUx2n16tWOjo729vZUofann34aP358QECArq7uvHnzJk2aZGRkVF5efvz48ZasoypAiNeqLb74ZBFCdu/eraqqun//fkNDQ0dHRxMTk19++WXdunXNt9z8gyAcqhY5efJkU1NTQ0PDYcOG1dfX3717d82aNXFxcbq6uiNGjLC0tNTV1f3mm2/CwsLaci2AlrO1tRXJj8p2UlNTo62t3QF/4yktLXVycuIfCtClifxtjY2NVVBQCAwMFGGbANAqKMC12sePH5spwLVlwiYQIxqN5u7uPnz4cEdHR3Hn0jWkpKTQaLRLly4JxO3t7UeMGLFs2bI2LiDAk52dXVtb269fP5G0JiA5OXnBggUzZ840MjKqqKg4dOhQB8/gQ825ZmJi4uLismPHjnXr1jk4OGhra79+/XrTpk3USovQ3szNzdXV1aWkpL5YKfPy8rp69er48eNTUlLu3r374cOHqVOnbty4kXfAlClTQkNDx4wZ8/Dhw/DwcAsLi7CwMF7ppCksFuvhw4f/+c9/VFVVHz169Pr166FDh1KDYak1MS0tLRMTEy9fvqyiovLw4UOqX0/z/P39jx07Nnz48Li4uOfPnysqKi5fvpy3d/fu3efPn9fV1Q0NDQ0JCdHR0Tl16pQYe87yHDp0yNPTU1VVNTQ0NDo6eunSpVevXtXT02t7y9TMhnp6eqGhoWFhYZaWllFRUV+s7F+8ePHPP//s27dvUFDQX3/99fbt24ULF8bHx//8888XL160sbG5efPmokWLBOata4v58+fPnDkzMzMzKCgoPDxcVVV1165d/D9p582bt2XLFgUFhYsXL7569YoaJ8tkMkNCQnbv3s1gMK5cufLs2bOJ/4+9O4+Hsvsfx3/GMBjMUHbKEhJRpFL2W7KkVaIo2lTuSt0plaTCreUulSRRUXdJljYlpcgSdwolIUmRNVu2sQzz++N83vOb7wzTjDDKef7RY+bMmXO9rhky87rOeZ1581JTU5ls3cDE4F6rn/HD3yw5ObnMzEwbG5vPnz/fv3+fSCSmp6fPnz//hyMz/0UYhJ07dwYEBEyaNCknJ6exsXH9+vWvXr2aOHHimTNnIiIitLS0Pn78mJ6e3tvbu2nTph/+l4IgLIqJicEMgEnlBA5KTU199uwZ9S6FQiGRSCQSaVgPSqFQRERE/vjjDzh/mUUpKSnwlew3LeXo6IjBYFivv1FeXn758mXWjz7CyGQyiUTq6uridCAIMnZh+t0KDWEiMzPT0tJyoDJwIiIiTLZoQH6Gr6+vl5eXurr6T64f+b1NnTq1oKAgMDAQLuoZPj4+PgcPHoyOjv7J2QQ/dO3atSdPnly9enU4Bn/79q2JiUlLS4uysrK/vz9Hvizdu3fv3LlzOTk5zc3NOBxOVlZ29uzZ69atMzExGflgEARBEAQZbYqLi2/fvg1vR0VF5eXl+fn5wUuGKioqy5Yts7CwePfu3Q/XZY8YS0vLGTNm+Pr6Ult6e3uxWOywHnTbtm2TJ09m9wNwSkqKiYmJgICAiYkJXTFKEokkLi5OoVDIZDKLBQeOHTt2//59urq9gzYcb+sIvBEIgjCBdkFlG21xFkZ4PH7EIkEQTuno6IiMjByZY6WkpLB1JZMtmpqaDQ0NwzQ4ixYtWrRo0SLOxoAgCIIgyKg1efJk6pzQd+/e5eXl7dmzh25vqNGjpqYmOTl5xowZtI3DnfTJy8u7e/cuteAju0xNTR89etTU1ES7gCM+Pp5EIllbWz969IiVQbq7u2NjYzm4mTgrUPYNQTgLLUFlW319PZOCL4z7JyLDio+PD4PB0O0FCQA4dOgQBoOh3X3869evGAxGT08PAFBcXGxtbU0kErm5ueFGkwAAJSUlDAYTFhZGO87du3ctLS3l5eV5eXlFREQMDAwCAgKomxXCMeEnjLt37xoZGQkLCwsJCRkYGMTGxtKFxDyArKwsOAGe8afL2NgYg8HQXkWESkpKHBwcJCQk+Pn51dXVfX19Ozs7B1oE/eXLFxcXlwkTJvDy8srIyKxduxZWDqIFX4G2trbPnz+vWbNGUlISh8PJycm5urrS7s947tw5uEYSAGBrawvDpr7U//77LwaDoatY0dXVdfXqVUtLS3FxcR4eHvhKXrlypd9QaXV3dycmJg5fAg5BEARBEOQ3gMPhXrx4MWfOHH5+fnl5+b1799KtNDx//vzkyZN5eXlVVFSCgoJoH/ry5cuqVatERUX5+PhmzpxJV0ONj48vLCzs2bNn6urqXFxc1J2Fk5OT4eEkJSV37NgB9xF69OjR5MmTu7q6/Pz8MBgMdW86Pj4+2j1hPn36ZG9vLyYmxs/Pr6qqeuHCBdgeHR09b948IpGIw+GmTJkSERHB4uk7ODj8zOIPKyur7u7uuLg42sbIyEhTU1PG7fX6PfGvX7+qqallZ2dnZGTAz8avXr1ifkZHjx4lEonx8fGzZ8/G4/Hjx493cXFpbW2lPdZAb+vRo0cxGEx+fj5tZzU1NS0tLQBATU2Nk5OTtLQ0Ho9XUVHZunUr3EIQft2g/bLT7xvR0dFx6tQpbW1tPj4+PB5vaGiYmZk56NcWQRBaKAHHtrq6OiYr59EWqKNcVVVVaWmpgYHBgwcPWlpaent7J06cOFDnEydOLFmy5NGjR1VVVcLCwu3t7enp6X/99RdtVQsAQFFR0alTp5YsWfLixQscDtfZ2Zmenr58+XLGlBm7ATCRnZ09Y8aMGzdu1NXVCQgIfPr0ycvLy9DQENYDovPixQsNDY3Q0NCvX7/i8fiqqqrw8HBtbW3qRyhad+7c0dLSunbtWnd3NxcXV3l5eXBw8Ny5c1taWmCHN2/eaGlpwQNNnz7d3Nzc3Nx85syZTKJ1cHBwcnJ69OhRS0uLiIhIS0tLenr6unXr9uzZw/w0L1++jMFghqkAHIIgCIIgyO+htbV1yZIlBgYGgYGBWlpax44dO3z4MPXRo0ePbt261dDQ8Ny5cxoaGlu3bj1x4gR86Nu3b3Pnzn327BkscTh+/PilS5f++++/tIOnpqba2trq6ekdOXIE7oeWmppqbm4uICBw5swZBweHoKAguKORhoYG3FZ+zZo1aWlp/W5FUlNTM2fOnPv379va2np7e+vo6FCvH8fExBAIhIMHD54/f55AIDg7O6ekpPzw3AsLCykUyg8/VTKhoqKiqqp68+ZNaktLS0tCQsLKlSt7enroXop+T1xYWDg8PHzixIkaGhppaWlpaWmqqqo/PKOWlpbly5fb2to+ffr0yJEj165do6vdOdDbunr1ai4urhs3btC+CIWFhatWrQIA2NraJiYmuru7nz171tLS8t27d/3OERnojcBisWFhYTo6OqdPn/bz8/v48ePChQtRkSUEGRoUhE1wA6yBGBsbczrA35aPjw8AQF1dnbYR/p148uQJXWdvb28AgKmpKbWloqICAMDLy2tgYKCmpvbo0aP6+vrPnz/39vbCDpMmTQIAhIaGwrttbW1wQ9vjx4/DrSo7OzsfPny4ceNGeJc6JuTp6dna2kqhUFpaWuAPCRcX1+vXr1kMgHplqaenh+5cjIyMAAA+Pj7Ulp6ensmTJwMApk+fXlxcDFuuX79O3YE3MDCQ2rm5uVlKSgoAsG3btubmZgqFUlVVtXDhQgCAqKjo9+/fqT3hK8DNzW1oaEgdNjw8HBYZOXbsGG1U48ePBwBER0fTRQs/dcnIyNA2pqambty4MSMjg0wmUyiU1tbWjRs3wmNVV1dTBubq6hoUFMSkA4IgCIIgyNgBtwuj+7hobm4OALh06RK8SyaT5eTk1NTU4N2GhgY+Pr7NmzdT+8+dO5dIJHZ1dVEoFHd3dwDA27dv4UN9fX0GBgZiYmKdnZ2wBX7YfvDgAe0RZ82apa6uDj/XUSiU/fv3AwD+++8/CoVSXV0NPxjT9ufl5XVwcIC33dzcAADPnz9nfqZwj3hXV9cfvibLli27fv36D7v1Kzk5GQCQlJTk7e2NxWJra2the0REBC8vb3Nzs42NDS8vL7U/kxOnUCiTJ0/W09Nj8Yz8/f0BAPv376d2OHToEADg1atX8C7zt9Xc3FxOTq6vrw/ePXLkCAaDqaiogFfQPTw8GAOAXzeoX3ZYfCNgmu/WrVvMuyEIwgo0A45t8L/OgRAIhBGLBBmErq6uDx8+PH/+3NzcfPz48XJycgPtevnlyxcSiQR3R4WzvXh5eS0tLS9evMg4y8zKysrX1xfOfxQSEgoICJgzZ05fX9/Zs2cHHQATDx8+LC4uxmKxt27dghtlcnNzr1q16siRI4ydL168WF1dbWJicvbsWSKRCACQkpK6du2asLBwfX0945ZPgoKCd+/epQ7r5OQEN9iim/fHFgMDg4sXL86dOxcWnhAUFITBkMlk5nPaX7x4MXfuXNYP1N3dPeggEQRBEARBflEYDGblypXwNhaL1dTUrKmpgXeTkpI6OzvNzMya/2f27Nnfv3+H25rFx8drampqaGhQx3F0dPz27duLFy+og2toaFhZWVHv1tXVvXz5cv78+a2trXBAWI+Fxc0HEhIS1NTUDA0NmXeTlpYWFhb+4cSrZ8+ePXz4EM78GjQKhWJvb9/b2xsdHQ1bIiMjLS0t4Sdnqp888X7PiHaPafgi037kZvK2Ojs7f/nyJSMjA96NjY01MDCQlZXl4eGZPHlyZGQkXAPLBItvBNzMHc2AQ5AhMUqLd45mtbW1TB6lrdyJjE7btm0TFRX9YTcpKSkuLq6+vr7o6Gh4sZEJuuniAIBVq1ZlZmYmJiYOOgAmYC3YOXPmKCsr07avXr169+7ddJ1jYmIAAHZ2drSNRCJRW1v72bNnqampW7ZsoX1o4cKFwsLCtC2zZs2Ki4tjnnpmFx8fn4KCQl5eHpNdTTo6Oj58+DB9+nTmQ9XW1lZWVu7atevt27dwCfBw7wCL0FJQUFi3bh2no0AQBEGQMW3cuHHUlRAAABwO19vbC2+XlZUBAGxsbOie0tTUBB+lzQEBAGB1lE+fPlE3ZIcLL6jggAEBAQEBAYwD/lB5ebmpqWm/DyUnJ586dSovL+/79+99fX3t7e0UCoX5aDExMadOnWLluMypqqpOmzbt5s2bf/75Z0NDQ1JSEt06XDCoE//hGcF1KrS3aT9yM3lblyxZIiwsfP36dX19/dLS0jdv3gQHB8OHbt68aWtrO3PmTFNT071799IWxabF5I0IDQ29evXqhw8fOjo64BF/+EYgCMIKlIBj27dv35g8ihJwox9c0flDIiIibm5uAQEBq1evTkpK8vDwgKUc+sX4ELyQWFNT09DQAFdrshsAE/CKpba2Nl27hISEqKgobUqrt7c3Ly8PAHD48GFqsQ8IppKpl9Go1NXV6Vpg/Czuvz4QMpmclpb29u3bhoYGOE8NHpr6MYJRRkYG3LNiIHV1dY6Ojunp6X19fStWrNi/fz8Wi01KShpoJwpkOPRbdhBBEARBkJHEx8c30EMwdXLhwgW6PNq0adMAABgM5oeD032yggNu3ryZ7vqunJwcK6FS/reslU5aWpqZmdn06dPPnz+voqKCxWJhhEx0dHRERES0t7ezctwfsre3379//9evXx88eMDLywsLttBFDtg5cVbOiLa2OONKDiZvKx8fn52dXXR0dGBgYExMDA8PD6xGBwDQ1NQsKCi4efOmv7+/mZkZrOjCOMJAb4S/v//+/fvt7Oz+/vtvSUnJgoKCpUuXDhQGgiBsQQk4tjG5xIHBYOimDiGjkLi4OIs9jx8/TiQS//777/Dw8IiICCsrK09Pzzlz5jD2ZHzfx40bB280NTXRJeBYD2AgcBJ4v9Poxo0bR5uAa25uhn/LYT0ORnSVZUF/5wL9zIWve/fubd68eaAYBsI8AXfx4kU3NzcPDw83N7cFCxZQ2//4449Bx4kgCIIgCPKbgekhYWHhfreVV1RULC8vp22Bd+Xl5ZkPCAAY3D71srKynz9/Zmy/du0aXASqoKAAAOjo6CCRSMyH2rZtGzXr9PPs7e337dsXFRV1//79xYsX4/F4ug7snjgrZ1RVVaWpqQlvf/nyBQAgKyvLYsBr164NCQlJSUm5ffv2/Pnzab9xcHNzOzo6rly50tXV9fz58xs3bmRcUzLQG3HlyhUFBYUbN27AOjkfP35kMR4EQX4I1YBjG3UvSEY8PDyoBtwoQSaTB3qI9Zpr3Nzc3t7eZWVl7u7uBALhwYMHenp6+/btY+zJOI2Lmq5ivK7IbtE3xnOBg/d7xRIWWWMM49mzZ/2WgWQsWkE3ws/777//li1bVl1dbWlpmZyc3NzcDOvFMk61o5OcnAwrazBKSEgICAggkUiHDh2izb4hCIIgCIIgtMzMzPj4+IKDg2k/r/b19cEbS5Ysefv27Zs3b+BdCoVy/fp1YWFhJkV4paSkdHR0oqKiaBcGwQ+WAACYt2pubh7o6ZaWlrm5ua9fv6Zrh0+nXgm+d+/eD6/+RkdHw40LhoS8vPzs2bMvXLiQlpbGWF4G/OjEAQB4PJ72xFk5I9qtVyMjIwE715Jnz549ZcqU6Ojo7OxsahU82kNgsVhra2swwJV4Jm+EkJAQ9QvL3bt3WYwHQZAfQjPg2NPX18fkUgwPD4+QkNBIxoMMhMUiFKyQlpY+ceLEgQMHDhw4cO7cuaNHj6qrqzs6OtL2YaxLSp2G9vOrkhnPBY7Z7znSfdwRFhbGYrG9vb1DW8GNLWfOnOnt7dXS0oqPj6dNPjJOvqOTnp4OC9jRERcX5+PjCw0NHeJAkd9RZmbmuXPnFBUVOR0IgiAIKpqJcIaoqKiPj8/u3bvnzp1rbW2Nx+OLioo+lyX6hgAAIABJREFUfvwINwB1d3e/cePG/PnzYZHiu3fvpqamXrhwgbb0GKPTp0+bmppqa2s7OjpKSEhUVFTEx8dnZGSIiooSCAQ1NbWbN29Onz6dTCZv2LCBm/v/+crp4eERGRlpYmKyfv16KSmp0tJSaWlpb29vIyOjsLAwZ2dne3v7wsLC8PDwCRMmMInh/v37xsbGTGbqDYK9vf3OnTtFRETgDqRsnTgAYM6cOefPn/fz85OVlTUwMGDljD59+uTk5KSvr//69evQ0NAFCxYwFplhwsnJ6fDhw3x8fIsXL4YtOTk527dvt7a2lpeX//btW2BgoIyMTL/pVCZvxKVLlzw8PDQ1NZ8+fZqbm8t6PAiCMIcScOypr6/n4+MbKAfHxcUF98FERgwvL29XVxdj/gtWSRtCRCIxMDCwqanp+vXrN2/epEvAFRQU0P1hg3+rpKWlqWtRmaOWYGhsbKRdo0oikUpLS+k6q6urZ2Rk5Ofn07V///6drqYbNze3pqZmbm5ueno6Xcw/Cc6/Y2Vd6vv37wEARkZGdNm3r1+/MnlWcXGxsrKymJgYbSOFQhEQEDAxMTl//jyLdUaQMaurq8vKyqqwsNDGxgaVBUQQZDRARTMRTnF3d5eSkjp16pSfnx8AQFlZecOGDfAhERGRjIyMvXv3BgQEtLe3q6urR0ZG9jv/i5aenl5qaurBgweDgoI6OjpkZGSsrKyoExHCwsJcXFxcXV1lZGTWr19P91xZWdkXL17s27cvIiKitbVVUVHR19cXAODo6FhcXBwWFpaYmKitrX3nzp3g4ODW1taBYggJCaHbSeznrVixYteuXcuWLcPhcP12YH7iBw8eLCkp8fX1xePxDx8+ZOWMzp07d+LEiV27dnFzc2/YsIHdDSVWrVq1d+9ee3t7AQEB2DJhwoQJEyYEBAQ0NjaKiorq6+v7+vrSbecKDfRGHD9+vK2tLSQkpK+vz9LS8vHjx0ymQyIIwp5+V6UhA3n37h2TRaZEIjE+Pp7TMf62fHx8AADq6uq0jVOmTAEA7Nq1i7bx48eP8FKbqakptbGiogK+TSUlJf2OP2nSJABAaGgokxi8vLwAAObm5nRjGhkZ0Xbr6+uDdRbWrVvHYgDU3XXv379P2x4eHg7bfXx8qI1xcXEAABwO9/XrV9rO1ElhgYGB1EZ/f38AAIFAqKysZHJqTF4BOOykSZNoG+H1xuDgYLrO165dAwDIyMhQW2bOnAkA2LRpE2238+fPw1AZR6CO4+joSNfIz89fU1PD/CwQBDIyMqL7nwFBEARBkN9DUVERkUjkdBQ/BX5EH+iLCYsSExPB/xa3Iggy+qEacOypr69nUsALg8GgJagjDG6eHRISEh8fD4tZvH79esmSJT8/clxc3L59+/Ly8qg1MjIyMi5evAgAYLwK9Pz58507d37//h0A0Nra+ueff+bl5WGx2O3bt7N4OHFx8alTpwIAdu/eDaeM9fb2xsXFubm50c3bBwBYW1srKSl1d3fb2tp++vQJdr537567uzvjyK6urvLy8i0tLaampomJiZ2dnXBFalRUlJWV1YcPH1h/TWjB1OeNGzfg5k20WzjRgQm4qKiogoICGOqdO3f27dvHfFJSdnY2fCKVtLR0ZmamhITE4AJGxpSLFy9Onjz5n3/+4XQgCIIgCIIMvRMnTjDuUjoGhYSESEtLW1pacjoQBEFYghJw7Kmvr6emYxhRKBS0BHWE7dmzZ9y4cW1tbQsXLhQSEhIWFtbR0WlsbDxz5sxPjtzY2Hj06FEtLS1BQUE5OTkhISF9ff3a2tqpU6fu3LmTrvOOHTtOnz49fvx4CQmJ8ePHBwcHAwB8fHx+uHs6LX9/fy4urqKiInV1dRERESEhIRsbm5kzZ27atImuJw8Pz7///isoKJiZmTlp0iQxMTECgbB48eKZM2cuX76crjOBQLh37560tHRRUZGFhQU/Pz83N7esrKy9vX1CQgKTn2fm4IKCtLQ0UVFRGRmZWbNmDdRz586dgoKCzc3NmpqaEyZMEBAQWLp0qZmZmZubG5Px6RJwGhoa+/btY+v1RMasurq6nTt3whwxgiAIgiC/n7S0NLgwZWx6+fLltWvXXF1d4+LiDhw4wHi1HkGQ0Qkl4NhTX1/PpHJ8X18fmgE33Oi2/pwwYUJWVpadnZ2EhERPT4+QkNCmTZtycnJY3z9oIIsXL/b19dXW1ubm5q6srBQQEJg1a5aPj09mZibju7x79+6YmBg9Pb3Ozk4cDqenpxcbG9vvfqlMWFtbP3r0yMTEhEAgkEgkeXl5X1/fBw8ewJlxdGbPnv3q1Ss7OzsxMbG2tjY5OTk/P7+HDx9SNzKnpaGh8e7dOy8vLw0NDTwez83NLSUltWDBgqtXr8Jlp4NgY2Nz/vx5dXV1Mpnc0dFBN1uNlpKSUmpqqoWFBR6Pb2hoUFJSOnHiRFRU1Jw5c5iMT5uA++uvv2bOnLlt27bBhYqMNXC7MRcXF04HgiAIgiDI0MvKyho3bpyKigqnA+GYlJSU9evXx8fH+/n5DXkhPARBhg+GwkINdYTK19f34MGDA71oeDy+tLRUUlJyhKMaIw4ePOjj4zNr1qz//vuP07H8n69fv8LNjD5+/DjoTBbCKDc3d/369Tk5OQCAmJgYNzc3Du7iivxywsPDnz9/fuXKFU4HgiAIgiDI0HN2dp42bRrjkhQEQZBRDs2AY09VVRWTlGVPTw9agjp8YN5NSUmJ04H0AyWyh1Z8fDx1m/bNmzf/5PbnOjo6GAxmyDfGHVq3b98WEhI6e/YspwP55ZHJ5HPnzrm6unI6EARBEARBhsW///7r6OjI6SgQBEHYhhJw7KmqqmLyKErADbmPHz92d3c3NDR4e3s/fvwYAMBY4wz5/aSkpCgrKwMApk2bZmtrKy4uzumIht2bN2/a2tqys7OHcEwymXzkyJG6urohHHP0c3Z2bmlpYbImGkEQBEGQX1dCQsL8+fPFxMQ4HQiCIAjbUAKOPbW1tUweZb6rI8IuMpmsrKzMy8srKip65MgRAIC1tfWQ7HCKjHJtbW0rVqxISUkZN24c3NHit+fm5nbu3LmjR48O4ZiPHj3y9vYeawm4ly9fPnjwgNNRIEMjKysLg8GEhYUN4ZirVq1SU1NjcdqyhYWFrKzszx80NzeXSCRev37954dCEAQZ427evGlvb8/pKBAEQQYDJeDYU19fz+RRPj6+EYtkLMBgMFJSUvC2kpKSn59fXFwc3SYMyG8pNzdXS0tr+fLlJiYmnI5lhIiIiPz5558yMjJDNSCFQhmDRdBKSkoAAHD6JPJLOHToEOZ/CATC3Llzw8PDh/WIJBKJRCKNcN0AMplMIpG6urpG8qAIgiC/JZSAQxDk14V2LGZPU1MTk0fxePyIRTIWYLHYqqqqzs5OLBbLw8PD6XCQEVJQUKCiovL27VssFrthw4YhH59MJvPw8EhISNTU1NC2GxsbP3/+PD8/n7rtbFxc3JkzZ96/f08ikeTk5AwMDLZs2TJt2rR+h5WUlFRWVg4JCfHy8kpLS2tpaVFWVt6+ffvGjRupfYqKiqZMmXLixIktW7bs2rUrOjr6+/fvRUVFSkpKFy5c2LJli6enp6+vr6ura3BwcGhoKN3pe3p6/v3338ePH9+9e3dXV1d0dHR4eHhOTk5ra6uoqKiRkZG/v7+CggIA4NWrV87OzgUFBQAADQ0N+PTq6mpJSUl9ff2MjIzCwkJVVVXqyIcOHTp8+HBgYODWrVuppzN16tQ7d+4cOHDg1q1bjY2NioqKmzdv3rZtW2lpqaen59OnT1tbWydPnuzh4eHg4PCTb8pQiY+Pt7a25nQUCNu8vb1FREQqKyujoqLWrl1bVlZ2+PDhYTrW7du3+/r6uLhG9ALkzJkzSSQSFosdyYMiCPKLunfvXl5eXm5uLvUDCUKVmppKJBLd3NzExMQ+f/4M976rra2Vk5Orq6vD4XBEIvHjx4+KiookEqmlpUVaWrqyspJIJPLz85eVlamoqJSUlCgqKnL6PH4HCgoK69at43QUCPKLQQk49rS2tjJ5VEBAYMQiGTtG87xCWVlZtP3CkMvJydHW1n706NHGjRulpaU5Fca1a9fWrFlDJBJNTEwoFEpBQUFISIiRkdFACTgAQHZ2tq6uro6OjoeHR1dXV0REhIuLS01NjZeXF223qqoqW1vbp0+fTp8+vbu7W05Ojm4cW1vb4ODg27dv0yXgbt++Df5XBjEvL2/16tUEAkFPT49AIGRnZ0dFRb148aKoqAiPx3Nzczs6Ol64cOHLly87duyQkJAAAAgJCbH1Crx//37p0qV9fX3u7u7fvn0LCgpyc3OrqKi4fPnyzJkzPT09q6urg4KCHB0dhYSEFi1axNbgw+TBgwf79u3jdBQI2xwdHeEGOwcPHtTU1Dx+/LiHh8fwXdMa4ewbhLJvCIL8UFZW1qJFi/j5+YlEIhcX14wZMzgd0aiDwWDq6+sLCwvr6+s/f/4M50bU1taSSKTa2lpqAq6rq6ujo6O1tbWlpeXr168EAgGPx5eVlXFxcZFIJFQ1aEig6REIMhgUhGUkEombm1nKctq0aZyOEUF+eTt37ty3bx8Wix2qAeHn1/z8fHi3p6cHACAhIUHXzcjIiLabtrY2AKC0tJTaIScnp6ura6CjwCTX0qVLqS1NTU0yMjI8PDzl5eWwpbCwEAAgIiKirq5ObYRgqTtPT08KhUImk8XFxXl5eVtaWqgdioqKAAAzZsygtsTFxbW3t8PbXV1ds2bNAgDcuHGD2kFPT4/2jGgbCwsLaRu9vb0BAIGBgXSns2TJEmpLbGws/I9uzZo11MaIiAgAgIWFxUAvy0iC/0V//vyZ04EgbIA/eyUlJdSWHTt2AABev35NoVAyMzMBABcvXnR3d5eQkBASEtLX18/KyqJQKCQSSVhYeNmyZbSjRUVFAQDu3LlDoVCuX78+ffp0AQEBMTExExOT+Ph42Mfc3FxGRob2WRcvXtTQ0ODl5ZWQkNi0aVN9fT31IbY6k8nko0ePqqio0H0noZ5IaGgo7FlRUfHXX38pKiry8PAICwuvXLmyoqJiCF5NBEF+ZdLS0lOnTj148CCnAxntlixZcvv2bU5HgSAIMhioBhwb6uvrmV8wIRAIIxYMgvyucnNzMzMzL126xNkw4BwZ2i/SWlpaOByO+bNg7gASFhZeu3ZtT09PTEwMbZ+mpqZz585NmDBhoEGwWOzSpUu7uroePnxIbYTT32xtbaktS5cupU4RwuFwK1asAAAUFxezcHKs2r59O/W2paUlvOHm5kZttLKyAgC8e/duCA86aBUVFQAAxhmFyK8F/pbRTlI7evRoRkaGp6enl5fX+/fvzczMGhoa+Pj47OzsHjx48P37d2rP2NhYERERS0vLpKQkBweHyZMnnzt3bvfu3dzc3ANNYP/7779dXFxUVFTOnj27adOmyMhIExMTEok0iM4nT57cu3fvhg0b0tPTDx06BABwcnJKTk5mHKehoeHOnTvLly+Ha89jYmJWr149yNcLQZBfX2NjIwaDUVVVvXLlyvAtwP9t2Nvb37x5k9NRIAiCDAZagsqG+vp65jPgUAIOQX5edna2kpKSk5MTZ8NYtmzZq1ev5s2bd/r0aWru6YfoyrVoaWkBAF6/fk3bKCoqamxszHwcW1vbkJCQ27dv29nZwRbGBBxEoVDa29vJZDJcYdrZ2cliqKygPR1+fn4BAYH29vZJkyZRG0VFRQEAbW1tQ3jQQausrNTX1+d0FMhP6evrS05OxuPxtDUKsVhscnIyvAA2bty4DRs2PHv2zNbW1tnZOSQkJDY2Ftag6ezsfPjwob29PQ6HS01NBQCEh4fDIga7d+/u93ANDQ0+Pj42NjbULLmBgYGZmdmFCxd27tzJbufIyEh9fX14rFmzZt26dau6urrfX/Zp06aVlpbSjnzx4sVv376JiYkN6mVDEOQX1tnZKSkpSUElTVhmZ2eHcnAIgvyiUAKODU1NTcwTcMLCwiMWzO/nv//+S0xMhEWsampq9PX158yZw+mgkJGWlJTU3t4eEBDA6UCAh4dHW1vb8ePHrayspkyZsmfPnjVr1vywdBRdnbXx48cDht2T4T4JzBkbG4uJiT18+LCrq4uXl7eysjI7O1tLS4taNri3t/f8+fPh4eH5+flwUe1wIBKJdC1cXFyMjaPka0NGRgZcYIv8cmpqajAYTHl5eVBQUHZ29t69e2mrf9rY2FCnn8OkNtxBRVdXV1VV9caNGzAB9+jRo7a2tlWrVoH/5Y7hrib8/PwDHTcpKamzs5N2F5F58+ZJSUnduXOHMQH3w87Nzc20ZSuFhIRaWlpYOX01NTUAQGNjI0rAIcgYJCUl9fnzZ05H8Svp6+ubMGEC2gsVQZBfEUrAsaGurq67u5tJB9qJIQhz1dXVycnJt2/fzsvLq66ubm9v5+LiEhERUVRUtLKy0tDQaGho4HSMCAeYm5u/fPly+DJKTNDNHePi4vLz83NxcTl9+nRoaOjatWsvXbqUkJAgKCjIZBC4xSrtXcY+P1zHCgDAYrFLliwJDQ19+vSplZXV3bt3KRQK7fS3LVu2hIaGTp48+dixY1OmTOHj43vw4ME///zz4/Psz0Dz5hgTjhgMZnCHGAEZGRnbtm3jdBTIYBgYGMAbAgICXl5esDAclYyMDPU2/PXp7e2Fd52cnOB+IFJSUrGxsdLS0rCY44oVK169enXy5MmrV69u2bJlx44d48aNYzxuWVkZAGDixIm0jRMnTvz06dMgOltYWFy9evXFixezZ89OTk5+/fr1QFuCtLW1HT169P79+1+/fu3q6oL/442SRDaCICNpypQphw8f5uCWU78iLi4uHR2dkpISTgeCIAjCNpSAY0NjY2O/X6ep+vr6RiyYX1d1dfXu3bsfPHjQ2dkpJSWlra29ffv2hQsXysvLczo0ZFRITEy0s7OLj48fptlMMIXE+NtaV1fH2FlOTi4gIMDDw2P16tVJSUl+fn7+/v5MBq+rq6OtQVZZWQkAGNysFltb29DQ0Pj4eCsrK1gMjpqAq6qqCgsLIxAIqamp4uLisDEvL4+VYfs9/X7P/Zfz4sWLyMhITkeBDMbFixdlZWXHjx8/bdo0xlqrTPbCXr16taen582bN//888/79++vW7eOmjU+fvz4pk2bTpw4cezYsdDQ0MePH2toaNA9na2E8g87Hz9+/PPnz3p6ehgMhouLy9HRcaAEnI2NzdOnT728vBYuXEggEC5dunT06FHWI0EQ5Pdw6dIlHR0d2nKrCIs2bdo0GlZLIAiCsAttwsCGxsZGJvWVuLm5mU+NQSorK1euXKmgoBAbG7t06VISifTp06eYmJht27ah7BtCpaenZ29vv3HjxoyMjOEYH4vF8vPzNzQ00E5oraur+/Lly0BPkZSUDAwMBADArQyZoOuQkpICAIDbsLLLxMRk/PjxiYmJXV1dycnJ06ZNU1JSgg/BjT5VVVWp2TfQXwIOLpmnm04IF8lWVVXRNmZnZw8iwlGloKBAVlaWcXks8kswMTGxtLScNWsW852OGMnIyMyfPz82NvbZs2ffv3+H60+pJk2adOHChZycnI6ODi8vL8anwzXd5eXltI0VFRX9/kn6YWcKhVJaWnr48OGSkpKOjo7w8PB+V79WVVU9fvzYwcHB29tbW1tbSUkJXb1DkDGoqqpq48aN165d43QgvyRzc/Pc3Nzf4/IhgiBjCkrAsaG2tpbJChGUgGOivLx8xYoVysrK9+7dmzlzZkFBweXLlzkdFDJK6ejoNDc3P3/+3NraepgOoamp2dfXFxoaCu/29vbu3r2b9jtwd3f3s2fPaH/fc3NzAQC0Ca9++fr6NjY2Up8SGRnJw8OzfPnyQQTJzc29ZMmST58+RUVFdXR00K4/hWF8/PiRurFjamrq9evX6UaQlJQEALx9+5a2UVNTEwAQGhpKPd/Q0NBRso3pz7hy5YqUlBSno0A4wNnZOSsrKy4uTllZWUdHBzbS/vKqq6srKSlVV1czPtfMzAyPx9N+AX769GlVVdWCBQsG0Tk+Pr6kpGTXrl2TJk1istIcxkYtGksmk2n3O0aQIfT582fM/2tk5loWFRVhMBjaXXHs7e0xGExSUtIIHH1I0AX85csXSUlJuNv4UPHw8Lh69eoQDjjWrFy58sCBA5yOYlTLzc0lEomMnw8RBOEglIBjA6z6PBAsFisgIDBiwfwqPn/+bGNjo6amFh8fLyoqevfu3bS0NGoheQRhNHPmzOzsbDExsfXr10+ePHk4DgGXe2zdutXY2Nje3l5FReXJkyfm5ubUDt3d3aamptLS0osXL3ZycjIxMXF0dMThcDt27GAyrIiIyJw5c5SVlW1sbBYtWjR37tzOzs6DBw/KysoOLk6YdPPz8wP/7/6nSkpK8+fPb2xs1NLSWr9+/fz5801MTFxdXemevnjxYgDAtm3bHBwcli5d+uHDBwDAxo0b+fn5b926pampuXLlytmzZ2/ZsmX16tWDi3D0ePfu3R9//MHpKBAOWLJkiZCQ0L///rty5Upqo5OTk4uLy6VLl/7999/Nmzfn5OT0+81ZWFjYz8/v9u3by5Ytu3jx4qFDh2xsbJSVlbdu3TqIznB/lfXr1x89evTYsWNBQUFFRUWM48jIyCgpKYWHhwcGBl6+fNnU1JT5/k4IMmiysrJPnjxxdHQEAKipqV2/fn3Dhg1sjVBaWnr8+PHhie5nPX369NatWyNzrLKystra2h/OgmddbGxsbm4ufGuQwdm1a1d8fPzPvykpKSnUDDU/P7+amtrBgwdJJNKQBDnCrl69SlvDlEwmk0ikrq4uDoaEIAg9CsIyY2NjJq8kgUC4desWp2McRYqKihYvXiwoKIjD4Xh4eIKDgzkdEfLLEBYWbmpqolAobm5up06d+snRtLW1AQCFhYW0jZcvX9bQ0MDhcCIiIitWrPj06RMs7pafn0+hUMhk8rFjx6ZPny4gIIDD4eTk5JYsWZKVlcXkKBISElxcXD09PT4+PnJycry8vFOnTr148SJtn8LCQgCAnp4e49ODg4MBAJ6enrSNPT09sHK8hoYGXf9v3745OTmJi4vz8/Nra2tHR0eTyWQBAQEPDw/abgcOHJCVleXh4VFRUfn06RNszMjIMDExERQUxOPxhoaGz549g59fAwMDaU8HANDT00M7moCAABaLpYsEAEAkEpm8MiNj2rRpeXl5nI4CYRvcb6GkpKTfR+FPZmhoKLUlPz8fABAQEEDbDe6CWlRURG2JiIjQ1NTk5eXF4/Hq6uq0/c3NzWVkZGiffvXqVU1NTRwOJyYmtnbt2pqamsF1Tk1NpVu7isVir169yngib9680dPT4+fnl5CQ2LdvH9wAke7/KAQZKrdv3wYA2NnZDeK5u3btGj9+PLvPYvxjZ2dnBwB48uTJIGIYyIwZM2xsbIZwQFp0Aff19V25cuXly5dDNb6MjExiYuJQjTZmRURErFmz5icHSU5OBgCsWrUqMDDQy8tLV1cXAGBsbNzX1zckQY6Y7u5uAoFA91tGJpM5FQ+CIP1CCTg2wKVbAyESiQ8ePOB0jKPFmTNnpKWlMRiMjIyMm5sbp8NBfjHz5s2jfoAgEAh0aSy2kMlkMTExDAYDM3rDp9+MFTJi0PWksWzOnDna2tqcjSE2NhaDwdy4caOzs5NCoZDJ5M+fP0+ZMoXjgSHIoBNw7e3t0tLSozMBB8uejlgCbmjFxcUtXbp0OEYeg/j5+Ts6On5mBJiAo73SA9ccPH369KejG1HwN32YfmgRBBkqaAkqG5qbm5k8isFg0BJUyMnJydvbG1Z5f/PmzenTpzkdEfKL6ejooP7YfP/+fe/evfX19WyNUFVV1dnZ2dDQsHXr1m/fvunr61MrLiG/n7y8vOnTp3M6CoQz3r59m5mZuX79es6GER8fLykpuXLlSriPBBaLlZOTExERwWKxnA0MQfolKSn5xx9/1NfXu7q6ysjI4HA4BQWF/fv3U7cnunr1qry8fFVVVUNDA1ydp6qqSn16a2vr3r17FRQUeHl55eTk/vrrr6amJnZjKC0tdXBwEBMT4+Pj09TUPHPmDOOGJFeuXNHT0xMSEhIUFFRXV4eFIHbs2DF79mwAAEx8YzCYzZs3AwDIZDIGg4H1T2kZGxtjMBjaaqfJycn29vYyMjI8PDzCwsKmpqbPnj1jEipjVbuamho3NzdlZWV+fn4ZGZk//vjj/PnzdLseDWTbtm39bguDDMLSpUuPHDkytGM6ODgAmr2t+Pj4AgMDT548qaioyM/Pr66uHhERQdu/rKzMxsaGQCAQCIRly5aVlZVRH9LR0aEWJ4WMjY2pe2plZWVhMJicnBw3NzcxMTEBAQFjY+OCgoL8/HwLCwtBQUFpaektW7a0tbVRnx4dHT1v3jwikYjD4aZMmUKNxNfX18bGBgBgZmaGwWBgGWU4flhYGPXpnz59sre3FxMT4+fnV1VVvXDhAgCgo6Pj1KlT2trafHx8cG3EEK62RhCEDio7woaWlhYmj1IoFJSAAwBoaWl9+PCho6MDj8e3t7dzOhzkl7Rr1y7aysSFhYXi4uJsbRTo4uLy4MEDeJtIJJ49e3aIQ0RGk9zcXC0tLU5HgYwoCoVy5cqVrq6ukydPKigoODk5cTaeOXPmXLlyZfv27VZWVjw8PFVVVVFRUS9evEBF1pFRKy8vz9jYWEhIaPv27T09PREREf7+/rW1tZcuXQIACAsL79ixw9PTE4/Hw2zR+PHj4RM7OjqMjY1zcnI0NTV1dHTevn0bEBCQmJiYlZUFN9pmxfv37/X19b9//25oaCgsLJyRkbFjx47Xr1/T/sps3LgxLCyMh4dHS0uLj48vPz//zZs3AAAlJSVHR8dLly5NnToV5krY+hMA9zVqaWnR1dU1MjIqLS0tmAICAAAgAElEQVR99uxZWlpaVlYWrFnByghmZmbv3r2D6ZWvX7/+999/VVVVW7Zs+eFz/fz8xMXF0d+soeLu7m5kZASriAwVuIsOF9f/P08FVhcJCQnB4/H+/v7Ozs5SUlLz588HANTX1xsYGPDx8fn6+nZ2dv7zzz+GhoZv374VERFh8XAODg5GRkYPHz4sLi52dXVdtGhRZ2enm5ubt7d3Wlqah4cHFxdXUFAQ7BwTE0MgEA4ePEgkEkNDQ52dneXk5IyNjZctW9bb23vo0KGAgAAdHR1YwIROTU3NnDlz2tranJycJk6c+O7dO+oVo7CwMH19fRcXFxKJdOLEiYULF3748KHfQRAE+VmcnoL3K2FeJplAILx//57TMXJSdXW1oKAgFxcXFxeXmJgYp8NBfmFVVVVSUlK0LQ0NDYzVx5gICgoyNze3srLav39/eXn5UAfYD7QElYO2b99+5swZTkeBjCgymSwiIoLH401MTEZJ9bQzZ85oamoKCQlxc3NLSkouWLAgISGB00EhSP9LUOHfLDMzs97eXthSW1uLx+O5ubmpFRvgfC7GJaj79+8HABw6dAjeJZPJ9vb2AID9+/fDFlaWoM6dOxeLxVJX+TU0NMBtlx4/fgxb7t+/DwBQVFSkFjDt7e2trq6Gt588eQIYlqDCgCUkJOgCNjIyAv+r8Qo9e/asqqqKehdOrHNxcRkoYLozunfvHgCAtvpYa2trQUEBhQW6urqZmZms9ERYtGLFiqioqEE/nXEJ6q5duwAAz58/h3d5eXlxONy3b9/g3draWgCAq6srvLtnzx5eXt4vX77Au48fPwYAHDt2DN6dMWPGjBkzaA9nZGQ0adIkeBtONJszZw71Ubirz+7du6kturq6EyZM6DfyyspK2kgiIyPpfsvoyo+6ubnRntdAbty4AQBAlc0RZJigJais6uzsZN6ht7d3LM+AS0xMVFRUbGtr4+LikpeXr6ur43REyC9MSkqKh4envLyc2jJu3Ljc3FwcDtfb28vKCK6uro8ePXrw4IGfn9+ECROGLVJkVEAz4MYgLBbb2NjY3t7+7Nkz2pVxHLR9+/Y3b960tLT09PRUV1fHx8dbWFhwOigEYWbXrl3UaT7i4uKzZ88mk8nv379n8hQKhRIaGjpu3LgDBw7AFiwW6+7uDgCIiYlh8bgFBQUvXrywtram7l49bty4jRs30g4CF8f5+/vD/YUBAFxcXIzLSwfHxMRESkqKehfuRlpcXMzi0+GLxsPDQ20RFBRUU1P74RNTU1NxOBws848MlV27dp08efInB2lqavry5cvLly89PT1Pnz49Z84cAwMD6qO6urqioqLwtri4OJFIrKmpgXfv37+vqalJIBCam5ubm5s1NTUxGEx6ejrrh6b9SzFp0iQAgJmZGW0LTPkxkpaWFhYWbmxsZPFACQkJampqhoaGzLvBn2TWh0UQhC1oCSqrGhsbcTgcmUweqMNYTsD5+fmdPHmSRCLx8vKqqanl5ORwOiLkl6erq5uVlTVx4kRqi4aGRlhY2KJFi65duzYKZ8VTP4ohIw/VgEMQBBkEuv85xcTEAACtra1MnlJcXPzt2zcJCYk///yT2kgikQAAnz59YvG4aWlpAIDy8nJYuw0qLS2l/gsAyMrKAgDAVX7Dp6Ojo7u7m5+fH7BwrZ1q7ty5EhISly9fFhIS2r9/P3zdWLFv3z646A8ZQry8vF+/fk1PT6ct0seuPXv27NmzBwDAxcW1fPny4OBgDAZDfRTOGKXi5uamXg8uKyvr7OykW3DKVklE2p8fuNyKutyb7lgAgOTk5FOnTuXl5X3//r2vr6+9vZ1CobB4oPLyclNT034fCg0NvXr1KiwiBA/H+rAIgrAFJeBY1djYyHwJKplMHpsJOBsbm6SkpJaWFjweP2vWLDiRG0F+EkzArVixgrZxzZo1p0+ftrCwcHJyov3oj4xlSUlJXV1drBceQhAEQSC6rAHMODD/4g1XvdXW1oaEhNA9RCaTyWQy80/LtIPk5ubm5ubSPQSzYL29vQ0NDfz8/MO0gVJCQsKpU6eysrJoy9uzTkREJDEx0cHB4fTp08HBwQ4ODvv374dzl5jo6ekREhJipU4cwpZp06YpKCiUlJT8TAJu27Zt5ubmBAJBXV2d8SovrArXLwqFoqurS1eEjkgkDtQfZqtp0U6lhGjLz9FKS0szMzObPn36+fPnVVRUsFjstGnTBjpQv6H2m//19/ffv3+/nZ3d33//LSkpWVBQsHTpUtaHRRCELSgBx6rGxsaB/jeEent7+fj4RiyeUWLy5MlfvnyB337nzZsXFxfH6YiQ34Surm50dDRje05OzoYNG1JSUhITE2ERFmSMa2trQwv9EARBBoH5J9t+wfScubn5o0ePBn1cOIi/v//evXuZdKCdgvQz6Ka2Xb9+3dHREe4yMXPmTAKBUFlZCVehsm7atGlv3ry5ffv28ePHL1++HBkZefnyZVgLbyB1dXXv3r1bvHjxYM4BYcrPz8/b23vt2rWDHkFTU3PBggWDeKKcnFxzc7OxsXG/j2KxWLqMW0VFBR6PH8SBAADXrl3r7e2Njo6G67I7OjoY03lMyMrKfv78mbH9ypUrCgoKN27cgP8hfPz4cXDhIQjCClQDjlVNTU3MN2Fkcm3kt9TR0YHD4UpKSrq6uohEoq2tLcq+IUNIR0dnoE3Qw8LCjh49+urVq2XLln3+/JmtDx/I76eoqIiVyjsIgiDIz4Ol0/r9Gj+Eg3Bzc4uIiHR0dLS0tLA+LEzYMX5cpytM7OPjAwC4efOmj4/PokWLjI2N1dXVWT8KFRaLXb58+cuXL2/cuNHb27t+/XrmZbOCgoLQ5P1hYmRkVFlZCfcbGWGLFi0qKipKSkqibaT+EIqLi3/69Im6rDslJaW6unrQx4KJaeq00Hv37tHOV4V5vebm5oGebmlpmZub+/r1a8ZhhYSEqOn4u3fvDjpCBEF+CM2AY1VjYyPz6u9javobiUSaOHEi3G1KTExs3rx5cNN6BBkqPDw8ysrKiYmJ5ubmjI9OmjSpqqpq586dWlpaZDJZQ0Nj2bJla9euxePxsJILMnYUFRWZmJhwOgqEJenp6VFRUcO0puw3o6CgsG7dOk5HgYxpWCwWg8HAD3tUU6ZMGT9+fHFx8YcPH1RUVAY3Mixv/+jRIyZLVnV1dRMSEp4+fdrvajj4LLrYsFgsPz9/Q0NDd3c39bp4XV3dly9faLvBWnW0pejz8vIGdyLQypUr7969GxUV9fbt24FmQgEA/P390R5lw+fvv//29PQc+bWTe/fujY6OXrhw4bp165SVlZuampKTk93c3GxsbAAADg4O8fHxCxcudHBwqKqqCgoKUlRUHPSxjIyMwsLCnJ2d7e3tCwsLw8PDafcZmzFjBg6HO3bsWFdXFw6Hs7W1pXu6h4dHZGSkiYnJ+vXrpaSkSktLpaWlvb29jYyMLl265OHhoamp+fTpU8aF4QiCDCGUgGNVY2Njd3c3kw5jKgEnLi4Oq2ZgsVgVFRW4XzWCDK3Fixfn5+f3m4CDAgICAgIC7t69W1FR8c8//3h4eGCxWOp2bAhnjVj6oLCw0NXVdQQOhPyMwsJCfX19PB5vZWWFapCzgrEqEIKMMAwGIy4uXltb++XLFzk5OdjIxcXl6urq4+Ozfv36O3fuUKvFFxcX19fX6+npsTKypqamgYFBWlraX3/9derUKZhNo1Aoz58/l5eXl5eXBwC4uLgkJCR4eHjMmDED7shEoVAKCgqmTp0KAIDbob57966vr492Ia2mpuZ///0XGhoK55r19vbu3r2bbk6cuLh4ZWVlbm7u3LlzAQBVVVVHjhxh65XJzs5WUFCgbovZ1dUFt44VFxcf6Ck3b960t7dnfbsGhF22trZRUVGxsbEw8zVixo8fn5mZuX///piYmIaGBhEREX19fer2JnZ2duXl5SEhIdu2bZs0aVJISEh2dvatW7cGdyxHR8fi4uKwsLDExERtbe07d+4EBwdTp9fJyMgEBQXBpbjLli1jTMDJysq+ePFi3759ERERra2tioqKvr6+AIDjx4+3tbWFhIT09fVZWlo+fvwY/mogCDIcMGiLExbt3bv32LFjTDrIy8uXlZWNWDwcpKqqWlJSAj/N8PPzd3R0cDoi5Pd0//790NBQtgq9vXv3Li4ubhBFbZAhJycnt3r16hE4EJFIrKioIBAII3AsZHDq6+s1NDT27du3fft2TseCIGMImUxOSUmJiIj4999/1dTUPD0958+fD9NGkpKStbW1PT09tBPQ7O3to6KiEhISqIU1N23adPHiRXl5eUNDw7a2ttjYWABAV1eXhYVFSkoKHo+fMWMGNzd3eXl5aWmpj4/PgQMHAABFRUVTpkzR09NLT0+nHfnJkyfz5s2DLaWlpXDZoLi4+NSpU3t6eoqLi+vq6tLS0qil9NeuXRseHo7D4XR0dCgUSmlpqYiISFFREQCAQqGoqakVFRXNmjVLVVVVTk4OJtFu3Ljh4OAAADAyMpKUlMzOziaRSJqamomJifn5+TB5B0vOE4nERYsWtbe3JyUlLVq06OHDh8rKynDrVcaA6c7I3d39zJkzM2bMUFJS6u7ufvHiRWVl5YIFC+Lj4wd6LywsLHbu3MnkmiLy83JycgwMDNrb2zkdCIIgyIDQDDhW1dTUMO8w6IKavxZLS8uysjKYfSMQCNSPVggy5AwNDdnN4EydOhV+vEbGiKqqKkFBQZR9G+VkZGRiY2Otra05HQiCjC1fv341MzODt9+/f+/g4MBk34N+HT9+vLm5OSkp6datW7q6urCRl5c3MTExKCjo2rVrr1696u3tnTBhgouLC93G5cxNmjQpJyfH39//3r17aWlpOBxOWVnZxcVFQ0OD2ufy5ctz584NDQ3Ny8vr6elRUFDYtGkTfAiDwURHR2/evDkvL6+4uJi6teiqVau6uroCAgIyMzMFBATMzMyOHj0aFRWVmJhIHXb37t1kMvny5cu3bt2SkZHZvXv3vn37rK2tm5qaWAx+1apVlZWVKSkpr1+/FhISkpOT27x5M5PZ9zU1NW/evEHZt+Gmra3t7Oy8c+fOgIAATseCIAjSPzQDjlVWVlYJCQlMOsycOfPly5cjFg9HuLm5XblyBU51JhKJXl5eu3bt4nRQyO9s+vTpERERbG2yjowpT58+9ff3pyt+jIwqSkpK//zzz5IlSzgdCIIgCGfY29t3dHSgrdtHxqRJk86ePTu4LU0RBEGGG1qoxar6+nrmHQQFBUcmEk65cOHC1atXYfaNh4dHV1cXZd+Q4WZoaJiamsrpKJDRq6ioSFVVldNRIANycnIyNzdH2TcEQcayzMxMdsvMIYP28OHDI0eOeHh4cDoQBEGQfqAEHKt+OC9dSEhoZCLhiOTk5P3791N3thYQEHj06BFnQ0LGAn5+/tOnT3M6CmT0KiwsnDJlCqejQPpXVVWVlJQUFBTE6UAQBEE45u3bt8LCwtSq/Mhwmzx58n///ZeXlzfovQ4QBEGGD0rAser79+/MO/zGRYgqKytpS2MICgq+fv2asyEhY8SuXbuoaV8EYYT23BjN5s6du3v3bk5HgSAIwklw/1NORzHmJCYmrl+/nrb2H4IgyGiAvrewqq2tjXkHIpE4MpGMPHl5eepWp8LCwidPnlRUVORsSMgYIS4uPmHChDdv3nA6EGSUolAolpaWnI4C6UdSUtL48eN37NjB6UAQBEE4KSoqys7OjtNRjEWtra1Lly6Njo7mdCAIgiD/P5SAYwmFQiGRSMz7CAsLj0wwI2zChAlkMhne5uXlNTU1dXFx4WxIyJgyb948VGIf6VdXV1dTU5O8vDynA0H6ERQU5OXlNSRDBQcHi4iIfPjwYUhGY9eqVavU1NTQjlUIggzCmjVrent70XVrTuno6NixY0dkZCSnA0EQBPk/KAHHksbGRj4+PiYdsFjsb7kJg56eXk1NDfXuuHHjYmJiOBgPMgaZmpo+ffqU01Ego1FpaemkSZM4HQXSj8ePH+fm5rKy98KhQ4cw/4PH41VVVXfu3FlRUUHbp7u7u7Ozk3opiC0UCsXX17evr4/F/uXl5ZcvX6ZtIZFIJBIJJeAQBBmEzMxMdBGRs9LT0w8ePBgREVFSUsLpWBAEQQA3pwP4NTQ1NfHw8HR2dg7UgYeH5/dLwDk7O+fl5VG/8/Dz8+fl5XE2JGQMmjdv3uLFizkdBTIaffz4UUlJidNRIP2wsLC4d+8e6/29vb1FRUXb29tzc3PPnz9/+fLluLg4U1NT+Kibm9vWrVuxWOwgInn58qWXl9fevXtZrBUYGRl5//79devWUVtu377d19eHSg0iCMKu/Px8fn5+9HeKsxQUFAIDA52dnXE4XHt7++LFizs7O9HVu0FQUFCg/eOIIMjgoAQcSxobG5l/+MZisQICAiMWzwgICwuLj4+nln4bN27chQsXxMXFORsVMgbx8PCIiIhcuXJl7dq1gxtBR0fn9evX+fn5U6dOHdrYhsmFCxe2bNni6enp6+vLpJu9vX1UVNSTJ0/mzZsHAPjy5cvs2bMNDQ3HzrZfaAbc6HTt2jVHR0dra2vWn+Lo6Ej9jlpUVGRqampra1tYWCghIQEbB5d9AwCwtfKou7s7NjYWh8PRtaPsG4IggxAXF7ds2TJOR4EACwuLmpqanJyclJSUhoaG4uJiXl5eTgf16+Hh4eF0CAjyO0AJOJY0NjYy78DFxfU7JeCampq2bt3a1dUF72IwmBkzZtja2nI2KmTMWr9+/du3bzkdxWhXVlZWW1ubmZnJ6UBGzsePH9XV1TkdBUIvPDzc09Nz0E9XVVU9e/bs8uXLz58/f/jwYQDA0aNH9+3bV1JSApN02dnZAQEBT58+bWpqmjBhgqur644dO3x8fEJDQxsbG2fNmnX+/Hn4gzF//vwnT56A/31tOHHihLu7OwCgrKzM3d0dPjRv3ryTJ08qKCh8/frV2Ni4tLQUAIDBYOCBdHR0LCws3r179/XrVxhee3u7t7d3TExMdXW1uLi4lZVVSEgIbVSNjY1iYmLOzs7e3t7oOx6CjGW3b9+OiIjgdBTI/9HW1tbW1uZ0FAiCjHUoAceSxsZG5hVkfrME3B9//EHNvgEAeHl5b9y4wcF4kDHOzs7OycmJ01GMdkZGRleuXBlTCanS0tJFixZxOgrk/1FRUVFSUvLHH3/8zCBLliwREBBISEiACTg6WVlZFRUVbm5uUlJST58+dXd3j4uLy8vLc3d3FxMTCwwMnD179vv37ydOnHj48GE8Hn/37t2UlBQsFqugoAAAqK+vNzAw4OPj8/X17ezs/OeffwwNDd++fSssLBweHu7g4EAkEs+fPw8AUFVVpTt0X1+ftbV1SkrK8uXLtbS0qqqqqFPzMjMzy8rKtm7dKisr++TJE39/f15eXm9v7595HRCEXZ8/f3Z2djYwMOB0IAgoLy8vKCiYNm0apwNBEARBRhGUgGNJU1NTT08Pkw4YDOa3ScCdOnUKTgGA8Hj8jh07REVFORgSMsZNmzatoaHh69evsrKynI5l5LC76g2DwTg7Ow9PLKMUqgE3Cp08ebK3t/cnB8FisVOmTBmoYPa2bdu2bdsGb69duzYnJ+fFixcXLlzYtGkTAMDCwkJFRcXPzy8kJGTOnDmSkpIAAD09PW7u//vAc+LEifr6+g8fPkycOBEAoKWlNX/+/NDQ0D179ujr6/Pz8xMIBH19/X4Pfe/evZSUlMOHDx88eJDuoe3bt2/fvh3ednZ2zsnJuXXrFkrAISPp4cOH7u7uCxYsQFMvRwN5eXlBQcGqqippaWlOx4IgCIKMFigBx5KGhgbaGWGMKBTK75GAq6urO3DgAIlEorbgcDg/Pz8OhoQgAABra+v4+PjNmzf//FBkMpmHh0dCQoJ2h18AgLGx8fPnz2lLxcXFxZ05c+b9+/ckEklOTs7AwGDLli0DXc2WlJRUVlYOCQnx8vJKS0traWlRVlbevn37xo0bqX2SkpLMzMzs7Oxu3rxJ+1wMBjN+/Pj6+nraRh4eHn9//6tXr5aVlQkICJibm/v7+8vJyQ10XkVFRVOmTNHT00tPT6dtv3LlSlhY2Nu3bykUipycnJmZ2enTpwEABw4c8PPzCw4Opn1VU1JSTExMbGxsqPsd29vb37lzp62t7cKFC+fOnSsrKxMTE7OxsfHz8+vr6zt8+DBciyclJbV69epDhw5R0xwjANWAG4XGjRvn6Oj48+MICAi0tbUx70Mmk8lksrKycmFhoYWFBdwoSVZWVkpKKiMjY6Bn3b9/X1NTk0AgNDc3AwA0NTUxGEx6evqePXt+GFVCQgIAYMuWLcy7YTCYKVOmZGVl/XBABBkqT548sbe3T01NnT59OqdjQf5PcXFxWlqanZ0dpwNBEARBRguUgGNJTU0NhUJh0uG3ScCZmprSZt/gkhzOhYMg/8fa2nrfvn1DkoBj0bVr19asWUMkEk1MTCgUSkFBQUhIiJGREZPlJNnZ2bq6ujo6Oh4eHl1dXRERES4uLjU1NV5eXoMI4J9//mltbdXT09PS0srPz4+MjHz+/Pnr16/hjB4Wbdy4MSwsjIeHR0tLi4+PLz8//82bN+xG0tXVtX79+qysrNWrV3NxcYWHh589e7a8vLyqqqq9vX3Tpk19fX2XLl3y8/Pr6Og4deoUu+MPDsq+jU4JCQkBAQE/P87379+JRGK/D717987T0zM1NRVm0CB5eXnaPkwmkJaVlXV2doqIiNA2NjU1sRJVeXm5gICAmJgY40OfPn3y8fFJT0//9u0bmUzu7OxEM8eRkXTr1q2dO3ei7NuoYmhomJqaihJwCIIgCBVKwLGEbqYMo76+vt8gAXfs2LEvX77QtqipqS1evJhT8SAI1YIFC9zc3G7durVixYqROSKcJpaTk6OoqAhbcnNzmVdY6+rqsrKyiouLg3ddXV2nTp3q4+Pj7Ow8YcIEdgNoaWm5efMm/OBOoVA2b9588eLFQ4cOXbhwgcUR4uPjw8LCFBUVk5KSYPWrvr6+uro6diMBAKSkpOTn5xMIBADA2rVrFRQU7ty5o6qq+vr1azweDwBYtWqViopKaGjo8ePHR2YSXEFBAZP5gAhH1NbWlpWV6erq/uQ4JBKpsLBw9uzZjA/V19cbGhpycXHt379/5syZfHx87u7uGRkZaWlptD94TJbgUSgUXV1df39/2saBkn2Mz+135Kamprlz5wIAfH19dXV1+fj4XFxc3r9/z8qYCDIkbt26VVFRwekokP+HoaFhcHAwp6NAEARBRhGUgGMJ3dIwRmQy+VdPwFVWVh45cqSjo4PaIiAgEBkZycGQEITW8ePHr1+/PmIJODiDhnbPdS0trR8+a8eOHdTbwsLCa9eu9fX1jYmJ2blzJ7sBTJ8+nXrZHIPB+Pn5hYWFRUVFBQcHwy0afwim6vz9/WH2Dfx/7N15IFTr+wDwd+zrMJZwKZFSilbdi1SytVwltEh7UrpSaaEFKYr25SZRbhvab90UkXKRFl+30h6Vsscw9sGM8/vjfO/5zXdmzIxtBj2fv5z3vOc9z0zCPOd53xchMTGxdhXQEZYuXYpn3xBCWlpao0aNevr06cqVK/HsG0JIX19/6NChb968+fr1q3AK006dOiXg+wCE5s8//5w9e3bnx/njjz+amppmzJjBeSoxMbGqqurMmTPLly/HWxgMBkJIQ0Nj8ODBggyuq6tLo9EmT57cgcB0dHSSkpI4q/MSEhLKysqio6OXLVuGt9TX13dgfAA65t69e2ZmZsRPadBDjBgxoqioqKqqiq3kFgAAwA+rfYt8/7AqKyt5d+gDCTgbGxvW7JuMjMyKFSvwNaoB6AmcnJz++usv/MO2cG6HELKxscFXfRIQsX4cDs/ZZWdndyAAU1NT1kM1NTVDQ0Majca6Rwpv+BJUdnZ2Hbg7G7bXhc+tY0u04Y181+3qKgoKCh4eHsK5FxBQSEiIkZFRJwe5f/++r6+vtrY2vqkCG7zMTVFRET/88OHDixcv0L/pZgKxEQSeI2adrDpz5sz379/fv3+ftT+x0bmcnBxrZzbTpk3DMCw6OpqtHV+kQllZGT/Mz8/Pycnh90IB6DKHDh3CazBBT/Pzzz/funVL1FGA//fkyRMSiXT69Om2Opw8eZJCoXz8+FGYUQEAfhxQAScQHn+O45hMpqysrHCC6Q67d+8uLCxkbRETEzt69Kio4gGAq7lz5165cmXBggVCuJevr29dXd2+ffumT58+bNiwLVu2LF68mO/OpEReAKeqqooEKKHlCr+Wlbq6+rt37yoqKgTZ+pPJZFKpVFlZWSIp0BlcJ+ipqKhwNvJeLrMLff36Faag9ihNTU1lZWXE/qTtcvbsWVVV1crKyoyMjNTUVE1NzVu3bnH9rrOwsJCVld20aVNeXl5NTU1UVJSrq6u8vPyhQ4e+fPlibm7e3NyckZFha2uLl52amZkdPnx4/fr106dP79+/v6WlpZ+f39WrVx0cHJYvXz548OCqqqqHDx+uW7fO2dkZ7x8eHh4SEqKjo2NpaUnMQMc5Ojqam5tv3LgxOzt75MiRZWVl+fn5165dMzMzk5KS2rFjR21tLY1GO3LkyJAhQ8rKyjr0RgLQbi9fvtyzZ4+oowBcmJub+/v7/2h7lAvZzp07g4KC2BqzsrLGjRuHEEpLS2MwGFOmTBFwtObmZjqdLrTHvQCAHw0k4ARSW1vLu4OUlJRwIukO+fn5+/btY61bUVJSCg8PF2FIAHClqKgYGRnZTQk4fAtFgpiYWEhIiIeHx5EjR6KiopYtW3bmzJmEhAQFBQUeg+BbrLIetve+hObmZgF7coUnwto7SbOtW3DNPIp2Bigk4Hqa5ORke3v7jl2L77VNJpMNDAwCAwPXrl3LmYDG9e/f/+rVq9u2bdu1a5e6uvpvv2TS58sAACAASURBVP0WEBBAIpH69+9/5syZ+Ph4WVlZU1NTS0tLvL+Li4unp2dsbOyNGzdCQ0MtLS1VVVUfP368bdu2a9euUalUCoUyYcIEYun6gICA3Nzc4OBgOTm5u3fvsiXgxMXFExMTt2/ffuPGjUuXLqmpqa1YsQIhpK+vHxMTs3379pUrV+rq6gYHB2MYtnHjxo69GwC0C4PBoFKpY8eOFXUggAt/f/9Dhw7RaLQueRgGeNi0aRPrLw4dHR38i717944dO1bwBNy6deu8vLzExcW7PkQAAIAEnID4LubCY73nns/GxoZt1pienp5wiowAaJcZM2Y8ffqUyWR25g8jPG1EzDgjcN2dQFdX9/Dhw76+vosWLbp//35ISAjb2u2cg7BmhYqKihBCxJ6JXG/d1q4InHVzeEEN1x0YOUlISFAolKqqqpqaGq4LA7UrmJ6mubm5srKyY+vZgW5y7969DiTgdu7cuXPnTt59/Pz8/Pz8iMMZM2ZwLg/n6+vr6+vLeS2JRAoPD2d7pKSlpfXHH39wvZeGhkZSUhJrS2JiIuuhoqLisWPHjh07xnahi4uLi4sLa4ubmxv31wNAl8rOzobsW082ZcqUBw8e4OtagO6zatUqzvkBpaWlDx8+bO9/EMi+AQC6D6wBx199fT3feWcyMjLCCabL+fv7s+3xSiaTYe8F0DM5ODgUFRXxXZORN3FxcVlZWSqVylpi9v37d7YtgFlpamoeP34cIfT48WPeg7N1SE1NRQgRf/nhE1SLi4tZ+2RlZXEdim3luLy8vK9fv1IoFLaSHB7wzShTUlK4nm1XMD0NlL/1QElJSV2y4CAAoF0gAdfDWVtbt/WLGHSrxMREQ0PDpqamkJAQEonEOoMBw7DNmzdramqSyWRLS8unT58Sp0JDQ0kkUl5eHtESERFhYmIiIyOjrq4+depU/GloYWHhxo0bBw0aJCUlRaFQFixYwLaYDwAAcAUJOP4qKyv5zjDtpQvA5ebmHj16lLW+T0pKas6cOUOHDhVhVADw4O7uzmPpXAGZmJi0trZGRUXhh0wmc/Pmzay1YM3NzQ8ePGBdzuz58+cIoX79+vEeOTg4mMgPPn/+PC4uTlJSkqiLGTZsmKSk5JMnT16+fIm3VFZWtlX+8+bNG6JIp7W1ddeuXQih+fPnCz7xE9+jwNfX99u3b3gLhmGvX7/GvzYxMUEIxcXF1dTUEAG3VRbU0+Tn5w8cOFDUUYD/l5+f39zcPGTIEFEHAsAPBxJwPRwk4ETF2Nj4woULCKHFixenp6cnJycTp0JDQx89erR9+3Z/f/+3b9/a2tpSqVSugwQEBHh6eiooKGzdutXd3R3DMHzLKSqVevPmTRcXl4iICE9Pz2vXri1atEg4rwsA0KvBFFT+Kisr8W3XeMD3Wet1bG1t2Za3a21t7Xx2A4Dus2LFCgsLi0WLFhGre3SAt7e3m5ubl5fX1atXNTU1s7KyGhsb7e3t7927h3dobm62trbW1NQcP368srLyt2/f0tLSpKSk1q9fz2NYCoViZmY2ePDgyZMnt7S0JCcn0+n03bt3E6EqKiouXbo0KirK3Nx8ypQpkpKSqampY8eOpdFojY2NbKMdOHBg/fr1UVFRAwcOfPny5du3b7W1tQMCAgR/mY6OjkuXLj179uzgwYPHjRuHYdinT58oFMr79+8RQjY2NsOGDXv37t2wYcPMzc1ramoePny4cOHCXpGDgwq4niYgIABWOAJAJLKzs729vUUdBWiToaFhbW1tcXHxTz/9JOpY+rKioiLi85qkpKS2tra2tjY+mbR///4TJkxg7SwuLv7w4UN8BSEVFRV3d/cHDx7MmTOHbcyysrKwsDAbG5vExES2eakjR45k3ZWeSqVGRkaWl5cLuE4IAOCHBRVw/FVVVfHtIy8vL4RIutb27dvZFpkik8mw9wLo4QYNGuTs7Lx48WLBL8EL2VjT6AsWLIiOjjY2Nn78+HFSUtK4ceMePXo0efJkooOsrGxYWJimpmZKSsqlS5e+fPkyc+bMtLQ0c3NzHjeqrq4+efLkhg0bsrOzk5KSDAwMIiMjd+zYwdrn+PHjvr6+6urq9+7dy8rKWrFixc2bN4cPH8452oIFC9LS0uTl5W/fvl1SUjJ//vzMzMz2rnoWHR0dGRk5cuTIFy9e/Oc//1FWVl61ahV+SkJCIjk52dXVtbm5+a+//ioqKjp27FhUVFSvqOeFBFxPU19fv3nzZlFHAcAPh8FgvHnzZuTIkaIOBPBSWVl548YNUUfRx02ePFnvX5MmTeLd2dnZmVi/e/To0QghtgV5cA8ePGhubvbw8OC7KpyRkRFCqJNrpAAAfgRQAcdfZWUl60w0rnhvjNgDlZaWHjlypKGhgbVx2LBhK1euFFVIAAjoxIkTI0aMePPmDde8FRsmk1lQUEAikdhSV8uWLVu2bBlrC+tC7+Li4lu2bNmyZUu7AsMnse7YsYMt6cZKWlo6NDQ0NDSUtZFtlffVq1evXr0aIaSlpcU6Y4LNpUuXLl26RBwOHTqU8ycViURauXJlW/+vtbW1Y2Nj2RrZfiyw3QUXHx/PORq+4J1wfP361dbWVmi3A3xlZmaeOHFC1FEA8MO5f/++4AuDAlGZP39+r/uk0OucPHmS+EuP77utra1NfI0vNMRkMjm74St46OnpcZ6qq6sLDQ29fft2YWFhU1NTS0sL+veJLwAA8AAVcPxVVlYyGAzeffDlzHsRd3d3tilvysrKu3fvFlU8ALTLli1b9u3bx6NDcXExnU6nUqleXl7l5eUTJkyA+XF9CVTA9Si5ubmKioqwKS0AwpeRkaGhoSHqKAAf06ZNS0hIEHUUfZyNjY3jv2xsbHh3FnD3PDyhRtTKsXJ2dg4NDXVyckpOTn7x4oWPj08HYgYA/IAgAcdfZWUl626JXJHJZOEE0yX++eefjIwMtqc0GhoaUFECeoumpqbr16/jG1Fx5eHhISsrq6amFhERoaSkdOzYMWGGB7obJOB6lPT0dEtLS1FHAcCPSFxcnG+uAYgcJOB6KXwN3/z8fLb24uLipKQkNze3wMDAMWPGGBgYsG7kBQAAPEACjr/y8nK+FXC9KwG3YsWK6upq1hYlJaX9+/eLKh4A2mvlypX9+vXjsdbG9OnT7e3tp0+fvm3btlevXo0aNUqY4YHuBgm4HiUtLW3ixImijgKAH1Fubu7gwYNFHQXgQ1FR0djYODMzU9SB/HDwXfJoNFrHLre2tpaUlIyOjmarWsAPiakVDAbj7t27nYsUAPCjgDXg+OObfUMsP4J7vtu3b3/58oWtUVlZ2cHBQSTxANAxR48e3bhxY3x8vJgYlwcJa9asWbNmjfCjAkLw7ds3SUlJUUcB/qu5uTk5OTkwMJB3NwaDISEh8eTJk6tXr/bSfcM7T09Pb/ny5aKOAvQpeXl5BgYGoo4C8Dd58uQTJ07w3soJdMapU6dUVVWJw6VLl2pqapLJZCMjo0uXLo0aNYrBYLi7u7drTC0tLR8fn7CwMCsrK3t7+4aGhvT09OvXr2traxsYGJw9e9bAwEBeXv7cuXOsO30BAAAP8MOCv/fv3/PuIC4ujpco9wqrVq1iK38jk8m8l9MCoAdycHD4/fff1dXVqVSqqGNBqI39s0B3oNPpampqoo4C/FdMTAyJROK6RjWOSqUePny4oqIiMjJSU1PTzs6OQqEIM8KeAxLHoMtBBVxv4e7uPnLkyMLCwl70kaF3OXDgAOuhjY0NvjLp6dOnPTw81qxZo62tvWLFivYOu3fvXi0trYiIiMDAQFlZWSsrK/wZ0vXr19esWePr60smk5cvX75q1aqBAwd20UsBAPRlJNiuha+ff/752bNnPDpIS0vv27fP29tbaCF1WHh4uK+vb11dHWujlpZWcXGxqEICoDPOnTsXFRWVkZEh6kCA8Dx58mTDhg2PHz8WdSAAIYQ2b96soaGxadMmrmcvX77s6ekpKSnp6Oi4bt06IyMjIYcHQB9GpVINDQ0rKipEHQgQiLe39+DBg9euXSvqQAAAAIgMrAHHH9+FAyQkJHrLhBofHx+27JuiouLevXtFFQ8AnbRkyRIajbZ48WJRBwKEByoIepSnT5/+/PPPXE/FxMQEBQW9ePGirKzs1KlTkH0DoGtB+VvvMnv27D///FPUUQAAABAlSMDxV1tby7uDmJhYr0jA+fn5kUgktkYpKaklS5aIJB4AusTr16+bmpouX74s6kCAkEACrkdpKwFXX19/9OjRtWvXDhgwQPhRAfAjgAXgehcrK6t//vmHbR2Y3uLkyZMUCuXjx4+iDgQAAHo3SMDxx1YyxqlXJODq6+sPHTpEp9NZGxUUFIKDg0UVEgBdJTAwcPv27ZGRkRkZGY2NjaIOB3QvSMD1HC9evDAyMpKSkuI8deHChREjRnh6ego/KgB+EFAB1+vY2tqeP3++w5dPnjyZRCKRSCRxcXF1dXUHB4fuW4Lj/Pnznz9/Jg6bm5vpdLogG9MBAADgATZh4K+hoYF3BxKJ1PMTcB4eHpzr/ZFIpNWrV4skHgC6kJGR0YULF+bMmaOkpPT169cNGzaIOiLw/7p858fCwkJTU9MuHBB0WFvlb/7+/lFRUbAzCQDdKi8vb8aMGaKOArSDmZnZoUOHOrMMnLKy8u7du5uamnJzcy9evJiQkJCYmGhjY9OFQSKEWlpa1q5de/36dX19fbxl3bp1Xl5e4uLiXXsjAAD40UACjo/GxkbOaZucengCLjc39/bt22yPreTl5Xfu3CmiiADoYmZmZoWFhampqbAhQ0/T5Ts/FhUVaWtrd+2YoGOePn1qaWnJ1kij0X7//feqqiqRhATAj+Pt27e//fabqKMA7eDj4xMSElJZWamiotKxEeTl5b28vPCvPT09x40bt2vXri5PwN25c6empoatEbJvAADQBTDAU3FxMd/kmpKSUnZ2tqgj5YXzAxJCSEZGRtRxAQBAuw0cOPDLly+ijgJgGIb169cvKiqKrVFVVfXu3bsiiQeAH4qEhEReXp6oowDt4+Xldfz48Y5dO2nSJG1tbdaWUaNGKSoq4l/b29u7ubnl5+dbW1tLSkru2LEDw7CCggIfHx99fX1JSUllZWVXV9eCggLWEU6ePGlsbCwtLa2mpmZvb19aWrp7924xsf9fpGjGjBkYhuE7tuXm5hIXpqSkTJ48WUFBQVFR0dTUNC0tTZDbAQDADw7WgOODRqNJSPCpE8QwrCdXwKWmpr569YqtUVZWdvv27SKJBwAAOqOTa8Dl5eWRWMjIyOjq6rq5uSUnJ3dhkCK3efNmCoXy9OnT7rsFk8mUl5c3MzNju+/8+fOnTZvWffftDs+fP1dSUoqJienY5c3NzXp6erCkAxCm5uZmMTGxQYMGiToQ0D4LFiyIjY3tqtGkpKRYk2X5+fkODg7y8vKBgYHTp09HCFGp1Js3b7q4uERERHh6el67dm3RokVE/4CAAE9PTwUFha1bt7q7u2MYpqam5uTkFBAQgBA6fPhwenr6vn37OO+bnJxsZ2eXn5/v6em5efNmVVVVvKaP9+0AAADAFFQ+aDQa3ymoTCazJyfgVq5cSaPR2Bqbm5t37NghkngAAKDDysrKVFVV+T4X4UtBQcHCwgIhVF9f//Hjx9jY2NjYWCcnpwsXLvTkn+dcMRiMPXv2rF69ul+/fkRjVlYWjUZ7/fo11zXaugSTySwqKho+fDjRkpube+7cue/fvws+SHNz89GjR8+dO/flyxcFBQU9Pb1Zs2Zx3bO7WzEYjMbGxqamJgH7f/v27f79+8TihhiGNTY2wg4wQJgKCgr69+8v6ihAu5HJ5Pfv3+fn5w8cOLCTQ5WVlb1582b8+PFEy6NHjzZv3syaMhs5cuSnT5+IQyqVGhkZWV5erq6uXlZWFhYWZmNjk5iYyDq91MjIyNDQECE0YsSICRMmcL01/ownOzubbS4tj9t18sUCAEDfAAk4PjhTV5x6cgIuJiaG87OQtLS0j4+PSOIBAIDO6KoF4HR1dRMTE/GvMQxLTk729PS8ceMGhmE3btzo/PjClJiYGBgY6OTkxJqAO3nyZFpa2sKFC7vvvtnZ2WPHjiUOU1NTXV1dL1y40K5B1qxZc+bMGVtbW2dn58rKyufPn6empm7durWrg+XD1NS0sbFR8BWO4uLibt++TSTgpKWli4qKYIEkIEywH3QvNXz4cHl5+Q8fPnQsAcdkMgsLC+vq6t69e7d79+76+votW7awdvDz8+NxuZGREUKosrJSXV39wYMHzc3NHh4e7f3ZVVJS8vLlyzVr1vBdyY71du26BVfPnj1LSEhobW2trKxUVlbu/IDdrcs3oQIA9AGQgOODRqO1trby7tOTE3BeXl6cq6g2NzcHBweLJB4AAOiM7vjMSSKR7OzsMjIyTExM/vzzz1u3bs2aNatrb9F9MAz7448/ONuHDRs2bNiwbr31P//8M2bMGOLQz8/v5s2b7Sq4Ky8vj46Onjt37uXLl4lGvr9zu4ngH0Gbm5uvX78uJSXVscsB6BJQAdd7rV279sGDB/b29h24trS0lPh319HRuXz58tSpU4mzampqbEmxurq60NDQ27dvFxYWNjU1tbS0IIQwDEMIffv2DSGkp6fX3hh4XMjjdh3z8uXLPXv2lJaWPnr0SEVFRVdX18TERFlZWVpausNjCk2Xb0IFAOgLRLf8XO8QHh4uKyvbS9/GPXv2cGYGpaSk1q9fL+rQAACgI06cOLFmzZrOjJCbm4sQGj58OOepgwcPIoRsbGxYG1tbW6Ojo3/55RcFBQV5eXlzc/OYmBi2C6urqzds2DBw4EDOubG1tbUYhmloaFhbW9fW1q5bt05LS0taWnrYsGFHjx5tbW3Nzc2dO3euqqqqlJSUsbHxxYsXWUem0+kXLlywtramUCgSEhKamprz5s37/PkzfjYrK4t1BiiupKQEw7B58+YhhJKTk1lH+/z5s7u7+4ABA6SkpH766ScbG5v09HT81Pv37729vQcPHiwlJSUrKztq1Kjw8HDe7+Ty5cvPnDmDf33jxo2ZM2fy7s8pPz8fIRQaGtpWh8+fPzs5OSkqKioqKs6ePZt44RiG2dvbz5kzJy0tbezYsdLS0jo6Ojt37mQwGMHBwQMHDpSVlR0zZgzby8/Pz3d1dVVVVZWWlh43btyff/5JnHr8+DFCiHVDibY6FxQUsK26lZWVhWGYtLS0m5sbcXldXd3GjRt1dXWlpKR0dHQ8PDzw9itXrlhbW5PJZElJyaFDh549e7a9bxoAuD179mzdulXUUYCOoNFoSkpKHbhw0qRJqqqqt2/fvnfv3qtXr1pbW1nP2tvbs23RgGGYnZ2duLj4zp07s7Ozc3Nz8fq4d+/eYf/uq5CTk8N5o7i4OLbfIKybMOA/MI8dO8Z5IY/btVdqauqRI0dUVVUXLFhw4sSJV69edWAQAADoaaACjo+qqiq+i8L02Ocb27Zt42zEMCwkJET4wQAAQOd166yrOXPmbNy4MSMjo7m5mahv8vT0PHXqlKqqqp2dHUIoNTXVzc3tn3/+OXDgAN4Bw7Bp06ZlZmbOnDlz7dq1ubm5Z86caWlpWbRokYGBAfGU/u3bt7Nnz25tbd20aVN5efmJEyfWrVtXUFAQHR1tamq6ffv2kpKSEydOLFy4UFFRcebMmfhVL168WLRoEZlMtrCwIJPJWVlZly9fzszMfP/+vZycnISExMKFCyMiIr5+/bp+/XoNDQ2EkKKiItdX9+rVq0mTJlVVVeno6EyYMKGsrCwlJYVYDHT9+vWJiYkmJiZOTk5VVVX3799fs2YNg8FYu3ZtW29Xdna2t7c3/rWbm9ulS5fa+4b3799fVVX1+vXr3t7enM+6KioqLC0tZWRkgoOD6XT6gQMHJk6cmJOTQ6FQ8A6ZmZk5OTmhoaEaGhoHDhzYuXPn3bt3JSQkTpw4ISsr6+Pj8+uvv+bm5uLVIuXl5ebm5kwmc8OGDSoqKrdu3Zo9e/aFCxe4ztLl0VlZWfns2bNubm5KSkrh4eEIoaFDh7Jd3tra+uuvv6amprq4uIwePbq4uJioj7t27RqZTA4ICFBSUoqKilq6dKmuru7kyZPb+9YBUFhYyJl/B72CkpLSoEGD9u/fv3nz5vZeKyMj8+uvvwrYubi4OCkpafHixYGBgXgLa4kx/ss0Pz/f2Ni4XTEQF7brdu1iYWHx7ds3DQ2NhIQEU1PTjg0CAAA9kagzgD3dhg0b+L6H8vLyog6TCz8/P7YJMgghCQkJ4jk8AAD0Ovr6+qtXr+7MCDwq4DAMwyfvEI/r79y5gxAaPXo0jUbDWyorK0eOHIkQSklJwVvu37+PEJo6dSoxyK5duxBCrMVNeGrM0dGRaLl+/Tr+Y3nx4sVE47lz59iGwjDsxo0b9fX1+NdNTU34etuxsbFEB3w3CbbqAM4KOHy9tl27dhFFE8XFxUwmE//69evXz58/JzrfvHkTITRkyBCu7xKGYUwmU0xMDP86KSnJ1ta2rZ68nTt3TkxMbPDgwVFRUQ0NDayntmzZIi0t/fXrV+IuCKGwsDD8EJ+9lZiYiB+Wl5cjhGRkZCoqKvAWfI0/okZv06ZNiKXWo7W11dLSUl1dnU6nYxwVcLw7YxhmaGhoYWHBGi1rBdyff/6JEAoKCuL92ouKihBCnazoBD8sBweHv/76S9RRgA66fv26sbFxe6+aNGkSZ40bgbMCrrCwECHk7e2NH7a0tIwYMYL4HVdcXCwpKeno6MhWSYdh2K1btxBCV69eJVpYK+AwDBsxYkT//v2J34yC3E5Az58/l5aW3rVr14MHDwS/CgAAeov/37gacIX/Tc9bz1yGYP/+/c3NzWyNmpqae/bsEUk8AADQeXp6ei4uLt03vqqqKkKoqqoKP4yIiEAIBQcHKykp4S0UCgUvIv7999/xlrdv3yKELC0tiUHwjNijR4/YBifqxRBC06ZNw79Yt24d0Th9+nSE0OvXr1mvmj17NrGYgJSU1Ny5cxFCHz58aNfr+s9//pOdnW1kZLRjxw5ig1EtLS0xsf/+GTB8+PBRo0YR/WfNmqWgoJCXl9dW/UJcXBwxEzMsLMzX17dd8RAWL16ckJBAoVBWrlypq6t78OBB4o63b982MTEhk8k0Go1Go5mYmJBIpIyMDOJaSUlJGxsb/Gs1NTUlJaUxY8bg/4IIITy8srIy/DA+Pt7ExIQo9CCRSAsXLiwvL8/MzOSMql2dOSUkJCCEPD09eXf76aeflJWVKysrBRkTADawBlyv5uTkJCsr++zZs269i7a2toGBwdmzZ48fPx4dHW1tbc26ToKWlpaPj8/NmzetrKz27t3r7+8/efJkKpWKEBo7dqyUlFRYWFhMTMzVq1c5Rw4LCyssLBw3blxAQEBISMicOXPi4+N5304QL1++dHR0/P79u7+/v5WVVSdfPgAA9ECQgOOjoqKCb58emIDbv38/Z/kbQmjo0KHEhxMAAOh1iouLf/rpp+4bn8lkIpaFBfCEC2tyDSE0efJkEon0999/44f43qNEoof4mnNOJV4LgJOVlZWXl0f/5olwampqCKG6ujrOwDAMq6uro9Fo+AxTOp3ertf15MkThJCtrS2RfWtLc3NzdXU1jUZTV1dvbW3lfJCDu3Xr1pQpUxBC2dnZHz9+HDx4cLviYWVnZ/f06dPMzExjY+NNmza5u7vj7V++fMnKyqL8S1NTE8MwIjeKEKJQKKxbH0hISLD+gsM/+OH/oPhoAwYMYL0vfvj582fOkNrVmdO3b9/k5eW57vr38OFDBweH/v37k8lkBQUFvH5EkDEBYAO7oPZ2W7ZswUuSu/UueKmdr6/vtm3bLCws8Opmwt69e48cOVJWVhYYGHjs2DFlZWX8eY+2tvaJEyeKi4uXLVtG1Guzmj59ekJCAj79f/fu3YWFhfimrrxvx5u/v39kZKStrS2ZTO7UawYAgB4M1oDjQ5BH0zIyMkKIpF2Cg4MbGxvZGpWVlTtcpAAAAD1BSUmJlpZWNw2O/TuTEc/jtLS0UKlUeXl5tlXV8JbKysqmpiZpaekZM2ZoampGR0ebm5ubm5t/+fIlKCiIRCLhk0BZEWV0BDExMc5G1owMk8kMDw8/e/bsq1ev8O3kOgbPCfLIXb5//37Xrl0pKSnfv38XZMDS0lJ8ebjMzEwymcyWruoAMzOzlJSU+fPn//HHH4GBgbq6uhiG/fLLL/i8JwLr28W5ACtR0MeJb+axw505YRjG9clcenq6ra0tvsHFkCFDxMXF8enMALQXnU6vq6vDU/agl3J2dt62bZuzszM+aV0QqampPM7i8+7ZmJiYsBYOo//9FUMikdatW8daiE1wd3cnHocghPz8/PAdFQj29vacG7nyvh0P/v7+OTk5o0aNCgoKEqQ/AAD0UpCA44NGo/Htw7nTqGhFR0dz/W0nLS1NzNYBAIBeh06n0+l0ZWXlbhr/w4cPtbW1ysrKurq66N8sDNcfp3gj3kFBQSExMXHevHnz58/Hz6qoqOD5OLarONNDfBM9np6eUVFRhoaGYWFhw4YNk5GRuXPnDrH/g+BYA+b0/v378ePH19fXL1u2zM7OTk1NTUxMbM6cOTxqwF+9eoXP0Hz58qUgi6UK6Ndff718+XJeXp6urq6uri6NRuuqDQr09fW/ffvG2oIf4lUbnenMSUdHJykpqbq6mi27euHCBSaTefXqVT09PYRQQ0MD56MyAAQB80/7hg8fPowaNerly5c/eC7+8+fP2dnZEyZM4Lp9HAAA9CUwBZWP2tpavn16WgIuICCAM2x8SziRxAMAAF2iu+efnj9/HiFkbW2NZ8okJCQ0NDQaGhpqampYu9XX19fW1qqoqLDO9C8vL//tt99SUlKys7NLSkqWLl3a+XiKi4tPnz5NJpPT0tI2bNgwderUyZMna2trd2AofJ5sSUkJQ4P/oAAAIABJREFU17NHjhypra3dsmXL6dOn586dO2XKlMmTJzMYjLZGKygoUFRUVFZWbmpqunjx4ooVKzoQEuI2kRaf2Ivnp2bOnPn+/Xt8jwtCh6drOTo65uTkvHz5Ej/EMCwmJkZZWZkzTypIZzk5OR7P56ZNm4ZhWHR0NFs7ngYlMsj4CvodezngBwfzT/uM3bt3cy1A+6GcOHFi3Lhxosq+ycjIENthP3/+XElJKSYmpq3OJ0+epFAoHz9+FFZ0AIC+BhJwfHBdi4dNj0rA/fXXX1yThi0tLfh0IQAA6KW6df7pixcvDh8+jP53q4RJkyahf7NCBPxwwoQJRMuOHTuYTObhw4enTJkyZswYrktwdkB+fj6GYUOHDsXTZ0ScbN3wxc54T1D95ZdfEEIPHjzgehZf2mzixImst+aRYCLK31avXt2ZdbLj4+MNDQ1Xr14dFhYWFBRka2t7+vTpJUuW6OvrI4T8/Px0dXUdHBx+++23I0eOBAYGTpw4UfC5Wmw2bdo0cOBAOzu74ODgiIiI6dOnp6WlhYaGci7VJ0hnMzOzN2/ehISEnDt3jnNhOEdHR3Nz840bNy5cuHD//v2bNm3Cdw7Bv52WLl0aFxcXEBCwZcsWKGICHQMVcH2Gg4NDQUHBzp07RR2IyCxYsODBgwf47uEds3PnTtK/yGSyubn52bNnOzYUg8FobGxsamrCDzEMCw4OZn3w09zcTKfTeTygAgAA3mAKKh+CTA9RUFAQQiQC2rp1K1uxBm7mzJlcP2YAAEBv0U0JOLyMa9OmTXQ63cPDgzUP5eXldeXKFX9//wkTJlAoFIRQdXX1jh07EEKrV68muhUUFIiJibW0tHCuStYZeN4tLy+vtrYWX4cuLS2N88m8pqYmQignJ2f06NFtDTV+/PhRo0a9ePEiLCxsy5Yt+FxUKpXa1NT0008/4Td6/vw5vjcrnU5fv349j8BycnJMTEwQQsnJyXfv3u3wCzQxMRk6dGhCQkJ5ebmsrOygQYMOHjxIJEBVVVUfP368bdu2a9euUalUCoUyYcIE1q1a24VCoTx69MjPz+/w4cP19fXDhw+Pi4sjZg23t3NAQEBubm5wcLCcnNzdu3fxjCFBXFw8MTFx+/btN27cuHTpkpqaGl4kuHDhwg8fPpw+ffrevXtjxoy5efPmyZMnBSm0B4BNRkYG64YkoFf79OmTlJTU9u3bu/Y3SK9w//79kpKS58+fd36owMBACoVSVFR0+fLlZcuW4euxtncQU1PTxsZGYnufZ8+e+fv7+/n5EStIrFu3zsvLi3X/HwAAaB8MtK2xsVGQ/bPnzZsn6kj/Kz09nevqSEpKSk+ePBF1dAAA0ClHjx719vbu5CC5ubkIIQUFBXt7ezs7O1NTU+LhhKenZ0tLC1t/fFKMioqKk5OTs7Mzvur5b7/9xtpny5YtCCFNTU1zc3MLC4vJkycvWrQoKSmJ6KChoYEQYhtcXl5eXFyc7Xb4T2zi0M7ODiE0aNCg5cuX29raiomJ4fkpX19fok9sbCxCSFFRccGCBY6Ojh8+fMAwDN8CIjk5mej2/Plz/BeErq6ulZWVkZGRpKRkXFwchmEZGRkkEklcXHzWrFlubm4DBgwYPny4tbU1QqixsZHzPXR1dY2Njc3IyLCwsBDsXQcAdKVVq1atWrVK1FGArlFWVmZlZTVz5kxRByJsb9++FRMT6/w4gYGBCKHc3Fz8sLa2Vk9PT0ZGpr6+XpDLpaWl3dzcuJ7CZwdz/mEAAAAdBlNQeaHRaILMJGLbIE+Etm7dynXSkKqq6s8//yz8eAAAoAt1YQVcXV3dvXv3kpKSPnz4oKen5+np+c8//4SHh3M+dAkJCbl06ZKhoeG9e/cSExMNDAzOnTv3+++/Ex3q6+sVFRUlJCRKS0szMzMfPXqUmpp64cIFOzu7c+fOdTLOmJiYJUuW1NbWxsXFUanUy5cvHzp0SF5enrWPq6vrjh07lJSUrl69+vbt27ZqKEaNGvWf//xn8eLFLS0taWlpxcXF06ZNGzt2LELIwsLi2rVrw4cPv3fvXnJysrW19d9//21ra9tWVPgU1D/++GPZsmWdfIEAgA6QlpY2MjISdRSga/Tr12/79u1lZWVRUVGijkWoHB0d37592+XDKigozJo1i06nv3//Hm/5+vXrggUL1NTUZGRkTE1Nb9682da1T548IZFIp0+fRgjZ2dkdPXoUISQpKUkikfDtj0JDQ0kkUl5eHnFJRESEiYmJjIyMurr61KlT8T3HCwsLN27cOGjQICkpKQqFsmDBgsLCwi5/pQCA3gimoPJCo9EEqTEmk8lCCIavN2/e5OTkcLbLy8vj1RkAANCrlZSUDB06tJODGBgYYO1c+X7evHl4QRmnpqamCRMmFBQUJCQkTJw4UUpKCsOw2traO3fuLFiwIDIycsmSJQih0tJSzmu5rjHKFpuamhrnWjacF+7evXv37t2sLZcuXbp06RJbt0GDBrWVE3RycnJycmJt8fX19fX15RrhmzdvpKSkoqOjIyMjuY4GAOhWZWVlXPcPAb2UtbV1bm7uqVOn3r17d+jQIVGHIwxmZmZTpkwxNDTsjsHx+gl83mh5ebm5uTmTydywYYOKisqtW7dmz5594cIFYuOFtgQFBcnJyd26dSs1NVVcXBzfHYhNQEDA7t27zczMtm7dSqfT//nnH7xMnkql3rx508XFxdDQMC8v78CBAyUlJQ8fPuyG1woA6GUgAccLjUYj5vzz0EMq4LZv3851KZnGxsZVq1YJPx4AAOha3b0Lagekp6e/ePHCx8fHxsYGb8EXgZ47d+6yZcvKy8tFG153+Pvvv/v167dkyRIfHx9BfkUCALpcaWkpvvgj6DNWr17t7Oy8d+/elStX9vlSuLi4ODk5uZMnT3bH4K2trQ8fPpSTk8Of2O3bt6+4uDgnJ4fYO2jSpEk+Pj5z5syRlpbmMY6ZmRn+v8zCwoLrkkRlZWVhYWE2NjaJiYlsFRsjR4789OkTcUilUiMjI8vLy9XV1bvkNQIAei9IwPHCYw84gqSkJNtsIJEoKSnB1/phaxcTE8PrLwAAoLfr1l1QO6a5uRkhxDm15OTJk01NTaampqIIqnuVl5ebmZk9ePDg8ePHoo4FgB9UWVkZvrIk6EvU1dX9/PxGjx49cuRITU3NxsZGfOvkPubdu3c3btzo8o1ES0tLSSTSt2/fTpw4kZWV5efnJyMjgxCKj483MTHBs28IIRKJtHDhwlWrVmVmZnZmF2+E0IMHD5qbmz08PPjOl8InjFdWVkICDgAACTheaDQa687TXElISMjJyQknHh78/f2JPbNZKSgobNy4UfjxAABAl+uBCTgrKytDQ8MrV64UFRVNnDhRXFy8oqIiPT39zZs32traISEhog6w671584bJZLa1fygAQAggAddX9evXr6io6NixYzk5OV++fOFdotVL4QundnkBtaWlJf6FvLy8v78/vjMDQujLly9sS5oOGDAAIfT58+dOJuC+ffuGEOI6NbWuri40NPT27duFhYVNTU0tLS2IY4kJAMCPCRJwvNBoNL7PZ8TFxUWegKPT6efOnWMymZyndHV1hw8fLvyQAACgazU3N9fW1qqqqoo6kP8hKyubkZGxd+/e+Pj4Q4cOMZlMJSWlYcOG7d27d/Xq1Vy3pe7t3r59+/nz5w0bNog6EAB+UC0tLXV1dRQKRdSBgO6C73bdhx07dszT07Nrp6BGRkbq6OioqqqOHDmSNXFJIpG68C6s8IQa1ySps7NzSkqKv7+/g4MDmUw+c+ZMaGhoN4UBAOhdIAHHS1VVFT69iAcxMTGRJ+CCgoK4Pkcik8l+fn7CjwcAALpcDyx/w6mpqR08ePDgwYOiDkRIcnJyvn79CtU3AIgKLAAHejtvb28NDY2BAwdy3eqnY6ysrAwMDDjb9fX18VI1An44cODATt5RR0cHIZSfn0/Mb8UVFxcnJSUtXryYqMLjO6EKAPDjgOWTeaFSqVzLyliRSCSRJ+AOHDjANVHY3Ny8YMEC4ccDAABdLisrS9QhAIQQ+vjxo6ysLL6iDQBA+GD+KegDysrKgoKCGhsbu/tGjo6OOTk5L1++xA8xDIuJiVFWVhZkH2H8I15ba4JbW1tLSkpGR0ezzS3FD4kSeAaDcffu3c68BABAXwIVcLx8//6dbx+RJ+AOHjwoJSXFOVVWQkJi9erVIgkJAAC6XEVFBf60GYjQu3fvNDU1f/31V8EvodPpNTU1YmJitbW1FRUVN27c4LqdHCDo6ektX75c1FGAngsScKBvoFAoU6ZM6e79fDZt2hQbG2tnZ7d27Vo1NbVbt26lpaVFRETIysryvdbMzOzw4cPr16+fPn16//79iWXmcFpaWj4+PmFhYVZWVvb29g0NDenp6devX9fW1jYwMDh79qyBgYG8vPy5c+fgtx4AgAA/DnipqKgQpJtoE3C7d+9uaGjgbJeWloY1egAAfQaGYSYmJqKO4kf39u3b1tZWJycn1sbW1tY3b94UFxc/fvy4oaEhIyOjtLRUTk4uNze3tbW1tbWVRCJJSUnhC+TZ2NhA9RxvkpKSog4B9GiQgAN9w+3bt5cvX06j0bp1vVQKhfLo0SM/P7/Dhw/X19cPHz48Li5OwH2EXFxcPD09Y2Njb9y4ERoaypaAQwjt3btXS0srIiIiMDBQVlbWysoK/1R4/fr1NWvW+Pr6ksnk5cuXr1q1qvMzXgEAfQMJNmTh4Zdffnn69CnvPkpKSn///ffIkSOFExKb8+fPe3l51dbWcp4yNzd/9OiR8EMCAIDuEBQUhGHYzp07RR3IDy0wMHDPnj0lJSV37ty5f//+27dvZWRkHj9+rKKiIi8vz2QyJ02apKWlpa+vr6enp6ioOHr0aHl5eVFHDUCfsmfPnvr6+j65yTL40SxduvTVq1fZ2dmiDgQAAIQEKuB4aWvOP6vW1lYRVsDt2LGDa/ZNSUkJtl8AAPQlZWVlbOsc8/by5csTJ07U1dW1tLQMHTq0+wL7oYSFhcnIyGhqao4ZM2bQoEE///yzubl5bGysrq6uqEMD4EdRVlY2aNAgUUcBQBc4e/bs+vXrt27dunfvXlHHAgAAwgAJOF5qamr49hFhAi4+Pr6tCEkkkoODg5DjAQCA7lNWVmZjYyNIz4sXLy5btoxMJg8YMGDu3LlUKlVaWrq7w/tBkEgkVVXVlJQU+PwPgKiUlZUJsn48AL3CkSNH3NzcNm3atHr1aq7bmAIAQF8CCThe6uvr+fZhMBiiSsD9/vvv1dXVnO3S0tLe3t7CjwcAALqPgMse3b179+jRo9HR0fb29v369RNCYD+U79+/X79+HbJvAIgQrAEH+piYmBgXF5etW7c6OzsLuDobAAD0UmKiDqBH47q5ARtRJeBev37d1rZBJBJp3bp1Qo4HAAC6lSCfOc+cOfPbb7+dPXt20aJFkH3rDjNnzqyqqvr06ZOoAwHgxwUJOND3XLt2DcOw2NjYAwcOiDoWAADoRpCAaxOdThekW2trq0gmNx06dKiuro7rKRcXFxUVFSHHAwDo4by8vEgkkouLC9FSWVk5dOhQfX39kpKSdg3l4uJCoVCSkpK6OkZe+H7mHD58uIeHR1JS0vDhw4UW1Y/GxMRETEwMFusBQIRKS0s1NTVFHQUAXezatWubNm06e/asqAMBAIBuBAm4NtFoNCkpKb7dBOnTHc6fP9/a2srZrqSk5OzsLPx4AAA81NXVkf7Fe4MUX19fomdbSfau8vr16w8fPnz58uXJkyeCX4Vh2M2bN2k02p07d7ovNjaNjY0MBkNRUbGtDjY2NgMGDGhoaBg8eLDQovoB9evXT05O7q+//rp3756oYwHgR1RfX0+j0SgUiqgDAaDrTZw4cceOHaqqqvfu3SssLBR1OAAA0PUgAdcmGo0mLi7Ot5tIEnCnT5+WlZXleqqhocHR0VHI8QAABHf58uW2TmEYdunSJaFFMnbsWHt7eysrq8mTJwt+FT7JfeTIkW5ubt0WGjve5W+ZmZlMJtPb2xs2WxCCUaNGeXp6RkZGijoQLqZOnaqjo9Phy0NDQ0kkUl5eXifDeP78uZKSUkxMTCfHAYATlUqF+aegD5s/f35mZqa7u/vEiROXLVtWUVEh6ogAAKArQQKuTTQaTUyM//sjks97+/fv51oaQyKR5syZI/x4AACC6Nevn4KCQn5+flsLOGZmZn779s3Q0FA48cjLyycmJj548KC9xRQHDx588eLF+PHjuykwTjwScCUlJceOHRs/fvy0adOEFs+PzNjYWElJKS0trUs+F+3cuZMo+SSTyebm5oLPP8IwLDg4mGsxuGgxGIzGxsampiZRBwL6oKqqKkjAgb7N0NCwoKDAx8dHQUHB2Ng4LCwsLS1N1EEBAEDXgF1Q28R1g1FOMjIy3R0JmxcvXpSWlnI9paSk5OHhIeR4AAACYjAYjo6OFy9ejIuLMzMz4+wQFxeHEJo9e3ZoaKjQo+vReCTgJk6c6OrqumvXLiGH9MMyMTF5+PChq6vrgQMHuuobNTAwkEKhFBUVXb58edmyZV++fAkKCuJ71bNnz/z9/f38/AR5WiZMpqamjY2NghTRA9BeVCpVVVVV1FEA0O28vLyYTKa5uXlISMi5c+c+fPjwyy+/DBgwYMSIEVQqVV5eXtQBdpaent7y5ctFHQUAQNh61t+sPQqNRhPkuXpbU0G7z4EDB2pra9s6O2nSJGEGAwAQXHV1taurK0Lo6tWrnD9emEzm1atXpaWl7e3t2xrh69evHh4e/fv3l5aW1tbWXrZs2cePHzm71dTU+Pr66uvry8jIDBgwYPXq1UVFRZzluoWFhXjlEeecu8zMzPnz5+vo6EhLS2tpaVlYWAQFBTGZTPysgYEBiUQ6ffo00f/ixYskEmnTpk0YhkVFRY0dO1ZOTo5MJltaWl6/fp0zwnfv3q1fv3748OHy8vIyMjL6+vorV67Mz89v64W3lYDbt2+fk5MTZN+EydjYOCkpadWqVUeOHOmqMRcuXLhu3bp9+/a9efNGT09v3759guxCjieseybIvoFuUlFRoaamJuooABAGcXFxV1fX169fp6env3v3bsmSJQwG4927dy0tLdK9n6SkpKjfYACAKGCgDREREYIk10xMTIQcWFuRiIuLe3t7CzkYAIAgiKR5dXU1Xrxw//59tj74qvazZ89+9OgR3rm2tpa1w6NHj4hdCJSVlfEv5OXl2YaiUqlGRkb4WTKZTCaTEUKqqqp47s/Z2ZnoWVBQgHfLzc1lHWHfvn0kEontJ8zw4cOJDoMGDUIIRUVFES0XLlxACM2YMWPVqlUIISkpKda9mI8fP846/qtXr4hTKioqCgoK+Ndqamr5+flc38Ddu3fv2LGDrbGsrExBQeHo0aNtve2gOzCZTA0NjZycHDc3t4sXL3ZytMDAQLbvwPXr1yOEsrOzMQyrr68/ePDg6NGjpaWlZWVlLS0tMzMz8W62tras35/79+/HMMze3l5PT+/Ro0e//PKLjIyMrq6ur68vnU5nvWNkZKSxsbG0tLSGhsaqVasqKiqIU/jurqzB8OjMYDBCQ0OHDBnC9iEKwzB8jjnxHwSfS6Wvry8pKamsrOzq6lpQUNDJ9w38sMLDwz09PUUdBQAAAAA6Airg2kSj0Zqbm/l2k5OTE0IwhIiIiLbuKC8vv2LFCmEGAwBoLwzDXFxcELfiHbxlwYIFDAaD88Lq6moXF5fa2tq1a9fSaLSqqqri4mIHB4f6+vr58+fX1NQQPTdv3vz27VsKhZKYmFhdXV1dXZ2ZmamsrCxguVBKSoqvry+GYW5ubm/evGlqaiouLr527VpwcDDfa+/duxcdHR0ZGVlTU0OlUgsKCiwsLBBCAQEBrOthjRgxwtPT8+TJk9+/f6dSqbW1tY8ePVJVVa2oqNi/fz/XkblWwDU0NIiJiXl7ewvyukBXERMTs7e3f/78uY+Pz6FDh7p8fHxrI3xWqbi4+OnTp8eNG3fkyJGQkJC8vDwHB4fKykqEUFBQ0KxZsxBCqamp6enpeH4ZIVRbW+vo6GhpaXn8+PHRo0eHhYWxzmbds2ePh4fHkCFDjh07tmrVqri4OCsrq8bGRq6R8O588OBBPz8/d3f3jIyMnTt3IoSWLFny8OFDznGoVOrNmzddXFwiIiI8PT2vXbu2aNGirnvDwI8FKuAAAACAXkzUGcCea9OmTYK8gTY2NsKMSl9fv61IBgwYIMxIAACCIyrgKioqUlNTEUIUCqWpqYnoQKfTlZSUFBUVGxsbic/wrBVw+/btQwhZWVmxDkuj0fBSuPDwcLyloqICr8c5c+YMa8+srCx8TL4VcBMnTkQITZ06lcfLaasCDiEUGBjI2jMnJwdvf/z4Me+3CC8+aqumeM6cOVeuXGFr9PDwOHXqFO9hQXc4fPjw+vXrP3/+bGBgkJqa2pmh2CrgmEymqampnJxcY2MjZ+fY2FiEEPGdgJdbtrS0EB3w6dvENz+DwdDV1TUyMsIPKyoqZGRkWP8LJCcnI4QOHTqEH7JWwPHtPGrUqAkTJhBnjYyM7Ozs8K/ZKuDY4Eu1fv/+XbB3CID/4e3tDWW/AAAAQC8FFXBt+v79uyDdhLkIaFZWFpVK5XpKWlp65cqVQosEANAxGIZZWlpqa2tXVVUlJiYS7Xfv3q2urp49e3Zb+7pcu3YNITRv3jzWRiUlpTFjxiCEiA3CUlJS8LVR5s+fz9pz3LhxQ4cO5RsejUZLT09HCHW4rGzx4sWsh8bGxvhc/qKiIt4XDhs2DCHU1saa379/79evH2tLQkLCxYsXYdsZkRg9evTz58/19PRUVVUzMzM7P2BpaemnT58ePnw4d+7crKwsb29vrv8R8LnVeAVcW0gkElENJy4ubmJiQmxbdP/+fTqd7ubmRnS2sbHR0tK6efMm5zh8O9NoNHx+N05RUZG1EJUHQV4FAG2BTRgAAACA3gt2QW1TW58D2RBLMgnBwYMH2/r7XkJCYsmSJUKLBADQYWJiYvPmzTt06FBcXNzMmTPxRmL+KddLmEzmixcvEEJBQUFskzTLysoQQkSK4fXr1wghQ0NDzrnqI0aMeP/+Pe/YXr58iWEYQsjU1LSdLwshhGRlZTmrdFVUVIqKiuh0Olv7t2/f0tLSvn79Wl9f39ra+unTJ4QQsc8Dm9evX0tI/M8vrKioqMjIyA4ECToPT8AhhIKDg8PCwrZu3drJAS0tLfEv5OXl/f398bI4XFRU1Pnz5z9+/NjQ0IB/e2Btr4WKEFJRUWFdv1VKSor4pvry5QtCaMCAAaz9BwwY8PnzZ85x+HaeOnXq+fPnMzMzf/7554cPH2ZnZ7f1PtTV1YWGht6+fbuwsLCpqamlpYXvqwCgLTAFFQAAAOi9IAHXJgGfTgstAcdgMK5evdrWn+z6+vr9+/cXTiQAgE5ydXU9dOjQ7du3Gxoa5OTk6urq4uPj+/XrZ2Njw7U/sSRlSUkJ1w74R3r07w8urh/PWHdFaAteYysmJtaxD3hKSkptnWL92VVbW+vu7s7jBxonOp1uYGBAHObl5b169erGjRsdCBJ0HplMVldX//Tpk42NjZ+f3+XLl9lqM9srMjJSR0dHVVV15MiR0izb9e7du3fbtm3z5s3bs2ePpqbmmzdvZs+ezXuotmpIEUKcW4vwwLfzvn378vPzLSwsSCSSmJjYwoUL20rAOTs7p6Sk+Pv7Ozg4kMnkM2fOhIaGCh4JAKwgAQcAAAD0XjAFtU00Gk2Qbjw+cHat8PDwtj5XyMnJeXp6CicMAEDnjRs3zsDAoL6+/q+//kII3bx5s7Gxce7cueLi4lz7E4mqBw8ecF1NICMjg7Un19xBW4OzwmuF2pWnaO8tEEKurq5Xrlwhk8lHjhz5/Plzc3MzhmFXr17lERWdTmfdhGH58uVQ8ytaRBHcsWPHfHx88FXPOszKymratGnjx49nzb4hhP744w89Pb3Y2FhLS8vBgweznW0vvDzz27dvrI0FBQUDBw7sQGcMwz59+hQUFJSbm9vQ0HD27FmuO6cXFxcnJSW5ubkFBgaOGTPGwMCgtbW1M68C/OBgCioAAADQe0ECrk2CLOYiJiamoKAghGAQQgcPHmxoaOB6qqWlBbZUA6B3wZepwmee8p5/ihBSVlbGc1t8V1KjUCgIoaqqKs5TgjxUwKvkmEwm1xG6xMePH+/cuYMQOnv27Lp16/T09PBdI4giPk5sC8B9+vQpJydnx44d3RQhEMSwYcPwpJu5ufmVK1c2b97cHXfBMExRURHfERUhdOvWLdaz+DxrAZ+WIYRsbW3l5OSIDUMQQikpKcXFxTNmzOhA5/j4+Nzc3I0bNw4aNAjfubWtl4AQwjdLQQgxGIy7d+8KGDAAnKACDgAAgKicPHmSQqF8/PixrQ5Tp07V0dERZkisnj9/rqSkFBMTI6oABAEJuDbV1dXx7SMhIcG50FJ3yMzMrK6ubuusubm50PKAAIAugSfgEhMT8/LykpOTBw4caGZm1lZnCQkJExMThBBR6daW4cOHI4Q+fPjAmc/iuwAcQgi/C0IoOzubb+eOefv2Lf6FlZUVazu+5BZX5eXl6urqxOGZM2d8fX27KTwgICUlpUuXLuFfW1hYSEpKXrx4scvvMmnSpJycHF9f35iYmOXLlz99+pT1LP5fZv369bGxsfjmIbwpKyuHhIT8+eefTk5OkZGRO3fudHZ2Hjx4sJeXVwc66+npIYRWrFgRGhoaFhZ24sQJrv/FtLW1DQwMzp49e/z48ejoaGtra7bVDAEQHL6GIPzJBwAAoKs4ODiQSCTi73Pempub6XQ6g8HADzEMCw4OFmFpf1pa2oMHD4hDBoPR2NjY1NQkqngEAQm4NvEoxyAILQF34MCBthJwioqKMP8UgF5n2LBhI0eObG5uXrRoUUtLC7FvY1vlySk4AAAgAElEQVTmzp2LEIqLiysuLubRDf94X19fz1YrlJubi2/jwJu6uvovv/yCEAoPD+f/GjqEqBVqbGwkGhsbG6Oiotq6hC0Bd/z48bVr13ZTeEBA7u7u9fX1xOHRo0e7Yyfuffv2zZs379SpU56envX19UlJSaxLAbq4uHh6esbHx7u7u+PzYflav379+fPnP336tHbt2vDwcCcnp/T09LbSGbw7MxiMgQMHXr58eevWrX5+fl5eXiNGjGCtmCNcv37d2NjY19d327ZtFhYWXDddBUAQMP8UAABAFyovL09MTCSRSOfPnxek/7p16+rq6vD93BFCz5498/f3F2ECbu/evawJOFNT08bGxuXLl4sqHoFwXU4INDc3E3NeeCCTyZcuXeruYBoaGng8MBcTE+vuAAAAnVRbW4v/hy0vLycaWRdif/XqFdH+8OFDvLG2tpZorK6uxhefGjp0aGJiYmNjI4PBKCwsvHTp0rRp0z58+ED0xBdH09DQePjwId7y4sULorTN2dmZ6FlQUIA35ubmEo0JCQl4o4eHx+fPn5lMZklJyf379wMCAog+gwYNQghFRUURLXjeQVtbm/O1a2trI4QuXLiAH5aUlOA/XT09PVtaWjAMKy4udnFxwZf30tDQ4BwhNjbW1dUV/9rLy2vcuHG83msgLEOGDGH9xgsLC9uyZYsI4xGm69evk0ik2NhYOp2OYRiDwcjPzx82bNiYMWNEHRroy16+fGliYiLqKAAAAPQRx44dExMTc3Jy0tHRYTKZ7b183bp1CCH873mcvb09148D3aGkpERaWnr79u3CuV1XgQo47mpqangs6cJKwEXHO+PEiRP4GklczZo1q7sDAAB0h/nz5+PbHRgbG48YMYJ3ZzKZ/Ndff/3000/v37+fOnWqrKyshISEjo7O/PnzExISWB89HThwYOjQoWVlZVZWVsrKyhQKZdSoUVQq1d/fX5Copk6dGhwcjBCKjIzU19cXFxfX0tKysbHpqvUUNDU18VKpkydPqqqqamlp/fTTT3fv3r1z505bP05ZK+AePHhw5MiRLokEdNLPP//MOie0f//+sbGxgsx07gPi4+M1NTVdXV3xxLG4uLiuri6FQhHCnwTgRwYLwAEAAOhCFy5cGD9+vJubW2FhIVEBgJs6derChQu/fv1qY2MjJSWFf44IDQ0lkUh5eXkIITs7u6NHjyKEJCUlSSTSgQMH8AulpKQyMzPNzMxkZWUHDhzo5+fHOidURkYmPDw8IiJi0KBBMjIyI0aMuHHjBpVKdXd3V1NTU1ZWnj59+qdPn1gjCQ8PNzQ0lJaWHjJkyIkTJ/DGxMREQ0PDpqamkJAQEomET1B48uQJiUQ6ffo0ce3nz5/nz5+vrq4uKys7dOjQiIgIhFBDQ8OhQ4fGjBkjIyMjJyc3ceLETm4m1i6QgOOupqZGkFVaSCQS113Putbhw4dZ52qxUlZWXr16dXcHAADoDrq6uvgiVjy2X2BlbGz8+vVrf39/Y2NjOTk5CQkJLS2tGTNmnD9/Hi9Jw6mpqT1+/NjHx0dXV7exsVFBQWHVqlXZ2dm2trYCBrZ9+/aHDx86OjpqampKSEgoKipaWFgEBAR04DVy9fvvv+/atUtfX7+xsZHJZDo5OT179sza2rqtLCSRgHv58qWkpKSFhUVXRQI6Y/z48c+ePSMOXV1dFRUV+S5T2DeYmZmVlJR4e3snJiampKRcuHDh119/zczMhMnRoFvBFFQAAABd5f3791lZWc7OzlOnTmXbeAqXn5/v4OAgLy8fGBg4ffp0trNBQUF4JVBqamp6ejqxnE5tba2jo6OlpeXx48dHjx4dFhYWFBTEeuHx48djY2Ojo6Pj4+NbW1tdXV1tbGwwDLtx40ZkZOSTJ09mzpxJdA4NDfXy8po4ceLvv/9ubGzs5eW1f/9+hJCxsTEe8OLFi9PT05OTkzlfYGlpqZmZ2e3bt+fMmRMYGDhu3Djiuenp06fHjRt35MiRkJCQvLw8BweHysrKTr6fghJ1CV4Phe+gwffdU1ZWTklJ6dZIUlNTid3TOCkoKHTr3QEAQOQ8PDxOnTqFYZiXl9fx48dFHQ74r2fPnpmamrK2fPv2TVNTMzMzU1QhCdPRo0dNTEwUFRUlJCQ0NTVnzJiRkJAg6qBAHxceHu7p6SnqKAAAAPQF27ZtQwh9/vwZw7A5c+YoKCjU19cTZ+3t7RFCmzdvZr1k7969iGXtmlWrViGOKagIoTNnzuCHDAZDV1fXyMiI6CAtLS0jI0Oj0fDDa9euIYRY/5708/NDCH369AnDMCqVKiMjs3r1auKsubm5kpJSU1MThmElJSUIIdYpqHghG7FIDj5D9u+//+b9PsTGxiKErly5wuf96iJQAcddTU0NPjWMr+6ugNu/fz+NRuN6SkxMTMDCGQAA6L2ICriTJ0+6u7uLOhzwX6ampllZWawt/fv3v3z5cmBgIP4nXd/m7e398uXLmpqalpaWkpKS+Pj4qVOnijoo0MdBBRwAAIAugWFYTEzMmDFj8F3d58yZU1dX9+eff7J1w9Nh7UIikYhqOHFxcRMTk9LSUtYOZmZmRKkTPomHdZoO3lJWVoYQun//Pp1Ot7W1pf3r559/rq6ufv36tSCRJCQkGBkZTZw4kXc3fE8JoVXA8Z9l+WOqqanBMIxvNwzDujUBV1tby7WcEqeoqAifRQEAfR6egDty5MjkyZNlZGREHQ74f/r6+nfu3JkxYwbRMnHixKSkJH19fSUlJV9fXxHGBkDfU1FRgX9SAgAAADojLS3t69evs2bNwhd0MzQ0lJSUPH/+vJubG9FHTU1NRUWlvSOrqKiwZkikpKSYTCZrB2JlZ4QQvuoX67MlvAW/5MuXLwghZ2dntltUVVUJEsm3b9+sra25noqKijp//vzHjx8bGhrwewmS/OkSkIDjrrq6mu0bhavW1tZuTcAdP36cx2assrKypqam3Xd3AADoCfAE3P379zds2CDqWMD/MDExSUpKYk3A4T5//jx48GAjIyMHBweRBAZAn5SQkMC5Cg8AAADQXufPn0cIHTt27NixY0RjSkpKSUmJlpYWfoivmNZefB+Wc24v2VbGA0+KRUREGBoasraPHDlSkEgwDOP6Evbu3btt27Z58+bt2bNHU1PzzZs3s2fPFmTALgEJOO5qamp6QgLu6NGjdDqd6ylJScnly5d3360BAKCH+P79u4yMTEZGRnx8vKhjAf9j8eLFnEv24p48eWJvbw8JOAC60IABA6ZMmSLqKAAAAPRudDr92rVr/8fefQY0lXQNAJ6QhIRACgLSRVDBgkpTpAgoImXtoqBiQ8Tu6iqLunYsuPaKLNjoYMW1s4iisqwNwYYIIioogkJICC3l+3G/N5sNIYQawPP8Su6dO3NyVYjnzswxNzcX3TPkzZs369evj46OXr16tRxjE2VgYIAQYjAYTk5OzbhcT0/v/fv39Y+fOnXK0NAwJiYGS/xhcwDbDewBJxmTyaytrW20GY/Ho1AobRRDamqqlH3oyGTy3Llz22hoAADoIHg8XkVFxcWLF4XbSYCOw97evqGyp2pqasOHD7e2tm7nrzUAdGEsFqt79+7yjgIAAEDnlpiYWFFRsXTpUk8Ra9as0dbWbujBqkRYJqShDetbzsXFhUwmh4SEiE6N4vP5Mo7u7u6ekZHx5MkTseMCgYBKpQqn3SUmJrZy3FLBDDjJysrKZJkBx+Px2m4G3MGDB7HdByXS0dHp3bt3Gw0NAAAdRElJSbdu3dauXXvnzh15xwLEaWhoMBiMt2/f9unTp/7Z/fv3Hz16dNiwYXV1dfb29mPGjBk2bNiFCxfaP86Oz9DQEGa1g0aVlZWpqqrKOwoAAACdW2RkJJVK9fLyEj2Ix+NnzZq1a9euzMxMGdd42tjY7N+/f8WKFR4eHvr6+sOHD2/dONXV1YOCggICAmxtbceMGUOhULKzs3Nzc1NSUhBCNBqtf//+cXFxZmZmXC63/ub4gYGBsbGxI0aMmDdvnra2dl5eno6OzqZNmxwdHU+cOBEYGDho0KDk5OSMjIzWDVs6SMBJVlpaKkszLpfbdgm4S5cuNXSKQqEsWbKkjcYFAICO4+vXr0Qi0d/f39raWt6xAAmwSXASE3AIoSVLlvj6+kZFRX38+HHbtm1VVVUuLi4DBw5s5yA7vvr7oQBQHyTgAAAAtNDXr19v3rw5d+5cZWVlsVO+vr67du2KiIjYu3evLF15enouWrQoJibmwoULwcHBrZ6AQwitXr1aW1t7375927dvRwj16dNHNNEWHh7u7++/ePFiXV3defPmiV2rp6eXlpa2du3aM2fOsFgsIyOjbdu2IYR+//13NpsdGhrK5/Pd3d1v3bpla2vb6pE3BNdu5R46lwkTJsgyFxGPx3O53LYIIC4uzt/fn8ViSTxLoVByc3OF+yMCAEBXlZyc7Onpefny5bb4pQ5a7sSJE3///Xd4eLiM7Xk8Hh6Pb9OQAOiqiERiVVUVViEOAAAAAJ0O7AEnmYwrmdvukXVISEhD2TeEkL6+PmTfAAA/gry8vOrqasi+dVhKSkrXr1+XvT1k3wBoHjabTSaTIfsGAAAAdF7wW1wyJpMpSzNFRcW2GJ3FYqWnp0sZdMaMGW0xLgCghWprazMzM2NiYlRUVOQdSxeRkJDQrVs3eUcBGmRlZcXj8aqrqxutOg8AaInv37/DD0MAAACgU4MEnGQVFRWyNCORSG0xemRkJIFAaKgMK5lM9vT0bItxAfgBlZSUsFisb9++lZWVlZeXM5nMiooKJpPJYrHYbHZlZWVlZSWbzWaz2dXV1TU1NTU1NbW1tbW1tXV1dVwul8vl8vl8Ho8nEAiwFf04HE5bW3vRokXy/mRdREFBAWR2OjJjY2MCgfDt2zddXV15xwJAVwYbwAEAAACdHSTgJGOz2bI0a6ME3LFjxzgcTkNnlZWV+/Xr1xbjAtAlcbncwsLCT58+ZWVlvXr16s2bNwUFBd++fWOz2TU1NSQSqaamRkFBAf8/BAJBUVGRSCSSSCRFRUUymaysrMxgMJT/h0ql0v5HVVWVwWCoqqp269atW7du9XczBS1UWlp6/vx5eUcBpHF2dk5OTp41a5a8AwGgK4MEHAAAANDZQQJOMin5L1FtMS+joKCgoKCgobN4PH7q1KmtPigAnV1lZSWWZXv37t3Lly9fvXr1/v370tJSNptdV1enoKDA4/HIZDKVStXQ0DAxMenbt++AAQMsLCyMjIwga9aRmZubh4aGyjsKIM3IkSNv374NCTgA2hQk4AAAAIDODhJwklVVVcnSrC0ScKdPn25o8SlCiEqlenl5tfqgAHQKZWVlnz59+vTpU2FhYXZ29osXL/Lz87E1pHw+H4/HCwQCPp+vrKyspqbWo0ePESNGmJqaDhw40MDAwMDAAIfDyfsTgCYbMGBAXV0dk8mk0+nyjgVI5uzsvH79enlHAUAXBwk4AAAAoLODBJwENTU1MrZUUlJq9dHDw8OlJOB4PJ6NjU2rDwpAB1RXV5eZmZmVlfXw4cN79+7l5eXxeDwikcjn8+vq6ggEAp1O19HRcXFxGTx4cN++fXv06GFgYKChoSHvwEFr0tLSwuPxL1++tLW1lXcsQDI9PT0cDnfjxg03N7dW7DY4OHjt2rVv377t3bt3K3bbQWRkZDg5OR07dgyqKgEZQREGAAAAoLODBJwETCZTUVFRlklwFAqldYd+9uyZ9PoP48aNa90RAeg4Pnz4kJmZ+ezZs7S0tKdPn5aWlioqKuJwuNraWhqNNnz48FGjRg0ZMkRbW9vAwKDV//WBjklbW7uuru7FixeQgOvIpkyZsnXr1iYl4EpLSxtKl9Pp9PLy8lYKraOIiIiwt7c3MjLC3nK53KqqKtkf+AEAM+AAAACAzg4ScBJUVFQQCDLdmVZPAYSFhUmp/0Cn0+FROegyqqqqsrKyMjMz//nnn0ePHuXk5CCEcDgcDoerq6tjMBju7u6Ojo5WVlaWlpY0Gk3e8QL5wOPxFArl8ePH/v7+8o4FNGjv3r1NXeKtrKy8c+dO7PWjR48uXLgwb948bLJb16t7W1dXt2zZsvPnzwsTcEOGDKmqqsLj8fINDHQiZWVlenp68o4CAABAZ/L58+fXr1+npqbm5uYaGhrKO5zOxNDQ0NfXt9W7hQScBBUVFQoKCrK0bPW926Oiovh8fkNn2Wy2u7t7644IQLt59+5dVlbW06dP09LSXrx4UVpaSiKReDyegoJCXV2durq6lZWVMONGpVLlHS/oKDQ0NLKysuQdBWjEmDFjrly5MmbMGBnbKykprVmzBnsdHh5+4cIFb2/vUaNGtVmA8nT16tX609sh+waaBGbAAQAAaJKAgICqqqqIiAhPT89u3bqRSCR5R9SZEInEtugWEnASMJlMGZ/kq6iotOK4t2/flj6ui4tLKw4HQJtisVjYBLf09PTHjx/n5eURCASBQFBXV0cikWpqajQ1NbGMm6WlpaWlZev+awJdiYGBASTgOr6mJuBkVFJSsmbNmlu3bikpKTk5Oe3fv19HR0d4NiUlZd26dc+ePaPT6d7e3jt27BDOTCeTyUeOHDEyMlq2bNnr16+TkpKcnZ3Pnj0bGhr66NGjqqqqXr16rVmzZvbs2Vj79PR0GxubqKio+Pj41NTU2tpaBweHgwcPmpiYNDocm82mUqmrVq3as2ePsDEOh5s3b154ePi2bds2bdqE/vdL/Keffrpy5Qo2XFhYmJ+fH9b+9u3bQUFBjx8/xuFwffv23bt37/DhwzkczvHjx6Oiol69eqWgoGBlZbVr1y7YCvbHBAk4AAAAsvP29n727Jm7uzu2q4+8wwH/DxJwElRUVAgEAllatm7KIDQ0lMlkNnSWSqUK/58AQMdUVFR0586dK1euZGdnv3z5kkQiVVdXCwQCMpnM5/NVVVVF57jBJm5ARj169EhPTy8sLNTV1ZV3LKBBY8eO3bp16/Hjx1u3Wx8fH2tr6717975+/frQoUMfP35MS0vDTqWmprq6umJpsjdv3hw6dOjt27dXr14VXpuamhoYGDh58uRp06aZmpoihM6dO0ej0TZu3Ein08PCwubMmWNgYODk5CQ6nL+//+XLl0tLS1etWjVy5MiXL18yGAxZhmvIpEmTeDze5s2b9+/fb2VlJXEf/aSkJHd3d319/UWLFlGp1LS0NKwZHo8PDw+3t7f39/evqqravXv32LFjc3JyYDP+HxAUYQAAACCjS5cuZWZmXrp0qW/fvvKOBfwHJOAkqKio4PF4srRs3VVyFy5ckHK2qqpqwoQJrTgcAK2iuLg4JSXl6tWrycnJTCZTQUGBzWYrKSkRiURTU1MtLS0HBwcs49YWVYPBj0BbW5tGo927d8/b21vesYAGcbncsrKyjIwMc3PzVuzWzs4uIiICe11SUhIVFfX169fu3bsjhAICAoyNjW/evImt5SSTyTt27Hj48OHQoUOx9pGRkVevXvXw8BD2Fh8fL3zt4eGhq6t79uxZ0QScra1taGgo9lpNTc3JyenEiROrVq2SZbiG9O/fH5tGZ2pqam9vL7FNQECAqqrqkydPxDIsJBLp1atXwrdaWlrTp09PTk6eMmWK9EFB1wMz4AAAAMgoJCTk999/h+xbBwQJOAmYTCaXy220mYKCQivuAXf27FkKhSKlBKqlpWXX25cadFIlJSV37ty5evVqUlJSWVkZgUBgsVh4PJ5KpXI4HBcXF09PT2w2h7wjBV2BlpaWvr7+ly9f5B0IkKZHjx4DBgyorKxs3W5FSw+Zm5tHRUUVFxd3797969evDx8+XLlyJYvFws5aWloihO7fvy/MiA0cOFA0+yZGR0eHwWB8//5d9KDoVg8ODg4qKiq3b99etWqVLMM12+fPnzMzMxcvXtzo/Kb+/fsjhMRiBj8ISMABAACQha2tbY8ePcaOHSvvQIAEkICTgMlk1tbWNtqMQCC04oyekJAQKdk3CoUC60+BfH3//j0lJeXatWu3bt369u0blnRDCFGp1Lq6OmNj44kTJ44dO9bOzk7ekYKuRktLi0gkZmZmyjsQ0Ihp06ZdvHixoUlezSO67hjbwQSbop6fn48Q2r9///79+0Xbl5WVCV+Lbt+GSUlJ2bdv37Nnz5hMJp/Pr6ysFNtxQltbW/gah8NpamoWFhbKOFyzffjwASHUUG2ysLCwiIiInJwcDoeDfXYZd8kAXQwk4AAAADTq5s2bCgoKcXFx8g4ESAYJOAm+ffsmpRSpEB6Pb60EHIfDuX//vvQ2EydObJWxAJBdeXl5SkrK9evXb968+fXrV0VFRSxNrKioqKioSKPRRo0a5enp6erqChvTgLajra3N5XKhDkPH5+Xlhe3X1op9NjT1G0tCLVy40MvLS/S4gYGB8LVYta979+65uLiYmZkdO3bM2NgYj8cPHjxYrNuamhrRt8KncbIMJ6qqqqrhzyT5s0isTbZz585169Z5eXnt2LFDS0vr5cuX8GXgx1RRUaGioqKgoCDvQAAAAHRcL1++nDNnzqNHj+QdCGgQJOAk+PbtmyzNWjEBFxkZSSQS6+rqGmqgr6+vpaXVKmMBIF1FRcWdO3ewpFtRURGJRBLOzSSRSHg8fuDAgVOmTPHw8DAzM5NvqOAHoaWlVVlZ+fbtW3kHAhqhq6urq6t78eLFdkgSCTNfoju4SRcZGcnj8c6ePYvNNeNwOPXTZEVFRcLXNTU1xcXFgwYNanQ4bFc40bnzHz9+lDEqhJCenh5C6P379/VPnTp1ytDQMCYmBsu85Obmyt4t6EqgAgMAAADpmEzm0KFDIyIisO8VoGOCJ2kSyLiiREFBobUScMeOHeNwOA2dVVRU9PHxaZWBAJCosrLyypUrS5cu7d27t4aGxsyZM0NDQ/Pz82tqampra6lUavfu3efMmRMREcHhcDIyMtatWwfZN9ButLS0vnz5YmJiIrobPeiYli5dKjZHrI1oa2tbWVnFx8eXlJQIDwoEAinLM7FTWElThNDly5frN7548aIwj3b+/Pna2tqRI0c2OpySkhKVSn327JnwVExMjGi3WNHn8vJyiYHp6emZmpqePXu2fiV0gUBApVKF854SExMb+nSga4P1pwAAAKTbsGFDcHDw5MmTW6U3Nze3VknkkclkSGWIghlwEsi4vTEOh2uVBNynT5/y8vKkNCCTyZ6eni0fCAAxOTk58fHxt2/ffvDgAVYDBPvPJJfLpdPpFRUVtra2U6dOdXd37927t7yDBT8uBoNRXV1tamqamZmJbUIPOqyZM2cmJSVFRkbOnDmzrcc6cOCAs7OzhYWFj4+Ppqbmx48fr1y58uDBA3V1dYntHR0dw8PD58yZ4+3t/fr169OnT9cvFKOpqeni4jJ16tRv377t3r1bR0dn3rx5sgw3ffr00NBQPz8/GxubjIyMxMREFRUVYbeWlpaKioq7du2qqalRVFSsX8N0165dY8aMsbKymjZtGolEevbs2ezZs8eMGePo6HjixInAwMBBgwYlJydnZGS02u0DncqjR4+g+AYAAICGFBYWRkdHy7iST9TYsWOvXLny8uVL+I7dPiABJ4GUYghisGfaLXTmzBnpRVdVVFSghDBoRc+fP4+NjY2KiiovL8fmuCGEmEymsrKyQCDQ0NAYP378uHHjnJ2d5R0pAP+Px+N169YtKytr2rRp8o4FNGLhwoUBAQHtkICzs7NLTU3duHHj0aNHORyOrq6uh4cHlUptqL2Pj8+bN2/Cw8Nv3rxpYWFx6dKlkJAQYVVTzNy5cz9//rxt2zYmkzl8+PBDhw7R6XRZhtu1axePx7ty5Up0dLSNjc2NGzfmz58v7FZXV/fo0aObNm2aO3fupEmT6ifgPDw8rl+/HhQUtGfPHj6fb25u3rNnT4TQ77//zmazQ0ND+Xy+u7v7rVu3bG1tW+PmgU4Gj8fDkzAAAAAN8fLyakbZ05KSkhs3buBwuIiIiODg4LYIDIjBQS2t+gwNDSVuxSKGwWBcuXKl5TUfDQwMsApoEuHx+GXLlomVXQOgGR4/fhwTExMbG8vhcDgcjjDtq6ysXFtb6+HhMW7cOHd3d9EigAB0EPb29sbGxs+ePXv69Km8YwGNMzY2jo2NtbS0lHcgTZCenm5jYxMWFubn5yfvWAAQd/LkyQcPHpw4cULegQAAAOiICARCTU0Ntimt7A4fPrxixYoJEyY8fPiwoKBAtNSPm5vbixcvPn361MLAyGSyl5fXmTNnWthPlwF7wEnAZrNlaSYQCFq+BPX58+cNbQqDoVKp7bOfDuiqHjx4sGjRIjU1tVGjRh06dOjLly8VFRVcLldRUVFFRcXU1HT37t1lZWWXLl3y9fWF7BvomHR0dDQ0NOQdBZCVu7u76PwvAEALMZlM4WRMAAAAQNSRI0cWLVrU1OwbQigyMnLo0KEzZsz49OlTSkqKlJYvXrzw8PDQ0NDAidi8eTN2NiUlxcbGRklJSUtLa8WKFWK729fV1S1atEhbW5tEIpmZmV27dk14Kjg4WE9Pr6amxs/Pj0ajDR8+HCHE4XD27dtnYWFBJpMpFIqDg8Pff//d1I/WYcESVAmk1EMQ1SoJuPDw8MrKSikN+Hz+sGHDWjgK+AHdvn07MjLywoULOByOxWLx+XzsuIKCApVKVVFR8fPzmzt3rrC0HwAdma6uLpVKbflTONA+Dh48aGRklJ+fj9UbBQC0ECTgAAAANGTr1q3Xr19v6lXZ2dmPHj3avXu3m5sbhUKJjIxsaAMiJpM5evRoHR2dhISEurq6lStXfvz48fTp00OHDkUIpaamurq6Ojg4HDx48M2bN4cOHXr79u3Vq1eFl8fGxhoZGa1cuZJEIoWGho4fPz41NdXGxgY7W1xcvGTJkoyMjJUrV/br1w8hhMfjw8PD7e3t/f39q6qqdu/ePXbs2JycnK5RDaHWSvIAACAASURBVBwScBJUV1fL0ozP57c8ARcREcHj8aQ0GDduXAuHAD+UGzdunDlz5vLly4qKikwmU3SNOYPB4HK5Pj4+8+fPt7CwkGOQADSVjo5OSUmJkpLShw8fevToIe9wQOM2bty4devWU6dOyTsQALoCJpMJD8wAAADUFxsb261bt2bs+xEZGYkQmjx5MoVC+emnn86fP3/s2DGJe9zfuXPn8+fPkZGRI0aMQAht3rx56tSp2traWJnUgIAAY2PjmzdvYlPwyGTyjh07Hj58iKXnEEIEAiE1NVVXVxch5OXlZWBgsGPHjj///BM7y+Vys7Oz09PTiUQidoREIr169Uo4upaW1vTp05OTk+tvodsZwRJUcRwOR8bZmzwer4UJuLt370pvwGAwZsyY0ZIhwA8iMTFx4sSJioqK3t7ecXFxHA6nvLwcy75RqVQcDjdhwoT4+HgWixUSEgLZN9Dp6OjoFBUVWVpaPnnyRN6xAJlUVlZeuHChE01aHDZsmEAggA3gQMcEM+AAAABIdOvWrTVr1jT1KoFAEB0dbWFhgS1WmDJlCpvNvnjxosTG2JZZNBoNe4tVoMIKV379+vXhw4ejR49msVjl5eXl5eVYKvD+/fvCy21tbbHsG0JIS0vL0dHxzp07otNEfvnlF2H2rT6sPGuXKQUOCThxFRUVUv74RbU8ARcaGip9Azg2m+3m5taSIUAXxuPxEhIS3N3d8Xj8rFmzLl26VFdXx2QysbNKSkokEsnOzi4kJITP51+8eHH06NHyDRiAZsMScFZWVo8fP5Z3LEAmS5Ys0dfXLyoqkncgAHQFkIADAAAg0enTp+fMmdPUq1JTUwsKCuzt7XNzc3Nzc01MTIhEYkREhMTGI0eOVFRUPHjwIIvFYjKZISEhdDp9yJAhCKH8/HyE0P79+1X/Z/LkyQihsrIy4eVim4zr6emx2Wzhf1oRQiYmJmIjhoWFDR8+XFNTk0qlYotVu0ztUFiCKo7JZMo4A47L5bYwAXfnzh3pDSBjAurjcDjnzp07derU3bt3VVRUWCwW+t8jCIQQkUgkk8k6OjoLFy6cPXu2qqqqXIMFoHUIZ8BBSehOJDIy0tvb+88//zQ2NpZ3LAB0bpCAAwAAUN+BAwc8PT2bcSGWazt06NChQ4eEB5OTkz9//ly/KJ++vn58fPzMmTOjo6MRQoaGhgkJCdiObFhebOHChWJ1I0W3TairqxM9JdyaXIhEIom+3blz57p167y8vHbs2KGlpfXy5cuJEyc24zN2TJCAE1dRUSFaf1c6GefKSfTXX39Jr/ZAo9FmzZrV7P5B1xMTExMWFvbgwQMSiYTV6sWybwghHA5Hp9MVFRV9fX19fX379Okj10gBaGW6urqFhYUwA65zMTc337Rp06xZs9avXz9mzBh5hwNAJwYJOAAAAPXt27dv/fr1Tb2qurr63Llz5ubm69atEx588+bN+vXro6OjV69eXf+SoqIiNTU1bCu37t27C48LE21OTk4NDff582fRtx8+fKBSqVJ+qZ06dcrQ0DAmJgZLy+Tm5sr6wToDSMCJE84kalRLsm8IoaioKNGJl/VVVlZOmDChJUOAriE7O/vAgQOnTp0ikUhYxk30MQKdTq+pqfH29vb39xdWkwGgi1FRUcHhcIqKilwu98WLF6ampvKOCMhk+vTp06dPJ5PJ27dvX7VqlbzDAaCzggQcAAAAMUwms6Kiwt/fv6kXJiYmVlRULF26VHT2HI/HO3r0aGRkpMQE3IEDB6ZMmWJubi52XFtb28rKKj4+fuvWrRoaGthBbFocDofD3j58+LCgoABL1X369OnevXvu7u7Cs/UJBAIqlSqcFJWYmNjUD9iRQQJOnFjhSClamIBraI9DoaFDh4rNxgQ/moiIiD179rx//57D4fB4vNraWuEpGo3GZrNdXV0XL14MU0vAjwBbhWpqanr37l1IwHUu1dXVpqamT58+XbNmTUJCgrzD6YIMDQ19fX3lHQVoQ5CAAwAAICYhIWHq1KnNuDAyMpJKpYotGsV2Fd+1a1dmZubgwYPFLjE0NIyNjVVWViaTyQQCwcDAYMKECVg+5MCBA87OzhYWFj4+Ppqamh8/frxy5cqDBw/U1dWxa11dXUeNGuXn56ekpHTs2DGEkOjMu/ocHR1PnDgRGBg4aNCg5OTkjIyMZnzGDgsScOIqKip4PJ4sLVuSHfv777+lJH2xzrvSUmfQJC9evDhw4MCZM2fIZDK21FQUg8HQ1NTcsGGDl5cXgQD/hMGPAkvATZgw4f379/KOBTTZtWvXpk6damdnN378+Ppb7YIWauETQdDxQQIOAACAmLNnzwYEBDT1qq9fv968eXPu3LnKyspip3x9fXft2hUREbF3717R4ywWq3///rdu3dqyZYvw4ODBg//++28lJSU7O7vU1NSNGzcePXqUw+Ho6up6eHhglVIxixYt4vF4a9asyc/P79u3b2Ji4rBhw6RE+Pvvv7PZ7NDQUD6f7+7ufuvWLVtb26Z+zA4L12XKSbSWQ4cOBQQEiE41aoiOjk5hYWHzRlm+fPnRo0frb0AoRKPR0tLSBgwY0Lz+QSd14sSJvXv3FhYWstlssb8eBAJBUVFx+PDhmzZtgqWm4Ac0c+ZMV1dXQ0PDwMBA0dLmoHOBPAIATVVTU0On06urq+UdCAAAgI6ipKTEwMBA+p7yrYLP51taWjIYjDNnzvTo0QMhxOFwwsLCVqxYcfny5bFjx7Z1AF0MTJ8RV15eLkv2DbVsBlx8fLyU7BtCSEFBAbJvP45nz57t378/KipKSUmpsrJS7CyFQqmpqVm4cOHatWt1dHTkEiEAcofNgJs2bVp6erq8YwHNB9k3AJoK0tYAAADEHD582NLSsh0G+vTp07Nnz0JDQ7HsG0KIQqH0798fIYTH49shgC5G1nKfP47v37/L2JJMJjdviKysrEYfY7q5uTWvc9C5hIaGGhsbOzo6RkZG8vl8sewbnU7X0tLauXMnl8s9fPgwZN/AjwxLwOHxeHNzc6iFCgD4cUACDgDwgwsODsbhcK1bDTMjI4NOp0dHR7din+3p9evXP//8czsMpKenp6+vv3v37jNnzqSkpFy5ciUoKGj69OkDBgxwdnZuhwC6GEjAiSstLZWxpZKSUvOGiI+Plz5ZlEajTZ48uXmdg07h8ePH06dPx+Fwq1atevv2bUVFhehicBwOR6PRrKysoqKiPn/+vHz5cjmGCkAHoaSk9PLlS4SQjY3N33//Le9wAACgnUACDgDQ8Tk5OeFwuD59+tQ/lZubi8PhcDhccHCwLF0JBIJt27ZJXy7Wclwut6qqqqampk1HaTt//vln+yz/VFBQSEpKsrCwWLt27ejRo729vRMSEvz8/O7duwcVI5sBlqCKk30GHIVCad4Q0dHRXC5XSoOqqiqYAdclcbnc48eP79u37/v37xUVFQghsSlvJBKJz+dPnjx5/fr1sAYZAFE0Gu3Vq1cIIUtLy9jY2GXLlsk7IgAAaA+QgAMAdArKysq5ublPnjwRWxqJVc+sv81OQx4+fLhhw4Y1a9YoKLThbKEhQ4ZUVVV10kWUSUlJDg4O7Zb/MjExiY+Pb5+xujyYASeuvLxcxpbNS8C9e/fu27dv0tv06dNHRUWlGZ2DDis9PX3KlCkkEikwMDA/P5/JZIrVP6FSqcrKygEBAWVlZbGxsZB9A0DM8OHDseLRo0ePvnfvXrNr4AAAQOcCCTgAQKdgb29PIpHi4uLEjsfFxTVpcklsbGyrxtWgTpp9Qwhdvnx5zJgx8o4CNAck4MQxmUwZW9Yv3CuLs2fP1tXVSWlAIBA8PT2b0TPogKqrqw8cOGBgYODm5nbu3Dk+n19/9TGDwejdu/fRo0fZbHZQUFDz/l4B0OXp6uoWFRUJBAJtbe0JEybcvXtX3hEBAEB7gAQcAKBTwOFwbm5uCQkJovMMsrKyXr16NW7cONGWHA5n3759FhYWZDKZQqE4ODgIdxcZPXr0wYMHEUJEIhGHw+3Zs0d4VUlJiaenJ41G09TU9PLyKioqEu3z2LFjJiYmJBLJ2Nj46NGjwuNcLnfjxo29evVSUlLq0aPHlClTXr9+jRBKT0/H4XDh4eFYsy9fvsyePVtHR4dCoRgbGy9dupTNZtf/jG5ubj/99NPevXuNjY1JJJKBgcHvv/8uPMtms3E43OrVq8Vui5+fH/Y6ODhYT0+vpqbGz8+PRqMNHz5c+t1oSGho6ODBg6W3AR0TLEEVJ/FfmkTNS5ScOXNG+lJzZWVlyGd3AQ8fPty5c+eVK1eIRGJVVVX9BgQCgUQi2djYbNq0yd7evv0jBKDT0dPT+/Tpk76+vqOj4927d6dPny7viAAAoM1BAg4A0ClwOJzZs2cnJiampaXZ2dlhB+Pi4nr27GllZSXaEo/Hh4eH29vb+/v7V1VV7d69e+zYsTk5Od26dduyZQuFQklMTLxz5w4ejzc0NBRe5ePjY21tvXfv3tevXx86dOjjx49paWnYqeDg4HXr1s2bN2/16tU3btxYunQph8MJCAhACG3ZsmXnzp2//vqrsbFxfn7+n3/+KXGp2ZQpU96+ffvrr7/SaLTnz59nZmY29J/9a9euZWVl7dq1y8DA4OzZs4GBgTgcDhtLFsXFxUuWLMnIyFi5cmW/fv2k3w2JPbx//15XV9fR0VHGEUGHAgk4cbIn4JqxSrS4uPj9+/fS29TV1Q0ZMqSpPYOO4/r162vXri0uLv7y5QtCqP5+fxQKpaqqys/Pb926dfr6+vKIEYBOSV9f/+PHj1gCbt++ffIOBwAA2gMk4AAAnQKfzx87diyFQomLixMm4OLj4728vMQqKpBIJGxjX4yWltb06dOTk5OnTJliY2OjpaWFELKzsyMQ/pOssLOzi4iIwF6XlJRERUV9/fq1e/fu379/37Jly4IFC0JCQhBC8+fPt7Oz2759+88//6yoqHj37l0rK6sdO3ZgF27ZsqV+5HV1dWlpaQEBAb/88ossn/TkyZMuLi5YSJmZmcHBwdhYslzL5XKzs7PT09OJRGKjd0NiD0lJSdjooDOCBJw46fVJRVGp1KZ2fu7cuUbbQDXfzis2NnbdunVlZWUNLWSm0+kkEmnNmjUrV65s59gA6AKwBBxCyMTEpLy8vLi4WFNTsxn9fPz4cevWrbdu3SoqKiKRSIaGhqNGjZo9e7aZmVlrhyxNQEBAeHj4jRs3rK2tZbzE29s7Pj4+KSlp1KhRCKGCggJra2sHB4eEhIS2jLStaGlpFRcX19XViX3DBgCIYjKZ8LgOANDxCQQCZWXlsWPHnjt37uDBgwoKCv/888+7d++mTZsm/cL+/fsjGWohzpgxQ/ja3Nw8KiqquLi4e/fuf/31V3V1tYuLi3Azd2tr67S0tBcvXlhYWJiamoaHh0dHR0+fPh3bTbg+IpFoYmISGxvr6ekpNlmvPjweP3LkSOFbDw+PO3fuZGZmyj6H5pdffhFm3+pr9G4kJSU1lJsT8+HDh5KSksuXL+Px+IKCAh0dHRkj7BQMDQ19fX3lHUWTwVdecbKXIm7GEtQzZ85IXI0opKKiMnny5KZ2C+TuyJEjmzdvrq2tZbFY9c/icDgajWZkZLRp06bx48e3f3gAdA3CBBxCCFuFOnXq1KZ28vbtW1tb29LSUgaDMWjQoG/fvr1+/frFixfa2trtnIB79OhReXn5ixcvZE/AicnPzy8uLm50oxAAQKfGZDJNTU3lHQUAAMgEe1iYkpLi7OwcFxfXr1+/wYMHv3jxQqxZWFhYRERETk4Oh8Ph8XgIIbEKdfXp6uoKX2PTzbAL8/PzEUL1/xNdVlaGENq+fXt+fr6Pj09QUNDq1avnzJkj8bFfXFzclClThgwZ4uzsvGbNGuxJp0QaGhqi1Ru0tbURQoWFhbIn4ExMTMSONOluJCUl/fHHH1L6//jx44YNG65evcpms5WUlNTV1W1tbUkkUrtVTW0fUpKYHRkk4P6DzWYTCATpRRIwRCKxqQk4Npv97Nkz6W14PJ67u3uTugXytXXr1p07d+LxeImltXE4nLKy8syZMxcuXDho0KD2Dw+ArkRfXz8vLw97XVlZmZCQ0IwE3Nq1a0tLSxcsWHDgwAEymYwQYrPZly9fdnV1beVwGxMSEpKamurj49PsHhwdHU+dOgVFkwHo2srLyxkMhryjAAAAmbi7u9Pp9Li4uBEjRiQkJCxYsKB+m507d65bt87Ly2vHjh1aWlovX76cOHFioz1jX9vqw3JVx48fF0tsYWUKVFVVr1+/npqaunPnzvnz54eFhSUnJ9ffS2rQoEEvX76Mi4vbuXOni4vL4sWLRSs5iBKbr1NbWyslZomTb8QSYU26Gw8fPjQyMmrol0JeXt79+/cXL148b96869evGxoaqqmpSQkPtD9IwP0Hk8kkEomyJODweLySklKTOj9//jyJRJLeuba2dvfu3ZvULZCL8vLyTZs2HTp0iEwmV1dXS2xDo9Hs7e0PHz5sZGTUzuEB0CXp6+vfuXMHe+3i4iJ83ST379/H4XC7d+8Wfo1TUVGRSz2Hfv36YZvvNhsOh5szZ04rhSM3CgpQkB0AaWAPOABAJ0IikSZMmHDhwoWpU6cWFRVJXH966tQpQ0PDmJgY7DtAbm5uS0Y0MDBACDEYDCcnp4baODg4ODg4REVFzZw5Mzw8fMWKFfXbEAgEHx+fadOmLV68+NixY/Pnz5e4NoLJZHI4HAqFgr0tKChACOnp6SGEsJlxoik54dINKZp0N7Zu3dpQFmLLli3Hjh0jkUgnT5708vJqdFwgF/Ct9z8qKipk3ImmGQm4M2fOSK/wgMfjZcn9A/l6//79jBkztLS0sG0+JWbf6HS6k5NTWlra1atXIfsGQGsRXYI6fvz4RucUS4Q9dZQyaz07OxuHw23YsOHKlSvDhw+n0+kqKipOTk6pqaliLQUCQWhoqJmZGTa938vLCytsLyo/P3/+/PkGBgYkEklXV9fFxeX+/fvYKW9vbxwO99dffwkbp6SkeHt76+rqEolEBoPh7Ox8+/ZtKZ8FC1W0jHJNTU1UVNSoUaO6detGJBK1tbW9vb2xpRkNOX78OA6Hu3//flJSkq2tLYVCUVNTmzRpUl5eHp/PP3r06KBBg8hksrq6uo+PT3FxsdjlBQUF8+bN09PTU1RU1NfXX7hwYVFRUf3+Hz9+nJub6+7uTqFQsC/KGBKJ9Pz58/Hjx6upqZFIpIEDB4aFhdUP8v79+2PGjFFTUyOTyf3799++fbvYz15vb2/saXZSUpK5uTmBQJg9ezZCiMvl4nA4bDtnUU5OTjgcTrgiBruT27Zte/fu3aRJk7A/dDs7u1u3bmF9Ojk5UalUFRUVZ2fnR48eSbmfALQ6SMABADoXb2/v79+/L1261MLCok+fPvUbCAQCKpUqfAKXmJgoehbLbQk3dGuUi4sLmUwOCQnBFm9ihGUfRNdyjhs3DiH0+fPn+vEIX+Px+DFjxkhsJuxZuPcul8s9d+6cmpoaNttOSUmJSqWKfkGNiYlpNH7pd6N+43Xr1okd/PLlS0xMTGJiYmxs7IcPHyD71pHBDLj/YDKZDW3NKEZBQaFJCTiBQJCSkiK9DZVKxX4ogI7p2bNna9euvX//PofDESvlI8RgMIyNjY8ePdro/p0AgKYSTcD17NmTTCZnZ2f37du3SZ0MGjTow4cPYWFhy5Ytk9IsJCQkODh42rRpU6ZMKSoqOn78+KhRo27cuCG67e6iRYtCQ0P19fV/+umnwsLChIQEbI2D8Hnp8+fPHR0dy8rK9PT07O3ti4uLk5OT169fL3FEDoczZcqUioqKYcOGOTo65uXl3b59+969e+np6RYWFjJ+umfPns2cOZNGo9nZ2dFotEePHsXHx6elpWVnZwsf1Tb0eW/evOnr6zt58uRr165dvHgxIyNj9OjR58+fnz9//qxZsy5fvhwdHZ2dnf3o0SPhL8qXL186Ojp++/Zt2LBhDg4O7969Cw0NTUxMvHfvXu/evUX7z8rK2rRpU2VlpZmZWa9evYTHuVyura2tiorKyJEja2pqkpOT/f39v3z5smHDBmGb6OjoWbNmEYlEJycnVVXVR48erV+//vr168nJyaKLOCorK69evTpx4kRtbe2hQ4eam5vLeNOE7t69GxoaOmrUqC1btmRlZZ0+ffqnn37asGHD9u3bZ82aNWHChCdPnkRFRTk7O79+/Vp0JxoA2tTnz5+l//sFAIAOZdSoUerq6jk5Obt375bYwNHR8cSJE4GBgYMGDUpOTs7IyBA9a2Njs3///hUrVnh4eOjr6w8fPlz6cOrq6kFBQQEBAba2tmPGjKFQKNnZ2bm5udj/vs3NzV1dXQcOHFhTUxMbG0sgEOpPeXn69Ony5cvHjBnTs2fPkpKSw4cP6+rq2traShyuZ8+eO3bsyMnJ6dmz57lz5169erV7927hk93p06eHhob6+fnZ2NhkZGQkJibWX+7apLsh5u7du2Klt2JiYpYtW8blcp89e2ZoaCh9LCB/AiDir7/+knGXDRqNdvHiRdl7PnfuHI1Gk94ngUBou48GWuL27dvW1tbS/wQZDMbAgQPv3r0r72AB6MqIRGJtbS32esWKFfv3729qD2lpaXg8HofDLViw4OPHj/UbCGexHTx4UHjwn3/+weFwffv2FR5JSkpCCLm5uVVVVWFHsL1CbG1thW0sLS0RQlu3buXz+diRoqIiHo+HvcaeTyYlJQnb3759u6ioSPgWWx/h7+8vPCJ2CRaqnZ2daPwXLlyorKzEXtfU1AwdOhQhFBMT09ANwSbzKigoPHnyBDvC4/GwB7mKioqvX7/GDnK5XOwhdnp6uvBabL/hiIgI4ZGTJ08ihIYPHy7Wv6qqqre3N4fDER0aK2JrZWXFYrGEn4hKpRKJxA8fPmBHiouLVVRUaDTa8+fPhZHMnTsXIbRhwwaxO6Oqqrpv3z7RIbBtHzQ1NcU+taOjI0JI2KfwD/3AgQPCNsIU7cmTJ4UHsaGDg4Mbup8AtDo8Hv/lyxd5RwEAANI4OjqKfiFZsGABDocT/jZ//vw5Qmjnzp3Y22/fvnl5edHpdCqVOnXq1K9fv/bu3TskJAQ7y+fzFy1aRKfTlZSUsC9jO3fuRAi9fftW2P/hw4cRQhkZGcIjUVFRFhYWWKkBU1NT4S/01atXGxgYEAgEBoNhb29//fp17DhWwyosLEwgEBQXF3t5eWHVFTQ1NSdPniz8/iPG1dXVwMDg9evXDg4OZDK5R48eu3btEm1QXl7u5+enpaVFJpNHjBjx4sULGxubefPmYWfrf5BG74aox48fW1paih7Zs2dPnz59/Pz8JEYLOiBIwP2HLGkyTPfu3W/fvi17z2PHjm20zxEjRrTdRwPNc/78eWNjY+lpWTqd3rt3b+FPcwBA2zEyMsrLy8Ne37hxw9XVtRmdXL58GftRTyAQpk2b9uzZM9GzWC5GSUlJmFnDYCs9nz59ir3Fim2JfvPj8XjYMrHCwkKBQIAtVOzfv78w+yamfgJOzOPHjxFCjo6ODV0iMQEnZs+ePQihTZs2NdQAS5A5ODiIHgwMDEQIjR07VvTgkiVLEELh4eHY2ydPniCEhgwZItYhNv83MzNTtH86nV5WVibWEkvAXbp0SfTg8uXLEULCPNrevXsRQgEBAaJtvn//rqioqKamJpbNFL1XmCYl4EgkkmiK8Pr16wghBoMhHEUgEJw9exYh5OPjIwCgXdTV1cEDWgAA6CBcXV11dXXlNfrevXt/+eUX4du4uDh7e3t5BQOaB/aA+w8WiyW6dFyK2traJu0bfePGDekNKBRKM8r5gbZz4sQJHR0dX1/fnJychvYgoNFoenp6p06devv2rZubWztHCMAPSHQVqrOz882bN5vRydixY9++fbt+/Xo1NbXY2Fhzc3Ps24xom969e4sV28KWNGJZJ4QQtpXb0aNHF/7P4sWLsbWZ7969Qwilp6cjhFxcXGTc2UAUh8MpLy/HNjpoqMyLdAKBgM1ml5eXU6lUWToxNTUVfauuro4QEl0rKjwo3Mw0LS0NIeTg4CDW1YgRIxBCd+/eFT3o4uLS0JMMbBqdkJ2dHRK5zxJHUVVVHTx48Ldv316+fCl6fMqUKQ19QFn06tVLdHMJ7PP27NlT9Ne92E0AoK1VVFTI+GwYAABA13b37l3sCSJCqLq6+siRI56envINCTQVJOD+g8VicblcGRs3VAi5vps3bza6eYeCgoK7u7uMHYI29fvvv9NotBUrVnz+/JnJZEpsQ6VSNTQ0jh079vHjRyidAUC7yc3NFdYlIBAIP/30k/StahvSvXv3oKCggoKCgwcPUiiU/fv3h4aGijbA8laisDrupaWlCCEej4eVIwgPDw8VgSXrsWwX1kBHR0f2qK5fv+7i4kKlUpWVlVVVVQcMGNDUz8Xj8Q4fPmxpaUkikahUqqqq6oIFC2S5UOIW7926dat/UJip/PLlC0Kofn0DbW1thJBYKQYpm5JgN1ZIQ0MD/e8+t+IosmjGTQCgrbFYrPo/jgAAAPyA/vrrL6zcKkLo/PnzGhoaP//8s3xD6lBqa2sNDQ0XLlwo70CkgQTcf1RUVIiWDZZCIBDInoCLjIxsKI8jRKPRRAvDgfZXVVUVGBhIIBA2b97MYrEamuCgrKxMo9F279799evXGTNmtHOQAPzgHB0de/bsKXzr6el56dKlZvdGIpGWL1+OLSrElmoK1X8YI3oEK8NCIpEkzi0fNWoU+l+ORvbpb9HR0R4eHo8fP16xYkViYmJKSkpUVFRTP9GiRYuWL19eWVm5a9eu69evp6SkrF69WpYLJc7plh48drZ+KkriB1dUVGyoH7Ffu2KT9VprFDESpwQ24yYA0NZgBhwAAACEUHFxsUAgwFYnHDlyZPny5RcuXGhhn1++fFm7dq2ZmRmNy/cJKwAAIABJREFURlNWVjY2Np45c+a9e/daI95WlpqaKnwG3xCBQFBVVVVVVdU+ITUPVEH9j/Lychkfa/P5fNmroDY6QQOHw8mySRxoI8XFxb/99tuZM2fweDyPx2voHy2FQuHz+UFBQStXrmznCAEAmF69en369En4dvLkycuXLz916lRL+hw9ejS267/owa9fv4o1KywsRP+bn0UkEtXV1UtLS798+VJ/chame/fuqOEa9vUFBQUhhOLi4lxdXbEjomXsZVFUVBQeHk6j0VJTU7HRm9GJ7LAyoPU/IHYEm6Emi9LSUtEJPtjMQew+t3wULH1Wv251/T9fADommAEHAAAdR6P7SrWd0tJSIyMjOp1eXFy8devWb9++tbDD5ORkT09PFovl6uo6duxYBQWFnJycGzduODs7N1p5tv3t3LnT0tJy5MiRUtqQSKTCwkI8Ht9uUTUDzID7D9n/HvP5fBlnwN2/f59AaCTRSafTJ0yYIOPQoBXV1dXNnz/f2tr69OnTXC63pqZGYjMymYzH49etW1dVVQXZNwDkqGfPnu/fvxe+pVKp1tbWf/31V0v6LCsrq6urw/b2EiooKBBN+ggEgtTUVIQQVtgUIYR9Nfnzzz8b6nbYsGEIoUYf1glhO8eJbnbW1NzZ+/fvBQJB3759hdm3ZnQiO2wXErG93oRHsJoVssBqTQhhf5rC+yxxlPLy8szMTFVV1f79+0vvHI/HKykpffv2TXSe3devXwsKCmQMDwD5ghlwAAAAEEIPHjzA9snV0dFp+SS1goKCSZMmkcnkhw8fXr16NSgoaMuWLbGxsZ8/f545c2ZrxNuavnz5kpKSIkvLDp59Q5CAE1NWViZjS9kTcNHR0RUVFdLblJeXwxb+7W/jxo1kMvnMmTMFBQUNFd9QVFTE4XArV67kcrm//fZbO0cIABAjloBDCKmpqf36668yXv7ly5dTp06J/qgvLy9fvHgxQmj8+PGiLQUCwa+//ir8yRAaGpqXl9evXz8zMzPsyNKlSxFCGzZsyMrKEl5VWlp6+fJl7PXQoUPNzMwyMzOx+vTYwW/fvoltWyaEZc0yMjKwt0VFRVu3bpXxc4n2kJuby2KxsCOpqanR0dFN6kR2/fr1c3Z2fvr0qegMxMjIyEePHg0dOhSrWSGLbdu2CQvdZGVlXbhwgUgkCjcVnj59erdu3cLCwjIzM7EjfD7/119/xR6fyPI1a9CgQXw+PywsDHvL4/ECAgLqz4kDoGOCBBwAAACEUFpamq2t7aRJk+Lj401MTFrYW3BwcEVFxcmTJy0sLESPEwgE0S9XHA5n5cqV2traZDJ52LBhYlmwgoKC6dOnq6urk8nkIUOGiG4Lk56ejsPhnj59+vPPP2toaCgrKzs5Ob18+fL58+dubm4qKio6OjqLFi0S2/Tp2LFjJiYmJBLJ2Nj46NGj2MEbN26YmJjU1NRs374dh8OpqKgI+8/NzT106JC2tjaRSMRm0pDJZB8fH2Hw+/bts7CwIJPJFArFwcHh77//buF9azlIwP1Hozu1CfF4PBkTcOfOnWv0i761tbWM44JWERISoqSktGfPHj6fX1dXJ7ENkUgkEokLFiyoq6vbsWNHO0cIAJDIwMBAbO7S8ePH8/LyZLy8vLzc19dXXV3dxMTE0dHR3NxcV1f37Nmz/fv337Ztm2hLV1fXrKwsExOTadOmOTo6Llq0iEgkHjlyRNhg5MiRa9asKS4uNjc3t7KycnFxGTx4sLa29qFDh4RtTp06xWAw1qxZY2hoOHLkyAEDBmhra2Mz6epbsmQJQsjDw2PWrFmTJ0/u16+fnZ2dxAoADendu/fo0aO/f/9ubm4+b9680aNHjxgxAksvtpGwsDBdXV1fX99hw4bNmDHD1tZ21qxZ6urqsi8KtrKyGjp0aJ8+fTw9PcePHz9s2LCqqqqNGzcK9xim0+lnzpypqakZOnSom5vb9OnT+/btGxYWNmTIkE2bNskyxPLlyxFCS5cudXJy8vb2NjY2TkpKEq7zBaCDgyWoAAAAEEIPHjy4evXq169fW6Xy6aVLl4yMjBotAjl58uTw8PAFCxbs27evtrZ29OjRWHl6hFBJSYmtre3t27dXrly5f/9+NTW1iRMniu1fPGPGjJqammvXroWGhj59+nTcuHFubm4jR45MSkpasWLF8ePHAwMDhY2Dg4OXLl3q4OBw5MiRgQMHLl26dPfu3QihgQMHRkZGIoRmzZp17969pKQk4SV79+7dtWuXj4/P9u3bSSSSWPB4PD48PNzKyurAgQPbt2/Pzc0dO3bs9+/fW3DbWoPEDaR/WMI1L43C4/E1NTWNdvj06VOJVdVEkcnkPXv2tMOnAwKB4MKFC5qamljiXMofrpKSkq+vL4vFkne8AID/4HK5eDxe7OCkSZPOnz8vy+VsNvu3336ztrbW0dFRVFRUUVGxtLTcsWNHZWWlsM3r168RQiNGjCgtLZ0zZ466ujqFQnF0dExNTa3f4aVLl0aOHEmn0wkEgra29sSJE5OSkkQb5Obmzpo1S0dHB4/HMxiMcePG5eTkYKe8vLwQQsL2dXV1W7du7dmzJ4lEMjIyCgoK4nK5bm5u1tbWwt7ELsFCtbOzEzYoKSmZPXt29+7dlZSULCwszp49y+VylZWVAwMDG7onISEhCKHffvtN9CD2jScoKEj0IJbw2r9/v+jBoqKiBQsW6OrqEolEXV3defPmFRQUNNo/RlNT09/fn8fjBQUFGRgYkEgkU1PTP/74o37Lx48fjxs3TlVVlUQi9e3bd/PmzaJ/ZPXvjJiTJ08OHDhQUVFRVVV16tSp796927lzJ0Lo+fPnWIP6d1IgEDx69Agh5OzsLHoQe/Y7fvx4iQMB0Or27du3cuVKeUcBAABAnoqLi7t166arq9sqvWH7bk2ZMkV4hMVivf2fd+/eYQevXbuGEIqLi8Pefv/+XVlZ2d3dHXuLlfnKysrC3vL5/OHDh2toaFRXVwsEAmyumY2NjXAIbO1IQECA8MiwYcP09fWFIZHJ5IULFwrP2tra0ul0LOWCbQsj+mUS659OpxcWFop+NBKJNGPGDImfOiYmBiGUkJDQlFvV+nAC2WoO/CBMTExycnJkaYnD4WRZwBIYGLhv37761fRE0Wi09PT0fv36yRolaJb79+/7+/sXFRVJn+eora3t6Oh46NAh4RbgAIAORV9f/++//xbOkEIIXbt27ejRo1evXm2V/rOzs7HZZ/fv32+VDgEAoNm2bt3K4/G2bNki70AAAOD/Xb9+fcuWLUOGDGEwGPKO5Udx5cqV169fP3z4cNCgQS3v7f3794aGhr6+vidOnMCOREVFCbd+U1NTKy0tRQgtXrw4NDT006dPwuKTo0ePzs7OxvbX6tevn6KionCHEITQH3/8sWDBgtu3b48YMSI9Pd3GxmbLli0bN27Ezh44cGDlypW3bt1ycXHBjvj4+Jw9exZbOpqQkODl5XX+/HlhmYWtW7fu37//yZMnFhYWX7580dbW/u2334QLVrD+ly1bJrr0BCFEJpM9PT3FJuJhMjMzzczMjh8/vmDBgpbfw2aDKqj/UVlZKWPLRusqYGJiYqRn3xBCRCIRsm9tKicnZ/78+ZmZmdJTb3Q6vVevXufOnTM0NGy32AAATYWtQhVNwHl4eEyaNOnTp0+iBwEAoAuoqKiQvaYwAAC0tVOnTi1fvtzS0rJ79+5YqXHQDmpqaurq6vT19VulN2xnA6zuPMbBweHs2bMIoe3bt3/8+BE7mJ+fz+fzdXR0xC7n8Xh4PD4/P1+YSsP06NEDIfTu3bsRI0ZgR0RntGD5EzU1NdEjwt2W8/PzEUKTJ08WG0v6Hv2N7oUXFhYWERGRk5PD4XCwseQ+/wwScP8hewKOSCQ22iYnJ0eWTeUaXXoNmq2srGzBggU3btwQbkkuEbadX0hIyLRp09orNABAM2F1GLA6UEJ+fn779u3bt2+fvKICAIC2wGKxjI2N5R0FAAAghFBpaenRo0dv3bplY2Mj71h+LDNnzrS2th4/fnxDWwk3iZqamrq6+tu3b4VHevTogaXPwsPDhQk4gUBAJpOvX78udrmCggJCSJb0a/2cCXZtfVhe7Pjx42I5tcGDB0vpv/6+b6J27ty5bt06Ly+vHTt2aGlpvXz5cuLEiY3G3NYgAfcf1dXVMrZUVFRstM21a9dqa2ult6HRaB3h70GXhO3syOVyG6pwilFRUZk9e7bo3uoAgI6sfiFUhNCuXbs0NTUhAQcA6GKgCioAoIM4evTooUOHQkJCIPvW/gwMDPB4PJvNnjhx4sWLF1ve4ejRo2NiYrDKqlIGra6u7tevn6amZv2zRkZGHz58ED2Cve3Zs2cz4jEwMEAIMRgMJyenZlwu0alTpwwNDWNiYrCsX25ubmv13BJQBfU/sBXIspAlAYcVbpPepqqqys3NTcZBgYyCg4PxeHxISEhNTY2U7BudTreyssrMzITsGwCdiMQEnLKyso+Pz6+//iqPiAAAoK1AAg4A0EGsWrUqKytLuEUXaGd2dnZr164tLCzEikS1UEBAAIFAmDlzppS01Lhx4xBChw8fFj0o3Ad/woQJWVlZwj3gBAJBdHQ0g8GQktGTwsXFhUwmh4SEiP7nXTgWhUJBCJWXlzepT4FAQKVShXPuEhMTmxFYq4MZcP+qrKwkEAh1dXWyNG40AVddXf3ixYtG++nbty/29wm0ijNnzixfvpzL5fL5fCnTD0kkEh6PDw0NxQrnAQA6EQMDg4SEhPrHg4KC7O3ttbS0fvnll5b037dvX7lvDwEAABgWi4Vt1gMAAHL0888/BwQESF/xB9qUra1tWloalUp1cnKSfeOshpiZmYWEhCxYsMDU1HTMmDEDBgxQUlIqKSnJyMgQtvHw8BgzZsz27duzs7Otra25XO7jx48NDQ337NmDEFq9enVMTMzo0aOXLVumrq6emJiYmpp6/PhxYcWGJlFXVw8KCgoICLC1tR0zZgyFQsnOzs7NzcWqz9NotP79+8fFxZmZmXG5XD8/P1n6dHR0PHHiRGBg4KBBg5KTk0U/mhxBAu5fLBaLSCTKmIDDdg2T4tq1axQKBSsR0hAikTh37twmhAgaduPGjQULFpSVlUnf7g0hpKKi4uvre/DgwfYJDADQ6iTuf6GhofHmzRsqlerv76+iotL+UQEAQKuDGXAAALmrqKg4cuRIy5M+oCXs7OxiYmLu379PpVK/fv3avXv3Fnbo5+dnYWGxZ8+eu3fvJiYm4nC47t27m5ube3h4YA1wONz58+e3b98eFRWVmJhIJpPNzMwWLlyInVVVVX3w4MGaNWv2799fWVk5YMCA2NhYb2/vZsezevVqbW3tffv2bd++HSHUp08f0URbeHi4v7//4sWLdXV1582bJ0uHv//+O5vNDg0N5fP57u7ut27dat7svNaFg+f8Qjk5OVZWVo2mbzADBgyQPsHN29s7Pj5eeicMBuP69evDhg1rQpSgnqdPn86fPz8vL6/Rkhd0Ot3ExCQ+Pr55S9MBAB0Bj8cjkUgSC0x//vx51apVOBwuOjq6/QMDAIBW16tXr6SkJCMjI3kHAgD4cUVFRd28eTMyMlLegfzoCAQCh8M5ffr0unXrSktL5R0OaA7YA+5fLBaroaoc9TU6A+7GjRuNdsJmsyH71hIfP350d3cfOXLk06dPpWffyGSyiopKeHj4P//8A9k3ADo1PB6vp6dXUFBQ/5S2tvaECRPev3+fnp7e/oEBAECrgxlwAAC5CwsLmz9/vryjAEhfXz8yMtLf3z84ONjT01Pe4YDmgATcv1gslizFdDHS1zYLNyOUbujQoTIOB8RUV1fPnTu3X79+N2/ebHTim7Ky8sKFC1ksFvycAqBr6N27d0Nbxk6dOtXV1XXBggV79+5t56gAAKDVwR5wAAD5ev36dWFhoYODg7wDAcjX1xd7Au3n52dnZ+fs7CzviECTwR5w/2KxWLIvyJWegLt8+TKHw5Heg6KiIlZYBDTV2rVrsa0fJa5BE8VgMPr16xcfH6+vr98uoQEA2kOvXr3y8vIa+tqxceNGZ2fn48ePOzs77969u1WKtQMgnaGhoa+vr7yjAF1NbW2tQCCAXc8BAHK0ZMmSvn37yjsKgBBCjo6OGzZswF6vXLmSyWT6+vqePHlSvlGBJoEE3L9YLJZo1VvppJcuTUhIaLSYg5KSEiStm+r06dNr1qxhMpmNpt6UlJQIBMKJEycmTZrUPrEBANqNlBlwGDs7u/79+0+aNMnFxcXJycnc3LzdYgM/JiKRKO8QQBcE608BAHJXXl4Oqwo6CAcHB9FCZJs3b547d667u7uDg8PatWvlGBiQHSTg/tVaCTg2m/3mzZtGe6iurrayspI1uB9eXl7e5MmT8/PzpReWRQjhcDgKhbJw4UJslhwAoOvp1atXo7u8qaqqYpXLv3//3q1bt3aJCwAAWhOsPwUAyNfHjx9LS0vhQWbH4ejoePfuXUdHR+ztqVOnAgMDIyIiLl26lJaWhsfj5RseaBTsAfevioqKRqetCSkrKzd06tq1a42WaEAIWVtbyxrZD+/nn382MzPLzMxsNPvGYDBsbW3fvHkD2TcAurBGZ8CJguwbAKCTghlwAAD5SkxMHD9+vLyjAP/CEnCiR3bt2hUSEjJ+/Hhzc/O3b9/KKzAgI0jA/UuWhY1CUhJw8fHxLBZL+uUkEgk2gJPF5cuXaTTaH3/8wWazpbdUUlKi0+mnT5++f/++rq5u+4QHAJALbA84eUcBAABtC2bAAQDkCxJwHQ2LxYqLixM76OTk9Ouvv/bv33/cuHEeHh4yFoQEcgEJuH99//5d9sYqKioNnbp161ajl5PJZNgATrrv37+PGDFi1qxZLBarurpaSktszemyZcvKy8vhNwQAPwJlZWUVFZXi4mJ5BwIAAG0IZsABAOSosrLyzp076urq8g4E/GvcuHESq0ESCIS4uLjg4GBTU1MHB4cTJ060f2xAFrAH3L9kT8ApKCg0tAfckydPZFl6XVtba2Zm1oTgfjBBQUE7duyoqalptC5tt27dBgwYEB8fr62t3T6xAQA6AmwVqqamprwDAQCAtgIz4AAAclRVVYXD4eA/rR2Kk5NTVlYWl8slECRkcsaPHz9+/HhnZ+fNmzf7+fmpq6sbGRmpqqra2tp++fJFTU2t/QPu+Nq5kD0k4P5VXl4uY0sCgdDQLm+JiYmVlZWN9mBjY9OEyH4k9+/fnzp1akVFhfRZbwghIpGIx+MjIyM9PDzaJzYAQMehpqZ29+5dOzs7eQcCAABtBWbAAQDk6OnTpyNGjJB3FECci4tLUlKSu7t7Qw1cXV1dXV3Ly8uTkpLKy8uvXLmSl5eHw+F0dHTaM87Oop0L2UMC7l+NbvAvhMfjG0rAnT17ttGN5MhkMqyUrE8gEHh6et6+fVuWTCiDwXB2dj537lw7BAYA6ID69Olz5cqVdevWyTsQAABoK5CAAwDI0Z07d5ycnOQdBRDXaAIOw2AwpkyZghCaP39+u8QFZAJ7wP1L9gScgoKCxARcWVlZfn5+o5crKirCwwQxISEhCgoKly9fbjT7RiAQCATCmTNnIPsGwI9s9uzZjdZmAaCtpaen43C48PDwhhqEhISoqqrm5OS0Z1RyGRS0BViCCgCQo5SUFPhPaweEJeD+j707j4fq+x8Hfq5ZrIOxJaRE2UUppCzJUmkjUWmVov3dpqK006btXaFVi0Si7a0NpWhR0YYWJEtkZ+zL/P64feczv7GNMYz0ev7xfpgz59z7unfmnfGac86L11EADkEC7n/Y/1uurQRcVFQUOzMYm5qatLW1Oxdc35WSkqKuru7h4YEQ6nDyoLi4uJWVVUNDA9SQBeAvp66unpqayusowN8iJibGzs5OVlaWn59fUVFxwoQJ4eHh7Aysr6+vra1lv8Y6V/DkpKA7vH79mp1vdgEAgOvq6uqSkpIMDQ15HQhgpaWl9eXLl69fv/I6EMAJSMD9T3V1NZs9MQxrNQF37do1drJ4sGkRw5IlS4yMjNLS0iorK9vvycfHhxAKCAi4e/duj4QGAOjViETiwIED09PTeR0I6Ps2btxoYWERFxc3ZcqULVu2WFtbp6WlvXv3jp2xq1evptFoGhoa3R0kz08KuoO4uPiAAQN4HQUA4G904sSJ4cOH8zoK0LqpU6c+ePCA11EATsAecP/TqQRcq9V/Hz161OFYQUFBmL2FEAoNDZ0/fz6dTq+rq+uws5iY2PDhw2NiYnogMADAnwKfBKesrMzrQEBfdvny5QMHDpiamkZGRoqLi+ONdDq9oaGBzSOwUxud63hyUsB1QkJCioqKvI4CAPA3CgoKmjdvHq+jAK1zcnK6cuXK8uXLeR0I6DSYAfc/7GSCcK3OgHvx4gU7609JJNK4ceM6HVwf8vPnTyMjoyVLltTW1nZ4zzEMIxKJR44cgewbAIAFrEIF3Y1Op+/cuVNEROTatWuM7BtCCMMwMpnM3G3Dhg2ysrKioqJjx459+fIl4ylfX18Mw759+4Y/FBAQOHPmTExMjKamJh8fX3R0NEJIX19fX1+f+bxmZmYqKiqMh62OKi4uXrJkiaKiIh8fH/Z/8N2yWU6KEIqJiTE3N6dQKKKioqNGjXr69ClCKCcnZ926dcrKymQymUqlzp49Oycnh5u3D3QZjUYTERHhdRQAgL/Rt2/f3N3deR0FaN3kyZNv377N6ygAJ2AG3G/19fV0Op39/i0TcLdu3aqqqmJnrLq6eici61u2bNly9OhRNicbioqKampqRkdHtzrfEADwl1NTU4uPj+d1FKAvS0tL+/r167x58/r169dON19f3379+nl6etbW1vr6+lpaWmZmZkpKSrbaOS4uzsPDw97eftasWVpaWmxG0nLU3LlzX716dfLkyQEDBhw4cCAiIuLgwYNWVlYtx+K10gYMGODu7k6hUBISEiQkJBBCxcXFkZGRM2bMUFVV/fbt28GDB3/+/BkbG8tmSKAHQAIOAMATnz59UlJSEhIS4nUgoHX4nJ779+9bW1vzOhbQOZCA+62yspJEIjU1NbHTmU6nt0zAXb9+nZ0Nj8eOHctJfH++6OhoJyen6upqNrNv/Pz8vr6+8MULAKAt6urq7VSfBKDr8CmWo0aNar8bgUCIjY3l5+dHCElISCxevDgmJsbBwaHVzpcuXbp79+7EiRM7FQnLqPLy8qioKC8vr5kzZyKEAgICIiIi6uvrWy3xtGHDBiqV+ubNGzzvxjBs2DDmXRSLi4sDAwMLCwulpaU7FRvoPpCAAwDwxMuXLw0MDHgdBWiPlZXVwYMHe3kCLikpyczM7OTJk3PmzOF1LL0FLEH9rbKykkhkNx3ZMgFXWFjIzsINISGhyZMncxLfn6y2tnbSpEkzZswoKipiJ/smKiqqp6f38+dPyL4BANqhpqaWlpbG6yhAX1ZRUYEQYl582ip7e3s8+4YQ0tPTQwjl5+e31VlbW7uz2beWo8rKyhBCoqKi+EM8R4NHy+Lnz5/v3r2bOXMmS/atJbxoQ0lJSWdjA90HEnAAAJ549epVh18+Ad5auHBhSkrKq1evOBu+fft2DMP4+PhaJjHodLqioiKGYTY2Nl0MsrGxsaamhv2dvv4GkID7rbKyEq+zyY7m5maWRZF3795lZ8NjAoHwt20A5+fnR6FQ7t+/j/+10CEhIaFt27a9ffuWSqV2d2wAgD8alUolEontZDoA6CI899FhfXN5eXnGz/jecO1MqFdVVeUgEpZRioqKGhoaly5d+vHjR0NDg5+fH0LI0tKy5cAfP34ghJSUlFo+RaPRvLy8hg0bJikpKSIisnHjRoRQp7bjAN2tsrKSQqHwOgoAwF8HEnC9H5VKXbt2bWhoKMdHEBISotPp165dY2l/9uxZdna2sLBw1wJECKGRI0fW1NQsWrSo64fqMyAB91tlZSWGYWx2bm5uZpkBFxoa2uEHdIQQgUAYMmQIJ/H9gT59+jR48GBvb+/GxkZ21vaKiIioq6t/+/Zt3bp1PRAeAKAPwDCsK588AGgfXmP348eP7XdruStFOxhz5dpRU1PT/igMw8LCwmprawcOHMjPz79v375Dhw61+g0fnlBr9aT29va+vr52dnYPHz5MTk5eu3Yt+1cBegbMgAMA9LyGhoaPHz/iE7pBb+bg4BAWFsbxcBEREQMDg5CQEJb2kJAQbW1tOTm5rkX3G5RlZwEJuN8qKyvZ79zU1MTyaZvNGp2mpqadC+uPtXnz5nHjxmVmZrKTl0QI4d+9p6Sk9O/fv7tjAwD0GXPmzGFz704AOKCtrS0tLX39+nU2dy/lDIFAqK+vZ27Jzs7ucFR1dXVBQcHt27ezsrLKy8vbSp8pKCgghL5//87SnpeX9+DBgzlz5nh7ew8fPlxFRaW5uZnDCwDdBhJwAICeFx8fTyKReB0F6JiioqKsrCzHq1ArKiqcnJxev37NvCFsU1NTWFjYrFmzmD/50Gg0DMPWr1/PPBzDsMWLF+M/NzY2btu2TVlZWVBQUFFR0cHBAd9F98WLFxiGMW/ZnJGR4eTkJC0tLSgoqKam5u/vjxCqrq728/MbPny4gICAkJCQiYnJ8+fPObuo3g8ScL9VVFSwv+yCJQH37Nkzdsp0CgsL/w0bwGVnZ6uoqBw/fvzXr1/s9BcWFlZSUnr//v3WrVu7OzYAQB8zbNiwd+/e8ToK0GcRicQVK1bk5+e7urqy5Mi4SEZGJiMjg/FF4OPHj3/+/NnhqMDAwKFDh9ra2g4YMKCdKfwKCgpaWlphYWHl5eXM7fhnHsb2do2Njf/99x/n1wC6ByTgAAA9r6GhQVNTk9dRALZQqdQLFy5wNra2ttbBwYGPj495Elx0dHRhYaGTk1NDQwP7h9qxY8fevXsdHR1PnTq1cOHC9PT0Vn955efnGxkZ3b5928HBwdvbW18Or1zWAAAgAElEQVRfH5+hTyAQzpw5o6+vf+TIkT179nz79m3y5Ml9dVNaqIL6W2VlJfvTKBobG5kTcJGRkexMoMMwrM9vAHfgwIEdO3ZUVVWx2V9UVHT58uV79+7t1qgAAH2Vjo7O0aNHeR0F6Ms2bdr0/Pnz4ODghISEqVOn9uvXr7i4+P3794sXL8YrkHbdnDlz7ty5M3ny5Dlz5uTl5Z04cWLw4MEdjlJSUgoKClq3bp20tDQfH5+UlNSUKVOkpKRa9ty3b5+tra2+vv6sWbP4+fmTk5Pnz59va2uroqJy4cIFFRUVYWHhoKAg9ktRgZ5RV1dHIBDgdQEA9LD09PQRI0bwOgrAFlNT06dPn3I8XFZWduzYsSEhIZ6ennhLSEiIgYGBkpJSp5aYPHnyRF9fn/FH/Y4dO1rt5uvr++vXrydPnpiYmDC38/Pzp6SkMEc1e/bs6OjotgrK/9Hgl/pvlZWVjY2NbHZm+TB048YNdt6g/Pz8re6C3DdUVFSYmpqmp6ezmX0TFBSkUqmPHj1SV1fv7tgAAH2Vjo7O+/fveR0F6MvIZPKdO3cCAwMvXbp0/vx5Go0mLi4+bNgwfHs4rnB0dPzx40dAQMDKlSuVlZUDAgISExPb39yQTqfLysrS6XS8/AJOTEzs+fPnLX+rTpw4MSoqateuXQcPHmxubtbT0xs0aBBCKDw8fNmyZR4eHqKioosWLVq6dCneDnoJmP4GAOCJ5ORk2ADuT+Hm5nbgwAGOh9PpdCcnJ3d395SUFA0Njfr6+oiIiO3bt3f2OFpaWmfOnLly5crs2bPbmZUfFRWloaHBkn1rqY+XZacDOp1Op+/cuZP9IgyCgoKMgXl5eUJCQuyMmjFjBg8vsFsFBgbidd/YJCYmtnr1al5HDQDoCzQ1NT9+/MjrKADoUatWrZKVlU1MTGxsbKTT6fX19QkJCUQice3atbwODXBNZmbmoEGDeB0FAOCvY2ho+Pz5c15HAdg1bdq0iIiIzo7y9vZGCDU0NBQWFhKJRC8vLzqdHhkZycfHl5eXR6fTJSUlra2t8c74ar9169YxHwEh5OLigv9cUlJiY2ODEFJVVT19+nRDQwPejm/ldvr0afyhgIDApEmTWo0nMDBwzJgxMjIyIiIi+O5ep06d6uxF/RFgD7jfSktL6WzvAce8LeXdu3fZGSIiItJXN4AzMzPbsGEDm5vjCAgISElJRUdHHzlypLsDAwD8DWASHPgL3b5929zcXF9fHy8uRiKRtLS0CAQC1BrrS2AGHACAJ5KTk3V1dXkdBWDXwoULfXx8OrVlGzMpKSkLC4tr164hhEJCQkxNTdkpishSrp1KpUZFRT158kRJScnV1dXY2LjVSox0Or3Vsuw+Pj5LliyRl5e/fv3627dvg4ODObuWPwIk4H4rLi5mvzPzbK9r166xUxyNTqebmZlxEFhvFh4ejmHY06dPWbZ2bkv//v3nzZtXWFgI2woAALilswm4b9++YRiGYZikpGRbOw+4u7vjfTiYhN+Srq4uhmE5OTn4w6ysLFlZWfa3D9uwYQOVSn358mXXI+k9DA0NhwwZwtg+1d/fH8MwLy+vtjoAFkZGRrdu3fLz84uOjr53796JEyeMjY1JJNKiRYt4HRrgGkjAAQB63pcvXxQVFZm3Owe93JQpUxoaGvAZbZxxcnL6+vVrXFzcrVu3Zs2a1bID/vUe84SbVsu1m5iYREVFXbp06dWrV8yVTxkUFBRalmVHCJ0/f15JSSk4OHjs2LFDhgxpNUnXZ0AC7reysjL2OzMn4J48ecLOEGFhYUVFxU6H1YtNnToVLzzc3NzcYWcMwwgEQkREREBAQPeHBgD4i6ioqHBWqrykpOTRo0ct25uamm7cuNHluNqUmZlZUFDAfsyJiYllZWUfP37svpB6WH19/du3b799+9ZWsewOOwB/f39XV9d///3X1tZ22rRphw4dGjFiRGJiopqaGq9DA1wDCTgAQM+D6W9/otjY2JMnT3I8fPr06fz8/K6urg0NDfb29i07CAoKUiiU5ORkRgvLJDXmpYRTpkxBCLVaz33ChAlJSUlv3rxhaafT6RQKhY/vd27q5s2bnF7KHwCKMPzG5hwuHOM7gcePHwsLC7OTvOtL9U8fPXpka2vb1NTEZtkKERERVVXV169fd3dgAIC/kJaWFgcJuDFjxjx79iwsLAzfsYLZ48ePf/36ZWJiEhcXx6UY/z+mpqbnz5/X1NRks/+pU6fi4uKcnZ27IxieIJPJd+/eraysbKuOQYcdAIVCOXz48OHDh3kdCOhGkIADAPS858+fs/8RBfQSYmJi06ZNCwoKmj9/PmfDbWxsbt68OWnSJAkJiVb7zJ49OyAgYPHixUZGRklJSTdv3mT+DaWnp2dtba2trV1XV3f16lUikTh9+vSWB/Hw8Lh69aq5ubmLi0v//v3T09Pl5OS8vb1NTU3Pnj3r4eGho6MTHR2dlJTEwVX8KWAG3G8VFRXsd2bMioyIiGBnIIVCsbW15TCyXmb+/PkODg51dXVsZt+oVOqyZcsg+wYA6CZqamoKCgoZGRmdGqWjoyMtLR0ZGdlyy4zQ0FACgWBtbc2tCFkq/GAYtmDBgpEjR7I5XF1dfenSpX1sNr6lpaWdnV1XOgDQ50ECDgDQ806fPq2qqsrrKECnSUlJ+fj4cDzcycmJ8d9W7du3b/HixXfv3l2xYkVKSsq9e/e0tbUZz1paWl67dm3hwoXr16+vq6u7ffu2oaFhy4MoKCgkJCRYWloGBQV5eno+fvwYL3i6f/9+R0fHgIAAd3f3qqqqBw8eqKiocHwtvRwk4H7r1EYzjBlwr1+/ZmcBZlNTk7m5OYeR9RqJiYkSEhLXrl1jc7ku/jdneHj4vn37ujk0AMBfzcDAoLNbpNXW1trZ2bVchYqvPzU1NRUWFm45ik6nBwQE6OrqCgoKSklJOTo6pqamsvSJjY01MTERFhamUqmWlpYxMTEslbLT0tIwDBszZgxzI41G8/b21tTUFBQUlJSUNDAwYOyd4eTkhGEYc5yysrLjxo0rKipatmyZvLw8mUxWUlLasmULSzGc2NhYJycneXl5EokkLi5uYWERExPT/m3pcMiYMWMwDEtLS2Nu3L59O4Zh//77L0Kovr5eS0sLwzDm5QNlZWUyMjIkEonxlaasrCyGYe18kdOyAweXA8AfrbKykkKh8DoKAMBfpLq6mk6nOzo68joQ0Gnz58+vr69nf++O7du30+l0IvH3gkgnJyc6nc683qKoqOjevXuMh2JiYqdPn/7582dNTU1MTIympmZCQgLjw+qBAwe+f//e0NBQWlr69OlTxvoSQ0NDOp2O71uFGzp0aHh4eElJSUNDw+fPnx0cHBBCEhISISEhZWVlFRUV165dk5aW/vr1q5ubWxfuR+8FCbjfqqqq2O+MV8bNz89nXgjdDjExMTk5OQ4j6x3WrFljaWlZWlpaV1fHTn9hYWFNTc3m5uY+kHkEAPRyHCTgampq8DIIYWFhzO0xMTFFRUWOjo6t/lvn7u7u5uZWUlIyadKkIUOGhIaGGhgYMP8iiIqKsrS0jI+PNzAwsLGxSU9Pt7S0ZElXtVRVVTV27NidO3fm5OQYGBioqKi8e/cuNze3nSHJyclmZmZJSUmrVq3atm0bkUj08fFxd3dndKiurnZwcLhx44aysrKDg4OqqmpMTIyNjc3bt2/bOiYHQ1oik8mnT5/m4+P7559/amtr8cZt27YVFhauXbtWT0+P/UNxPTYA/iwwAw4A0MNSUlLwGUngj6OtrT1y5MjHjx/zOhDQAUjA/cZOJVMGfAZcdHQ0Y6fA9o0fP57DsHqBr1+/DhgwIDAwkP1t8sTFxV1cXD58+MCy8AoAALqDoaHhixcvOjWkoaHB1NRURkaGZRVqaGgokUi0s7NrObv50aNHAQEBNjY2X758uX79+vPnz0+cOFFZWbl8+XK8Q3Nz87Jly5qamoKDg2NiYq5evfr58+fp06eXlJS0H4y3t3dycrKtrW1ubu7jx49fvnxZXFy8evXqdoaUlpbKycnFx8d7eHh4eXnFx8cLCQldvHiRMUNZSEgoLCwsKysrLi4uODj45cuXa9asaWhoaKcSDgdDWmVkZLRs2bLMzMz9+/cjhD58+HDy5EkVFZWulJTlVmwA/EEgAQcA6GGQgPujLVmyZNWqVbyOAnQAijD8xviinh340qSbN2/SaLQOO4uKik6aNInzyHhq+/btfn5+nVqfSyQSg4ODJ0yY0H1RAQAAMw5mwNHpdAKBYGdn5+/v//Dhw4kTJyKEGhsbIyIizM3NpaSkWg7x9/dHCPn4+DB2IXBzc9uyZUtCQkJeXh6eDvv+/fuIESMYazdIJJKPj094eHg7kTQ1NZ05c4ZAIAQGBjL+2G51ASyLdevWMb4EkpGRMTAwiI2NTUlJGT16NN7IMgHZ2dn5yJEjnz9/bueYHAxp1d69e2/evOnr6zt//vxVq1Y1NTUFBgbik8c5xq3Y2vH27duQkJA+tt1eFykpKS1atIjXUfylaDSavLw8r6MAAPxFUlNT1dXVeR0F4JCFhYWmpubevXu3bNnC61hAmyAB9xubKytx+IY+0dHR7HTm4+OzsLDgMCzeKSgoMDc3z87OZifJiBMUFFRQUHj//j3jr1MAAOgZeA7OwMCgU6McHBz8/f3DwsLwBFx0dHRxcXFbW588e/YMIXTixAkCgcBoxOf5ZmRkyMnJ4cshTUxMmEcNGTJETEysnRnEqamp5eXlw4YN69+/f6eC19XVZX4oLS2N2tjPtLq6ur6+Hs9/sfltEwdDmFEolJMnT06ePNnCwiI9Pd3FxYWL2xF0MTYWMTEx6enpu3btys7OxjCMTCZv3ryZ+SX+y5FIJF6H8PeCGXAAgB6WkpLi4uLC6ygA5/Aleps3b4aFaL0WJOAQQqiyspJMJrNsX90OISGhr1+/tqyd1yp8r+4uRMcDx44d27RpU01NDftDqFTqjBkzAgMDuy8qAABoS11d3b179zqbgGOsQg0MDCSRSKGhoSQSqdW66U1NTQUFBQghxnazzPA0EL7xbcs8mrS0dDsJOPywHOwTSqVSmR/in7TodDqjJSoqys/P78WLF+x/j8LBkLbY2tra29uHh4dLSUkdPHiwi0fjbmy4c+fObd26ta6ubsCAAa6urmvXrhUUFGRzZwkAegAk4AAAPQxmwPUBc+fO1dLS+vTpE68DAa2DBBxCCFVWVhKJRPYTcMLCwg8fPmynfBszltkQvVxtba2pqWlaWlqnsm/8/Pxnzpyxs7PrvsAAAKAd+vr6FRUVnR3FWIX64MEDKyuryMjI8ePHS0hItOyJbwnHz8/f4ZSrll85tj8pGE+ZcfBFZfupoitXrjg7O4uLi69Zs2bkyJGioqK5ubnMxa24MgTX6j2pr6/HS8SWlZVlZWWJi4t3eJzuiK1V5eXl8+fPz8vLu3z5spqaWmfnHgLQM6AKKgCgJzU2NmZmZg4ZMoTXgYAuCQoKmjNnzoULFxYsWMDrWEArIAGHEEIVFRWdWm8iIiISERHBTopKWFjYysqqC6H1qIsXL7q4uDQ1NTHPoWifgICAjIzMu3fvuvjHFQAAdMXUqVM5249/5syZ+CpUPj6+kpISvDRqSyQSSUpKqqioKD8/X1ZWttU++CLQlgXgCwsL2wlARkYGIfTz508Ogm/Hrl27EEIhISHW1tZ4S4dlu9kZgicKWSpUtFrzfu/evSkpKTNnzgwPD1+8ePGLFy+6sq6Tg8tpy927d9++fXv//v1Ofc8EQM+DGXAAgJ4EFRj6jCtXrsjLy0tISEyZMoXXsQBWsNQCIYQqKyvZX3WCYZiQkBC+GVCH+Pj4xowZ04XQeo6VldWqVasaGxvZz76Ji4s7ODh0fWoDAAB0kYWFBZv7crIwMTHp16/fzZs3L1++TCaTp02b1lbPsWPHIoRu377dVofhw4cjhBISEpgbP3/+jC8ybYuGhgaFQvnw4UOraSyOZWRkoP9/CnaHGSt2huDzcfLy8pgbExMTWbqlpqb6+PgMGjTowoULbm5ur1+/Pnr0aKevoZOxsePUqVNbt259+vQpZN9A7wcJOABAT3r9+rWioiKvowDckZubu3nz5hEjRrS6dwrgIZgBhxBClZWV7C//IRKJxcXF7CxEQgjR6fShQ4d2Lbpul5CQMG/evO/fvzc1NbE/SlBQ8MSJE7Nnz+6+wAAAgE2CgoK6urrPnz83MjLq1EB8FeqpU6eCg4NtbW3b+TphxYoVERERW7duNTAw0NHRwRuLiooSEhLwLxiNjY0VFRXj4+Nv3bqFt9TX13t6erYfAJFIXLRo0dGjR93c3C5fvowX+amrq8vKyurKrw8ZGZnc3NykpCS8KGpeXt7OnTu7PkRHRycqKur06dPjxo3Dv7g6ffr0x48fmfvQ6fTFixfX19cfOXJEUFBw165d165d27Ztm52d3aBBg3rsclpKT0+/fv26mZmZn58fZ2EA0JMgAQcA6EmRkZGwc39f8unTp/Hjx9+9e3fXrl2urq5FRUV/+rYGfaMyO8yAQwihyspKlgU17SAQCBkZGWx+ea6vr9+FuHqCt7f3pEmT0tPT2c++8fPz9+/fPzMzE7JvAIDeg+NJcA4ODvgPba0/xY0bN27Tpk0FBQV6enr6+vqWlpZ46dJjx47hHQgEAl4jdfr06ZaWlrNnz9bU1MzKypo6dWr7AezatUtbWzsiIkJBQcHc3HzEiBFSUlJdTBItX74cITRx4sR58+bZ29urq6sbGxu3ur1dp4a4uroKCgqGhobq6OjMmjXLwMDA3d197ty5zH1OnjyZkJAwceJE/MKpVKqPj09VVdXSpUt78nJY0Gi0w4cPjx07FrJv4E8BCTgAQE8SFRVtqxA8+EM9evTIx8fHzc3t8+fP+fn5/H+4vlGZHWbAIdT5BNyHDx/YqdhAJpNtbGy6Flr3MjY2fv/+facqyomLi48fPz4sLKz7ogIAAA4MHjz4wIEDXl5enR1oamrar1+/srKyDjNlPj4+hoaGx44de/PmTVVVlbS09OTJk5ctW8boYGtre//+fW9v7/j4eBERkWnTpu3fv3///v03b95s57AUCiU+Pt7Hxyc8PDwhIYFAIAwbNqydxbDs2LBhQ2Nj47lz50JDQ+Xl5Tds2LB582ZbW9vS0tKuDFFWVn706JGXl1diYmJmZqa+vv7Dhw8FBQUvXbqEd8jJydm8eTM/Pz/zmlMXF5fAwMAHDx5cvHhx3rx5PXM5zAICAi5evDh16tSNGzdycHYAeAIScACAnvT169dVq1bxOgrAZWpqaps3b+Z1FOB/MPY3/OrDTp06tXbtWnaWlCKEKBQKjUZj576JiYlFRUV1dj1Uz0hKSjIyMmpoaGA/84gQEhEROXLkiIuLS/cFBgAAHOPj42tsbGR/T0/wN4iIiDh48GB8fHx3HNzGxubjx485OTndcfA/yOzZs5OTkz99+gTLl7iIRCLV1NQQifBlOQCgJ1Cp1MzMTNjaG4BuBX+lIIRQRUVFQ0MDm52bmpoEBQXZPGzvzL75+vqam5vX1dWxn30jk8mSkpIfP36E7BsAoNdydHQMDQ3ldRSgF0lNTXVycupK9s3MzAzDMAzDCAQCPueRzSpMfdiPHz/OnTvH3FJTU1NTUwPf6XJRXV0dgUCA7BsAoGcUFRURiUTIvgHQ3SABhxBC5eXl7O+A1tTUxOZcOU1NzS4E1V3GjRvn4+NTXl7O/hAxMTFra+uioqKBAwd2X2AAANBFjo6O165d43UUoBeZPHnymzdvungQcXHx48eP79+/397ePjY21szM7NGjR1wJ7w919epVlgRcREREeno6TD7lIlh/CgDoSV++fOn9lQMB6APgizWEEOrUMkw2l23y8fFZWVl1ISjuS0lJMTAwqKmp6VS1UwqFsnv37hUrVnRfYAAAwBXTpk2bOXNmQ0ND39ilFXTRyJEjzc3NtbS0ungcYWFhxi9Bd3d3fX39nTt3jh8/vssB/pHq6+vDw8PJZDJLO2TfuCs9Pb26uprXUQAA/haQgAOgZ8CnJYQQyszMZL8zm9k6CoVibm7OaUTcd/ToUSMjIxqNxn72jUgkioqKJiYmQvYNAPCnUFZWPnDgAK+jALx3//59SUnJ06dPc/eww4YN09LSSk5OZrSQyeSEhAQjIyNBQcFBgwZt2rSprq6O8WxYWNj48ePFxMTIZLK6unpQUBDjKV9fXwUFhbq6usWLF4uKio4dOxYh9OLFCwzD3r59u3r1amlpaWFhYTMzs0+fPn348MHGxkZEREROTs7d3Z25elI7p0AIZWRkODk5SUtLCwoKqqmp+fv74+3V1dX//PNP//79BQQEDA0NY2NjGUPWr1+PYRjzKbZv345hWE5OTk5OjoaGRmJiYnx8PL4y9/Xr1wghGxsbBQUF5vP6+/vr6OgICAhIS0vb2NgUFBQghBITE2fPnt2vXz8SiSQnJ7dlyxbmewWYEYlEeXl5XkcBAPhbfP36dciQIbyOAoC+DxJwCCFUUVHBfmc2v+Otra01NjbmNCIumzhxore3d6cuk0qlmpmZlZeXq6qqdl9gAADAXbt3775z5w6vowC8N2HCBBUVle44MplMZv4kUFlZOW3atLFjxx4/flxPT2/fvn07duxgPHv9+nVRUdFt27adPHlSVFR0wYIFjx8/ZjxbUFCwfPnypKSkf/75Z/ny5Yz2OXPm1NXV/ffffwEBAW/fvp0yZYqNjc24ceMePny4Zs0af39/Dw8Pdk6Rn59vZGR0+/ZtBwcHb29vfX19fn5+/Cl7e/szZ84sXbrUz8+vvr7eysoqISGhw2sXFxe/cOGCoqKitrb206dPnz59qqam1rLbtm3b3N3dRURENm/evHjxYjqdLiUlhRB6/vx5ZmbmihUrAgMDzczMfHx8fH192brpf5/GxkYJCQleRwEA+FvADDgAeggd0OmjR4/m+o2Vl5fn9WXR6XT6t2/fqFRqZ1djUanUw4cP8zp2AADgxIgRI16/fs3rKAAv5eXlCQoKVldXd/1QpqamzL/Q8/PzhYWFzc3N8YfW1tYIobNnz+IPGxsbBw4cqKGh0eqhcnNzEULLli3DH/r4+CCEjI2N6+vrGX2eP3+OEDIyMmK04JPQN2zYwGgxNDQcMGAAO6dYvXo1QujJkycs3f777z+EUEhICP6wpKREWFh4woQJ+MN169YhhCorKxn9vb29EULZ2dn4Q1VVVWNjY+YDWltbM+5Sfn4+mUweP358Y2Njq0HimpubVVVV27pXICYmhvE2AwCA7jZs2LDk5GReRwH+XviHoq9fv7bVYdasWerq6s3NzT0ZVXeAGXAIIVRZWcn1Y5qamnL9mJ0VEBCgq6tbWlrKfo1XhJCwsPDly5fXrFnTfYEBAED32bJly6pVq9islgP6nl+/frm6uh49epTNkuUdampqysnJSUtLi4iImDBhQlVV1caNGxnPYhg2a9Ys/GcCgaCjo5Ofn9/qceTk5MTFxUtKSpgb165d2/JLMhsbG8bPysrKCCFLS0vmFnxFZ4eniIqK0tDQMDExYel2+/ZtPj4+ExOTsrKysrIyDMM0NDS4Vd01Jiamvr5+yZIlBAKhnW4Yhqmrq7PcDcBQVVUlLCzM6ygAAH+Lz58/wxLUP1F+fv7mzZt1dXVFRUWFhYWHDh06d+7cp0+f8jou7usz9dahCANCCFVVVXH3gCIiIjyvwGBnZxcTE8O8h0uHMAwjk8mpqakDBgzovsAAAKBb2dnZ3blzR1lZGZ8NBP42RkZGkyZNcnV15dYB8/PzGb8WFRQUrl27xpwgk5CQYM70kclk5r1WY2Nj/fz8kpOTy8vLm5ubq6qqWD47trrPg7S0NONnIpGIEJKUlGRuYfMUP378sLCwaHn8zMzM5uZmOTk5lvampqb2s2bs+PHjB0JISUmp5VMZGRm7du169uxZYWFhY2NjbW0tvjQVtAQJOABAj3n37l1zc7OQkBCvAwGdEx0dPWPGjMrKSmtr68mTJ/Px8X358uXevXsWFhb4xrJ9SURERHNzcx+o+AQJOIQQ4nqdKQzDeLgBXF5enp6eXllZWX19Pfuj+Pn5Bw4c+Pnz5+4LDAAAesa5c+eCgoIcHBzCwsJ4HQvoUceOHbO1tT169CgXjykpKXnhwgUymSwnJ6epqYlhGPOzAgICbQ18+vSppaWlrq7uyZMnhw4dSiAQhg0bxtKHsSkbs5Zz4tr6xNn+Keh0eqvHp9PpAgICUVFRbJ6lpqam1fZW4em/luctLS3Fd/zYvXu3oaGhgIDAkiVLUlJS2D/yX4VGo4mIiPA6CgDAX4FGo40cOZLXUYDOycrKsrOzExISio6OHj58OKO9sbGR5YNKn9EHsm8IEnC4Tn2yZAeGYd2093OHLl686O7u3tmUoqioqLm5eWRkZDdFBQAAPWzChAkLFiwwNzfX19dvJ0UC+pJXr15FR0c3NjZy97ACAgK2trYcDLx06VJTU1NYWBg+Hay6uprrnzfaP4WCgsL3799bjho4cGBtba26unq/fv1aPotPgmP+Di87O5v9kPByqN+/f9fW1mZuj4qKKigoOHfu3MKFC/EWrq8/6EtgBhwAoMdkZma2Om0Z9Ga+vr4VFRUhISHM2Tf0fxPnGaqrqz09PUNCQkpLS3V1dX18fMzNzRnPZmVlbd68+cGDBzQaTVtb29PTc9q0aYxnb926tWvXrtTUVObf17GxsWZmZjY2NlJSUnv27HFxcYmLi/Pw8Ni1a1dOTs7hw4cjIyOzs7PxvWX379/PKJIuICCwcePGwsLCyMjIkpISdXX1vXv3Tpw4kTnawsLCTZs2PXjwQFBQ0MzM7PDhw4zZ+jY2Nh8/fszJycEvyt/f//LlyykpKXx8fPr6+vv27TMyMuLOne1mkIBDCCGubxU0atQo7qji26IAACAASURBVB6QTbNnz46Kiups9o1Kpa5cuZK5ahsAAPzpZGRk6HT6jh073r17x/LRBPRVAwYMEBERyc3NlZeX53UsCP3fXDBxcXH84a1bt7i+d0n7p5gwYcKJEyfevHkzYsQI5lFTpkwJDAw8fvz47t27GY2MlR0yMjIIoeTk5HHjxiGEysvL8aINDEJCQmVlZW2FZGFhQSKRzp07Z2try/wlPEuo379/f//+vZiYGGcX3udBAg4A0GMyMjIGDx7M6yhA50RGRg4ePHjChAntd7O3t3/27Nm6detkZGTOnDljZWX15MkTfEJ6YWHh6NGjm5qa/vnnHwkJiZs3b06fPv3SpUvOzs4IoRcvXkyfPn3OnDnHjx//9u3bsmXLVFVVd+7cyfhE8f3798mTJyspKXl7e+MfGIqLiyMjI2fMmKGqqvrt27eDBw/+/PkzNjaWEcyuXbtMTEzOnj3Lz8+/b9++qVOnxsXFMSfOnJ2dDQwMDh06lJqaeuzYsezs7FZLtBMIhDNnzowZM2bJkiU1NTUHDhyYPHnyly9f/ojq4ZCAQ+j//5q36/j5+Zl3h+kZxcXFurq6hYWFdXV1nRpIoVDOnTvHnOoGAIA+A6/eCP4emzdvVlFR4fpEM86YmpqeOXNmwYIFTk5OqampFy5c4PoWq+2fwsPD4+rVq+bm5i4uLv37909PT5eTk/P29p44caKtre2ePXvS0tIMDAwaGxtfv36tpKR08OBBhNCMGTM8PT0XLVq0bt26hoaG8+fP9+vXr7y8nHFYIyOjkydP7tmzR0FBYezYsSx/tvXv33/t2rX79u0zNze3traurq5++vRpeHi4kZERmUz28vKqrKwsKys7cuTI0KFD26omASABBwDoMRkZGcyzokDvV1JSkp+f7+DgwGih0WiMGlAEAgGf0hgVFXXv3r2QkBBHR0eE0KxZswYMGLB79278e7X9+/fn5eW9f/8en7Hu5uZmamq6du1aBwcHfn7+a9euEQiEwMBAAQEBQ0PD58+fnz9/3srKirFXbHx8/IYNG/bv38+IYdiwYenp6YyHxcXFgYGBhYWFjJ1thYSEbt68iX8VZ2hoKCsru3fv3tu3bzOGGBsbX7x4Ef+5sLDw8uXLv379wr8XZMbPz8+8hYWsrOzs2bOjo6OZb0iv1ReW0XZRdXU1y0TNLhIUFBwzZgwXD9ih0NBQBQWFnJycTmXfMAwjEolJSUmQfQMAANA3KCsrX7lyRUdHh9eBIISQs7Ozl5fXq1evFi5c+OjRo8jISGtr6548hYKCQkJCgqWlZVBQkKen5+PHjzU0NBBCGIaFh4dv27YtKSlpy5Yte/fu/fXrF2PgwIEDb9y4QaVSPTw8/Pz8Zs6ceezYMeaTbtu2zdLScvfu3WvXri0sLGwZlY+Pz5EjRwoKCry9vY8dOyYuLi4kJDR48OArV640Nja6urr++++/u3fvZi4mC1hAAg4A0GNgCeofp6KiAiFEoVAYLZGRkUP+D2NHv/aLnt+5c0dHR4exXwSGYc7OzoWFhfiks7KyMjKZzNjFhUKh1NXVscxb2rRpUztB4h85mMudjxw5kjERXlhY2MTE5PHjx8wz9+fMmcP4WU9PDyHEzhd1LU/Uq9H/egUFBcz1y7qOj4+vJ+NftGgR433MPjKZPHjw4J6MEwAAAOgZ7u7uhoaGvI4CAM65uroGBgbyOgoAwF9BQUEhOzub11GATigqKkIITZo0idGSlZUVFhYWFhamq6srKSmJN7a1LK+xsZFOp/Pz89va2jIfFq/OdObMGTqdHhISghA6fvx4Q0PDt2/fBg8ePHbsWEZPa2trKSkplqgqKys9PT11dHQkJCSEhYXJZDJCKDU1FX+Wn5/fycmJub+LiwtCqLS0lE6n+/j4IIQ+fPjAePb48eMIoaSkJMYZ5eXlGc8GBgaOGTNGRkZGREQET+acOnWKs5vZw2AGHKLRaIyJlFzBsutw96HRaCoqKsHBwe1sxdIqUVHR8ePHM08QBQAAAPqMkydPmpiYLF26lNeBAMAhmAEHAOgZjY2N+fn5jJ3ywR9BUlJSSkrq69evjBZFRcUZM2bMmDGDubwSnU4XEBCIbQHf8rX9YqmOjo6enp4rV64kk8kqKiry8vIXLlxg7tCy3Lm9vb2vr6+dnd3Dhw+Tk5PXrl3L0oFluV7LfcDYLJvm4+OzZMkSeXn569evv337Njg4mJ1RvQTsAYdoNBoXK9ry8fFZWVlx62jtuHXr1owZMxoaGjo7kEqlLlmyxNfXtzuiAgAAAHqDffv27d6928rK6sGDB7yOBYBOgwQcAKBnQAWGP5SVlVVwcHBCQgJeUaFV7Rc9Hzx48I8fP5hb8IeDBg3CH379+nXSpEl+fn5ycnIiIiLtx5OXl/fgwYN58+Yx9l9ubm5u2Yf5YVZWFoVC4aAc0/nz55WUlIKDg/E0zrdv3zp7BB6CGXCIRqO1n/3tFAqF0gN7WC5btmzBggUcZN8oFMqpU6cg+wYAAKDP8/LyMjExmT59Oq8DAaDTIAEHAOgZkID7Q23YsIFIJM6dO7ed9NOUKVMQQvhaTgZGXmzatGnv379/9+4d/pBOp1+5ckVcXBzP6OXl5YWGhrq7uw8dOrTD7BtqUeu8sbGRpYQ6QigxMTEjIwP/+cePH/Hx8WZmZhykYuh0OoVCYUyiunnzZmePwEMwAw7RaDQuHq2mpsbY2JiLB2xp7Nixb9++ra6u7uxADMNevXqlpqbWHVEBAAAAvY2Xl9c///yjp6dnYGDg7+/P63AAYBck4AAAPQMqMPyhdHV1T506tXTpUi0tLVtbW01NTUFBwcLCwqSkJEaf9ouer1+/Pjg42MrKauXKlVJSUjdv3oyLi/P398e3VJOSkqJQKLt27Xr37h0fHx8/P7+enp6ZmVlb8cjLy6uoqFy4cEFFRUVYWDgoKKhloUsDAwNra+vFixcLCAicPHkSw7AtW7ZwcO2mpqZnz5718PDQ0dGJjo5mvuTeDxJwiEaj0ZlKb3SRrKysqKgot47GIj09XU1NrampqbMBk8nkfv36sUwxBQAAAPq8w4cPnzp1auvWraGhoYmJicrKyryOCICO0Wg0dmYcAABAF8EMuD/X4sWLhw8ffvDgwSdPnty8eRPDMBkZGT09vYkTJ+Id8KLne/bsuXz58s2bNwUEBHR1dd3c3PBnqVRqfHz8pk2bDh8+XFVVpampefXqVScnJ/zZnJwcQ0PDhw8fvnz5knHGJUuWBAQEtBVPeHj4smXLPDw8REVFFy1atHTpUsZqVtzQoUM3bdrk4eGRmZmppqZ28+ZNQ0NDDi58//79NBotICCgubl5woQJDx48aGcdbm+DcTH39Ie6ePHi8uXLuTUPbu7cuRcvXuTKoVhcv3594cKFHMRJoVAMDAwePnzYHVEBAAAAvV9ubm5cXNzBgwd9fHx6ZqtWALpCWVn54cOH8FcxAKC7aWpqmpiYnDp1iteBgF4kKytLW1t78eLF27ZtExcXp9PpJSUlq1atCg4OrqiooFAoHBxTQEBgxowZly9f5nq0fxbYAw7RaLTGxkauHEpERKSbPtZv2bJlyZIlHGTfqFSqq6srZN8AAAD8zeTl5WfNmmVnZ+fs7KygoBAWFsbriABoDyxBBQD0DGVl5XbWFYK/05MnTyorK93c3PA93TAMk5SUHDRoEB8fH8zf6iJIwKHKykoOqhm0pTs2gJswYcK///5bWlra2YFiYmJHjx49dOgQ10MCAAAA/jienp4vXrzw9fV1cXHx9vaurKzkdUQAtI6LCTgFBQXs/wgJCamoqCxYsCA5OZkrB+eJiIgICoVy7NgxXgfy24YNG6hUKvMqrW7VrZff9Wtpbm4ePXq0sLAwD7e+kZWVxTCMWxMselJWVpasrOzMmTO5crTc3Fx8IVRTU1M73fLz82GyLWChr69PIpFWrFgRERERGxsbHh6+YsWKffv2LVy4sPu22/pLwB5wqKKiov1/ldhHIBC4voelkpJSXl5efX19ZwdiGPbkyZNhw4ZxNx4AAADgzzV48ODBgwcbGhquW7dOSkpKUFBQQ0NDR0cHrxR27949MTExXsf4V1NSUlq0aBGvo+A9rs+AGzduHIlEqqqq+vDhQ1BQ0JUrV65du2ZnZ8fFU/SYd+/e0Wi0xMREXgfyW2JiYllZ2cePHw0MDLh75MbGxr1797q5ucnIyDAau/Xyu34tfn5+z58/37t3r6KiIndja1V6enp4ePjGjRt74Fxcd/bsWW1t7VGjRjFaMjMzCwoKnj9/zpXjy8vLb9u2bePGjQcPHvTw8GirW1ZW1sCBA7lyRtBnaGho3Lp1y9fX19XVtaysjEKhaGhoHDt2bOnSpbwO7Y8He8ChZcuWcWvRu7W19b1797hyKIRQamqqlpYWo04w+0gkkpSUVHZ2NoFA4FYwAAAAQB/T0NAQFRWVm5t7+vTp/Px8Op1eW1u7evXqlnW7QI8ZOHDg3LlzeR0Fj9XU1EhKSnJQ775VCgoKubm5hYWFUlJSCKGqqqrNmzcfP35cRkbmx48f/Pz8XDlLTyotLQ0ODp42bZq8vDyvY0EIodTU1Li4uAULFnD9Zt65c2fy5MkfPnzQ0tJiNHbr5XfxWsrKyuTl5YWFhbOzs3vmrbV+/foLFy4UFRUxN8rKyhYUFDQ0NPTmf8xLSkr69+9/6NChFStWMBrpdHpQUJCmpubIkSO5cpaGhgZFRcXy8vKcnBwJCYmWHWpra6lUak1NDVdOBwDoUO/9V6nHlJWVceU4/Pz8NjY2XDkUQig4ONjNzY2D7JuIiMjw4cOfPHnCrUgAAACAPolEIuET39zd3XkdCwD/060bwAkLCx8+fPi///5LT09/+fKliYlJN52o+1Cp1OXLl/M6iv9RV1dXV1fn+mHpdPr58+dbtnfr5XfxWs6fP19dXb1s2bKeyb5VV1dfvXq1B07UHS5dutRyhROGYQsWLODiWUgk0oIFC3x9fc+dO7d+/fqWHX78+NEzcxUBADjYAw6Vl5dz5TgCAgJjxozhyqHWrVu3YsUKDvamoVKp8+fPh+wbAAAAAMAfqrsrMBAIBB0dHYRQbm4uo5FOpwcEBOjq6goKCkpJSTk6OqampjKPkpWVtbW1RQgdPnwY34obTw89evQIwzAnJyeWs2AYhs+5w/n7+2MY9uzZs4cPH44ePVpISEhSUtLOzi49Pb25ufnEiRM6OjoCAgJSUlLOzs4FBQXtxI8fysvLi9Hi5OQkICDQ2Nj477//qqmp8fPzKygorF69mkajVVRUrFu3buDAgWQyeeDAgV5eXsxbg9XV1V2+fHn8+PESEhIkEql///5OTk6ZmZnMp6uoqFi7dq2SkhKJRML+f3h5NCcnJwzDHj16hPdPS0vDMGznzp0fPnyYOnUqlUoVEBAYNmxYUFAQ82HbP/Xr16+1tbVv3LiBENLW1sZPl5+f3+rlI4SysrJcXFwUFBTIZPKAAQPc3Nzy8vJa3rS4uLiIiAgjIyMhISFRUdHx48ezrHZkuRaEUFJSkoODw4ABAwQFBZWVle3t7e/cudPWS3P69GmE0MKFCxkt+N3YvXt3RkaGnZ2dmJiYiIiIsbHxgwcPEEIPHz40MzOjUCgiIiIWFhYs62qLi4v37dunr68vIiJCJpOVlZXXr19fVVWFP3vx4sVBgwbl5eUVFxfj90dNTY15eG1trYeHh6KiIplMVlJS2rJlS8uEV0xMjIWFBR6AmZlZVFRUy5vG2Zs2NjbWyclJXl6eRCKJi4tbWFjExMTgT5WWltrY2KxZswYhtHLlSjz4kJAQxu1i+YuSRqN5e3tramoKCgpKSkoaGBicOXOGnVuEw18O/KVpCdafAtDDYAYc4tYezDQaTV9fv+vHGT9+/MuXLzkoeComJrZ///7Fixd3PQYAAAAAAMATPVACFV+yx3wWd3f3gICAAQMGTJo0KTc3NzQ0NCoqKi4uTldXl9EnLy9v3759mzZt0tLSGjFixNChQzt73lOnTt2/f3/RokX29vb//fdfREREUlKSlZVVeHi4q6vrvHnzbt26deXKlbS0tMTERAzD2D9yXV2di4vLixcv5s6dy8fHd+HChWPHjv348SMvL6+qqmrp0qXNzc1nz57ds2dPdXW1n58fPio5OXnu3LmioqLGxsaioqKJiYnXrl1LSEhIS0sTEhJCCNHp9AkTJiQkJEyZMmXlypVfv349e/ZsQ0PD3LlzVVRU2pnndePGjUOHDllbW3t7e+fl5fn7+y9YsICPj4+xwrr9UxOJRGdnZ39//6ysrDVr1vTr1w8hRKFQWj3Xp0+fTE1Ni4uLDQ0NTUxMMjIyAgICbt68+fTpUxUVFeaenp6eSUlJixYtcnR0TE5OvnjxYnx8/Lt379p6KVNSUkxNTWtqaszMzKhUanp6emRkpJSUFJ6KZVFQUJCamtqvXz8NDQ2Wp548eRIQEDB+/PgdO3a8f//+woULkyZN2rp16549e+bNmzdt2rQ3b95cvnzZwsIiNTWVsbT2zJkzmzZtUlBQsLa2RgjFxsYeOnQoMzMzPDwcISQuLr5mzRpPT08hIaGtW7cihCQlJRlnFBAQsLe3T0xMNDU1bWhoiI2N9fHxKSgoOHv2LKNPcHCws7MzhUIxMzOrq6t7+vTppEmTAgMDWf6Y4uBNW11d7eDgUFFRYWhoaGpqmp6eHhMT8/Tp0xcvXgwfPpxOp5uZmdXX18fGxk6ZMsXIyAgh1Na23VVVVWPHjk1OThYVFTUwMKipqXn37h0jdd7+LcINHTpUTk7uy5cvubm5LZctQwIOgJ5G/+sxf7DoCvzf065obm7Gvyfh4OxEIjExMZErNwQAAAAAAPDKy5cvR40axa2j4X9yFxYWMlpKS0spFIqgoOCvX7/wlocPHyKEbGxsampq8JYTJ04ghEaPHs0Y1a9fP3wG0JMnT5iPj491dHRkOS9CSFJSkvEQ33CZj4/vzZs3eEtTUxOedCCTyampqXhjY2PjkCFDEEIvXrxo64rwQ3l6ejJaHB0dEUL4Xld4S15eHp4dU1NTq6qqwhvT09MJBIKIiEhDQwNj7I0bNxgd6urq8B3xg4OD8RZ8LpiNjQ2j/86dOxFCFy5cYDn7w4cP8YeMmYO7du1i9MFnjY0YMYL5Qto/NZ1ONzY2Rgh9+PCh/cvH9wu7ePEio+XcuXMIobFjx7KMQgjFxcUxGvE1ievWrWvrWtauXYsQOnfuHKNDdnZ2Tk4OvTX4fL3p06czNzLuxpEjRxiNK1euxBuZj4xP1PL19WW0lJWV3b17t6mpiXFqPAWZm5uLtzQ0NLC8zXB4vlJLS6u4uBhvefv2LYFAIBKJpaWleEtRUZGoqKi8vDzjcpKSkkgkkrCwcElJCfNN4+xNGxMTk5eXx3iIz3dbsmQJo8XT0xMhdPz48Za3y9jYmNGybt06hJCtrW1lZSXeQqPRGFfR4S3CzZgxAyEUEhJCb8HLy4v5jQoA6G4wAw6xTNPlDIFAwL954Ni7d+84SwViGCYiIvLr1y8BAYGuBAAAAAAAAHiu+2bAVVVVJSYmbtq0qbKyctu2bdLS0ni7v78/QsjHx4fxYdLNzW3Lli0JCQl5eXlycnJ4Y3V19YYNG7qybdyYMWOGDx+O/8zHx2djY/Pu3Ttra2vG4kECgWBlZfX161cOCnEuWLBAVFQU/7l///66urovX750dXXF57IhhAYPHqympvbp06esrCxlZWW8cfr06YwjkMnkmTNnvnr16vPnz3hLSkoKQmjs2LGMPnhSLD4+fv78+e0EQyKRVq9ezXg4YcIEIpH4/v175j7tn5pNb9++TUxMHDlyJHP1koULF548efLp06fv37/HlxvjDA0Nma9l8uTJBw8eZImKGR8fH34tjBYFBYW2OuORt5z+hhDi5+dfsmQJ4+HEiROPHz8uLi7OfA8nTpx4/vz5jx8/MlrExMQmTpzIfGpTU9M7d+58+fKF8Z5sx9atWxllB/T09AwNDePj41NSUkaPHo0Qunr1akVFxY4dOxiTwnR1da2tre/cuXPv3r1Zs2YxjsPZm9bc3Jw5GGdn5yNHjnT2xW1qajpz5gyBQAgMDBQREcEbmf9xYPMW4S8Ky6Jy3I8fPywsLDoVFehhOTk50dHR379/z8jIgA37OqV31lWHBBx3EnAUCsXU1JTj4UFBQatWreJgIJFI7N+//48fPzg+NQAAAAAA6D26IwHHyLUhhAQFBXfs2LFt2zZGy7NnzxBCJ06cIBAIjEZ8MV1GRgbzX/IODg5dCYO5midCCN8kjpELY27kYDOWrhycTqdXVVU1NjbiE4hqa2vxdhkZGYQQ8/Ze+M+CgoLtB6OsrMy8YpSPj09CQuLXr191dXUsC1fbOjWbEhISEEIts6Lm5uavX79+8uQJcwKO5ct+/F3Rzm4806ZN8/PzW758eXl5+eLFi9svrVBcXIz+/3WgDMrKysx3DH8V8J0EWRpbfd0bGxurq6ubm5upVCpi+xaxbA2Ev40ZF4u/5x89epSWlsbok5GRgRBKT09nHtjFN211dXV9fT1++Z19cVNTU8vLy4cNG9a/f//2e7Z/i/AXpaSkpOVAWILamz179mzu3LliYmK5ubm2trZCQkJ/Yt1qHuJsZWF3gwQc4krd5aqqKo4rMKxatery5csVFRWdHcjPz6+mppacnMzZeQEAAAAAQG/THQk4KysrEomUlZX18eNHNzc35uxbU1MTnlRi7OzOjOUveSUlpa6EISYm1rKRMU2JGZ1O74GDNzU1nTx58sKFCx8+fMDXM7KYNGmSrKzsuXPnRo8ePXr06MzMzB07dmAYhi/VbAeeB2GGJzTZPzWb8MoMsrKyLO14yoalFANLVCwhtWRsbHz16lV3d/cVK1Zs37595cqVq1evbvU+I4TKyspangLH2eteWlq6d+/eGzduZGZmcvB+wJOnDHiyj3EcfBu1u3fvthzI8p7nLPioqCg/P78XL15wkEpmwP/HbGe6H5u3CH9R8BeIBSTgeq3Y2NilS5dOnTrVzs7uTyxXDdoCCbhOfxfRKnl5ec4+Kpmamr59+5aDf5qFhYWNjIzwfTcAAAAAAEDf0B0JuCtXrkhJSRUUFKiqqv7777+urq7q6ur4U83NzQghfn5+dj4Sk8lkdk7X1qGYZzwxdKrYQjs4OLi7u/vp06dVVVX37dunrq4uICBw9+7dgwcPMjqIiIjcu3fP0dGRUeZVQkICz8dxEEynTs2mtpJoeAvL5XcYVUszZ86cMGHC6dOnDx8+7O3tfebMmf/++49lUhgOX+rb6tIiDl6auro6U1PTDx8+jB8/3svLa8CAAUQicc+ePcwVWtvX/uY8+P15/vy5oaFh+8fhIPgrV644OzvjZSJGjhwpKiqam5vr7OzMRtStBNnWudi/RfiL0uq0TUjA9U5Xr17dtWtXUFAQXqMD9CWQgEN1dXVdPwi+t2Wn1NfXKyoqFhcXM1dDZ5OoqOjkyZMvX77c2YEAAAAAAKA367494Pr167d9+/Z//vln2bJlsbGxeCOJRJKSkioqKsrPz285kapDeHYAz+Ix/Pr1iysBd6u8vLwzZ86IiorGxcUxZku1urKksLBw+fLldnZ24uLiWlpabGYhuXLqDuFbmP38+ZOlHW/pcOkiOygUytq1a5cvX+7p6Xno0CFXV9fnz5+37IZPCsMXonZdRETEhw8fRo8eff/+fUYK7MiRI1w5OPq/O/P9+/cOE3Ac2LVrF0IoJCSEsUU4Zy8u/t5o+eLi2L9Fba0OTkxMpFKp3MqAA25RVVXNyMh4+fIlY/NB0Jd0+muQPqaurq7r/+iIiIgwb6/AjtevXwsJCRUUFHCQfaNSqYsXL4bsGwAAAABA39N9CTiE0IoVKzQ0NB4/fhwUFMRoxDfmv337NgcHxHcuY1nqmJiY2LUwe8L379/pdLqamhrzWsWWiRIvL6+mpqbDhw+PGzdu+PDhXc++sX9qIpGIEGp/gSq+CfWTJ09Y2vEWjnfIaYmfn//gwYOysrKJiYmt/v2C12f4/v07V06Hb8c2ZswYRmqJTqez1IsgEAgYhnG2gLcr7/kO4cEzLxvk7MXV0NCgUCgfPnxoNaPNzi3CZWVlodYKaLx8+ZJlMzvAcyNGjJCTk6uurobsW1/1tyfgaDRa1zfnwzAMLxzOpsDAwPHjxzc1NXFwLgkJiS1bthw6dIiDsQAAAAAAoJfr1gQckUg8fvw4QmjDhg2MTdlXrFiBENq6dSvzX+9FRUW3bt3q8IDq6uokEunFixfv3r3DW0pKSrZv3871yLkOT359+/aNsTF/XFzclStXWLplZ2fz8fF1ZZs2jk+NT0hsp0opQkhdXd3CwuLt27fnz59nNF66dCkxMXHUqFF6enpdiTMmJoZ5NfH3799LSkokJCTw5BELfK1cfHx8V87IgN+ipKQkRsu+ffsyMzOZ+2AYJiMjU1FRgSeYOsXZ2VlMTCwkJOTq1auMxrq6uuDg4C5E/RtL8Hl5eTt37mTpw86LSyQSFy1a1NjY6ObmVl1dzQjyy5cvLc+CWrtFOLziRMtsbG1tbVeqCAKue/bsGYlE2r17d++sHgC44m9PwFVWVrb6K6RTGhoaVFVV2ezs5ubm4eFRXl7OwYmoVOqxY8fWr1/PwVgAAAAAAND7dWsCDiE0bty4GTNmFBYWenh4MFo2bdpUUFCgp6enr69vaWmJF148duxYh0ejUCgLFixoamoaPXr05MmT7ezsVFRUZGVlW0636W1UVFSsrKxKSkr09PRcXFysrKzMzc2XLVvG0s3a2rq0tFRZWdnY2HjMmDHm5ubz5s3r4i7MbJ566tSpCKGVK1fOmTNn+vTpeNqlpdOnT8vLyy9atMjQ0HDOnDmjR4+eN2+elJQUc0qOMxs3bpSWlra0tJw/f/6UKVO0tLTq6+s3btzYamd1dXUp+kFeHgAAIABJREFUKakvX74wF43lmL29fb9+/R4+fGhsbLxo0aIRI0bs2bNn0aJFLN3wW2RmZjZ//nx7e3v2jy8hIXHx4kUSiTR79uyhQ4daWloaGBhISkrOmzev68EvX74cITRx4sR58+bZ29urq6sbGxuz1G2YMGECiUQKCgqaOnXqrFmzQkNDWz3Url27tLW1IyIiFBQUzM3NR4wYISUl5efnh9i+RUVFRWlpaVQqteXOfdnZ2QMGDOj69QJu8fHxGTlypLGxMa8DAd3ob0/A0Wg0DrYjZaGtrc1mTyMjo8uXL7dag6ZDoqKiYWFhc+bM4WAsAAAAAAD4I9BoNBERkW49xaFDh4SEhM6ePZuQkIC3+Pj4REZGmpmZffv27fHjx4WFhZMnT960aRM7Rzt+/LiHh4e0tPT9+/cTExNdXFwiIyM1NTW78wq448qVK/Pnz6+srLx69WpxcfG1a9f8/PyYs59VVVUUCoVIJObn5yckJMTHxz9+/PjSpUtWVlbMa3i749QIoVmzZnl5eYmJiYWFhaWkpLQ1KUZJSSkxMXHp0qU5OTlhYWE/fvxwcXF58+aNhoZGVyJECG3ZsmXUqFFv3rwJDg5OTEwcMWLE5cuX25oKgGEYXmeAK/vkUKnU+/fvW1hYfPz4MTw8XEpKKj4+fsmSJSzd9u/fP3PmzIqKitDQUMaMTjZNmTLl1atXjo6OFRUVsbGxX79+1dfX//fff7se/IYNG3bu3EmlUkNDQ5OTkzds2HDhwgWW9VIDBw4MDg5WU1O7d+/ekydP2npxKRRKfHz85s2bpaWlExISUlNTtbS0pk2bhti+RVeuXKHT6c7Ozi3/5s3Jyen9ifK/x/nz54uKivAZyqAPwzgo6tyXvHjxwsbGhrP5aDg+Pr6NGzf6+Pi0341Gow0aNKi8vJyDTd8QQgICAq9fv/4jPsoAAAAAAACOzZkzZ9KkSbNnz+Z1IH+7uro6Q0PD7OzskJAQExMTMplMp9MrKyvv3r07e/bs0aNHc2u5ZZ/x7du3oUOHDh06NC0tjdexgN80NTVTU1PT0tKGDh3K8tSoUaNOnDgxcuRIngT2R6uvr1dVVbW2tvb39+fKAX/9+jV48OD8/Pzu/vaFHadOndqyZcvLly9bvmdA18EMOFoXj0ChUDqsRP78+XMJCQnOCp4ihDAMy87OhuwbAAAAAECf191LUAGbnj59mpycPH/+/PHjx+O1FzAMExUVnTlzJj8/f2FhIa8D7HVUVFRcXFw+f/4cEhLC61gAQgiFh4enpKTMnz+/1UxKd8yAq6+vl5KS6t+/P/vbndPp9N27d7NUUu7l6HR6TU1NTU0NV46WmJioq6t7/vx5DrJv0dHRU6dO7devHz8/v5yc3Jw5cz58+NCpI7S8//X19bW1tZwlLkCH/h979xnXRPY9DPwOgSQQCKEL0sEKNqygoqCAslixsdZ1bbjYsIuIXVxRsbO2VVGxgIKo2FAUBHtbG0qXzoJ0AoTkeXF/O8/8E4ghBKJyvi/8TO7cuXNmbHA4915IwJU3sQawpqamb9++Yjrs37/f1dVVuqVb8X/zfD5fW1tb2gABAAAAAMAP49WrVzk5OfKOAqCamhqEUGZmplD7oUOHqquroW6oXrt27TI1NV22bFnTqxxAE1VWVnp7exsbG+/Zs0f0bF1dXX5+vr6+vmxvev369aKiotzcXMnXSXzy5Imvr++PlYBjMBhZWVlNnIdOWrhw4aVLl8aPH9/YCzdt2jR06NBHjx6NHTvWx8fnl19+iYqK6tmzZ1hYmOSDiL7/RYsWlZeXN30OO6hXU/cf+NGVl5c38W+7iooKdQdxITNnzrx8+bJ0i74pKirq6+tnZGQ0IToAAAAAAPAjYbPZFhYW8o4CIAcHhw4dOly4cCErK8ve3p5Go/3777+xsbHv3r1r27btli1b5B3g90hNTS0hISE3N/fHyqf8lOrq6iIiIvT09NhstujZZtqBITg4uGvXrhUVFcHBwcOGDZPkEupGtD8QGo0mk3EOHDigoaHRr1+/xl4YExOzbt06W1vbqKgodXV13LhlyxYHB4fp06f36tXLxMREknHqff+yejogCirgyiWvj62XmB9/DRky5Pz589Jl3xgMhrW1NWTfAAAAAABaFQUFBTE/3AUtRllZOS4uztvbu6CgYNeuXf7+/hcvXtTQ0Ni2bdvbt29NTU3lHeB3qk2bNt27d6836QNakpqaWvfu3RuqcWuO+adfv369du3a8OHDhw8fHh4eXlZWRj179uzZHj16qKqq6urqOjo6Xrt2DSHk7OyMC/SUlJQIgggICEAIDRs2bMqUKenp6Xj2t6+vLx4hPT39119/1dbWZjKZvXv3Dg8PJwd/9OgRQRDx8fGenp46OjpsNnvgwIGPHz+mBiDmcoQQk8k8ePBgUFCQhYUFk8m0tra+dOlSYWHhrFmztLW1ORyOq6trcnIytT/edQQhVFlZuWvXLhsbGyaTqaKiYm9vn5CQIOFLW7Vq1fbt2yXsTLV7926CIE6cOEFm3xBCurq6Bw8erKioOHjwIPXNnDlzZuTIkRwOR0VFZdiwYYmJifhsve/f39+fIIikpCRy2KCgoK5duzKZTB0dnWHDhuGdjjMzM5cuXWphYUGn0zU0NH799VfRemEgChJw5U2Z3kyn04cOHVrvqXbt2sXGxlZWVkoxLIvFsre3f/nypdSBAQAAAACAHxGsAff90NbW3rlzZ2JiIpfLra2txRVwq1at4nA48g4NgCZpjgq48+fPV1dXu7u7jx07trKykjoR8s6dO5MnT+7QocP+/fuXL1+uqKiI03MbNmwYNWoUQigmJiY2NtbDwwP3T0tLGzFiBIvF8vPzc3V1RQgVFBTY2dndvXt3yZIlu3fv1tLSGjNmjNCWu6NHjy4vL4+MjDx//nxeXp6Tk1NhYSE+Jcnl+/btO3v27PHjx69evcrn8z08PIYOHSoQCC5dunT48OFHjx6NHDmy3gen0WhHjx7t1atXYGDgli1bkpKSRowYIcm2vMHBwWPGjOnSpYvkLxkTCAQxMTHdu3cXXd3P3t5eV1f35s2b1MYpU6bo6+tfuXLl9OnTiYmJjo6OuEioofdPtW7dOk9PT1VV1dWrV8+aNUsgEODVsQoLC8PDw8eNGxcUFOTp6RkaGjp16tTGPkhrJGjd1q1b15S3x+FwYmNjRYdVV1cX3elZQmw2e/LkyS3/KgAAAAAAgNwZGBhkZWXJOwoAwM/szz//XL58uWzHtLOzMzExEQgEdXV1enp6Dg4O5ClcxVZVVSV61dy5cxFCtbW1ZIuLiwtCSCi8ZcuWIYTevHmDP/L5/IEDB+ro6HC5XIFAgCvObGxsyP7nz59HCF24cEGSywUCAYPBYDKZxcXF+GNoaChCqHfv3uSAq1atQgglJyeT/Rv6nv3s2bPUW4thamr6+fPnb3YTlZ+fjxDy8PCo9+yAAQOYTCY+xm/Gzs6OPBsTE4MQCggIwB9F3/+2bdsQQjiw3NxcXHLE4/HEhzRnzhyEUH5+vhSP06q09gq4r1+/NuXy0tJSoR0YcnNzCYIoKSmRbuEDDQ2N2bNnCyXjAQAAAABAK1FZWamioiLvKAAAPzOZV8AlJyfHx8e7u7sjhBQUFNzd3WNiYr58+YLPWltbI4R8fHwk3zkUJ7xIV69e7dq1K1ksRhDElClTCgoK4uPjyT5ubm7kMS4Ny83NlfxyW1tbcjonXojTycmJPItb8OxL8fD2Bd+sgPP29lZUVLS0tPzmgKIqKioQQg39T6GiosLlcqkLbVEfxN7eXlVV9e7du5Lc6O7duzU1NXPmzPnmqnASPjVo7Qk46RZoI5mYmCgpKZEfnz9/bm5uLvVompqaPj4+eOo1AAAAAABohSABBwBobjJfAw5XkPTs2TMpKSkpKalPnz4CgYAsK5kwYcLy5csDAwONjY3XrVv3zTSNtra2pqYmtSU1NdXY2Jjagj+mpKSQLXp6euSxoqIiQohMQklyuY6OjtDlWlpaDQ0o5MiRIwMHDtTT01NTU7O1tUUICQQC8c8YFxeHa+WkoKqqihDCdXCi8vPzlZWVqSkz6lKABEHo6ellZWVJciO8JL2ZmZnoqfLy8rVr13br1k1LS0tVVXXFihVIgqcGrT0BV1JS0pTLBwwYQB6Hh4cPGTJE8qS+EA0Njb179y5durQp8QAAAAAAgB8Xj8fj8/l0Ol3egQAAfmYyr4ALDg5GCE2ePLldu3bt2rWbMWMG2Yj9+eefnz59cnd33759u5WV1T///CNmNAaDIdRCEMQ3YxDzL6ckl1MLazAJF5Xatm3bnDlz2rZtGxoa+uLFC0nSag8ePFBWVhaznaN4Wlpa6urq6enp9Z798uVLhw4dqC3V1dXUjzU1NRLeCCfURH87EELu7u7+/v5jx469ffv2q1evvL29JRyzlVOUdwByVlpaKvW1LBZr8ODB+Hjfvn1+fn5Sp/PYbHZoaKijo6PUwQAAAAAAgB+dFOVvT548efTo0aFDh5hMJoPBoE41AgAAUZ8/f3727Fltba2sBoyPj09OTp4yZQpe0R+7dOlSSEjIs2fPevXqhVssLCyCgoIWLFhgZ2fn6+srtA+peObm5rgai4Q/SrgfcRMvF+/vv/82MzM7e/YsTthR9w9tiLe39+zZs6W+I0EQjo6O4eHhnz9/bteuHfXU/fv38eat1Mbs7GzyuLq6Oi8vr2vXrpLcCJdJpqWlCe0UkZ2dfevWrWnTpvn5+eEW6RbgaoVaewJOaHfkRqHRaHgBuBUrVhw9elTq5eSYTGZCQgKeNQ0AAAAAAFqtRiXgBALBzJkzb926pampaWNjM2HChGfPntVbqgAAACRra+uLFy/u27cPT5ZsuuDgYIIg1q9fjxdKwzp37hwSEhIcHNyrVy+BQEDWoFlZWVlaWubk5OCP+F+84uJivLdmQ0aPHr1169bXr19369YNISQQCM6cOcPhcOzs7CSJsImXiycQCNTU1MhyuYiICPH9379///nzZ7z7gdQWLVp0+fLlmTNnXr9+XU1NDTfm5+d7enqyWKz58+dTO1++fHnjxo24QjAsLKympoYs/RH//ocMGaKkpHT8+HE3NzdqFSGujCP3g+bxeNevX2/K47QekICTPgFXVVVlZWXl4eFx/fp1qSvpCIL48uWL+H9uAAAAAABAa1BRUcFisSTsfOnSpcePHz99+tTAwAC3UMtPAACgIXv27Ll69eqOHTuWL1/exKFqamouXLgwePBgavYNIdS5c+e+ffuGhITs3Llz5syZTCazb9++DAYjLi7uxYsX5Lrntra2u3fvXrx4saurq5GR0cCBA+u9y7Jly86ePevs7LxgwQJtbe2IiIgHDx4EBQUpKytLEmQTLxdv0KBBx44dW7lyZdeuXaOjo1++fCm+//nz55u+8NSgQYPWr1+/fv36jh07uru76+vrZ2RkXLhwobS0NCQkRGjBOz09PScnpwkTJhQWFu7YscPAwOD333/Hp8S/f319fW9v7+3btzs4OLi4uFRWVsbGxoaFhbVt29bS0vLEiROWlpYsFuvkyZN4jTzwbfLafvU70ZS1J3v06GFvby/1KrkEQbDZbHm/AAAAAACAb8PfWR05cuSbPQsLCzt06GBmZpadnU024q/1J0+e3JwxNotGRe7u7s7hcG7evCn17d68edOlSxdJeh49elRVVbW6ulrqewEAWi1LS8vff//d1NT06dOnTRzq0qVLCKEzZ86Injp8+DBC6MqVKydPnuzatSuDwVBRUbGystq9ezfZh8/ne3p6qqurKysr79mzRyAQuLi4tG3bVnS0rKysqVOnampqMhgMGxubkJAQ8lRCQoLQ/1B4jTnqjcRcLhAIGAwG9d950cv//vtvhFBsbKxo/8LCwokTJ6qrq6upqU2YMCE/P9/S0vLQoUMNvTE9Pb3c3NyGzjbKjRs3XF1dtbW1lZSU9PX1J02a9Pr1a2oH/GaOHz++ZcuWNm3aKCsrOzs7f/z4kewg+v63bduGEPr8+TPZITAwsGPHjkpKSmw2e9SoUZWVlQKB4PXr1/3791dWVtbT01u9enVaWhpC6MOHDzJ5rp8YIWh4o4rq6uply5b93LnMY8eOCS1JKDkGg1FbWyvdbGeCIFgs1rRp06S7dXPg8/lz5syxsrKSdyAAAAAAaAlXr14dMWIE+VFJSYnD4VhbW//yyy+zZs1SV1endra0tExOTj5y5IjQyjKiHjx4MGjQIITQpUuXxowZgxtnzZp17NixyZMnk5vi/Sgkj1wgECgpKdXV1S1cuHDPnj3S3e7x48eLFi169OiRmD48Hi8hIWHUqFHf3EkQAADqZWtry2azb968qaOjU1dXB/+YtJiDBw/+/fffT58+bZnbPXr0yNbWVpL/u0HLEJdc8/f3//z587Bhw1osmpa3ceNGeYfwvfj06dO8efNiY2PlHQgAAAAAWhSNRkMI1dbWFhQU3Lt37969e7t3746KihJadFlCPXv2dHFxqampIfeqaiUIgli0aFF0dPTkyZOlHkSSKaja2trm5ubwDTMAQGoGBgafP39GCBUUFGRkZBgaGmZmZso7qFbh77///u233+QdBZCbb1S39evXb/HixS0TCpCv6OjoT58+yTsKAAAAALQ0Ho+HEKqrq0tPTw8NDd24cWNWVta4cePev3+Pc3ONwmKxbty40Qxh/gB27tzZxBG+uQnDyJEjg4ODqaWLAADQWMbGxnhyIj6urKxct24d1KY0t7KyssTERKEdEkCroiDvAAAAAAAAgPzRaDRzc/MVK1acPHkSIfTp06eYmBh5B9XqiE/A7dix4+XLl0KzgwEAoLHMzc2Li4vJj58/f968efO7d+/Ky8vlGNVP7+TJk9OnT5d3FECeIAEHAAAAAAD+vzFjxuAUj+hWbjQaLS0tbdq0aW3atKHT6SYmJvPnz8/Pz6f2yczMJAiCIIikpKRv3ovL5e7cubN3795sNpvFYvXo0ePPP/+sqqoS7RkRETF8+HBTU1MGg6GhoTFw4MDdu3cL3VrC0WbNmkUQRGRkZFFR0cKFC83MzJhMprGx8R9//CE0IPXBKyoq1qxZY2FhwWAwdHV1x4wZI/p+LC0tCYI4evQotfHDhw+LFy+2srJisVhMJtPc3Hz27Nl4vWpR4qegqquru7q62tvbN9QBAAAk0a5dOy6XW1dXhz9qaWnxeLz+/fvb29vjTRVAczh8+LCHh0dL3rFfv34CgQAWgPt+/MwbLAAAAAAAgMZSUFDQ0tIqKSkRTV1lZWX16NGjuLhYQ0NDQUEhIyPj0KFDt27devHiBZvNbuyNcnNznZ2d8WZzbDabTqe/evXq1atXp06dun37tr6+Ptlzx44dK1asQAgpKSlpaGh8/fo1Li4uLi4Ob/rW2NGwBw8eLFmyJDk5mc1mKysrf/ny5eDBg9euXXv48GHbtm2FOtNotIEDB758+ZLFYjEYjIKCgvDw8Bs3bsTGxvbq1UvMM759+5ZcSk9TU7OmpiY1NfXo0aPh4eHPnj0zMTER6i+mAu7UqVOLFy+urKwU+1IBAODbtLW16XR6RkaGmZkZblFQUCguLnZzczt06NC7d+98fX3lG+HPJzo6OiUlxc7OTt6BAHmCCjgAAAAAAPD/1dTU5ObmIoRE81Dr16/v2rVrYmJiUVFReXn5iRMnFBQUkpOTg4KCpLjR1KlT//nnH2tr62fPnpWUlHz9+vXt27d9+vR59+6dh4eHQCDA3SoqKvz8/BBCf/75Z0VFRV5eXllZ2fXr12fPnu3u7t7Y0UgBAQEEQTx+/Bh3jo+PNzQ0TE9P/+OPP0RDPXXqVEFBQXR0dFlZWWlpaXx8fJs2bbhc7rp168Q/o7W1taen56FDh/Lz8wsLC8vKyh4+fKilpfXvv//u2LFDtH9DCbj79+9v3779xYsX4m8HAACS0NbWJggiIyNDqP3q1au7du3auXOnp6fn7du35RLbzyo+Pt7b21veUQA5gwQcAAAAAAD4/44fP15ZWamgoODo6Ch0Sk1NLSIion379gghRUXF6dOnjx49GiF09+7dxt7lwYMHd+7codFoly9f7tmzJ260srK6cuWKiorK/fv3b926hRvT09OrqqrwHqNKSkoIIQaDMXz48MOHD+OPjRqN6uzZs3369MHHtra2eOrolStXUlNThXrW1dUFBwc7OjoSBIE7r1mzBiF0//59Pp8v/kkPHjw4b948HR0d/NHOzm7ZsmUIoXq3nm9oCurixYvPnDnTsWNH8fcCAABJaGtr83g80QQcQqhLly6JiYnv3r1btWpV27Zt4+LiWj68n9K5c+fIkm3QakECDgAAAAAAoIqKitevX69evXrhwoUIoenTp5uamgr1GTFiBIfDobbgBFZWVlZjb4eXGRowYIClpSW1XU9P75dffkEInTt3Drfo6+srKCgIBIKLFy82fTSSubl57969qS3Ozs46OjoCgUA0W2dkZDR48GBqC37wysrKr1+/futZhXXq1Akh9O+//4qeqrcC7uTJk3V1dUZGRo29EQAA1EtVVVUgEIj+sAHT09N78ODBqVOn5s6dO3fuXCcnp+vXrze0RCaQxD///EOj0Tp37izvQICcwRpwAAAAAACtGq7qoho9evT+/ftFe1pZWQm1aGlpIYS4XG5jb4p3MOjevbvoqR49ely8ePHJkyf4o4aGxqJFi3bv3j116tQ7d+6sXLlStBBM8tFIooMQBGFlZRUTE/P27VuhUw09OJLs2TMyMh48eJCenl5RUcHn85OTkxFC5PLnVPUm4BISErp27UreEQAAmk5VVVX03zoqKysrKysrLy+vhQsXjhs3jsFg0Ol0V1dXRUXF7Oxsa2trOp3eYtH+6EJCQpSUlKZMmWJubl5YWMjn83V1dZOTkw0NDXk8XmFhoZGRUW5urrKysrq6emJiYvv27UtLSysrKw0MDPBSfZmZmXp6evJ+jsYxMzObOXOmvKP4vkACDgAAAACgVaPRaARBqKio6Orq9u3bd9q0ac7OzvX2FCp/I4musPZNhYWFCCFtbW3RU7iRWm3x559/qqurb9269cSJEydPnnR1dfXx8bG1tZVuNDHPoqmpiRASLWpr6MHRt569rKxs1qxZFy9elPAV1TsFNTo6OioqSpLLAQBAQmw2+/r169/spqmpefr0aYRQTk7OuXPn3r17l5qaWlpaShAEg8Fo/jB/HtnZ2SoqKtXV1TgBV1hYmJycXFZWhhNwFRUVOTk5TCaTw+EkJibW1dXhBFxJSUlGRgafz6fRaD/cCyeXiQCk7yUB9/Hjx2HDhiGEbt682aFDB3mH8z8XLlyYM2dO165db9++/cP9cQcAAAAAkASPx5OwJ41Gk9VNcdldvWkp3Eity1NUVPTz85s9e/bu3buPHDly7dq169evr1y5ctu2bVKMhtVbgNZQZ6kf3MPD49q1a+rq6hs2bBg5cqShoaGSklJoaOj48ePr7S9aAbdixQobGxuhqbUAANBEFhYWeXl5kvfX19dfsmRJ88Xzc1u7dq2RkVFERIShoaG8YwHyJP0acHfv3p08ebKZmRmTyVRWVjYwMHB0dPTz83v9+rUUo0VGRqanp6enp1++fFnqkGTu5MmTJSUlsbGxeF4DAAAAAACQCV1dXYRQQUGB6CncSO5aQDIwMNixY0d6erqXl5dAIPD398d1GdKNVlRUJNoZr8umoaHRyKep36dPn65du4YQOnHixKJFi8zMzHA5QG1tbUOXCCXgrl27FhYWFhgYKJN4AACApKurq6ys3KgcHGiKSZMmia5GClobaRJwPB5v+vTpQ4YMOXv2bFpaWnV1NZfLzcnJuXfv3saNG7t3756SktLYMbt160YQBEEQNjY2UoTUFBUVFevXr3/w4IHoKbySiLq6uoWFRQtHBQAAAADwE8MbILx48UL0FG4kNzMVoq6uvm/fvsmTJyPK1gpSjPbu3Tuhlrq6un/++Qch1KVLl0Y8ScPev3+PDxwcHKjtDS18jkSmoO7atevIkSP6+voyiQcAAEja2tqamppi/jkCsgUJOICkS8CtX7/+1KlTCCENDQ1vb+/Dhw8fO3bMz8/P2dmZwWAMHTrU3Ny8sWM6Ozu/e/fu/fv3Da050nyuXr26YcOGehNwmzdvTkhI+Pjxo+hPTQEAAAAAgNQmTpyIEEpISPjw4QO1PTc3F6935u7uLuZy/NUmOXlWitGys7Pv3btHbbly5UpxcTFBELL6cpRcobyqqopsrKqqOnLkSEOXUCvgXr9+XVhY6OjoKJNgAACASltbW1VVNS0tTd6BtBZqamopKSlJSUnyDgTIU6MTcFwud8+ePQghExOTT58+7dy5c/bs2TNnzly/fv3Nmzfz8/PFfEkhXqdOnUS3o2oB58+fb+gUQRD9+vVr06ZNS8YDAAAAAPDT69Gjx7hx4/h8/tixY8ktSt+/fz9q1KiqqqrevXu7ubnhxkuXLq1evfrVq1d8Ph+3PHz48PDhwwghOzu7xo5GNWPGjIcPH+LjuLi4+fPnI4TGjh1rYmIik2e0sbFRUFBACG3cuBHnCnNycqZNm5aTk9PQJdQE3Pr162G1IABAM9HS0mIwGJCAazHt27dns9lQctjKNXoThnfv3pWXlyOEvL29RbeaYrPZbDZbNqG1iIyMDNhVCgAAAACg5R05ciQ7Ozs+Pr5v375sNptGo+HtRy0tLS9evEjue1BUVOTv7+/v76+srKyjo1NUVIS/FrW2tqauCC7haKTx48fHx8cPGDBATU2NRqMVFxcjhExNTffu3SurB2zTps3s2bP/+uuvQ4cOnTlzRkVFJTc3V0VF5dq1ay4uLvVeQibgamtrKyoqfv31V1kFAwAAVNra2goKCpAPakmzZ8++f/++k5OTvAMBctPoCriKigp8YGBgIPlVubm5a9eu7dWrl7q6Op29Z1EzAAAgAElEQVRONzAwcHV1PXjwYE1NDe5w9epVvAbcnTt3RC/PyspatGhRu3btlJWVNTU1HR0dT506Rf4UlIQHMTMzQwiVlpb6+Ph07NhRWVmZzWb369dv//791O2uCgsL58+f365dOy6XixDy9fUl/nPixAncZ9myZbhFaHcwgUBw48aNyZMnm5ubKysrM5lMU1PTSZMmxcXFiQbfq1cvgiDwfO9Pnz6NGDFCRUWFIIhp06bhDoGBgfgu9f78YcCAAQRB4NXo6n3SwsJCb29vCwsLJpOpq6vr7OwcHh6OuxUXF69bt65r164sFktNTa1Pnz6HDh0SfW+kz58/jx8//rfffvvjjz9WrVq1cePGgICA/fv3Hzt27MyZM2FhYdevX797925CQsLLly8/fvyYlpaWl5dXUlJSXV3d0JgAAAAAAPXicDgxMTF79+7t3bt3XV1ddXV1t27dNm3a9PLlS2oN2qhRozZv3mxjY6OoqJiVlcVisfr06bNp06aEhAQ1NbXGjkbt/+LFCy8vL01NzcrKSkNDw/nz5z958qRRX+J+0/79+zdu3Ghubl5VVVVXV4cL9IYMGWJtbV1vf3INOIIg7t27N2PGDBkGAwAApIyMjMTERKiAa0nTpk3Da3mBVqvRFXDkFyVCS2yIcf36dQ8Pj9LSUrIlJycnJyfnxYsXc+fO/ebld+7cGTt2bFlZGf7I5XLv3bt37969M2fOXLp0ibpOLZaRkZGenu7o6EjuBcHlch8/fvz48eM7d+5cvnwZby3/8uXLU6dOKSoq4iQgnU7H+1IhhMiDegkEgp49ewrti4q3cL1w4cJff/01e/Zs0auys7PfvHljb29fUlKCW5q+sUNGRkZycvLgwYMzMzNxS0FBwe3bt2/fvr1jx45Ro0Y5ODhkZWWR/Z8+ffr06dNHjx6dPHmy3gFLS0tDQ0OpLYqKioqKigr/wYlCgUCAEOLz+QKBoK6ujs/n83g8Pp+vqKiopKREp9PpdDqDwWAymQwGQ/k/KioqLBZLVVVVXV0dt+M+2DePFRUb/WcVAAAAAGK4ubnh/9Ml0dCyNbNmzZo1axa1xdDQUHTYo0ePHj16VPRyJSWlBQsWLFiwQMytdXR0fHx8fHx8vhmkJKORqqqqdHV19+3bt2/fPjHdGorc0tJS9DFF35KioqKvr6+vr69Q+6tXr+q9HVkBd/jw4Tlz5oh/BAAAkJqLi0twcDBUwLUkIyMjQ0PDv/76S5I0SGtTU1PToUMHFxeXoKAgecfSjBqd1LCwsDA1NU1LS9u3b5+Hh4elpaX4/m/evHF3d+dyuXQ6fcaMGYMGDVJVVU1KSoqOju7UqZPodAAhSUlJo0aNqqystLS09PHx6dKlS2lp6fHjx0+fPn3r1i0vL6+///5b6BI+n+/o6JiVlbV69erhw4erqKi8fv3ax8cnNzc3IiLi3LlzHh4eCKGhQ4eWl5fn5ubijaV8fX3Xrl0ryRvAS/OmpqZOnjzZycnJxMSkoqIiJibG39+/vLx8yZIl48aNE929PjU1dfz48dXV1X/88UevXr1qa2t79eolye3E4PP5Tk5OJSUl27Ztc3Bw4PF4kZGRO3bs4PP5a9euPXToUE5Ozrx58yZMmMBms58/f7569eqioqJTp07NmDFDaDeuhvB4PKHqPzFqa2tra2srKysl6aygoKCoqEij0aipPTK7JxAI+P+pq6vDpYs0Gg0n+JSUlBgMBpnmw3COD2OxWCwWS0yOr940H+T4AAAAgNZD8uRjSyITcBs2bDh79qy8wwEA/LR0dHRKSkry8/PlHUjrsnXr1qFDh86cOVN80Y+EcnNz9+zZExUVlZKSUldX17Zt2759+86ZM2fgwIFNH7yFCQSCqqoq6p5FP6VGZxwIgti4ceO0adMKCgp69erl6+s7b9480TI00pIlS7hcrpKSUnR09IABA8h2b29vSW63dOnSyspKExOTly9fqqqq4kYHB4eqqqqwsLCTJ0+uWLGiU6dOQlelpKRERkaSq+327Nmzd+/e3bp1EwgEISEhOAHXFGvXrl2/fj2TySRb+vfvb2RkNH369IqKilu3buGtuKiCgoJYLFZCQoLQZNImSktLe/DgAfli+/fvX1tbu2vXrurq6pSUlG3btq1atQqf6tmzp5mZGd7VKywsTMIEXPPh8/nkBGTJL5E8wYcQov1H8hwfrvij5viMjIxKSkoYDAZO0uEZx9RkH6ORcN4Qkn0AAAAAEFJTU4N/Qnnnzh0OhzNkyBB5RwQA+Gnp6uoWFBTo6OhkZ2fLduo9EGPw4MHz588PCgqSsFhbjOjo6HHjxpWVlbm4uIwYMUJBQeHTp083btwYMmTIj5iAYzAYWVlZ36zQ+tFJkwWYOnVqZmbm2rVrS0pKli1btmnTpmnTpnl5ebVv316o56dPn+7evYsQmjlzJjX7JqHMzMyrV68ihHx9fcnsG+bt7R0WFiYQCMLCwkQr1+zt7YX2uurSpUvPnj2fPXv29u3bxoYhSigYbOTIkfig3jkaPB5v06ZNss2+IYRcXFyEXuyUKVN27dqFENLQ0Fi6dCn1lJOTk56eXl5e3rt372QbxveJTKtJrqampqamhprjIycyN0RBQaHeNB8104eTfeSM3bq6OoFAgJN9SkpKOOVHZv3IZB+eqIvzfWTKD7c3REVFhUajkbOA6f9hMBh45jUAAAAAvltk+duVK1e8vLzkHQ4A4GdGp9OZTKaxsXFqaiok4FrSvHnzxo8f38QEXHp6+tixY1VUVKKjo21sbMh2Ho/3437f99Nn35B0CTiE0OrVq+3t7VesWBEfH19SUrJv374DBw5MmjRpx44d1L+9MTEx+GDcuHFS3OXevXt4xwDR5B1Z9Sa0Fhs2fPhw0UZTU9Nnz57h/bCaA4fDodFodXV1eGcuIYqKis2xjK7oj0bJZYb79+8vWtdqbGycl5eH9/kCMoFr6Gpraxt7IZ6x29gi24byffisqqpqWVkZmfIj8Xg8fCGZ8iMX7KMu20dN/1En9lJr96hJPQmPf9z/AwAAAICWRCbgYGEmAEAL0NHRUVRUfPr0af/+/eUdSyvSuXNnBQWFyMjIESNGSD2Iv79/aWnpuXPnqNk3hBB1otWjR49sbW0fPnwYHBwcGhqK9yYKCAjo27cv2Sc9PX316tW3bt0qLy/v0qWLj4/P6NGjqZc/f/785MmTZ8+erays7N2794EDB/h8/vLly+Pi4ths9qhRo3bs2EGtTzpy5Mi+ffs+ffrE4XBGjx69ZcsWLS0tMuaAgID4+Hhvb++YmBgWizV48ODdu3eTGSQmkzlu3LjTp0/jjxUVFX5+fqGhoTk5Obq6uq6urn/99RdC6OLFi3/99dfTp0+rqqosLCxWrVo1ffp0qd9kC5N+Hlz//v0fPnz4+PHj/fv3nz9/vra29uzZszdv3rx27Rr5O5qYmIgPGtrpSbw3b97gg44dOzbUp7CwULSx3v0N8DxZKRIl9crPz798+fLz589zcnIqKipwohDXW9W7pIilpSV1oy5ZwRuhUuGv2xBCxsbGov3Jje1lHgloGeLzfeQWH2IulG5ePU751Zv4E6r1E53biy8kE3/kJF8jI6OioiIyA0gm7JhMJv6VRFYIUjOG1I9iGslTUjw1AAAA0JLwFqiHDx/u27cvh8ORdzgAgJ+crq6ukpLS06dP5R1IqzNlyhRfX9+mJODCw8PNzc3rLTwSMnr0aBcXl8jIyK9fvy5atMjJySk1NRUnxQoKCuzs7Orq6pYsWaKpqRkRETFmzJjg4OApU6aQl0+ePHnQoEHXr19PTEycP3/+yJEjuVzuokWL/Pz8YmNjV65cqaCgcODAAdx569atPj4+7u7uXl5eWVlZgYGB8fHxjx8/VlZWxh0KCwsHDx68YMGCDRs2vH79esGCBV++fImPjxcNm8/nu7m5xcTEjBs3rkePHtnZ2WR9XGhoKJvNXrdunbq6+pEjR2bMmGFiYjJ48GCpX2ZLaupCVH379u3bt+/mzZtXrFhx4cKFwsLCUaNGff78GSebyHIzTU1NKQavN7kmpN4tAthsthS3k1xAQICvry+Xy5X8EtFtGWSi3smwGPmnHICmw6k06VK3DSUNJf/ZPkEQZO6v3gwg+i/xLaCg1gCSeUBcBkhSUlIiZwGLSQUyGAw2m62goIC7kRc29li0EcoDAQCg5TW0sanc4Qq4efPm3b59W96xAAB+fjo6Oubm5kVFRfIOpNVZuXLl4cOHU1JSzM3Npbi8qKgoNzd3/PjxZAveXhIf02g0apmOkZFRcHAwPi4rK5s4ceLdu3fxtX/++Wd2dvabN2+6dOmCEJo3b96gQYO8vb3Hjx/PYDDwJRoaGnhb0t69e+Pqq+XLl69YsQIhZGtre/ny5cjISJyAKyws3LRpk7u7e2hoKL524MCBTk5OQUFBS5YsIePx8PBYvXo1QggvEXbo0KH8/HxdXV2hZ7xy5UpMTMyGDRvWrVsndOr8+fPksaura9u2bS9evNhaEnCYiYnJ+fPnjYyMdu7cmZeXFxISgvdNJ1fgUlBQkGJY8vLExMSGClioOyGQmnXy8OHDh5cvX44Qat++/bp16+zt7fX09Oh0Oo/HE7OViXRvAFFeQr1awzRpAAQCgeS78TZE6snCVARB1JsHrLckEDWQFiSTgzgtSGYGSYr/FzVhR6fTyUUDhdKF5Exh3FlVVbWurk6xYdSaRAlBuhAAAJpVZWVlZWXlpEmTYPsFAEAL0NXVZTKZ9a5gDprb0qVLd+7cSdaONUppaSlCiDrBLjw8fOrUqfhYS0vr33//JU9RF8fHq/aTqbqrV6927doVZ98QQgRBTJkyZe7cufHx8eSejcOGDSMvxxMNnZycqC0vXrzAx3fu3OFyuZMnTybPDh06VF9fPzw8nJqAE40nLy9PNAEXFRWFEPL09BT/KgwMDDgczg+URJblVoxr1qzZuXMnQujp06c4AUeWfX39+lVHR6exA5J1cyoqKoaGhrKLtEk2b96MEGrTps2jR4+odW2NXe9fQvhvFwDge4B30pDhX3ZykT5ZDUimCHF2XrRakEyiUSsHUX25QqF0IZ/Ppw5OrkVIzRtSfxWTQ8QfqdOEdXV1Kyoq8LVCQ4k5aGy7aAdZvXYAAJCJioqK4uLiCRMmyDsQAECroKOjQxBEcnKyvANpjbS1tY8cObJz5856K4rEw6m3vLw8ssXe3v7ixYsIoS1btnz58oXaWU9PjzxWVFRElMRFamoqNZuG/lvGKiUlhUzAUdM4+HJyTTfcQh0NiSyEZWxsLLSroZh4qDIyMlgsVr1JpHv37u3atevVq1clJSV8Pr+ioqLeRcC+T7JMwGlqaqqrq5eUlJCLTFlaWuKD9+/fDxo0qLEDWllZ4YNXr159Jwm4rKws/Ad62LBhQrNKqX8BGoWsm6NuvonV1dWlp6dLNywAoBUiU4TNsdRjsw6OkaWFogWGiJJAbCiNSMZZbz5RaHqyQCAg70UiJztT04sKCgqKior4VxqNZmxsnJubK1S6KFrJiDN9+CrRzCA+VlJSEr3XN39tVDf8Xwz1xQpVcSqIaKixmX7TAQCk0tLSwsJCcgFsAABoVjo6Ol++fKmoqCgvLxeztBFoDhMmTPjtt99SUlI6d+7c2Gu1tLS0tbU/f/5MthgbG+PM19GjR4UScGLWwpbkqzvRSX4Nze2T8GtFCdfmFggE5DRYqtjYWCcnp+7dux88eLB9+/Y0Gq1bt26SDPidkGUCrqCgAJdrkckyMukWHh4uRQJuyJAheDJXSEgItVJRhsjffglXpq+oqMAHornYW7duSRcDuchuamqq0F+/+Ph48o4AAPDTw6mxlrwdanz98tu3b2UbhmiSkZpnrDfnKHoshExKMhiM6upqao5StOxR9KPQr2SfepOhYuIXD/3fIk0x+daGBhcfCTm++MAkaWmokcViCf3wjKjvd0r0d7yhFsmvol6Cf4PEDIsQqqioUFZWJjeRB9+ny5cvN8eeXQAAUC9dXd3nz59bWFgkJyf/WFmMn8PGjRuPHz8eEBAgxbXOzs5nz56Nj4+3s7OTOgBzc/OMjAxqC/5oamoq3Wh4hJ49e5KNX758kW6dO0NDw1u3bpWUlKirq1Pbg4OD6+rqLl68iNe5q6yslG6PQXlpdALu+fPnhoaG1LpBDO+dgb8E/OWXX3Bjly5dbG1tExISgoKCpk6dKrRFbm1trZhF0xBCZmZmI0eOjIiICAkJmTRpkuguIR8+fLCwsGjK/obq6up0Or2mpubDhw+S9G/Tpo2CggKfzxfaLObff//FU1OlQE66/vvvv8lXhxDi8Xhr166VbkzQSlC/zyS/RUT/95suap+GzorpIPpRkg4NNVLR6fTa2lqBQED8V8T0zUuohPp/s/AY9xfTTbSKitpfSUkJl30JJTJEx5T8Y0PHSCQnUu+B0LHQ7yCHwykuLpbw91ryPzlSdxC6hVCjkZFRZmam+HjED1hv8BLeXfIR8K+GhoZZWVniX6DMD8gfNkp+Ff5VQ0OjuLi43geU8A0IHaP/UnIKCgpkhg7/RaD+jaA2Ui+kHgt1EJMlFLq7+P5EfeswCvXEhZDo/+7jLDqC6OVCjaqqquXl5UishrJj9T6UbFGHra2tNTMzq/eHyeD7UVdXJ/SdBgAANB8dHZ2CggJLS8ukpCRIwLW8xYsXq6iorF+/Xoryw+XLl1+4cGHq1Kk3b94k5x021ujRo7du3fr69Wv8uy8QCM6cOcPhcKRL6jk5OamoqAQHB48ZMwa3REdHZ2dnL1iwQIrRhg8ffuzYsePHj1PXj0P/fW1D1jBduXLlB5p/iqRIwF28eHHHjh39+vXr379/586dORxOdXV1YmLi+fPn379/jxAaNWrUwIEDyf6BgYEDBgzgcrkDBgyYO3euvb09k8nMycm5f//+ixcv/vnnH/G327Nnz/3794uLi8eMGTN58mQ88bO0tPTjx4+3bt2Kj48vKipqSgKORqP16dMnLi7u6tWrR44cGTRoUFFRkaqqqrW1db392Wx2//79Y2NjY2Jili1bNnPmTAaDER8fv379+pKSEn19/ZycnMbG0LVr106dOn348CEsLGz69OlTpkzR0dH59OnT7t27Hz9+bGJi0mKzUAmCwCnRb1ZAiP4qekD9iL91xB9x2qXeC0WvFe3QUEujGutFBkmtyNDW1i4qKiI7UAcUKtygfmzolOiBUB+hDmIaRSGEOBxOaWmpUKNoN/EtLXYJ3rqEEPsnQeoDmV9ITX+I9hH/sbHtUnQDAADwE+jWrduRI0fkHQUAoLXQ1dXNz8/v3r07LAMnFzQazdPT8/fff6du6ymh7t27Hzp0aO7cudbW1m5ublZWVsrKygUFBS9fvpR8kGXLlp09e9bZ2XnBggXa2toREREPHjwICgpSVlZubDwIIQ6Hs2XLliVLlowdO3bYsGHZ2dmBgYHt2rXz8vKSYrTRo0fb2dktXbr0+fPn3bp1y8vLS0tLCw0NHTRo0NGjR2fMmDFp0qQPHz6cOHHCyMhIivHlRZopqHw+Pz4+Pj4+XvTUmDFjTp8+TW3p06dPSEjI1KlTq6qqAgMDAwMDyVMmJibfvJeJicmdO3dGjhyZnZ196tSpU6dOCXVo+jefq1atcnNzq62txRtHIIQ2bdrUUAIOIbRr1y57e/uqqqqdO3fiTScQQioqKhEREcHBwaIRSuLw4cPOzs5VVVVCz7hx40Yej7dx40YpxpRC9+7dIyMjiQbAGkAAAAAAAM1HkppKAACQFbICTmh2F2gxgYGBpqam6enpkuRGhMyaNcvGxiYgIOD+/fsREREEQejq6vbo0cPV1VXCETQ0NB4+fLhq1ardu3dXVFRYWVnhqYeNjYS0ePFiLS2tgICABQsWqKurjx07dtu2bdItL0ij0W7cuOHj43Pp0qVz585pa2v//vvvCKEpU6YkJiYePXr05s2bNjY24eHhhw4dKisrkzrmFtboBNzKlSsNDQ2jo6PfvXuXk5NTVVWlrKzctm3bvn37Tp8+3dHRUfQSd3f3Pn367Nmz59atWykpKdXV1Zqamv369Zs3b54kd+zZs2diYuLBgwcjIiI+fPhQWlqqrKxsbm4+aNCgGTNmNL1Q/5dffgkNDd2xY0diYmJlZaW5uTk5J7RevXr1SkhIWL9+/YMHD8rLy3V0dBwcHFavXt25c+fExETpEnADBgx48uSJv79/TExMfn4+m83u27fvwoULXVxczp07J+2TNZqCggKLxWqx2wEAAAAAABIk4AAALQlXwFlYWLTkt5xAyJw5c3755Rfplhi2sbE5e/ZsQ2f79esnND3T2tpaqMXAwKChDIbo5V5eXkLlbCdOnDhx4gS1ZerUqVOnTq13wFWrVq1atUrMgFwul3pWTU1t7969e/fuFRpn06ZNmzZtIj/+WJXj4lZE2rBhg0AgWL9+fQvGA+QmOjp627Ztd+7ckXcgAAAAAACt0YcPH9zd3fGiLgAA0AI4HM7Dhw9dXV1bbNUjIMrOzm7RokUTJ06UdyCg2clyF1QAAAAAAACAdFgsVkVFhbyjAAC0Ijo6OqWlpV++fOHxeIqKkByQj6ioKCMjoz59+piZmck7FtC8FOQdAAAAAAAAAACmoAIAWpqurq5AIKDRaJD9lyN1dXW8eejFixflHQtoXpCAAwAAAAAAQP4gAQcAaGGFhYVxcXGdOnXKyMiQdyyt2qhRo169erVgwYLMzEx5xwKaESTgAAAAAAAAkD86nS4QCGpra+UdCACgtejatStBEB07dvz48aO8YwHo1atXRkZG8o4CNCNIwAEAAAAAAPBdgGXgAAAtqUOHDtXV1R06dEhMTJR3LAC1adOmvLxcQUGhsrJS3rGAZgEJOAAAAAAAAL4LMAsVANCS2rRpk5ubCxVw3w8Wi/XmzRsOh5OamirvWIDsQQIOAAAAAACA7wIk4AAALUlPTy8vLw8q4L4r1tbWFy5cmDRpUmhoaGFhobzDAbIEOw0DAAAAAADwXYAEHACgJUEF3Pdp9OjReXl5EyZMUFdXNzY2HjduXF5enoaGhrzj+mGYmZnNnDlT3lHUAxJwAAAAAAAAfBcgAQcAaEm4Ak5VVZXNZmdnZxsYGMg7IvA/c+fOnTt37rt37w4cOPD582cej9emTRt5B/XDUFJSkncI9YMEHAAAAAAAAN8F2IQBANCScAIOIYSL4CAB972xsrI6ePCgvKMAMiMuAcdkMiMjI0tKSlosmpbH5/N5PF4TB6HT6TIJRr6ysrIUFGBNQAAAAAAAuYEKOABAS2Kz2bW1tVVVVYaGhvHx8Y6OjvKOCICfmbgE3MqVKxkMRouFIhcfPnw4deoUl8uVegRlZeWZM2daWlrKMCq5MDExmTBhgryjAAAAAABovSABBwBoYbgIztTUNDg4eO3atfIOB4Cf2TemoC5evLhl4pCXJ0+enD9/vikJOC6XW1dX99O/KAAAAAAA0NwgAQcAaGF4HwZPT8/Dhw/LOxYAfnKtfcqhlpZWXV1dU0YQCASXLl2SVTwAAAAAAKDVggQcAKCF4Qq4Nm3a1NbWFhYWyjscAH5mkIDTqq2tbeIgXC737du3MokHAAAAAAC0WrAJAwCgheEKOISQtbU1fFcLQLNq7Qk4DodTU1PTxEGqqqqgCA4AAAAAADQRVMABAFoYuREqJOAAaG6tPQGHEGKxWE0coba29uzZszIJBgAAAAAAtFqQgAMAtDBIwLUqjx49Igji6NGjDXX49ddfO3fuLBAIWjIqKfwocQqBBBxis9lNHyQjIwP/swUAAAAAAIB0IAEHAGhh5BRUKyurd+/eyTsc0KARI0YQBPH+/ftmvUtVVVVVVRWZ2MrIyDh+/HjThxUIBJs3b+bz+U0fChOK80cBCTikoaHR9EEIgggPD2/6OAAAAAAAoNWCBBwAoIVBBdwPoaCg4MaNGwRBnDp1qllvdPny5eTkZAWF/2WKQkJCZJKAe/Lkia+vrwwTcEJx/ih+sHCbg7a2dtMHqaysPH36dNPHAQAAAAAArRZswgAAaGF6enpfvnxBCGloaCgrK2dnZ8s7IlCPc+fO8fn8MWPGnDlzRoZprHqRWa2ampqwsDCZjBkSEiKTcah+uOwbggQcQkhXV1cm4zx8+LCurk4mQwEAAAAAgFYIKuAAAC3MwMAgMzMT/8tTVVWVkJAg74hAPYKDg/v06TN58uTMzMx79+5RTw0bNmzKlCnp6elDhw6l0+m+vr64/e7duw4ODmpqamw2u0+fPrGxseQlAoFg+fLlbdq0YbPZAwcOfPz4MXU0Q0NDhFBmZmbnzp2fPn368OFDgiAIgnj27Bnuc/DgwQ4dOjAYjPbt2x84cIAaTEVFxbJly0xNTRkMhpGR0dy5cxFCzs7Oe/bsQQgpKSkRBBEQEIA7P3jwwMHBQVVVlc1mu7m5/fPPP+Q4eK26+Ph4T09PHR0dMXGSgoKCunbtymQydXR0hg0bhus6nz59+uuvv+rp6SkpKRkYGKxZs6a6ulra3wQZgAQcMjAwkMk4bDb7ypUrMhkKAAAAAAC0QpCAAwC0MBUVFUtLS1z41r17d6gp+Q59/Pjx6dOn7u7uw4YNU1FRCQ4OFuqQlpY2YsQIFovl5+fn6uqKELp9+7azs3NaWpqnp+fy5cu1tLQ0NTXJ/v7+/g8fPvTx8fH19X3//r2Tk1NhYaHQmBwO58SJE8bGxl26dImNjY2Nje3YsSO+1svLy97efv/+/V26dPHy8tqxYwe+hM/nu7m57dy5s3fv3n5+fqNGjWIymQihDRs2jBo1CiEUExMTGxvr4eGBj4cOHVpeXr5161Y/P7/k5OT+/ft/+PCBGsPo0aPLy8sjIyPPnz+fl5dXb5zYunXrPD09VVVVV69ePWvWLIFAgGc6JiQkpKamenl5HT58ePDgwdu2bfP395f+d6LpBPNM6vkAACAASURBVK3e1q1baTSaTF6mu7u7vJ8GAAAAAAD8qLKysgwMDOQdBQCgdXFwcLh7965AIFizZs2WLVvkHQ4QtmbNGoRQSkqKQCAYP368qqpqRUUFedbFxQUhtHz5cuol3bp109bWLiwsFBoKVzi2a9eOy+XiFrwj6oULF8jR2rZtS/bv0KFD//79yY+FhYVMJnPevHlki52dnbq6enV1tUAguHz5MkJow4YNoo+AS+Fqa2vJll69ehkaGlZWVpIjq6mpjRw5khqnjY0N2f/8+fMNxZmbm0un04cOHcrj8Rp4hQKBQMDn8zt06ID3TpUXqIBD2traDAZDJkNFRUXJZBwAAAAAANAKwRpwAICWZ2BggCvgbGxsXrx4Ie9wwP8hEAjOnDljY2NjZmaGEBo/fnx5eTlOdVGtWrWKPM7JyXn9+vWECROoVW9U7u7uZA6kR48eCCG8E+433blzh8vlOjk5Ff+nb9++JSUlePsOnA/x9PT85ji5ubnPnj0bO3assrIybtHU1HRzc7tx4waXyyW7ubm5kcft27dvKM67d+/W1NTMmTNHfGUVQRCdOnUqKiqS5EmbCSTgkJaWlqKiokyGotPp1JnVAAAAAAAASA6moAIAWh4k4L5nDx48SE9PHzBgQFJSUlJSUocOHZSUlIT2QtXW1qbm2jIyMhBCOGFXr7Zt25LHdDodISTh1OPU1FSEkLu7u8Z/du/ejRD6+vUrvi+LxdLR0fnmOGlpaQghY2NjaqOxsXFNTU1WVhbZoqenRx7jpE29cYp53pSUlN9++61du3YcDkdVVTUyMlIgEEjypM1ENomnH5qWlpasts8oLS29cOHCwIEDZTIaAAAAAABoVWg0mpKSEpfLxevmAABACzAwMEhPT0cImZmZFRcXf/36VUNDQ95Bgf/Buba9e/fu3buXbIyOjs7JydHX18cfhab04RyTmHl+Uv8Xg0cOCgrq0KEDtb1bt274rISTCwmCkKQbTg5KGJXorb9+/WpnZ4cQ2rx5c79+/ZhM5pw5c96/fy/JmM0EEnBIS0tLVvv48vn8M2fO7Nu3TyajAQAAAACA1gYXwUECDgDQYgwMDMjNT3ER3JAhQ+QbEsC4XG5oaGiPHj3wMnBYYmLi2rVrz5w5s2zZsnqvwtuD4ioz2TIxMUEIcTicwYMH13vfW7dulZSUqKurix8HV6vhyjVSRkaGkpIStTpPQuTzdunShdoeFRWVl5d3/Pjx3377DbfIfZEHmIKKtLS0eDyerEYT/LdeIAAAAAAAAI0Fs1ABAC2MnIKKYBbqdyYiIqK0tNTLy2scxapVq/T19UX3QiUZGhpaW1tfvHixpKSkiQGoqKgUFxeTH52cnJhM5qFDh6hTQcl6puHDhwsEguPHj9c7DkKIHEpXV9fOzi4sLKyyshK3fP369dq1a46OjlL8/GnIkCFKSkrHjx8Xml6KP3I4HPwxLS3tzZs3jR1ctqACDmlpaVVXV8tqtNLS0uDgYFtbW1kNCAAAAAAAWg/YhwEA0MKoCTgNDY2IiIjly5fLNySABQcHq6mpTZw4kdpIo9GmTZu2ffv2169f47mforZv3+7m5tarVy8PDw8Gg/Hq1avp06dT9zSQkK2t7cGDB7ds2WJoaDhw4EBzc/NNmzYtX77czs7Ozc1NRUXl48ePSUlJ9+7dQwiNHj3azs5u6dKlz58/79atW15eXlpaWmhoKB5n9+7dixcvdnV1NTIyGjhw4M6dOwcPHjxw4MBp06bV1dUdPXq0trbW399firekr6/v7e29fft2BwcHFxeXysrK2NjYsLAwW1tbOp2+du3asrKy4uLiwMDA9u3b5+XlSXELWYEKOESn02W1CQNCiM/nnzt3TlajAQAAAACAVoVOp+PVrAEAoGW0bduWXPneyckpKSlJvvEALD8//+bNm5MmTWKxWEKnZs6cif5bHq5erq6uUVFRenp6AQEBmzZtyszMNDU1lSKGdevWOTk5bd682dvbu6CgACG0bNmy06dP83i8LVu2+Pj4PHr0aPTo0bgzjUa7ceOGl5dXTEzM6tWrT58+TS4VN27cOE9Pz6tXr86aNevly5cIoX79+t2/f19DQ2PNmjV+fn7GxsaxsbHdu3eXIkiE0LZt2wIDA/Py8vz8/Pbu3cvhcFRUVMzNzc+cOcPj8WbPnr1///7NmzevWLFCuvFlhZDvHhDfCW1t7cLCQlmNxuFwrl+/DkVwAAAAAACgsQwMDFatWrVw4UJ5BwIAaEW0tLQ+f/6Md9KkHgMAZAgq4BCizAqWCTwLVYYDAgAAAACAVqJv377GxsbyjgIA0LpQZ6Ha2dnFx8fLNx4AfkqQgEMIIdlm92EWKgAAAAAAkI6amlpZWZm8owAAtC7UBJytre13uK/go0ePCII4evRocwx+6NAhDQ2NT58+NcfgAJAgAYcQQjo6OrIdEPZCBQAAAAAAUoAEHACg5TUxATd48GCCINq1ayd6KikpiSAIgiCkW1+/ZdTU1HC5XB6PJ+9AwE8OEnAIIdSmTRvZDgizUAEAAAAAgBRUVVXLy8vlHQUAoHVpegUci8VKSkp6/vy5UHtISIjoHgLfm0WLFpWXl3fu3FnegYCfHCTgEELIwMBAtgPCLFQAAAAAACAFqIADALQ8VVXVDx8+4GMmk2liYnL16tVGjTBgwAAGgyH6XfC5c+eGDRsmmyibE41Gk3cI4OcHCTiEENLR0WEwGLIdk8/nP3r0SLZjAgAAAACAnxsk4AAALY8giMePH5MfHR0dt2/f3tgRhg0bduHCBYFAQDa+efPm/fv3I0eOFOpcWVm5ZMkSfX19JpPZr1+/e/fuUc+mpKRMmjRJR0dHWVm5Y8eOQUFB5CmBQLB8+fI2bdqw2eyBAwdSY3769Omvv/6qp6enpKRkYGCwZs2a6upq8iyTydy3b9/OnTvNzc2VlZWtrKxOnjxJnvX39ycIIikpiWy5e/eug4ODmpoam83u06dPbGwsQigzM3Pp0qUWFhZ0Ol1DQ+PXX3/NzMxs1FsCrZyivAP4LmhpaSkpKVH/fjZdWVnZqVOn+vXrJ8MxAQAAAADAzw2moAIAWp6Li8uFCxfIj1u3bjUzM2vUCJWVldOnT4+IiIiPj+/fvz9uPHfunKmpaa9evYQ6u7u7x8XFLV26VFdX9+jRo87Ozvfv37ezs0MI5ebm2tralpeXT58+3djY+O3bt9RaGX9/fz09PR8fHy6X6+/v7+TklJqaqqWlhRBKSEhITU318vIyNDS8ffv2tm3bGAyGn58fee2mTZtMTEz++usvFRWVbdu2zZgxQ19f39nZWfRZbt++PXz4cCMjI09PTzU1tfj4eLxtY2FhYXh4+Lhx4zp06JCUlBQQEJCTkyOUPQRADEjAIYSQtra2zCtO8SzUgwcPynZYAAAAAADwE4MKOABAyzMyMvry5Qv5kcPhWFhYPH/+vGfPnhKOwOfzR4wYoaKicu7cOTIBd/78+YkTJ/L5fGrPqKioGzdunDt3buLEiQghDw8PIyOjzZs3X79+HSHk7++fn59///59e3t70bvQaLR79+7hlJympuasWbPu3r07fvx4hNDChQsXLlyIu82YMePFixcXLlygJuBKSkqioqK0tbURQsePH9fT04uIiKg3Abd8+XINDY3nz5/jvBupW7duycnJ5MfCwsLDhw8XFBTIfFNH8LOCBBxCCOGUuczhWahQBAcAAAAAACQECTgAQMvT1tYuLy/ncrlMJhO3DB069M6dO5In4AQCAYvFGjFiRGho6J49exQUFB4/fpySkuLh4SHUMzIyUkFBwd7evri4GCFEEETnzp3j4uLw2aioqM6dO9ebfUMIubu7kwVxPXr0QAjl5uaKdiMIolOnTkJLQvXr1w9n3xBCurq66urq9V6bk5Pz+vXr+fPnC2XfROFNG4qKimSSgHv27NnVq1cFAsG///77zVsDGTIzM5s5c2bL3AsScAghpKWlVVdXJ/NhYRYqAAAAAABoFEjAAQDkAhfBtWvXDn8cOnTo9u3bV65c2ahBJk2adP78+Xv37g0ZMuTcuXOdOnXq1q3b27dvqX1SU1P5fL7oRoh1dXU0Gi0jI2PIkCENjd+2bVvymE6n46vwx5SUlE2bNsXFxRUUFPB4PC6XS6bbMD09PepHRUXFepMAGRkZCKF6Z+CWl5f7+/tHRkZmZmZWV1fX1tYihKhr3jXW27dv/f3909PTHz16pKGhYWRk1L17dzU1NZmvUA/EUFJSarF7QQIOIYS0tLRqampkPizMQgUAAAAAAI0Ca8ABAOTC0NAwMzOTTMANGTLEycmpsYMMHz5cXV393LlzDg4OFy5cmDt3rmgfgUDAZDKjoqKE2hUUFPBZMeknskBPyNevX/EScps3b+7Xrx+TyZwzZ8779++pfXDC7ptwQq3eGNzd3aOjo319fUeMGMFms48dO+bv7y/JmKLi4+NfvHixbt264cOHT5w4cf/+/d26dZNuKPADgQQcQgix2Wwej9ccI8MsVAAAAAAAIDmogAMAyIXQMnAEQVhYWAQGBi5evFjyQRgMxujRoy9dujRhwoTs7GzR+acIIRMTEy6X26lTJ6GSNMzQ0DAtLa2xwUdFReXl5R0/fvy3337DLRUVFY0dhAwAISQaQ3Z29q1bt6ZNm0auKye0tp3k5s+fHxkZqaWlFRkZSa6XB1oDBXkH8L1gsViSdyYIQsKeZWVlwcHBUkUEAAAAAABaHUjAAQDkQigBhxDy8/N78eJFY8eZNGlSUVGRl5eXjY0NWU9HNXLkSITQvn37qI1kMmv48OEvX758/vx5o26Ky9Y4HA7+mJaW9ubNm8ZGjhkaGlpbW1+8eLGkpETMLXg8Ht41orG2bdv28ePHkydPvnr1CrJvrQ1UwP2Purq65F/rEAQh4UxvPp8fEhJy4MCBJoQGAAAAAABaC5iCCgCQCyMjo5cvX1Jb3N3d582bd+rUqUaNM3ToUG1t7U+fPu3YsaPeDq6urm5ublu2bPn48WPfvn15PN6zZ8/MzMwCAgIQQitXrgwJCXFwcPj999/19fWTk5MNDAyom5nWy9bWlk6nr127tqysrLi4ODAwsH379nl5eY2KnLR9+3Y3N7devXp5eHgwGIxXr15Nnz7dzc3N0tLyxIkTlpaWLBbr5MmTioqNS6e8efNm//79cXFxz549U1FRkS428EODCrj/0dDQkLwzjUaTfKE+gUAgtP0KAAAAAAAA9VJRUeFyuVLPbAIAAOmIVsCpqKjY29vfuHGjUeMoKiq6u7sTBDFx4sR6OxAEERYWtm7dupcvX65Zs2br1q35+fkuLi74rKGhYXx8vJOT08mTJ318fGJiYvBmo+KZm5ufOXOGx+PNnj17//79mzdvXrFiRaPCpnJ1dY2KitLT0wsICNi0aVNmZqapqSlCKCwsrEuXLitXrlyzZk3//v3Dw8MlH3PLli3Hjh2Ljo5+//49ZN9aLUkruX56jo6O9+7dk7AzjUZDlP1WxFNQUJg3bx4UwQEAAAAAAElwOJz09HR1dXV5BwIAaEX++eefyZMnC83cDAgIePToUWhoqLyi+jls2bLlyZMnnTp1knrTBvBzgAq4/9HV1ZW8c11dHXX/Y/HwLFSpggIAAAAAAK0OzEIFALQ80Qo4hJCnp2dYWBhU7TRFZmZmXFxcjx49IPsGIAH3PwYGBo3q365dO8l/LAmzUAEAAAAAgIRgHwYAQMvj/D/27jwg5vz/A/h7aq6O6ZqmKVO6Q46IRcixJGzWEetq3azIHdKiXFuusqhQch9ft13XIqzsOtYtd0KIIt3XVDO/Pz6/73xnp5r5VFNTeT7+ms/n85r3+/X5TBiv3oeRUUlJiUL1X09Pb9q0aZGRkZrKqgGIiopq2bJlcHCwRnrncrk+Pj7U6+vXrzMYjJiYGFlixsbGz58/10hiGiEWi21tbadMmaKpBFCA+39CoZCaWEqTvr5+cXExzeDs7GzshQoAAAAAdKAABwAaUe4guGnTpmE9pSqbMGHCsWPHVq9eXeUWgoODGf/FZrOtrKyGDRtW5T1e5YnF4sLCwpKSkuo3JS82NrZly5Z6eno2NjaBgYFqb79Srly5cvHiRdmhVCotKCgoKCjQVD4owP0/U1NTDodDPz4jI8PHx0dLi9YDxCxUAAAAAKAJU1ABQCOSk5OvXbumcLJZs2ZMJvPYsWMaSaleu3r16uPHjx8/flz9poKCgjZu3LhixYpvv/32xIkTnTt3fvXqVTXbnDlzZm5uLp09Lui7cOHChAkTRCLRxo0bv/3225CQkPXr16ux/coKCQmRL8BxOJz379/v3LlTU/mgAPf/+Hx+pXYRzszMnDp1Ko/HoxmPWagAAAAAQAdGwAGARri7u5e7NNPChQtnzJhR+/nUaykpKV27di1b0KwaHx8fPz+/+fPn79y5MyYmJjc3d+/evdVvtlKzAOk4f/48tcvt+PHjY2Nj7ezsLly4oN4u6Pv48WPZnTbVfsuVggLc/+Pz+TSHs1Gys7NdXFyMjY3px2MWKgAAAACohAIcAGiEra1tueOqRowY0bdv3+jo6NpPqf7q27fvnTt3aqLlHj16EEI+fvwoO/Pq1Stvb28DAwMDA4PBgwfTHBwXGhrKYDASExNlZzZv3tyqVSsulysQCPr06ZOamkoIeffu3dy5c+3t7dlstrGx8ciRI9+9e1dRm4aGhlKpNCsrixCSnp6elpZmaWmpPA0ul7tx48Z169bZ2dnp6Og0b95cfoRafn5+WFiYq6srl8vV1dVVqGm2a9euXbt28q11797dwcGBEHL27NkmTZoUFRWtXLmSwWDo6+vLupMtikcIycvL8/f3t7Gx4XA4VlZWP/30E3X+0KFDvXr1MjQ0ZLPZzZo1U9egORTg/h+fz5dIJPTj8/LyCCF+fn5cLpdOvEQiOXDgQBWTAwAAAICvBqagAoBGVFSAI4QwGIxp06bVcj71V/fu3du0adO6deuaaDw5OZkQIps6+vnzZ3d39/v3769YsWLRokVXr17t2rVrRkZGZZtdsmSJr6+vvr7+woULJ06cKJVKTU1NCSHp6enHjx8fMmTI5s2bfX19Dx8+/OOPP1bUyPDhwzkczowZM548eeLh4aGrq7t48WKVXS9fvvzAgQNbtmy5cOGCra3t2LFjz507R13S1taOiYlp167d+vXrV65cmZiY2L9//y9fvqhss2XLltQQqNGjR8fHx58/f75sjEQi8fLyWrdu3TfffBMUFDRgwABZeefw4cMGBgZLliyJjIw0MDAYO3bs5cuXVXaqUiUmXTZsfD6f/qYK5L8FuAkTJvz888803yKRSK5fv96xY8eq5AcAAAAAXweMgAMAjbC1tb1582a5l7Zs2bJjx46//vqrc+fOtZxVvXP8+PHi4uIdO3aosc3Pnz/r6+sXFRU9e/Zs/vz5bdu2HTduHHVpzZo1nz9/fv78eePGjQkhbdq06d27d3R09Pz58+m3n5qaumrVql69ep09e1ZhkqaLi8vLly9lh+np6Vu3bv306ZNAICjbjp2d3YoVK+bNm3f06FE3N7dr165ZW1ur7D0rK+vMmTNUvS82NlYoFJ44caJ3796EEA6HI7+Inrm5+ciRI+Pi4oYOHaq8TZFIRN2IlZVVly5dyo357bffLl++vHTp0iVLlihc+s9//iN73a9fP5FIdOjQoe7du6u8F+VQgPt/fD6/qKiIfnxhYSEhxMjIqGPHjn/++Sedt1CzUFGAAwAAAAAlUIADAI2ws7NLSkqq6GpRUZGWltaAAQM4HI6jo2NtJlaPPHv27Pjx45mZmept1s3NTfZ65MiRGzdu1NHRoQ5///33Vq1aGRgYUJ22atWKwWBcvXq1UgW4ixcvisXiyZMnq1wijRp59+XLl3ILcH/++Wd4eLiBgUF2drabm5udnR11/u3bt6mpqa6uruUu/NWxY0eq+kYIMTMzMzQ0lJ9gW27vtO9MmTNnzhBCfH19lYc1atTIyMhILZ2iAPf/mEwmm82mymp0aGtr5+fn6+rqzpgx4+7du9nZ2SrfQs1CxRbOAAAAAKAEj8d7+/atprMAgK+OkimolJKSkvDw8OjoaJFIRH899K9KQUEBk8nU1dVVb7OxsbEWFhYSieTdu3dRUVEtWrT47bffqOXPXr16VVhYqPBxVHYKKjWt1dbWtuyl3Nzc0NDQ33///d27d0VFRdTEQalUWjYyISGhb9++bdq0+e2330JDQ9euXUvN4iSEjBo1KiUlRX69OXlCoVD+kMlklpaWyg6jo6N37dr1/Pnz/Px86ny5vVdBcnKynp5euZXES5cuhYWF3bt3LysrSyKR5OXlqaVTFOD+h8fj0S/AsVisrKwsXV3dwYMHjxw5kua7MAsVAAAAAJTDGnAAoBHGxsYSiSQrK8vQ0LDcAC0trblz586dO1cqlTIYjFpOr76Ijo4eN27c9u3b1dimu7s7tbcAIWT48OE2NjYzZ87866+/CCFSqbRjx44hISHy8RV9ghWhqkscDqfsJW9v77i4uMWLF/fv39/AwGDbtm2hoaHlNhIeHl5YWLh//34+n79mzZqcnJygoCBDQ8POnTvHx8f/8ssvFfXOZrMruhQSEhIYGDhs2LBffvnF3Nz80aNHgwYNUnIjBQUFSq4qkEql5d5yfHy8h4dH69atIyMjnZyctLW1XVxc6DerBApw/2NkZPTp0yeawUwmMysry8LCghDi4+Ozfft2Ons4YBYqAAAAACiHKagAoCnUIDiVuweg+qbEpEmTli5dunjx4uXLl9dE+wYGBvb29rKV0aytrTMzM6u5PBm1V+nr169btmwpfz4lJeXcuXOjR48OCgqiziipe7x8+dLY2Jhaio4QEhkZmZOTM3v2bFNTUwcHh5kzZ1Yhse3bt9va2u7bt4+au6owhk5bW1uh4vb27Vv6ww8tLS3PnTtXtuK8e/fu0tLSQ4cOUUMC8/PzK1XXUwK7oP6PiYkJ/WAGgyGbdjp16lQej0fnXdgLFQAAAACUQwEOADRF5SxUoOPdu3cRERFV2IqUjvfv3z958kS2ucH333//9OnTCxcuyMfQGR4kr2fPniwWKzY2VmGiJXVoZGREHZaUlJw+fbqiRiwsLL58+SLbsUFLS+uXX37hcrmfPn2aOnVq1ablSqVSHo8nWznuxIkT8lfNzMySkpJk/2Jevnz5w4cPsqtUj0rW4+vbt69UKo2NjS3bKZG7699++01dk14xAu5/zMzMKhWflZVFvXB1dTU0NJQdKodZqAAAAACgBKagAoCmoACnLgYGBj169Lh3755aWtu/f79AIJBKpe/fv4+JicnLywsMDKQuBQQEHDp0qH///uPHj3d0dMzIyLh06dLMmTO9vb3pt29hYTFnzpxVq1b16NHD09MzPz8/Pj7+yJEjIpHIwcFhx44dDg4Oenp6O3fuZDIrLCLNmzfvyJEj33333YIFC0xNTW/fvr1x40Y+n29lZRUQENCiRQsPD4/K3ni3bt22bdu2YMGCVq1axcXF3b17V/7qqFGjTp482b9/f2qNuYiICNm2D4QQAwMDZ2fnAwcOtG7duqSkZOLEiQrJDxw4sFOnTnPnzr19+7aLi0tqaurr168PHz7crVu3mJiYsWPHDh8+/MmTJzt27LCysqps5uVCAe5/zM3N6QdLpVL5itvUqVODg4PpLCGXnZ29b98+FOAAAAAAoFwYAQcAmmJra/vs2TNNZ9EQnD592tvbOyMjQy27VVBbGRBChEJhq1atAgMDZXNO+Xz+tWvXAgMDDx8+nJ6ebmxs3KVLF5WTiMsKCQmxsLDYvHlzUFCQjo5Ojx49qBFkR44cmTp16oIFCwwMDMaPH//TTz/Z2NiU24Krq2tcXNyyZcsWLFiQk5NjbW39008/zZs3j8Vi9e7de9CgQRcuXKhsJWT16tW5ublbtmyRSCR9+/Y9d+5cp06dZFeHDRuWnJy8ZcuW6dOn29vbb9my5Z9//jl48KAsICYmZvLkyVOnThWJRBMmTFBoXFtb++zZsz///PPRo0cPHDhgampKxfj4+Dx79iwmJuaPP/5wdXU9fvx4VFSUWv5dZqhrKF0DEBQUtGzZMprBenp6GzZsGD9+PHX4+fNnKysrmns4GBgY0BwuBwAAAABfm4sXLw4ZMuTLly+aTgQAvjoHDhxYtWqVwjgjqJqpU6deuXIlISFB04lAXYE14P7H2tpaR0eHZrBYLJaf0W1qatq2bVua79XS0lKYoQ0AAAAAQDEzM6P/pRQAQI3c3d0fPHig6SwaiMjISC8vr9mzZ2s6EagrUID7Hz09PSXzmRUUFxcr/Fpy5syZBgYGdN6bmZkZGRlZ6fwAAAAA4CsgEAhKS0s1nQUAfI1EIpG1tTWWgVOX0NDQnJycqVOnYmIvEBTg5Jmammpra9OPT0tLkz8cOnQo/b1pjx07VonMAAAAAOCrYWBgkJ2dreksAOAr5eTk9Pz5c01n0XDExMRkZ2cHBQXt3LlT07mAhqEA9z8CgaBSm/V++vRJ4czIkSMZDAad9/J4vN27d1ciOQAAAAD4Oujo6BQXF5eUlGg6EQD4GqEAp3Z79uxhMpm//fZbnz59NJ0LaBIKcP8jEAgq9UWn7Mq4fn5+NLdSzcnJ2bhxYyWSAwAAAICvBgbBAYCmoABXE/bs2TNr1qzs7Gxvb29N5wIagwLc/5iamtLcxpQivwkDpV27dlwul+bbExISUlNT6XcHAAAAAF8JHo+Xk5Oj6SwA4Gvk6Oj44sULTWfRALm7u//999/W1tbDhg1LTk7WdDqgASjA/Q+LxWKz2fTjy/215Pz58/X09Oi8XSqVbt++nX53AAAAAPCVwAg4ANAUjICrUStXrkxJSfn2229//PHHjx8/ajodqFUowP2LoaEh/eByfy05ZcqU/Px8Om8vLCzcvHkz/e4AAAAA4CuBAhwA/TsRaAAAIABJREFUaIqtre3bt2+xDGUN0dHRiY+Pnz9/Pp/Pd3FxCQ0NvXz5sqaTglrC1HQCdYuxsTH9aaEVFdpGjx69e/duOvs5ZGZm3r9/38XFpRIpAgAAAEBDhwIcAGgQNQjO2dlZ04k0WJMnT5ZIJJ06dfrll1927tz5/Pnzjh07ikQiFxeXT58+8Xg8TScIqtna2o4fP75Sb0EB7l/MzMyePn1KM1gsFpeWlmprayuc9/f3P3bsGJ3vTLm5uVu3bo2IiKh0ogAAAADQcKEABwAahAJcLdDS0vrhhx9++OGH9PT0rKysuLi4s2fPPnnyxNDQkMPhaDo7UI3FYlX2LSjA/QvNPUwpHA4nMzOTz+crnG/RooWVldWjR49UtlBaWrpr1y4U4AAAAABAHgpwAKBBBQUFV69eHThwoKYT+Srw+Xw+n29nZzdp0iRN5wI1C2vA/YtIJKIfzGKxMjMzy70UEBBAc9Sotrb2H3/8Qb9TAAAAAGjwUIADAA0SiURZWVmazgKgoUEB7l8aNWpEfxihlpZWRQU4Hx8fsVhMp5GsrCyMgAMAAAAAeSjAAYAG9ejRo7CwUNNZADQ0KMD9i0AgYLPZNIMZDEZFBThCyKRJk5hMWjN8T548SWfHBgAAAAD4SqAABwAa1LJly4cPH2o6C4CGBgW4fzE1NaVZNSOESCQSJQW4OXPmcLlcOu3o6ent3LmTZqcAAAAA0OChAAcAGtSiRYuEhARNZwHQ0KAA9y8CgYB+cGlpqZICnK2tLc1dY3Jzczdt2kS/XwAAAABo2Hg8Xk5OjqazAICvlLa2tpOT05MnTzSdCECDggLcvwgEgtLSUprBYrFYSQGOEBIQEGBgYECnqadPn6akpNDsFwAAAAAaNoyAAwDNwixUALVDAe5fBAJBUVERzeDi4uKMjAwlAYMGDaLZVGlp6bZt22gGAwAAAEDDhgIcAGhW7c9CFYvFtra2U6ZMkZ3JyckZN26cQCDQ19cfP358VFSUsbHx8+fPlbdz9+5dQ0PDvXv3qisNAHVBAe5f9PX1pVIp/fi0tDTlAdOmTaOzq0NRUdHWrVvp9wsAAAAADRgKcACgWZUdAVdaWhoTE+Pu7m5sbMzlcp2cnPz9/T9//ky/BalUWlBQUFBQIDsTGhq6Y8eOcePGbdq0ydvbWywWFxYWlpSUKG+npKSkoKCA/sAalWkAqAujUvWmr4GJiYnycW3yBg0adPToUSUBaWlpNjY2dP70GhoaxsXFtW3blmbXAAAAANBQvX37tnPnzsnJyZpOBAC+Ui9fvuzcuXNKSoqWlupRO2KxeODAgWfOnHF2du7Xr5+enl5CQsKJEycEAsGlS5eaNGlCs9PS0lJtbW3ZYc+ePZ8+ffr+/fuKAmi2U1nVfDtARTACTpGxsTH94PT0dOUBZmZmbm5udJrKycnZsmUL/a4BAAAAoKHCCDgA0Cx7e3tCyOXLl+kEh4SEnDlzxs/P7+HDh2vWrAkODj58+HB8fHx2dvYPP/wgkUhodqpQ9srLy1P47znNulg1y2eovkENQQFOEZ/Ppx9MZ6xcQECAoaGhyjCJRFLlaeoAAAAA0JCgAAcAGjd69Ojbt2+rDCsuLt60aZONjU1YWJj8cLmOHTvOmzfvwYMHf/zxB3UmNDTU0NDw5MmTHTp00NXV5fP5kydPlt/xmcvl+vj4EELi4uLat29/48aNR48eMRgMJpNJvZ3BYCQmJsriL1682KNHDx6PZ2Bg0L59+/j4eELI9evXGQxGTEwMFfPPP/+MHDlSKBSyWKxGjRoFBgbKz07lcrkxMTEXL15s3ry5lpZWXFycfBqEkPz8/LCwMFdXVy6Xq6ur27Vr12vXrlXxgcJXDwU4Rebm5vSD6Xwx8vDwoLMMHCGExWKdOnWKfu8AAAAA0CAxGAw9Pb3c3FxNJwIAX6+OHTtev35dZdjDhw8/f/48aNAgFoulcGno0KGEEFkBjhCSnZ09ZMiQoUOHxsXFLVu2bPfu3cOHDy/bpqOjY1hYmLOzs62tbXx8/J9//lk25vz587179379+rWvr++8efP4fL6JiUnZsGvXrr169crPz2/r1q3du3cPCQkJDQ2VD7hy5crQoUM7d+68bNmyFi1aKLxdW1s7JiamXbt269evX7lyZWJiYv/+/b98+aLysQCUxdR0AnVOo0aN6AfLV+uVmD179rJlywoLC5WHZWVlRUREfPfdd/QTAAAAAIAGiRoEp6+vr+lEAOAr1aFDhxkzZqgMe/nyJSGkadOmZS85OTkxGIxnz57Jn5w7d66/vz8hxM3N7fPnz8HBwbdv31ZYDL1x48aNGzfm8XgMBqNLly7l9jtv3jxjY+Pbt2+XW3eTmTFjhuwuxo4de+fOnYMHDwYFBckCdu/eferUqX79+pX7dg6H8/jxY9mhubn5yJEj4+LiqNoiQKVgBJwikUjEYDBoBufl5dEJmz59emlpKZ3Ic+fOicVimr0DAAAAQEOFWagAoFkikYgQIr8HQrmo/xTr6uqWvcRkMlkslsJgXg8PD9lrqux18eLFyub24cOH+/fv//DDD8qrbwoYDEazZs0Uxq+1bNmyoupbWc7OzoQQjICDqkEBTpGZmRmXy6UZLBaL6awoqa+v37dvXzoNcrncXbt20ewdAAAAABoqFOAAQOM6dOhw48YN5THUQN20tLSyl7KyssRiMY/Hkz9pYWGh8Fplja8sapNoW1tblZFJSUnjxo1zdHQ0MjLS19f//fffpVKpfIDKTVqjo6Pd3d2FQiGPx6O2WFRoAYAmFOAUCQSCsnPXK8LhcDIzM+lELliwgM5WDHl5eZs2baLZOwAAAAA0VDwej+ZqJwAANYTOMnDUfqlv3rwpe4kqkylUuOT3QKjy9C+qBMbhcJSHZWRkdOrU6cyZMwsWLLh69eq9e/e6du2qEKO8kZCQkMmTJ4tEosOHD9+5c2ffvn1VSxiAoABXlqmpqfzWLcoxmUyaBbhOnTrRKcARQhITE6m/pwAAAADgq4URcACgcR8/fjx+/LjymJYtWwoEgt9++62kpETh0tGjRwkhnp6e8idTUlJkr6mynaWlZWUTo97y+vVr5WFnzpxJTU0NCQmZOHFiixYtHBwcaK4iJbN9+3ZbW9t9+/a5u7s7OjqqLPkBKIECnCKBQEBnVilFW1ubZgGOEDJv3rxyJ8YrKC4ujo2NpdkmAAAAADRI+vr6GRkZms4CAL5qgwcP1tPTUx7DZDL9/Pxev349b948+bmZN27cWLNmjYuLS+/eveXjDxw4IHu9f/9+Qsi3335b2cQsLS1btGhx6NChrKwsJWFUPkZGRtTh69evHzx4UKmOpFIpj8eTjdE5ceJEZVMFkMEuqIoEAgH9cbAMBoN+AW7atGnTp09XGSYWi7du3RocHEyzWQAAAABoeF68eJGVlTV+/HhNJwIAX6/OnTsnJibm5uYq35E5ICDg77//Xr9+/aVLl/r166enp/fgwYOjR48KBIIDBw4ozDBLSkoaM2ZMly5dbt++HR0d/d1337m6ulYht1WrVnl5ebVr127EiBEcDufevXtjxozx8vKSj3Fzc2Oz2YsWLcrJycnMzFy/fr2Tk1Nqair9Xrp167Zt27YFCxa0atUqLi7u7t27VUgVgIIRcIpMTU0LCwtpBkskEvoFOAaDMWrUKDpbrObn59+8eZNmswAAAADQ8AwZMsTR0VHTWQDA1653797nzp1THsNms0+dOhUREaGjoxMREbF8+fI7d+74+fndv3+/adOmCsGbNm2SSCRz5849ePDgxIkTqUFwVdCvX78zZ84IhcK1a9cuX7783bt3NjY2CjF2dnZ79+4tKSmZNGnSpk2bVqxYMX/+/Er1snr16mHDhm3ZssXX1zcvL+/cuXMODg5VSxiAgf07yuLxeAo7JVdET09vw4YN9H8zee/eve7duysfJUsIYTAY48aN27ZtG81mAQAAAKCBiYmJuXHjRnR0tKYTAYCv2pYtW+7evbt58+bqNxUaGrpw4cIXL16ghgVfJ4yAKwfN3RIIIcXFxfRHwBFCWrduLb/pckWkUml8fDz9ZgEAAACggTEyMqrU90wAgJrg6en5xx9/aDoLgIYABbhymJiY0IwUi8VfvnypVOMBAQHK589TUlNT5RenBAAAAICviqGhocppEwAANc3Gxub9+/dYIgmg+lCAK4eZmRn94LS0tEo1PmbMmKKiIpVh2dnZq1evrlTLAAAAANBgYAQcANQRs2bNOn/+vKazAKj3UIArB51ZojKfP3+ubPsTJkzQ1tZWGZaYmPjixYvKNg4AAAAADQBGwAFAHTFp0qSdO3dWv52AgACpVIoF4OCrhQJcOSwtLekHp6enV7b9OXPm6OrqqgzLz89fv359ZRsHAAAAgAYAI+AAoI5wdHQ0NzfHMuUA1YQCXDnMzc05HA7N4IyMjMq27+jo2KNHD5VhpaWlMTExlW0cAAAAABoAjIADgLrDyspqyZIlms4CoH5DAa4cAoGAxWLRDM7Ozq5CF76+vnT2WuVwOHv27KlC+wAAAABQr3E4HAaDUVhYqOlEAADI3r177969W4XpXwAggwJcOUxNTems0UbJycmpQhd9+vShU4DLycnBVgwAAAAAXycMggOAuuPgwYODBg3SdBYA9RhT0wnURQKBgH5wfn5+1XoJCgqaOXNmbm6u8rA3b948efKkWbNmVesFAAAAAOopahk4oVCo6UQAAEjv3r137NjRrFmzDh06WFlZaTqdusXW1nb8+PGazgLqOhTgyiEQCEpKSmgGFxYWSqVSBoNR2V7Gjx8/Y8YMlWG5ublhYWHR0dGVbR8AAAAA6jWMgAOAOmXfvn0HDx6cP3/+sGHDeDyeptOpQ+ivYQVfM4ZUKtV0DnVOUVGRnp5eaWkpnWAOh/PhwwdjY+MqdLRs2bKQkBCVS3swmczi4uIqtA8AAAAA9Zenp+fcuXN79+6t6UQAAP6loKBAR0dH01kA1DNYA64cHA6HyaQ7NpDFYlV5h/jAwECxWKwyjMvl7tixo2pdAAAAAEA9hRFwAFA3ofoGUAUowJXPwMCAZqS2tnaVC3BMJnPcuHEqN3zIzc1dtWpV1boAAAAAgHqKWgNO01kAAACAGqAAVz76U0oZDEZ1vhgFBQVxuVyVYR8+fHjw4EGVewEAAACAegcj4AAAABoMFODKZ2pqSjNSKpVWpwBnZWXVpUsXlWE5OTlhYWFV7gUAAAAA6h2MgAMAAGgwUIArn4WFBc3IkpKSan4xWr58uaGhofIYiUSye/fu6vQCAAAAAPULRsABAAA0GCjAlU8kEtGMLC4urmYB7ptvvrG2tlYZpqOjs3Xr1up0BAAAAAD1CEbAAQAANBgowJXPwsJC5d4IFLFYnJGRUc3uli1bpnIQXF5e3tq1a6vZEQAAAADUFxgBBwBcLtfHx6eiq1FRUcbGxs+fP6/NlOi4e/euoaHh3r17a6j9Pn36WFpaVqqvmk6pOkJDQxkMRmJioqYTgZqFAlz5zMzM6OyNQElLS6tmdwMGDKCzkXNaWtqdO3eq2RcAAAAA1AsYAQfQMKSmpk6bNs3e3l5HR6dx48aenp6HDx9WS8tisbiwsLCkpKQ6jUil0hUrVkgkErWkRCkpKSkoKCgqKlJjm9XsqzZTomRmZopEIl9f31rrUe1q4mdDLZKTk2NjYzWdRaWhAFc+gUBAcwQcIeTTp0/V73HJkiV6enrKY7Kzs9etW1f9vgAAAACg7sMIOIAGoKCgwMPDIzo6ulOnTgsWLOjTp09ycvLTp0/V0vjMmTNzc3OdnZ2r08jNmzcXL16s3iLLN998U1BQMH78eDW2Wc2+ajMlypw5c4qKin755Zda61HtauJnQy32799fHwtwTE0nUEeZmpoyGAyawenp6dXv0dfXd/bs2cpjpFLpvn379uzZQz83AAAAAKinMAIOoAE4derUw4cPIyMj5UdCVaqioXxoCP2BIxXZv39/NVsoV/UTU3tftZnS69evd+7cOX/+fGNj41rrVO1q6GejmsRi8ZEjR9hstqYTqTSMgCufQCCg/3di9deAo8yePVvlz5Cenl5UVJRaugMAAACAugwj4AAagLy8PEKIq6ur/Ektrf//n3i7du3atWsnf6l79+4ODg7yZ4qLi319fS0sLDgcTuvWrU+fPi27VHbtsEuXLrm5ueno6Jibm8+aNSs/P18+E39/fxsbGw6HY2Vl9dNPPxFCevfu/euvvxJCWCwWg8Gglh3/559/Ro4cKRQKWSxWo0aNAgMDK5q5+fHjxzFjxjRq1EhXV9fJycnPzy83N5cQcv36dQaDERMTI8vTzMzs3bt333//vZ6enomJyY8//piVlXX06FFXV1cdHR0HB4f169fL35ehoeHJkyc7dOigq6vL5/MnT56ck5NTbg4KfRFCkpKShg8fLhAIdHR0mjZtunnz5nLD3rx5M3LkSFNTUy6X+8033xw/fly+WS6XGxMTc/HixebNm2tpacXFxVXqyWzZskUikUycOFF2Jj8/f/bs2RYWFlwut2PHjpcuXSr3jZSKPkfqLu7cuTNz5kyBQKCnp9e9e/dHjx49fPiwT58++vr6jRo18vX1pT4FmcjIyCZNmnA4HCcnp4iICIVH9/fff/v6+goEAgMDA3d39xs3blBXy/3ZePfu3dy5c+3t7dlstrGx8ciRI9+9e6fkRmT69Onzww8/xMfHt2vXjsvlWllZLV26tLS0dOXKlba2trq6um3btr1w4YLKh/Du3TtnZ+d//vnnr7/+YjAYDAbj1q1bym+TevgLFiywtrbmcDiOjo4hISGlpaXyufn4+Lx586ZXr15sNnvx4sXVuVMlMAKufAKBgP7kcHV9MVq0aJHKGabUVgxTp05VS48AAAAAUGehAAfQALRu3ZoQsmfPng4dOlSthf3799vZ2c2ePZvD4WzZsmXAgAFXrlxxc3MrG3nlyhVPT8+uXbv++uuvz54927Bhw4sXL06dOkUIkUgkXl5ely9fHjJkSJs2bVJSUqjhYEuXLtXV1T1x4sTly5e1tbVtbW0JIdeuXXv16pWfn5+lpeX58+dDQkI4HE5QUFDZHocOHfrixYv58+cbGBg8fPjw/v37FS2s9OnTp379+k2aNGnx4sWnT58ODg5++vRpSkrK6tWr7ezsIiIiZs+eLRKJhg4dSsVnZ2cPGTJkxYoV69evv3Pnjr+///v376l7Ue7jx49ubm65ubljxoxp3LhxQkICh8MpN59OnTqVlpbOnj3bxMTkxIkTgwYN2r17t/yWF1euXFmwYIG3t/eIESNatGhRqSdz5swZR0dHe3t72Rlvb++rV6/OnTvXzMwsJiamd+/ef/75Z6dOncq+V8nnSBk1alS3bt1Onz797NmzqVOnfv/994WFhTNnzgwKCoqPj1+wYIGWlpasAhUaGhoYGDhhwgR/f/+zZ8/6+fnl5+fPmzdP1trAgQM9PT1///33jIyMmTNnenh4vHr1is/nl/uzkZ6efvz48SFDhjRp0iQxMXHt2rUfPnxQXkyU+fvvvx88eBAaGioUCteuXRscHHz69GkmkxkREaGjozNnzhwvL68XL15YWVkpeQhGRkY7duwYNWqUoaFhZGQkIaRp06bKb1MqlQ4aNOjSpUt+fn7Ozs63bt36+eefk5KSoqOjZbm9fv26f//+tra2QUFB3377bTXvtEJSqIDslxIq8fl8dXXq4+Ojsl9DQ8MbN26oq0cAAAAAqLP09fXT09M1nQUAVMuECRMIIT169Dh9+rREIpG/1LZt27Zt28qf6datm729veyQw+Ewmcx3795Rhx8+fGCz2V5eXtRhSEgIIeTFixfUYfv27Zs3b15SUkIdBgYGEkKo/zweO3aMELJ06dKy6VFD4YqLi8tNXiKRNGnSxNnZuewlsVispaW1YMGCspeuXbtGCImOjpbPMyAgQBZA1bNOnTpFHebk5LBYrB9//FE+PjAwUBYfHBxMCLl16xZ16OnpKRKJyu1r5syZhJA///xTeUr+/v6EkAcPHshu093dXSAQFBYWUmeosp0sw0o9mezsbC0trbFjx8rOUOMWDxw4QB1++fJFT0+vb9++8vdL53Ok7sLNzU3Wsp+fHyFk3rx5sjMdO3a0srKiXqenp3O53ClTpsiudurUydDQsKioSNaaq6ur7Op//vMfQsjBgwepQ+U/G1KpdPLkyYSQtLS0igJkPD09CSFnz56lDqmV9Llc7ufPn6kzZ8+eJYRs27ZN5UOQSqVNmjTp3LmzrHHlt3ny5ElCyIYNG2RXf/75Z0LInTt35HOTf4bVuVMlMAW1Qjwej2YkNahYLYKDg3V1dZXHZGdnU4M/AQAAAKBhk0qlSUlJms4CAKolOjp648aNiYmJ/fr1a9myJZ1hXPI6deokEomo1+bm5t26dbt8+bJUKlUIS0tLu3nzZu/evXNycjIzMzMzM9u2bUsIuXr1KiHkzJkzhJAq7MjJYDCaNWv25cuXspdYLFaTJk32798vmwOoXN++fWWvqaFhvXr1og719fXNzMxSU1Pl4z08PGSv+/XrRwi5ePGiyl7OnDnj7OzctWtX5WEnT55s1apVy5YtqUMGg+Hj4/Pp06e///5bFtOyZUuq33IpeTJv3ryRSCTyw99+//13LS2trl27Uh8Ng8FwdnamPhoFyj9HSp8+fWSvqV7kn5W9vb3sSV64cKGwsNDDwyPzvzp06JCVlZWQkCCL9/Lykr12cnIihHz8+LGiu1ZAbQBS7kMoi8ViyT5xU1NTQ0NDV1dXPp8vfyNU5nQegjzlt0kV4OTHNo4ePZoQojDpOCAgQF13WhEU4CpkZGREM5KqkaulU3t7e4UlAMqSSqVHjhwpLi5WS48AAAAAUGc5OTnV5qrhAFATGAyGn59fUlLSwYMHCwsL+/fvv3PnTvpvt7CwkD+0tLTMzc0tOz/91atXhJDw8HDj//L29ib/XbI8OTlZT09PIBDQ6TEpKWncuHGOjo5GRkb6+vq///57Rf/hPXDgALWAWq9evRQW8CpLvncmk8nj8eTXQGcymfLLcpF/3zj1+v379yqTT05OpiZLKvfq1avGjRvLn6EO5X/n0aRJE4V30XwyVJlGVlqiupNIJI0aNZJ9Ov/8809OTo7CLRNVnyNF4Ukq9CX/JKnWvL29Za2Fh4crtCYUChVaK5uVTG5u7qJFi1xcXPh8vr6+/vz58wkhNOshxsbG8v+iMZlMhbRlXdN5CPKU3+arV6/09fXld8Mo+1mbmpqamJio604rgjXgKsTn89+8eUMnksPhZGZmqmtzk+XLl/fv31/5jldcLjcyMpIaWwsAAAAADZWxsbG69vsCAM1iMplDhw7t27evi4tLcHDwmDFjyg0rKChQOKMw9qKi3QKp0sCUKVOGDRsmf97a2pq6Wu5SaGVlZGRQC5OtWLGiY8eOXC538uTJjx8/Lje4VatWjx49OnDgQEhIiIeHx9SpUxUWv5fHYrHkD1UuviS/LLtYLKaTPKF9pwwGQ2WMQjv0nwz1Gcl3IZVKuVwuNQ5RXtmHoPxzpCg8yXLbkW9t8+bNCsVEFxcX2etKbSfq7e0dFxe3ePHi/v37GxgYbNu2LTQ0lOZ7K5u28odQNr6i26zCZ02qd6cVQQGuQvJlYOVYLJYaC3BdunQRCoXKC3D5+fnr1q1DAQ4AAACgYTMxManmhBcAqFP09fV79Oixbdu2kpISJpOpra2tUHF7+/atwqpEHz58kD9MTk7m8XiGhoYKLctqE927dy/br6Wl5blz57Kyssq+UcGZM2dSU1NjY2PHjRtHnVG+5hKTyfTx8RkxYsTUqVMjIyMnTZpE7TtRfSkpKa1ataJeU4NjLC0tVb7L0tLy9evXKsPs7OySk5Plz1CHNjY2Fb2F/pOhxlLJ/+1tbW1dWFjYrFkzlXUG5Z9jZVGtGRkZqaW1lJSUc+fOjR49WrbvREXl4Gqq7ENQfpt2dnZnz57NyMiQFW1UftY1dKeYglqhRo0a0YzU1tZWXi+rrOXLlxsYGCiPycnJ+euvv9TYKQAAAADUNRgBB1DfKdTXioqKrl+/3rhxY2rCnZmZWVJSUk5ODnX18uXLCuU2QsjNmzdlc7PevXsXHx/fvXv3soN6LCws2rVr95///Ida3p5CLf1OCKHW+4+NjS2bIVXvk/2XloqXrcj0+vXrBw8elHtr8tPxtLW1qaXEyuZfZQcOHJC93r9/PyGE2p5Sub59+969e/f27dvKwwYOHPjgwYP79+9Th1KpdO/evUZGRuVuSyqLIfSejJWVFYPBoOZFUr7//ntCyMaNG+XDyq3pKP8cK8vDw4PL5UZFRcnPKqVfS1L+s1FSUkJtLkHJz89fsWLFjh07qpCnApUPQVdXV74Io/w2Bw4cSAjZtWuX7NLu3bsJId99911FCdTQnWIEXIVk61yqxGAw1FuAGzp06JQpU5THZGZmrl27tnPnzmrsFwAAAADqFBTgAOq7BQsW3Lp1y83NzdzcPCMj4/jx40+ePNm+fTt1ddSoUSdPnuzfv/+oUaNSUlIiIiLs7OwUWvD09OzVq9fEiRN1dHQiIyMJIdSOkGWtX7++Z8+erq6uPj4+QqHw7du3J0+e/Ouvv0xNTQcOHNipU6e5c+fevn3bxcUlNTX19evXhw8fJoS4ubmFh4fPmjWrX79+VlZWbm5ubDZ70aJF1Pr369evd3JyUtgegXLnzp0ZM2Z4eXnZ2Nh8+vRp48aNIpFISQGrspKSksaMGdOlS5fbt29HR0d/9913rq6uKt+1YMGC/fv39+jRY8KECRYWFi9fvmzUqJFsHJOMv7//vn37evfuPX36dFNT0xMnTly5cmXz5s06OjoVtUz/yRgbGzdt2lR+x4B+/fp5eXmtXLny6dOnHTp0KCkpuXXrlq2tbbn7Kyr5HFXevgJTU9Ply5fPmzevU6dOXl7NJIkDAAAgAElEQVReurq6T58+TUxMvHTpEp23K/xsuLu7Ozg47Nixw8HBQU9Pb+fOnVQdmZKQkLB48eLJkyePHTu2snmWpfwhuLm5RUZGrly50tLS0t3d3c7OTsltenh4DBw40N/f//Xr182bN799+/aWLVuGDx/esWPHinoXiUQ1cqfV2UK1YYuIiOByuXSeoaGh4dGjR9Xbe1hYmMrtUJlMZn5+vnr7BQAAAIC6IyQkJCAgQNNZAEDVnTx50t3d3dzcnM1mN2rUqGfPnidOnJBdlUgkq1atsrOz43A4zs7OR48eXbhwob29vSyAw+H8/vvvx48fb9q0KYfDcXFxOXXqlOxqSEgIIeTFixeyMzdu3PD09OTxeNra2o0bN54yZQq1Z6BUKs3Ozp4+fbpIJNLW1hYKhYGBgbIcfH19DQ0NdXR0fv31V6lUeujQIScnJzab7ejouHfv3j179giFwrK3lpqaOmzYMIFAQDXo7e395MkT6tK1a9cIIdHR0RXl6e3tbWhoKN+atbV1z5495ePv37/v4+PD4/GMjY0nTpyYnZ0tC/b09BSJROX2JZVKnz17NnjwYGNjYyaT6eTkdPDgwXLD3r9//+OPP5qYmHA4HFdX1/3798vnw+FwRo0apXDLNJ+MVCqdPXs2ISQpKUl2pqioaMmSJXZ2dkwmU19fv0uXLufOnavo+VT0OZa9C2pU3d27d2VnxowZo62tLZ/Mnj17XF1dORwOh8Np0aLF+vXrK3p0Dx8+JISEh4dTh2V/Nu7fv9+5c2cdHR2hULhw4UJqti/1uW/ZsoUQcv78+XIfiPxHRuHz+QMGDJAdUgMGly9frvIhSKXSjx8/UqPeTExMrl+/rvw2qYe/aNEia2trFotlZ2cXHBwsFouV5FadO1WCIVXT9p0Nz6FDhyZMmCAbDKyEnp7epk2b1FLllVd2FxgFurq6y5Ytmzt3rnr7BQAAAIA6YsuWLXfv3t28ebOmEwGAuig0NHThwoUvXrxwcHDQdC7q1ADuKzEx0cnJaeHChStXrtR0LrVk0qRJf/zxx+vXr1Vur1HfVflOG/hzqQ5TU1Oam74XFxerdwoqZcaMGWV3CZGXn5+/bNkytfcLAAAAAHUEpqACgBK5ubmEEJX7KkDtc3Bw8PHx2bp169fzd/i1a9d++umnBl99I9W404b/aKpMIBDQXJtQLBbXxB+qRYsWqawAUsMs1d41AAAAANQF2AUVAMp1/vz56OjoXbt2OTg4CAQCTacD5diwYQOPx/t6Bs0kJCT8/PPPms6iNlT5TlGAq5BAICguLqYZnJaWpvYETExMBgwYUHZ3G3k5OTlLlixRe9cAAAAAUBdgBBwAlOvSpUt+fn4mJiZ79+7VdC5QPiMjo6SkpPDwcE0nAnUF1oCrkEQiYTKZNJ/P2LFjZRvZqNHLly9bt25NjSuuiJGR0ZEjR+jsxwwAAAAA9UtSUpKHh8fLly81nQgAAABUC0bAVUhLS6tJkyY0g5OSkmoiB3t7+549eyofBJeZmbl48eKa6B0AAAAANAsj4AAAABoGFOCUyc7OphmZnp5eQzmsX79eT09PeczDhw+fPn1aQwkAAAAAgKagAAcAANAwoACnjKmpKc3IrKysGsrBxsamd+/eyvfXyM3NDQ4OrqEEAAAAAECDjIyMMjMzNZ0FAAAAVAsKcMqYm5vTjKQ/Vq4KwsPDdXR0lARIpdLjx4/XXBEQAAAAADQFG6ECAAA0ACjAKWNpaUkzMj8/v+bSaNy4cb9+/ZQPgpNKpStXrqy5HAAAAABAIzALFQAAoAFAAU4Za2tr5RsgyDAYjBqtwYWHh3O5XCUBYrF4/fr1NZcAAAAAAGgECnAAAAANAApwypibmysve8mwWKwa/WIkEom+//575YPg2Gz2xo0bay4HAAAAAKh9KMABAAA0ACjAKWNmZsZisehEMpnMml6bIywsTHk1MC8vb8WKFTWaAwAAAADUMhTgAAAAGgAU4JQRCoU0p6BqaWnV9BcjCwuLQYMGaWtrK4kpKio6fvx4jaYBAAAAALUJBTgAAIAGAAU4ZczMzEpLS+lESqXSWtidKiwsjM1mKwnIyspasmRJTacBAAAAALUGu6ACAAA0ACjAKSMUCouKiuhElpSU1MJvJs3MzIYOHcpkMpXEJCcn37x5s6YzAQAAAIDagRFwAAAADQAKcMro6+vTjBSLxbXzm8mwsDDlBbisrKygoKBayAQAAAAAagGTyUxOTtZ0FgAAAFAtKMCpYGhoSCesuLg4PT29ppMhhPD5/BEjRiivwcXHx797964WkgEAAACAmiYWix8/fqzpLACgpuzatSspKUll2NChQ52cnAoKCmohJQCoCSjAqcDn82lGfvjwoUYzkVm3bp3yrRgKCwuXLVtWO8kAAAAAQI3q1KmTkZGRprMAgBpRXFw8ffp0OgW48PDwlJQUzHYCqL9QgFNBKBTSjExLS6vRTGSMjY1//PFHFotVUUBpaWlMTAzN7SMAAAAAoC7j8/m1M9MCAGrfqVOnsrOz6URaWlqOGDFi06ZN2JUFoJ5CAU4FkUhEM/Lz5881mom8devWMRgMJQFcLjc0NLTW8gEAAACAGmJqalqb3zMBoCZERkY2adKEw+E4OTlFRERQJ1esWOHt7U0I8fDwYDAYXl5e1Pm8vDx/f38bGxsOh2NlZfXTTz9R5ydOnFhQULB9+3aN3AIAVBMKcCo0btyYZmRmZmaNZiLPwMBg3LhxSgbBFRQUrFq1qtbyAQAAAIAawmazORxOTk6OphMBgCoKDQ318/Pr2rXrpk2bWrZs6efnt2bNGkLI4MGDlyxZQggJDw+Pj49fvXo1IUQikXh5ea1bt+6bb74JCgoaMGAAl8ul2mnfvr2xsfHp06c1eC8AUGUMqVSq6RzqtA0bNsyfP7+oqEhlpJmZWWpqai2kRMnNzTUxMSkuLq4ogMfjbdq0afTo0bWWEgAAAADUBFtb20uXLtnY2Gg6EQCotC9fvohEorFjx0ZFRVFnOnfu/OjRo7S0NDabfeDAgREjRpw/f75Xr17U1ePHjw8aNGjp0qVUbU6Bl5fX5cuXMzMzle/LBwB1EEbAqSAUCtlsNp3I3Nzcmk5Gnr6+/qRJk5QMgsvJyQkODq7FjAAAAACgRmAWKkD9deHChcLCQg8Pj8z/6tChQ1ZWVkJCQrnxZ86cIYT4+vqWe9Xe3j4vL682R34AgLqgAKeCmZmZ8i1HZfLz82s6GQVr165VPoAxIyMjLi6u1vIBAAAAgJqAfRgA6q9Xr14RQry9vY3/Kzw8nBCSkZFRbnxycrKenp5AICj3Kp/PJ4RgHwaA+gjDVlUQCoUSiYROJIfDyczMrM1N4nV0dHx9fbds2SIWi8sNyMzMXLx4cc+ePWstJQAAAABQO4yAA6i/qDETmzdvbtKkifx5FxeXiuI5HE5FrWlpaRFCaP4XFQDqFBTgVDAzM6uovKWAxWJ9+fKlNgtwhJA1a9ZERkYqCXj06NGTJ0+aNWtWaykBAAAAgHqhAAdQf1lbWxNCjIyMunfvTife0tLy3LlzWVlZhoaGZa9Sg2FNTEzUmiMA1AZMQVXB1NSUzg4MhBBtbe2KRhHXHA6HM336dCWr1OXm5m7cuLE2UwIAAAAA9cIUVID6y8PDg8vlRkVFlZaWyk7KhrDp6uoSQjIzM2WX+vbtK5VKY2Njy23t1atXHA5HKBTWZMoAUCOwC6pqRkZGWVlZdMIOHjzo4eFRCynJKykp4XA4SgYh6+vrP3jwwNbWtjazAgAAAAB1iYqKevjwofJ5DwBQZ61du3bevHnt27f38vLS1dV9+vRpYmLipUuXCCHv37+3s7Nr1arVrFmz2Gz20KFDS0tLu3bteu3atZEjR7q4uKSmpr5+/frw4cNUUwKBoFmzZleuXNHoDQFAVWAEnGrGxsZ0wiQSSe2PgCOEMJnM2bNnK1kmIC8vb9asWbWZEgAAAACoEaagAtRr/v7+e/bsKSkpWbly5c8//3z9+vWBAwdSl0QiUUREREpKyrhx444cOUII0dbWPnv2rJ+f3+XLlxcuXLhnzx7Z4nG3bt36/Plznz59NHYnAFANGAGnWocOHW7evKkyTEdHJywsbMqUKbWQUlm2travX7+u6CqPx7t165aTk1MtZgQAAAAA6nHx4sWVK1did3uAr9zkyZN37dr19u3bivZIBYC6DCPgVLOwsKATJhaLNbgb9LRp0/T09Cq6mpubO2PGjNrMBwAAAADUBSPgAOD9+/f79u2bOnUqqm8A9RQKcKo1btyYTlhpaWlaWlpNJ1MRf39/fX39iq5KpdJr164lJCTUZkoAAAAAoBYowAFAYGCgjY3NypUrNZ0IAFQRCnCqiUQiJpNJJzI1NbWmk1EiNja23J2qKdnZ2RgEBwAAAFAfYRdUANi5c2dCQoKOjo6mEwGAKkIBTjVzc3Mul0sn8tOnTzWdjBL9+vVzdnZWEnDnzp27d+/WWj4AAAAAoBYcDofFYuXm5mo6EQAAAKgiFOBUEwqF2tradCI1/pvJXbt28Xi8iq5mZWVNnz69NvMBAAAAALXALFQAAIB6DQU41czMzGhGZmZm1mgmKjk4OAwZMoTFYlUUkJCQcOPGjdpMCQAAAACqD7NQAQAA6jUU4FQTCoXFxcV0IrOzs2s6GZViY2OVZItBcAAAAAD1kbGxsWaXGwYAAIDqQAFONTMzs8LCQjqReXl5NZ0MHevWrVOyI+qLFy/i4+NrMx8AAAAAqKY3b96cPXtW01kAAABAFTGkUqmmc6gH9PT08vPzVYZpaWkVFhYqmQFaaywsLD5+/FjRVRcXl3v37tVmPgAAAABQHf7+/hYWFnPnztV0IgBQodzc3M2bN9+5c8fa2prJZGo6HQCoVba2tuPHj1cSgL8UaDEyMqJTgONwOBkZGfTXjKs527dvHzFiREVr0r158yYuLq5nz561nBUAAAAAVI2ZmVlaWpqmswCACp04cWLYsGESicTa2trJyQkFOICvjcrBWPhLgRZTU9OUlBSVYSwW68uXL3WhANenT5/mzZv/9ddf5V7NzMycMWPGo0ePajkrAAAAAKgaoVD4+PFjTWcBAOX7+PFjQEDApk2bJk6cqOlcAKCOwhpwtJibm9MJYzAYGRkZNZ0MTbt27VKyEtz79+/PnDlTm/kAAAAAQJVhBBxAXbZr165evXqh+gYASqAAR4ulpSXNyC9fvtRoJvTZ2dkNHz6czWaXezUrK2vmzJm1nBIAAAAAVA0KcAB11sqVKyMiIjZu3KjpRACgTkMBjhZra2sGg6EyrLS0tO6MgCOEREdHFxcXV3Q1LS3txIkTtZkPAAAAAFSNUChMTU3VdBYAoEgikSxbtuz58+eaTgQA6joU4GgRCoVcLldlWHFxcd0ZAUcJCwuraCIqBsEBAAAA1BcYAQdQB0mlUn9//5UrV3I4HE3nAgB1HQpwtAiFQpX7WRBCioqK6loBbtasWQYGBhVdzcjIOHz4cG3mA1AT3rx5s3Dhwnbt2pmamnI4nMaNG7u7u4eGhr5580bTqWlAx44dHR0dc3JyarSXz58/+/n5OTg46OrqNmnSZPHixXS2iiaEJCYmMhiMFi1a1Fxub968MTc3/+GHH2quCwCA2sdms3V1dSva4x4ANGLo0KH79+/39/fXdCIAUA+gAEeLUCikMwWVEPLx48eaTqaytm/fbmRkVO6l7OzsWbNm1XI+AOq1du1aJyen0NDQhw8fmpubu7i4FBcXX716deHChefPn9d0djXr5cuXq1evlj8jFovv3LmTmJhYo6MkSkpKvvvuu4iICB0dnQEDBuTn569YsWLKlCk112OlvHr1KjU19dq1a5pOBABAzTAIDqBO+fTp05UrVz58+KDpRACgfkABjhYzM7PS0lI6kXVwbY7evXu3bNmyoqs5OTl79+6tzXwA1GjVqlXz5s3T1tYODw9PT09PSEi4efPmhw8fXr58uW7duh9//FHTCdasqKgohQIcm80+derUkSNH7O3ta67fc+fO3bx5s0ePHg8ePNi/f//jx48bNWq0e/fulJSUmuuUvm7dum3fvv3o0aOaTgQAQM2wDBxAnbJu3bq5c+dWv53r168zGIyYmJjqN6VSVFSUsbFxQ12xrp7eXWhoKIPBSExMpA5Hjhzp7OwslUqpQy6X6+Pjo7nsQJ1QgKNFKBQWFRXRifz8+XNNJ1MFu3btqmgluOzs7Dlz5tRyPgBq8ezZs0WLFjEYjBMnTsyaNUv+h9zOzm7OnDkNezGO/Pz8/fv3lz3v4eExePDgGu36/fv3hJCuXbtSQ4N5PF7r1q1l5zWOwWCMHTv2m2++0XQiAABqhhFwAHXKmjVrKjX59OLFi4MHDzY3N6eWTOnbt++RI0dqLr1yicXiwsLCkpKSKrw3MzNTJBL5+vqqPSt1qc7d1R0FBQUFBQWyAlxdI5VKV6xYIZFIlIc9ePCAyWTWTlm5HkEBjpaKqldl1bU14Cg2NjYjR45ks9nlXi0oKIiNja3llACqb8OGDSUlJaNHj/bw8FAZPHz4cOoP8vnz59u0acNkMseMGUNdevbs2cyZM52cnDgcjq6ubps2baKiouTfu3nzZgaDcfXq1fPnz3fq1ElXV5fP5w8ePPjly5cSiSQiIqJVq1ZcLtfU1NTHx0d+bMLTp08ZDMaKFSuSkpIGDx5saGior6/fuXPnc+fOUZl0796dx+Pp6+v37Nnzn3/+ke80PT191apV7dq109fXZ7PZ9vb2/v7+eXl51NVdu3bZ2NikpKSkp6czGAwGg9G0aVPqkrm5OYPBUPjmceXKle+//14gEHC5XDs7u+HDh8tGq126dGn48OEikYjFYhkZGfXs2fPixYvKH6a1tTUh5OHDh9RhZmbmjRs3OByOg4ODyg+CjpycnICAAFtbWw6HY21tPWfOHNkG0y9fvtTV1dXX15cv9l29epXBYFhbW+fm5pL/PvYuXbrIt5mbmxsUFNS8eXMdHR0+n9+hQwfZFwLljxoAoO7ACDiAumPRokVdunTR1tamGT9//vyePXtSX8kCAwM9PT2fPn16//79Gk2yrJkzZ+bm5jo7O1fhvXPmzCkqKvrll1/UnpW6VOfu6o5jx469fPlSS6uO1mpu3ry5ePFilQW4Vq1aTZs2zd/fv45MkakrpECPqakpnefZqFEjTWdaISX/PLRu3VrT2QFUmo2NDSHkypUrdIKHDRtGCDl58iSLxWrcuLGbm1t4eDh1qU+fPoSQVq1aDR8+3NPTk/qTsmHDBtl7qXrcyJEj+Xz+vHnz1q5d++233xJCbGxsJk+ezOfzAwIC1qxZ4+7uTghp27atRCKh3vjkyRNCSK9evSwtLceOHRseHj5u3DgGg8FkMpcuXcpmsydOnBgeHk6NKufxeO/evZN1GhoaSgixtLQcPHjw4MGDjY2NCSGDBw+mrp44cWLlypWEEF1d3ZCQkJCQkK1bt1KXhEIhIaS4uFjW1M6dO6l/wp2dnb/99lsrKys9Pb28vDypVJqXl8fn81kslru7+4gRI9q3b08IYbFYt2/fVvIwxWKxhYWFlpbW1atXU1JS3NzcCCGrVq2i80G8ePGCENK8efOKAvLy8lxdXalPZMiQIU5OTlTm2dnZ8k9m+PDh1GFpaSk1/u706dPyj71z586yNnNzc6kYAwODbt26tW/fnsPhBAcH03nUAAB1R3BwcFBQkKazAACpVCrt3bv3H3/8QTN49+7dhJBu3bplZGTITkokkqKiIqlUSi1cGx0dXSOJqsmrV6+0tLQCAgI0nUgDFBISQgh58eJFuVc5HM7o0aNrOSUlZs6cqfB/jYokJydraWnNnz+/FrKqL1CAo6tJkyZ0CnAGBgaazrRCGzZsqGgon66u7pIlSzSdIEAlUNvAaWlp5efn04mnCnDGxsZhYWEKlxISEu7evSs7PH78OCHEyclJdoYqwGlpacnKUqWlpS4uLoQQNpv95MkT6mRJSYmjoyMh5Pr169QZqhJECFm/fr2stenTp1MnY2NjZSfHjRtHCAkNDZW/wVOnTpWWllKHb9++5fF4hJD3799TZ4qLiwkhfD5f4XYUCnCpqam6urocDkf+O6J8pe/ixYspKSmyQ2pjlsmTJ1f0JCnHjh2jejcyMmIwGIsWLVIeL6OyABcYGEgIkVXHSkpKhg8fTggJDAykzhQXF1PVtD///FMqlUZGRlLlUVkLZQtw1PosXl5eOTk51Jnc3FzZN2CVjxoAoI6IioqaMmWKprMAAGlqaqqZmRnNYIlE4ujoqK+v//Hjx3IDqALc1q1b/f39hUIhj8fr0qWL7Pukp6enmZlZSUmJLP7Dhw9aWlqzZs2irg4dOvTKlStt27blcDiWlpbBwcElJSUrVqywsbHR0dFxdXU9f/687L0KtZ6bN2+OGDHCzMyMyWRaWFgsXLiwsLCw3CQDAgIIIYmJidRhXl7eunXr2rRpw+FwdHR03N3d//77b/n4v/76q2PHjhwORyAQjBs3bt26dYQQ6ptYTk4OIWTu3Lny8YSQCRMmUK/fvn07Z84cOzs7an7GiBEj3r59S+dRK9zdw4cP+/btqzCShvo1RkhICJ/Pf/bs2Xfffaenp2dmZvbDDz8ofPeLiIhwcnJis9mOjo6bNm2ik4BUKt27d2/r1q319PQEAkGPHj1OnjxJnT948GDPnj0NDAxYLFbTpk137NhRUdqenp4ikUh2lcPhjBgxYsqUKebm5mw228XF5dSpU7Kr1Ldc2VdcqVQaFBRECJE9sZs3b/bq1cvY2NjAwKBNmzZr166lcxfU9B0ej8fj8QYNGpSUlESdV5h4tGbNGup8XFxc9+7d9fX1eTzeN998Ixsh0adPHz6fX9EP1VcIBTi6unbtSqcAp62trelMlWnVqlVFmXM4HPlfyADUcdQypUZGRvInHz161E3OkCFDZJeoAly3bt3oNK6vr6+lpSWryFAFuK5du8rHLFiwgBDSv39/+ZPTpk0jhMTExFCHVCWIw+HIVwnPnDlDZS5rXyqVHjp0iBDi4+OjJCsvLy9CyKVLl6hDmgW4NWvWEEJ8fX3p3LhUKr116xadB3Xv3j0zMzPqb4/du3fTbFyqqgAnkUgEAoGJiYn8t0wqJfmS6K1bt7S1tVu2bJmammpiYmJqavrp0yfZVYUCXElJiaGhoba2tnydUTmFRw0AUEccOXIE43MB6oJVq1bNmTOHZvDjx48JIUoGMVEFODs7Ozc3tw0bNqxevdrExITH433+/FkqlVJr/p49e1YWT/328ebNm9L/FmuaNGly7Nixv//+m1oIuH379p06dTp16tTFixdbt27N4XCSk5Op9yrUen799deOHTsuW7YsNjZ2xIgR8r8EVeDi4uLo6Cg7LCwsbNas2aRJk6KiosLCwiwsLPh8fnp6OnX1+fPnurq6VlZWa9asiYyMlI1loVmAu3fvnp2d3fz587dt27Zw4UIWi9W9e3c6j1r+7jIzMy0sLP6PvTsPhGr/H8f/GoOxD1nHvhYpErJVKFJJmyKlksqtW7d0u+3ab7cUaV9oL2kvpUWIog2JVJZEKVqQfR/m+8fr9z6/8xmMGcmQ5+Ov5jWvc87zHBNnnuf1er5MTU0fPHgQGRnZv39/SUnJq1ev4swU7slgMP7777/k5OTjx4+LiYlZWVmRd0WhUObNmxccHIyv6s6dO9sNICoqCiHk7u5+8uTJnTt3Ojo6hoWF4bfc3NwmTZoUEBAQEhKCJ50Qt5rtJuDwx8Pf33/Pnj0GBgaCgoJEupNzAq64uJhOp5uZmQUHB+/fv3/GjBk4b8tZUVGRioqKjo7O3r17/f395eXlVVVVf/z4wWKxnjx5MmHCBIRQXFxcfHw8fqh///59KpWqqam5YsWKLVu2jB49+vXr13hXe/bsQVzPWOoNBLlJKgGEkIqKCjfdBAQEqqurxcXFf3U8HXP8+HEHB4fy8vKWbzU2Nk6fPv3OnTtdHxUAHcBisRBCeBEAQkVFxcOHD4mXLf/bTp06lcM+GxoacMVTeXn5vLy8hoYGERER4t0BAwaQO+OHaWyLjeJGXImMoKOjIyoqytZHU1OTXNmh1Q0xJpNZU1PT3NyMp0bW1dVxOIWWnj17hhAaNWpUuz1ramoaGhpwqJyPEhoaOmfOHBaLNWzYsPj4+F27do0bN05aWhq/e+bMme/fv/v4+EhJSfEUKkIoKyurqKhIUVERpzKx2tpahFBubi7RYmpq6uvrGxgYaG1t/ePHj7Nnz3KoEpCRkVFeXm5sbMxgMDgf/ScvNQAA/GqwCAMA3cSWLVu4ry6PHw3inAsHVCo1NjYWZ1v69Okzb968Bw8eTJ06deLEidLS0qGhoU5OTrjnlStX9PT0iPWmCgoK7t27h989evTotWvXXr169fnzZ1lZWYTQjh07Ro8eHRUV5e3t3fKgS5YsWbJkCf63l5dXSkrKpUuXcAaHrLKyMj09fdasWUQLjUbDiUVMSUlp+vTpMTEx+GZ7165dNTU1z58/x/fPrq6uGhoa3N9ZGRsbv3//nnhZUlISHBxcVFQkLy/P5R4QQnFxcV++fDl79qy9vT1CaNOmTW5ubgwGQ1VVlejj4eGxZs0ahJCpqWlycvLhw4e/f/+uoKDw48ePzZs3//HHH/gx/Pz5821sbLZt27Z06dK26qpjjx49QgidOnUKf4lYsWIF8dbFixeJf48dO1ZFReXy5ct2dnbcnIugoOCjR4/wVxt3d3cNDY3//vvv1q1b7W6YlpZWXl6+detWXHJn8eLF3Bxu165dxcXF2dnZ6urqCCETE5NRo0aFhISsXLnSyspKSUkJIWRjYyMo+P9lk1asWCEjI/PixYs+ffqw7crGxgYhlJCQgGv1gG5a2K8bwh++dgkLC3fPdRgwMzOz6dOnk3MKhObm5qdPn5KTFwB0Z/j3e3l5eUNDA9Foae0cXCoAACAASURBVGmJny0QNfvZaGlptWzMzMycPn26oqIijUaTlpaWkZHJy8tr2Y1Op7cVBhvW/120qGMblpaWrlixQkdHR1hYmE6ny8jI4OohvMLlupWVldvqcPfuXUdHR0lJSXFxcRkZGUNDQ847TElJmT17trCwcHR0dFxc3PTp01+9euXs7FxTU4MQqqio8PHxCQgIwLM4eYWXVvj27dtRkjNnziCEmEwmeWWJLVu2qKmpvX//3sHBgfPS7O1egc661AAA8KvBIgwAdAdfvnyRkpLCJTK4UVFRgRAiHlW2xdXVFWffEEImJiYIoa9fvyKERERE3N3db9y4gR9JFhcXP3z4EI9Ww4SEhBwcHPC/5eTk6HT64MGDcfYN/e9pMTe/OigUioGBQatfZj9+/Njc3Mz24JkMr3tAbPvgwYOBAwcST68VFBRwFqxj2HbOJVyvhngejG9N8c+CgCc9YLjuML5Q0dHRdXV1jo6OZf9jYWFRXl7++vVrzgfFp7xu3Tr8w2qLsrKytLQ092dkbW1NDCxQUlKytbWNi4tjcbFMKp5CGxAQwNNKCLdu3TIyMpKSksLnbmRkhNeja7Xzly9f0tLS3NzcWv12gz8z5OfovRwk4LilrKxM/ELkgEqltvXNv5s4dOiQmJhYq2+VlZXNnDmzi+MBoGP69OmjrKzc3NzM0+pRLZ9ZZWZmDhky5OLFiy4uLhcvXoyJiYmNjW11OFWrSxGxDcFrVQc2rK+vt7W1DQgI0NbWPn78eFRUVGxsLHFrxZNWhwoSQkNDx44dm5yc7OvrGx4eHhsbe+7cOc47DAoKampq2rBhg62trYCAwOnTp11cXPCUh4aGhgMHDtTX1+O1JjocrZOTU+tjtgX//1HbhYWFRUVFCKGcnBzOK5ZyvgKdeKkBAOBXYzKZHz9+5HcUAPR2N27cmDhxIvf9cRnuVic6kJGnbuBb1qamJvzSy8ursrLy5s2bCKHw8PCmpiZyAk5GRoa82p6goCCRfcMvybtik5ubO2fOHD09PWlpaQkJiVu3brWa1sGpIvJuEUIhISHDhg3DRevwklzEtp8+fdLQ0Gjr7NpVVVXl5+dnbGwsKysrISGxcuVK1OIJd7tGjBghLCy8d+/eysrK8vLyw4cP0+l0Ytgghiu3YOQLhR/Gu7q6yvxPUFAQQqjdb/pubm4rVqzYs2ePurr6hg0byCm22NhYFxcXNTU1KSkpCQmJsrIy7s+IbRqHqqpqVVVVq9Pa2Kiqqp45cyYpKUlLS2vOnDlZWVncHC4vLy8pKYk4dyUlJQ7jG/Lz81EboxwQQtLS0lQqtTsPUepikIDjlqKiIufhppiAgED3/3hFRES09QSmtLQU/3IBoPvDS5G2mzDibM+ePZWVlStXrjx27Jibm9uIESPs7OzII6344vr16+np6dbW1pGRkXPmzHFwcLCzs+vY3HZcqe3Lly+tvrt161aE0IULF7Zu3Tp+/Hg7O7t2R8DhaRT44iOEBAUFL126ZGdnFxkZOWbMmC1btigoKJDH2/ME3158+PCh3Z4+Pj51dXUeHh4fPnzw8/Pj0JPzFejESw0AAL+aioqKoKAgr99CAQCdKzw8HJfB4hIeBNTu4KlWZylhlpaW+vr658+fRwhduXLFxMREX1+feFdISIitf6tPf1sqLS21tra+e/fuqlWrEhISUlNT26p73tzcjP7v48zt27f7+PioqKhcuXIlJSUFx0bG9uyT81dptvFirq6uO3bsmDx5clRUVGpq6t9//83N6bBRU1O7ePFieHi4lJSUtLR0enr6pUuX2EZptRUV/jV75MiR2P9r8ODB7R53586d2dnZrq6u/v7+hoaG6enpCKH4+HhHR8cvX74cOnQoKSkpNTW1rTExrcKlnwn4x9EWtovp7u7+8ePHjRs3RkREGBkZcfPVicViWVpasp37vn372uqMEGprrBKFQqFQKJwD7lUgAcctBQUF8oOFtjQ3N3fzEXAIISsrqylTprT6n6Sqqmr58uXwPwT0CAsWLEAIHTlyJCkpqcM7wSOiyXcbHz58wEPW+QhHNXToUOL+icVivXr1ityHSqVSKBS2v8ctWVpaIoRiYmI4HIh8+qmpqZx3iG/yyL/oREREbt26hWvcNjQ0hIWFtToEnRsGBgZ4Rars7GwO3Y4fPx4bG+vm5nb27FljY+N9+/Zx+Azgmrvp6emtFk7i5lIDAEA3ISUlhcsN8zsQAHqv+vr6uLg4ohwbNwYOHCgvL3/lyhVcr6NjvLy8IiMjCwsLY2Jipk+f3uH9kN29e/fbt2/bt2+fN2/egAEDdHV12/r1gm/tyANNTp48qaWldf78+WHDhunp6bF9tVRRUcF1RQjkl/hrNbmMzKdPn4h/FxYW3r9/f8aMGRs3bhw8eLCurm6Hv5wWFhbKysqmpKR8+/YtNzeXm5rIGB6+Jy0tbfd/4TLB7dLR0Tly5EhKSkpNTc369esRQmfPnm1qarp8+bKLi0u/fv2UlZU5z1Flw/YgOT8/X1JSEle54XwxMWlp6bVr12ZnZxsZGf3111/tPsXR0NAoKytjO3c8LbolXFOvrcfn5eXlTCazw18Nfj+QgOOWoqIiN//zm5qauv8IOIRQSEgIuSo8GZVKnTFjRhfHA0AH2NjYzJ49u6GhwdHRMTg4mHxP09Yw+5bw8KiXL1/il3V1db6+vp0eKq/YokII+fv7s1Wmo1AoCgoKFRUVnKcjzZw5U0REJDg4ODY2lmh89+4droPLdqDCwsItW7Zwjg0Xiw0MDCTn/uLj4/HfXRaLdf/+fS5OsXUCAgJ//vknQmju3LklJSVEe1ZW1uPHj/G/v337tmLFCgkJid27d1Op1P379zc3N8+bN6+tcYuCgoLe3t5MJnPBggXEh6S+vh7n+Li51AAA0H0oKyvzVMoHANC5Ll68yOtIeUFBwcWLF3/9+nX+/PnkRAlPZs6cyWQyt27dymQyuS8/xxlOxBBToz58+NDWM0g1NTUKhUK+QWKxWJKSksTzy/DwcHJ/e3v7ly9f5uTk4JcVFRUPHjwg3hUVFZWUlCQ/9CUPoGOLislksq0TePTo0W3btnFzt79nz56pU6eamJjg+z3uOTo6ioiIHD58mHwUIhuQkZHx77//km+t2YLHDA0NdXV1ce6M7aRu3rzJ01jmxMRE4ob/8+fP8fHxdnZ2eIwhPjXiYpaXl5MvF/koMjIyw4cPLysrw7k/Dpdx/PjxmZmZ0dHR5Ebi9PHYPWK8gqqq6oABAy5fvtzqlFj8mWGbj9ybwSqo3FJQUODm12VDQ0P3HwGH3bx5c/z48S1H+jCZzLt376ampg4aNIgvgQHAvaNHj9bW1l66dOmPP/5YunSppqYmnU6vq6t79+4dl3tYuHDh+fPnN2zYkJiYKCEhER8fLykpOXLkyLaGjHUNV1dXPz+/qKgoGxubfv36paWlZWdne3t7nzhxgtxtwoQJwcHBdnZ2w4cPr6qqunr1astdMRiMgwcPzps3b+TIkQMHDpSRkcnPz8/Ly/vy5YuSktKiRYvWrl07duzY8ePHV1dXR0dHjx8/nnNFiX/++efSpUuRkZEDBw50cHBgsVjPnj1LSUmRlpY+duzY9u3b/f39paWlV69ezWEnubm5eGgeQUFBAVc2WbduXXx8fFxcnLq6uqmpqaCgYH5+/vv377du3YrXUVq8eHFpaam/vz8uJjJs2LAZM2aEhobu3Llz7dq1rR5u69atDx48uH79uqqqqrGxcUVFRXZ29owZM44cOcLlpQYAgG4CjyvBxcIBAF2voaGhA+s5rl69+unTp+fPn3/y5MmECRMUFRVLSkpevXo1b948Nzc3bvagrKzs6Oh4+vTpYcOGkdfx/BlWVlbCwsJ+fn6VlZVlZWV79uzp27dvq8s1yMjI6Ovrk8vw29raHj9+fNWqVUZGRjExMeRnmQihFStWnD9/3tHR8a+//hISEjp58qSlpWVUVBTRYfr06UePHp03b56VldXLly/Dw8NxpTyEkIqKiq6u7qlTp3R1dcXFxU+fPk2uAsxisZYvX66iorJu3bp2T1BLSyssLExcXFxERERQUFBDQ2PixIktZ+y2JCcnt3Xr1hUrVlhbW48bN05MTCwzMzMnJwcn3W7durV+/fqWs24RQrNnzxYREbGwsKDRaAkJCSkpKQEBAfhyHTt2zMvLa9q0aRkZGadOnVJTU2s3DIKTk5ODg8O8efNERUUPHTqEECJueqdMmbJu3Tpvb+/ly5c3NjaePHlSUVGRuJnfv39/XFycvb29nJzcu3fvgoOD8elwvoyrV6/Gg/W8vb319PRKS0tjY2OXLl3q6uqKELKysgoKCvL19R07dqyamtqwYcP8/f3HjRtnZmbm4eFBo9FSU1Nnz56NF7jAn5mhQ4dyf7K/uVYLXYNWcVlTfOXKlfyOlFuzZs1qa967vr4+v6MDgFsRERGurq4qKipCQkJCQkLy8vJDhgxZuHDhnTt3iD7u7u4IoaioqJabX7161cjISEREREFBYc6cOcXFxTt27EAI1dbW4g54AfJ169aRt9q1axdCaOvWreRGvGp7UFAQfonLpdnY2JD74MmSI0eOJDfiP+cTJkwgWlJTU0eOHCklJSUlJTVq1Ki0tLRnz54hhO7evUv0KSsrw0sOiYiI2NnZ4UZcTbaxsZG8/wcPHowePRqXQWUwGD4+PjU1NSwWq7GxccuWLZqamjQaTVtbGz9WHT16tIWFBYcLXlJS8vfff+vp6QkLC0tISAwYMGDNmjXfv39nsVgfPnzAa0YfPny41W3byo2qqKgQferr63fv3m1iYiIqKiosLKyjo+Pj45OVlcVisfDzVX19/YaGBqJ/YWGhpKSkiIgI7tPqZa+oqFizZg1eCkpUVNTS0pK4ktxcagAA6CY8PT3Pnj3L7ygA6L18fHyOHj3agQ2ZTOahQ4esrKzwXPI+ffrY29snJyezWKynT58ihEJCQojOuHAYcUuJ4XXhjxw5Qm50cnIi30SxWCxZWVnyLSUegkTcsm7fvh0h9O7dO/zy8uXL+O5IT08vNDT03LlzioqKrca/bNkyhFBubi5+WVJS4u7uTqfTJSUl3dzcvn//rqurS779e/To0ZAhQ/AdZlhY2PLlyxFClZWV+N2ysrJ58+YpKSmJiIjY29u/fv3ayspq7ty5+N20tDQbGxtRUVFFRcU1a9bgaRYZGRksFgsvI8B2W04gn11FRUXLeS3Gxsb4HpjtOrBYrP379yOEXr58SbScO3du8ODBNBqNRqMNGDBgz549uN3Dw0NUVLSioqJlAKdPnzYyMqLRaGJiYoaGhuSfoJ+fn5KSEo1Gs7KyevHixbx589zd3Vv9obD9TGk02q1bt27cuKGvr0+j0YyNjW/fvk0+6O3btwcNGiQqKqqiorJly5Z79+4hhD59+sRisZ49e2Zvby8pKSkkJKShobF06VK8+APny8hisQoLC728vHAZLjk5uYkTJ+bk5OC3mpubFy5cSKfTRUVF9+7dixvv3buHf2Q0Gs3S0jI9PR23Ozs70+l0fM0Bi8WisKCMK9fodDrbusWtmj179qlTp359OJ1DSkqqsrKy1fagoCBvb++uDwkAAAAAALRq1apVsrKyeE1AAEDX09bWjomJaWvNx19q7dq1AQEBX79+5UtFrZycnL59+65Zs2bbtm0d2NzX1xcvSEqMdOuY0NBQT0/P7OxsPT09Dt2am5tNTU2lpaVPnz6Nnw3X1NSEhIT4+vrevHnTxcXlZ2LQ09OzsLD4yYXg+IvLy/iTPn36pKWltWTJkt27d/+6o/QsUAOOB1z+pmt11G63dePGjVZXRK2oqMAV7gEAAAAAQDfRsrQ5AKDL5OTkUKlUvmTf6urqzpw5M3HiRH7Vs9fV1fX09AwODuZvwaWnT586ODi0mzb6/Plzamqqh4cHzr4hhMTExPr374/+t2pBhxUXF+fk5CxcuPBndsJ3XF7GnxQQECAuLt6xRWx/V1ADjgcKCgptre5BRi4c3v2NGDFi7Nixly9fbrmWooCAwIIFC44cOcKXwAAAAAAAABtlZeX4+Hh+RwFALxUVFeXo6NjFB71161ZJSUloaOj379+5KXz26+zbt2/w4MFbtmwJCgriVwwHDhzgppuqqqqamtquXbtoNJq6unp1dfXLly/37dtnaGg4cuTInwlATk7uN5hEyOVl/BkZGRknTpzYt29fZ5Us/D1AAo4HDAaDm249ZREGQmho6I0bN1om4Orr68+fP79ixQodHR2+BAYAAAAAAMhgBBwAfOTv7z9//vwuPmhwcPD9+/f19PSuXr1qbGzcxUcnk5aWzs3N5WMA3BMQEIiKitqwYcOaNWuKiopoNJqWlta8efNWrlxJo9H4HV2vYGBg0Gqpq14OasDxYMmSJbg0I2empqbJycldEE8nun//vru7e8sVURFC5ubmiYmJXR8SAAAAAABg8/HjR1tbW27mZAAAOp2kpOTnz5/pdDq/AwEA9EhQA44HBgYG5CWQ2/LmzZsuCKZzjRo1ytHRsdUlmbOzs69du9b1IQEAAAAAADYwAg4Afnn16pW2tjZk3wAAHQYJOB5ISEiIiIi0262hoYHJZHZBPJ3r0qVLAgKtfB7Ky8vnzJnT9fEAAAAAAAA2goKCMjIyRUVF/A4EgF4nPj5+2LBh/I4CANCDQQKOBwwGg5s1U2g0Ws9ah4Fw9erVVldEbWxsXL16ddfHAwAAAAAA2MAgOAD4IiEhYejQofyOAgDQg0ECjgcMBoObknlCQkLFxcVdEE+nc3Z2trOzaznNtra2dt++ffCsFQAAAACA75SVlQsLC/kdBQC9DoyAAwD8JFgFlQcMBqOhoaHdbgICAj10BBxC6Pr1661Wgqurq/Pw8IiOju76kAAAAAAAAEFGRubt27djx47ldyAA9CI5OTmCgoIqKiqcuz18+PDhw4epqan6+vrczJ0CoHfS0tLy9vbmdxR8AAk4HvTp06exsbHdbs3NzT03AYcQunz58pw5c9hWRGWxWOnp6dHR0Q4ODvwKDPRadXV1K1asyMjIMDQ0lJKS4nc4oPfqtfcKAIBuRUhI6Pr16//88w+/AwGgF/nzzz/V1dU5dHj+/PnUqVNZLJa4uLiwsLCRkRE3y/cB0Du1OuinN4BfCryRlpZuN7nGZDJ76BRUbOLEiceOHbt3715TUxO5/fv376NHj+6J60uAHu3YsWN//PGHoaEhnU6XkpKi0Wj8jgj0Xr32XgEA0K3Mnj178+bN/I4CgN6lpKQkJCSkrXfNzMzExcXHjBlz9OjRrowKANCzQAKON/Ly8u0m4Orr63t0Ag4hFBERISMjwzYIDnNwcICJqKDLLFy4MDU1lS0XDAAAAPRmWlpaeXl5/I4CgF6EyWS+evVq8ODBrb6rrq7OYDBWr149ZsyYLg4MANCzwCIMvFFWVm63T1NT07dv37ogmF/q+fPnkpKSbI1NTU3JycnHjh3jS0igt/Hy8pKQkHj69Cm/AwEAAAC6EQ0Njfz8fG5WBgMAdIrnz59bWFi0+pa8vHxYWNjz588h+wYAaBck4HijoaHBTbffYG34vn377t69W1pamq29vLx88eLFpaWlfIkK9B6bNm1KSUnZtWsXvwMBAAAAuh0YBAdAV2orATd06NATJ07Y2Nh0fUgAgJ4IEnC80dbWFhBo/6J9//69C4L51ebNmzdmzBgRERG29vr6+uHDh/MlJNB7BAUFJSQk8DsKAAAAoDvS1NT88OEDv6MAoLdoNQHn4OCgqKjo4uLCl5AAAD0RJOB4o6ys3DIh1VJPrwFHOH/+PIPBaNn+4cMHqP4Lfp3Zs2fX1dXBgqcAAABAq2AEHABdqWUCLj09/fv371evXuVXSACAnggScLxhMBjcrIL3O83QzMzMpFKpbI1VVVWBgYGZmZl8CQn83lgslqys7Pbt2/kdCAAAANBNQQIOgC6Tl5f348cPtkpEo0aN0tbW5ldIAIAeChJwvGEwGNyUvK2oqOiCYLqGsLBwVFRUy2JwlZWV9vb2fAkJ/N6Ki4vPnTv3999/8zsQAAAAoJuCKagAdJno6GgtLS1yS3FxMZ1Oh6fFvHr58iWdTg8NDeXL0Xfs2EGhUHJycvhydAAwSMDxhsFgNDY2ttuturq6C4LpMvb29osXL265KGpZWdkff/zBl5DAb8za2trNzY3fUQAAAADdF/cj4FRVVSn/IyYmpqur6+XllZqa+qsj/L1dv35dUlJy3759RIuSkhKFQmEymRy2+vjxo5KSEvkmZ9q0aRQKJTo6mmixtLTU09OrrKz8FWFzqbm52draWlxcPD8/n/utjhw5QqFQ/Pz8fl1gLS/7zygoKJCUlLSwsGhqauLcs7i42NnZmXjJYrEOHz7s6OhoYGDA/eHs7Ozwf0MqlSorKzty5MgrV650MPQei8lk1tbW1tfX8zsQAPgGEnC8UVRUrK2tbbebiIjIjx8/uiCeLrN169bBgwezzUWtq6u7dOlSXFwcn4ICv6GCgoLq6uoDBw7wOxAAAACg++J1CuqIESOcnJxMTU2Li4tPnz5tbm5+7dq1Xxfeby8tLa2qqiopKYmnrfLy8r59+/b06dO2OjQ0NKSkpOTk5PB3Pbfdu3c/ffrUz89PXV2dj2G01LHL3hYVFZUNGzYkJiYGBARw7vny5UsTExPi5d9//7137979+/fzekRpaen9+/cHBATMmjUrIyNj6tSpISEhPMfdk5mbm9fW1np7e/M7EAD4BhJwPKPT6e32ERIS+m3WYSDExcWJi4uzNZaVlY0ZM4Yv8YDf0p49e7iffHrs2DHiqb6goKCsrOywYcP27t3b0NBA7sbNQ2k+6g7PugEAAPQsDAbjx48f3A8kuXjx4r179+Lj4wsKCv766y8mk7lw4UIYh9JhS5cuPXDgwI4dO3jaytbW9uTJkxxSn8LCwrdv37569aqOjs5Px9hBZWVlGzdulJeX74bFQDp22Tnw9fVVUlLavHkz55ET5ATcjx8/zpw507EveuLi4osXL162bFlQUNCLFy+kpKQCAwM7EndP1rK2OAC9CiTgeCYnJ9duHyqVWlJS0gXBdLG0tLSWOTgmkwnLb4NO8fnz56CgIF9fX562UldXd3Jysre3ZzAYCQkJvr6+9vb2dXV1vyLCmJiYS5cudeIOu8mzbgAAAD2OtLT0y5cved1KXFw8KChIR0fn+/fvz58//xWB9QYyMjKLFi1SUVFhaxcQ4PTdikKheHl5mZubc+jj6Og4efLkTgixo06ePFlTUzN79mwajcbHMFrV1mXvMCEhIS8vr9ra2hMnTrTVp6qq6uvXr7q6uvjl+vXrt27d+vOHZjAYAwcOLCgoIFry8vJcXV2lpKSkpKQmT55MHuJaUlLi4+Ojrq4uICBAPHu2s7NDCO3YsUNOTi47O3vcuHESEhKKioru7u6FhYXEtmZmZmZmZuRD29nZEafz7NkzCoWSkpKydOlSeXl5cXFxOzu7N2/epKenjx49WkJCQllZeeHChVVVVa2eBa+b4/7Hjh3DL9sNHiEUGxtrZWUlKiqqpKTk6+tbU1ND3lVOTs6+ffvwMon4icLly5cdHBzodLqwsLCBgcHp06c5/BTa2jkAvw4k4HjGYDDa7cNisX7LBJympubBgwfZFmRgMpkJCQnnz5/nV1Tgt2Fra7ts2TJBQUGetnJxcbl3715UVNTr168TExMVFRWfPHly6NChXxHhqlWrOjcB1x2edQMAAOiJ1NTUUlJSOrAhlUo1MjJCCJG//LNYrKNHjw4aNEhUVFROTs7d3T0jI4O8lZKS0rhx4xBCQUFBmpqaAgICJ0+eRAhFR0dTKJRp06axHYVCoZAfWuMCYQkJCVFRUdbW1mJiYrKyspMnT37//n1zc/PBgweNjIxERETk5OQ8PT2/ffvGIf6GhobAwMBBgwZJSUnJysqam5tv3LiRvEllZeXq1au1tLRoNJqGhsbff/9dWlrKdi4jRowoLi7+888/VVRUhIWFtbS01q5dyzaC/tq1a7a2tvLy8hISEoaGhgsWLEhLSyOfDlu9MxqNlp6ePmHCBFlZWRqNNnDgQLYJhpmZmRQKZejQoRzOjm3Yvp+fH4VCOXLkCLlPXFwchUKZMmUK0TJt2jQREREmk3ngwAF9fX0ajaaqqrp06dKqqqqKiorly5draGgICwtraGj4+flxnhOAY54zZw5b2OvXr4+IiBg2bBidTpeQkLCzs3v06FHLzalU6sOHD+3t7SUkJKSkpBwcHIgpt8nJyRQKxdLSkm2TjIwMCoVCDDHj9bJXVVVt3LjR0NBQVFRUVlbWwsKCyO+UlJT4+/ubmZlJSEgICwvr6Oj8888/bKW68ZlymApKHv725cuXU6dOtayL3QFMJjM/P59IhBUXFw8bNiwtLe3ff//18/NLSEgYPnw48bmdOXPmtWvXAgICHj9+PGnSJIRQQEAAMQe2pKTEzs7Oxsbm4cOH27dvj4iIIH82uDFjxoz6+vo7d+4cPXo0JSVl/Pjxo0ePHjFiRFRUlK+v75EjR1atWvWLNucc/KNHj5ycnMTFxffu3TtjxoyDBw9OnTqVvHlgYKC/v7+np+e2bdtwyvjKlStSUlIbNmw4dOiQlJSUl5dXW+WS2t05AL8EC/Bo+vTp7V5VCQmJkydP8jvSX8XLy0tUVJTtlKlUal1dHb9DAz1Yenr6gAEDeNoE3y0tWrSI3Ii/D9jb2xMtioqKCKHGxsafjBCXrHZ1df3J/QAAAAA/b926df/++2+73fBwoaKiInLjsGHDEELh4eFEC15WS01NzdXVFadIJCUlX758SXRQVFQ0MTHBs/8GDBhgZmaWkJDAYrGioqIQQu7u7mzHRQjJysoSLw8fPowQmj59uqys7IoVKwICAkaMGIEQ0tTU9PHxkZWVXb169a5du3Bgpqamzc3NbZ0Rjav38AAAIABJREFULiClqanp5uY2atQoBQUFKpWan5+P362urh48eDBCyMjIaMqUKX379kUI9e/fv6KignwuMjIyhoaGlpaWO3bs2Lp1K86DeHt7E33OnDmDEKLT6RMnTpwwYQLucP78efLprFu3jrxPKpUqJiamoKAwZcoUFxcXMTExhNCWLVuIPjinaWNjQ7S4u7sjhKKiosj7Id+0rFu3DiF0+PBh8hWIjY1luyHB+5k1a1bfvn23bt26bds2PT09hNDEiROHDBliaGi4bdu2rVu3ampqIoSWLVvW1rX9+vUrQkhRUZHciMOWlZUVFBScOXPm3r17V61aRafThYSEYmJiiG74mowdO1ZQUNDc3Nzd3d3Q0BAhJCIikpWVhfv0798fIZSbm0vePx5Q5u/v34HLXlVVNWjQIISQlJSUra3tkCFDaDTapk2b8Lv446qqqjp58uTJkyfLyMgghCZPnsx21srKygihz58/t3pN9u7du2TJEvzvb9++9enTp62rx5mtra2SktKnT58+fvyYkJAwefJkCoVy+fJl/O7KlStpNNrHjx/xy/v37xPXpKysDCHk5+eH38JzJv777z/8Eq/E+vfffxMHWrhwIULo27dv+KWpqampqSlbJDo6OvjfOD1qZWVFvLt48WKE0IoVK4gWS0tLNTW1Vk+K181x/5CQEC6Dx59eJpOJX65duxYh9Pz5c2JXdDq9oKCg1dhYLBZ+xvDnn3+SD/fu3bt2dw7ArwMJOJ7hJ1GcE3BCQkIBAQH8jvQX6tevH9spUygUMzMzfscFerAVK1bs3LmTp01aTcDhx6R9+/YlWvC9bGVl5cqVK9XU1ISEhDQ1NdesWVNfX8+2w5iYmBEjRkhISIiLi9va2t65c4d4a+nSpWxzMf744w/i3fj4eGdn5z59+tBoNAMDg3///be2tpa8Z3d3d3FxcRaLdf/+/UGDBlGp1FmzZpHDY8sPnjhxwtraGkfSv3//pUuX4va6urqzZ8+OHDlSRkZGUFBQSUnJ3d2d7UYWAABAbxAaGjp9+vR2u7VMwJWWlkpKSoqKin7//h234CTa6NGjiT9eBw8eRAhZW1sTWykqKuJhaw8fPiTvn6cEnICAwIsXL3BLU1OTsbExQkhYWDgjIwM3MplMnDl69uxZq6dTVlZGoVB0dXWJP+JMJjMpKYnogL9FEykYJpOJR+etXbuWfC4IIUdHx6amJtzy7ds3MTExQUHB0tJS3IKzeO/fvye2SklJIQ7aagIOIWRmZlZZWYlbMjIyJCUlhYSEiOTgr07Aqaurl5eX45bCwkJ836Kvr19dXY0b379/T6VSJSQk2noqievTTZo0idxIjIXcu3cv0fj8+XMKhaKvr0+04GuCEAoODsYtTU1NeDTT8uXLcYu/vz85eYQNGjSIQqF8+PCBxftlX758OUJo3LhxxGWvqqoifohlZWW3b98mfsqfPn3Cg9fYUjY4yAsXLrR6TTw8PIiE0dq1a7dt29Zqt3bZ2tqSbyP79u1769Yt4l0DAwNzc/PS//n69SuFQnFxcWGxWB8+fEAIETfJeJrk6tWr8UucVHrw4AGxq6CgIITQq1ev8EtuEnCbN29m2/z+/ftEy4wZM4SFhVs9KV43bzUB11bweFjrsmXLiMty9epVhFBgYCCxq7/++qvNK85isVgsaWnpadOmkQ+HE3Ccdw7ArwNTUHmmoqIiIiLCuU9jY+PvXdEpMzOTrYXFYmVnZ7e7ihAAbTl79uzMmTN/fj+4LC5bsUIRERFXV9eQkBBTU9NRo0Z9//59+/bt+CEb4fz58w4ODsnJyXZ2dtbW1s+fP3d2diZmMejq6np6eiKEBgwYsH379u3bt+MpAAih0NBQW1vb6Ohoc3PzSZMmNTQ0+Pn5OTg4sBW3rq6uvn37trOz848fP4YMGUJeTovN/Pnzvb29k5KS+vfvb2pq+uXLF2LyRWpq6syZM5OSkiwtLV1dXcXExC5evGhrawtFKwAAoLcxMDBgmyXarurq6ri4uNGjR1dWVq5YsUJeXh634xmO27dvJ25xFyxYQKfTnzx5Qq7HVFNTs3jx4uHDh3c45qFDh+IMC0JIQEBg9OjRCCEnJyd9fX3cSKVSR40ahRB6/fp1q3vARdYEBASIUu5UKpUoccVisUJCQvr06UPMUqRSqf/88w9C6MqVK2y7Wr58OVGyTUFBwcLCgslkvn37lnwgISEhor+JiYmwsDDnE/Tz85OQkMD/1tfXnzNnTmNjY8tD/yJeXl5SUlL43wwGAw8Nmz9/Ph6LhxDS1tbW19evqqr6+PFjq3vIyspCCOFxamxERUV9fHyIl0OGDLGxscnMzGQrRGhgYDB//nz8bwEBATyy8tWrV7jF09NTQEDgwoULRP/c3NzU1FQrKysNDQ3E42Vvamo6duwYlUoNDg4mLru4uDhRLYdOp48dO5b4KauqquIsWHZ2Nnk/+Hzb+t8UERHRp08fhNDr16937dqFP04dIysre+vWrZs3b+IJts7OzsRbeXl5SUlJMv+jpKTEYrHwFFR1dfX+/fufPXs2Pz+/sbFx9+7dCCFHR0fynnHeFsO1XJqamrgPjPhVQGwuKytLbuG8t5/cvK3gcRW8oKAg4rK4uroihMgzylsOComNjXVxcVFTU5OSkpKQkCgrK2OxWC0Pys3OAfgVeKu1BBBCuMpjbW0t527kshq/pYSEhHHjxuFB0VhFRcWmTZvc3Ny625rloPuLioqqqalpucRHB+DHwiNHjiQ31tXVFRYW5uTk4Fuoly9fmpubnzlzJjAwEN+llZSULFy4UFlZ+fnz53iwQGpq6pAhQ3x9fV1dXWVkZBYvXhwdHX38+PF+/fqtXr2a2PP3798XLFggISHx+PHjAQMGIISamprmz59/8uTJbdu2bdmyhRzGzJkz/f39ly1bxiH+iIiIY8eOaWtrR0dHa2lpIYSam5uJhL6FhcW1a9ecnJzwzXRDQ8OwYcMSExPDw8M9PDx+/uoBAADoKfr3709ki9pF/oYsKiq6efPmDRs2EC0JCQkIoYMHD5IXKMQTPnJzc/EEPewnayThP5QEXCSOrQoqbmyr6LukpKSjo+P9+/ednZ0DAwPxJEdCVlZWUVGRoqLiokWLiEZ8056bm8u2K5yfIuBLRCxKPnny5OTkZAcHhz179owZM4bLE2RbYMHGxmbfvn0vXrzgcvOf9POXF9ewJmdPCLq6umxDEExMTBISEl68eEF+pshW7x9/eIirqqys7OjoGBkZmZGRYWBggBDCw46IexieLntGRkZ5ebmxsXG7FbqZTGZNTU1zczOehcq2VBc+37YWQq2trR0/fjxCaNy4cf7+/u0mYTkQERHBhRTXr1+/ZMmS06dPe3l54bdYLJalpSUeokWg0+kIITxTdeLEiRoaGhQKRUJCIjAwEM/gJvAUVcuvseSMJ8Z5OZHO3byt4HHibMGCBXiAJwHnajG26Snx8fGOjo6DBg06dOhQ3759qVQqHmbbsZ0D8CtAAo5nDAaj3SmoCCHO5WN/AzY2NsuXL9+1a1dFRQXRWF1dbWxsDI8OAK9wCY+fKWrb1NRUUFAQGhrq7+8vKyu7cuVKtg7r16/H2TeEkImJiaWl5ePHj9++fWttbY0QCgsLq6io2Lx5M7G01qBBg5ycnCIiIu7du8cht3Xu3LmqqqoVK1YQd71UKjUwMDA0NPTQoUObNm0i34IYGRlxzr4h0jAEnH1DCAkICCgpKREdiJF3CCFhYWE3N7fExET8yBoAAEDvQaPRVFRUcnNztbW12+08atQoISGhjx8/vn79esGCBeTsW1NTE75rJQZ9k7GlKoi/TR2DEwpsiL/OZK0OWsHOnz8/d+7c8PDwyMhIR0fHdevWEZP78PPvb9++HT16lG0rJpPJZDLJCz3hXAwB394Tx121alVVVdXOnTvHjh1rYGCwcuXKWbNmtZtWYEtd4aQeHpvfBX7+8uIn62xXBmt5k4ZPlu3sFBQUyC/xFSMfzsvLKzIyMiwsDD+kvHr1KpVKJRK7PF12/LklJ4jZlJaW/vfff9euXcvLy+PwicLnSx5VQMjMzNTV1RUUFHz06JGGhka7d3FcWrBgwd69e9euXTt16lT8+FlDQ6OsrAwvbNpSTU3Nt2/fbt26ZWxsrKqqys1XUQKVSmXLuH369IkYFNmdEbmwti5LS2fPnm1qarp8+TL+TVVTU9PWoJkO7ByATgFTUHnGYDA4Lx6EFRUVdUEw/OXn5zdx4kS2UUsVFRVsTxQBaFdsbCyvCzYRDh48SKFQBAUFNTQ01q5dO3DgwISEBPKjfozzI1n88D86OnoBCX5a/v79ew5Hf/LkCUKIbT6OjIyMsbFxSUnJmzdvyO3cDBx49uwZQghPwOGAxWJVVVWVlZXhG2K2L0gAAAB6A+4HwYWGhkZERERHR9Pp9AMHDpBn2zU3NyOEaDRaq9VqHBwcyPvhcqBNW3+VWs2k8JRNQAjJysreuHHj2bNnrq6uMTExdnZ2RFoEJ1mcnJxaPRe2ZdY5Z9MEBAS2bduWk5Pj6+ubn58/Z84cW1vbtgaOEdjWUf1Ff51/3eXFeRm2dUKxll9/Wv1C1G6hnokTJ9Lp9LCwMIRQQUFBYmKivb09MQmRp8uOf9xtnWB9fb2trW1AQIC2tvbx48ejoqJiY2PZPs8YPt+WS8whhNLT0wcOHIgQOnLkyIIFCzifGveEhIS2bdv25csXvEwEQmj8+PGZmZnR0dHkbvj/JkIoODi4b9++48aNU1NT4/X/i4KCQm5uLnHHGxcX9+XLl58+g67AYDDMzMwuXrxI/lqN/y+3tQl+i5iDfPPmzbY6d2DnAHQKSMDxjMFgtDv/FLU9jPk3c/r0aWtra/Lf2ubm5uzsbDc3Nz5GBXqc69evkwd28URTU9PZ2dnZ2VlYWFhUVPTOnTtEKRkyzo9k8TPz27dvHyXB32o43z3j9cLII9QwPBuCXDoHcTFwoKmpqaSkRFRUlLh1aNlh//79pqamNBpNUlJSRkYGV1cBAADQC/FaBk5RUXHTpk2NjY1//vkn0SgkJCQnJ1dfX4//ovEKpwOITAHWBaWQLSwsrly5kpiYqKGhsWfPnsjISPS/P764aH2n0NDQCAoKysnJcXBwSEhI2LZtG+f+bMPB8BCtlg8FudfFlxcPl8MTUdm0PCi+d+L17ERERNzc3HJyclJSUvAqBC3nGXB52fGtXVvppOvXr6enp1tbW0dGRs6ZM8fBwcHOzq7VaiccJt6+fv16wIABxcXFN27c6NxaH25ubmZmZoGBgfn5+Qih1atXa2houLi4LFq0aM+ePRs3bhw+fPj169dxZy0trVevXi1fvnzHjh07d+48ceIE98MqZ8yYUV1d7eLiEhISsnnzZjc3N27GzHYTe/bsqampGTx48Jo1a/bs2bN8+XJ9ff1WP58YHgzr5eUVFha2YcMGvPxaZ+0cgE4BCTieCQoKcjNqt7y8vAuC6Q7u378/ePBg8hPR2tra+/fvb968mY9RgR4kKSmJwWCoqqp2bHNnZ+eIiIiIiIhly5bV1ta2VRyX8yNZnIl7+vRpywfm//77L4cN2WassO2Q7SlluwMHOD/LRQgtXLhwyZIl1dXV/v7+d+/ejY2N/ZliwAAAAHo0nsrAYYsXL+7fv39cXNzp06eJxmHDhiGEbt261YEY8EBstgdOSUlJHdhVB5iamuLCrHhJRAMDA1lZ2aysLLYq+z9JSUlp//79xFE4SE5OJr/EA5pMTU07fOguvrz4ZqzVDObHjx/JqS4Wi/Xo0SPUobObPXs2Quj27dt37twRFhaePHlyq93avez9+/eXlJRMT09vNSOJ5zEMHTqUGBjIYrGI5SDI8JIUrd6I4hFwmzZtsre35+bUuEehUHbu3FlbW7tq1SqEkKys7NOnT6dNm3blypV//vnn0KFDsrKyeFIRi8XCazLs3r17zZo1q1atmjt3rq6uLpfJd3d3d39//0+fPv3111+XLl06evQoW9Wz7szGxubRo0eGhoYHDx78559/rly5MmLECA4lazw9Pf38/BITE+fMmRMdHX3jxg0nJ6fO2jkAnYPb5VIBCTeLDFCpVH6H2aWMjIzYBvbLyMhcuXKF33GBHsDBwcHNza0DG4aEhCCEFi1ahF9WVVXhCm7k5cxZLBae19DY2EhuxDcfd+/exS/xBNiwsDAOh4uKikIIubq6ttxPeHg4W2c845VYAx53i4qKarlbtvBwIZLy8vKWPQsKCigUipSU1Ldv34hGvF77qlWrOEQOAADgt7R//34dHR3OffBfxqKiIqIlJiYGISQvL19SUkJuUVRUTEtLI7oVFRWR/7q1+seUxWJVVFQICQlRqdTU1FTcUlJSguuiysrKEt0OHz6MEFq3bh152127diGEtm7dSm7cuHEjQigoKKjV08nNzc3IyCC34KU5Dx48iF+uX78eITR06NDi4mKiT2ZmZkJCAudzId8Y1NfXx8TENDc3E++eP38eITR16tS2Tgfv08jIqLS0FLekpaWJiooKCQl9+vQJt+CMiY2NDdtBybcHbLHdvXsXIaStrU3cGKSkpOBhXOQbklZvM/Aim/Hx8eRGPETo5cuX7FeWxWKxWLh0Rt++fcmNRKLH09OTyWSSr4CBgQHRrdUfMd7WwsKC7UB6enojR46UkJAYP3480diBy7506VKE0KRJk6qrq3FLXV1dVlYW6393iY6OjkRnYokD4vYPw8tBkD/8BF1d3Xfv3pmbmycmJrZ6xbrAkiVLlJSUkpKS8MVvaGh48uSJoKDg33//za+QAAAdBiPgOqLldLOWqFQqeXWC315aWpqGhga59kRpaemcOXMyMzP5GBXoEfLy8tasWfPz+xEXF8e38gsXLmQrwtIubh7+4xRzY2MjuRHfyD58+JDcWFZWlpaWJiMjgxe254mlpSVCCH8XYvPhwwcWi6Wvr0+eTpuamsrrIQAAAPwezM3NWbxXLBoxYsSUKVOKiorw0Bvcsnr16m/fvpmYmJiZmTk6OuKVJfft29fu3iQlJb28vJqamqytrV1cXCZPnqyrq6ukpNThge2cvXnzxsDAwMDAwN3d3dPT09jYODg4WFVVlZgeuG7dOjs7u4SEBHV19eHDh48YMUJXV1dfXx8vks6lhoaGkSNHKisrT5gwYfbs2fb29p6ensLCwr6+vhy2MjMzGzJkiJ6e3pQpUyZMmGBpaVlbW7thw4afuRQODg4GBga5ubkGBgZTp051cnKysLD4dZVeDAwM5OTksrOzW64m5+Tk9OrVq379+nl4eNja2i5cuFBISOjAgQMdO9CsWbNiY2OrqqrI8zo7cNm3bt06cODA69evq6qq2tvbm5qaysnJ7d69GyHk6uqqqKgYFRVlY2Pj7e1tamq6bds2b29vtj0UFxdnZmbKyMiwrSGLEKqrq/v8+XNTU1NFRQXb+rZd6datW/b29mZmZniRYiEhoQEDBlCpVPKaxQCAngIScB3BYTI5QVhYuLfNIc/JyVFSUiLPnqusrMRPxvgYFejm8vPzGxsbO2vhDg8Pj+HDh2dlZfn7+/O0oaenJ51Ov3DhAq4KjNXX1+NHrxjOvL9+/ZpcimX69Ol9+vQJCQlJS0vDLc3NzStXrmxsbJw/f34H7o3wk/xVq1bhmiAIIRaL9fr1a/S/Wic5OTlEJd1Hjx6FhobyeggAAAC/BwsLi0+fPrE9GeJGYGCgmJjY8ePH8VJCCKHt27ffuHHDzs4uJycnLi6uqKjIxcUFz+5s1/79+1etWiUvLx8ZGZmUlDR37twbN24YGhryGhU3zM3NfX19Gxoabty4cf369bq6uj/++OPZs2fEwp00Gi0yMnL37t39+vVLTk5+/PgxQsjHx4enpJWoqKi/v7+SklJMTMyFCxfy8vLGjx//6NEjvHJ6WwYPHnz06NGlS5cmJydHRkbq6OgEBwf7+fn9zPkKCgpGRUV5eHg0NDTcvHmzoKBg3759ISEhra4Y8PMoFIqnpydC6Ny5c2xvNTQ0PHjwYNiwYdHR0cnJyba2tjExMSNGjOjYgWbOnNnc3CwmJubi4kI0duCyS0pKPn78eM2aNfLy8k+ePMnIyBgwYMDEiRMRQjIyMpGRkSNHjnz9+vXVq1fl5OQeP36M77LIQkNDWSyWp6dnyyUs8PzTM2fOzJo1q2On2SmsrKxu3ry5e/fumJiYe/fuHTx40MbGRkhIqGUyEQDQA/B1/F1PxU3RJTqdnpSUxO9I+aDlyuWKior8Dgp0X0ePHvXx8enYtmxTULG0tDQqlSoiIpKTk4NbuJmCymKxwsPDaTQaQkhPT8/BwWHIkCHi4uLkueTNzc14eYchQ4bMmjVr/fr1uP3WrVvCwsLCwsJOTk4eHh56enoIIXNzc2I2BIuXKagsFsvLywshJCwsbG1tbWVlpaCg0K9fP/wWXh1VR0fH29vb0dFRQEBgyZIlCKagAgBAb2VraxsXF8fvKMDv4927dxQKhbjxYLU2c/bn4bJu06ZN68R9dkz//v0pFAqetcpm48aNqqqqkpKSa9as6frACBUVFb6+vlpaWiIiIjQaTUtLy8vLi20iNgCgp4ARcB2hpqaGv6hzQKFQuF+e5nfy48cPtodyJSUlVlZW/IoHdHN3794dM2ZMJ+7QyMhowYIFdXV1ixYt4mnD8ePHJyYmuru7V1RUxMbGvnv3zszMjDy3gkKhXL582cbG5s2bN+Hh4cSIg3Hjxj158mT06NGJiYnXrl2jUqmbNm2Ki4vjZrWWVp04cSI4ONjY2Dg1NTU5OVlaWppY6jQ0NHT27NmVlZVhYWElJSUXL17cvXt3q0t6AQAA6A1sbGzwIC8AOoWuru7cuXOzsrIuXLjw645y9uxZhNDMmTN/3SG4cfXq1bdv386ePbtv374t31VSUrKzs5OTk5s/f37Xx0aQlJQMCgrKzc2tra2tq6vLzc09efIkfiQMAOhxKCyYHsi7y5cvz5s3j3OJN0lJycOHD8+YMaPLoupWqFQqeZqeuLj41KlTT548yceQQDfEZDIlJSVLS0s5L1EKAAAAgFbdvn378OHDERER/A4E/D4qKyuNjIwaGxszMzMlJCQyMzMNDAxsbGwSEhI6Zf+ZmZmmpqaKioo5OTktJ352mZqaGrz8Qnp6upSUVMsOK1eurK+vf/z4MdvitgAA0GEwAq4jGAxGu38tGhoaelsNOLLq6mpy9avq6uobN24EBgbyMaRfSldXl0KhHDt2rN2eP3780NfX19bWJi/lPm/ePKLoRgecO3eOQqH8omrHhISEBFlZ2dGjR3fiPufOnauhoQHZNwAAAKBjrK2tiTpuAHQKSUnJp0+fRkREkJ+m/7zMzMyZM2dOmTLFzMyspqZm//79fMy+IYSamprCw8OfPXvWavYNIfT27duCgoJft+QFAKAXggRcRzAYDCaTyblPfX399+/fuyaebkhEROTr16/kxEpZWdm2bdsiIyP5GBVPIiIiKCTCwsIKCgojRowIDAwsLy/v8G5fv36dlZWVl5f37NmzToy2Czx48ODHjx+RkZGlpaWdtc/3798fP368s/YGAAAA9DYyMjIUCuXt27f8DgT8VpSUlAYNGtRWZqpjGhoa7ty5Ex4erq6ufuPGDWdn507ceQdISkoOGjSIwWC01eHt27dPnjyZOnVqV0YFAPi9QQKuIxgMRn19fbvdCgsLuyCYbktOTu7t27cSEhJES2lpqaurK7G2Y0+B1/lubGwsKiqKjY39559/DA0N09PTO7Y3U1NTJycne3t7Ozu7Tg3zl5s0adLgwYN9fHxarrPRMUwm8/nz5zY2Np2yNwAAAKB3srKyunTpEr+jAL8tfX19Fov18/NPjYyMSkpKGhsb3759O2HChE6J7depr6/Pz8+Xk5PT0tLidywAgN+HIL8D6JHExMRwRoZzt69fv3ZNPN2WlpbWkydPhg0bRgwZq66u1tHRaffSdSt4tGNTU9PHjx+vXLmyZcuWgoKCKVOmvH37ljzNlkvi4uL37t37BWH+cgMHDnzx4kUn7jA2Ntbe3r4TdwgAAAD0QuvXr1+6dOmmTZv4HQgAv4+3b98KCQmFhYW127OgoCAjI6OwsJBGo6Wnp1MolPLy8pycnEGDBnXgmwLoVrS0tLy9vfkdBfitQAKug2RkZMg1vFpVVFTUNcF0ZwMHDoyIiHBxcSkrK8MtTCZTX18/MzOTv4Hxikqlamtrr1y5UkdHZ8qUKdnZ2XFxcSNHjuR3XD1YXFxcjxsGCAAAAHQ3FhYWlZWVb9++7d+/P79jAeA3ERcXJygoaGhoyNb++vXrwsLCkydP5uTkUCiU5ORkCQkJUVFRKpWqqKhYW1uroqKCEKqqqhISEhISEuJH7KDTwE8QdDpIwHWQoqJiuwm43rwIA9nQoUMzMzN1dHSqq6txS1ZWlpiYWHl5eU/8pTZp0iQ6nV5eXv7y5Uu2BByVSv3w4cOGDRvu37//48cPBoPh7Oy8adMmBQUFos/nz5/V1NQQQu/evdPV1eV8rLq6uoMHD164cCErK6upqalv374eHh5//fWXqKgol9FeuXLl2LFjycnJVVVVKioqzs7Oq1atwncGZCUlJf/999/Nmzfz8/MbGhrY3v3y5YuSktK5c+dmzpypoqLy+fNn8rsxMTEnTpxISEj4+vWroKCgjo7OpEmTVq1aJSYmxjm2uLi4Xbt2cXkiAAAAAGiLt7f3qFGj2P5AAwA67M6dO8bGxvjfX79+3bx58+PHj7OysigUCoPB0NXVdXZ21tfX19fXHzRoEH9DBQD0IJCA6yBVVdXU1FTOfX6mVP9vRlFRMSUlZcCAAUwmk8ViIYRqa2tFREQ+fvz4q9fu7HQCAgKysrLl5eW1tbVsbxUUFJiYmJSVlcnIyAgICOTn5x8+fPj+/fspKSkdqGL79evXUaNG4WJzUlJSwsLCqamaHiroAAAgAElEQVSpqampZ86ciYqK4lAyFmtqapo5cyYeOS8iIiIpKZmXl7d///4zZ87cunVr2LBhRM+SkpIhQ4bk5uYqKCg4OTmVlJTg9dQoFIqKioq2tjaHVUoPHDjw119/IYSoVGqfPn3Ky8vT09PT09OjoqLi4+M5DLxvaGh4/vy5kpISj1cFAAAAAOwWLlwYExOjqqqan5/P35UlAfg9vHz5cvr06ba2tk+ePBEQENDU1Fy/fv24cePExMSEhYX5HR0AoKeCBFwHcVOPs7Kysgsi6Sn69u3b0NBgYGDw4cOHuro6hFBzc3O/fv0ePnxoZmbG7+h40NDQgKv7tRxHtmnTJhsbm5CQkL59+zKZzNDQUG9v7/fv3x85cmTlypW8HmjmzJnp6ekDBgw4deqUqakpQujNmzfe3t6JiYkeHh6xsbEUCoXD5jt27AgLC5OSkjp58uSECROoVOr3799XrVp16tQpV1fX169fE+Py/vvvv9zcXCMjo4cPH0pLSyOE8GA3VVXVd+/e0Wg0zkE+evRoxowZjo6OYmJizc3Nx48f9/Hxefr06fXr16dMmdLWht++faNQKNra2rxeFgAAAACwERMTu3PnzrNnz8zNzceOHcvvcADo2T5//lxSUnL8+PFp06b9+++/ZmZm3M8+AQAADiAB10Hq6upCQkKcFxNgsVi1tbXw+5osIyPDw8Pj7t27eHhgTU2Ng4PD2bNnXVxc+B0at06cOFFTUyMgIDBixAi2tyQlJcPDw3EOS1BQcPbs2Tdv3rx27dqDBw94TcA9evQoOjqaSqVev36dmKlqaGh48+ZNbW3thw8f3r9/38nJqa3Nq6qqdu7ciRDau3fv5MmTcaOCgsKJEyfevHmTlJQUFBS0fft23H7z5k2EkK+vL44cIeTp6blhw4a8vLyYmBjO9/F0Op288pqAgMD8+fOvXr0aGRkZHx/PIQGXm5sL658CAAAAnYjBYEyaNInfUQDQ42loaCCEdu/e/ccff/A7FgDAbwUScB2krKxMo9E4J+BoNFpJSUmPm2L5q4WFhQUFBW3ZsgUvy1BeXu7l5fXff/91879w1dXVOTk5Fy5cCAwMRAjNnj1bU1OTrY+LiwuRw8KGDBly7dq1goICXg937do1hNDQoUPZ6sQpKio6Oztfvnz5woULHBJw0dHRFRUVIiIi7u7u5HYKheLl5ZWUlHThwgUiAVdYWIhaDOpUV1fPy8vLycnhNXKEkIGBQWRkZHFxMYc+jx8/5jIBV1dXFx4e/vjx43fv3vXv37/d0nIAg2WbAACgt9HQ0PDz8+N3FAD8DgIDA0NCQrr51xMAQI8DCbgOYjAY7S4sLSgoCAm4Vi1btszCwsLW1pbJZCKEfvz4sWbNmsLCws2bN/M7tFa0nOk5ceLEAwcOtOzZcqUkWVlZhBCecsuTly9fIoRarepqYmJy+fLlxMTEdjfv169fywGYJiYmCKEPHz4UFRXJy8sjhBQUFD58+PD9+3dyN/ySy9J1qampiYmJX79+ra2tZbFYuIRcU1MTh00eP368aNEizrvdu3dvZmZmcHCwgYGBuLg4QkhYWJjzlFhA6IkrnAAAAAAAdAeampovXrzgdxQAgN8NJOA6iMFgNDc3c+5DoVA4DwLqzaytrSsrK7W0tEpKShobG0tLS/fu3fvly5fg4GB+h8aOSqVSKBQxMTEFBQULC4tZs2aNGjWq1Z5sw98IeN0JnuAldOXk5Fq+hRvZ8mW8bo73gBNwLi4u+/fvP3LkyKRJk3DWJjY2NiMjQ1BQkG2Z15YyMjJmzZqVnJzMzUmRPX78+Pz58229++nTJ2NjY1lZ2eHDhz969AgmqwIAAAAAgC6jp6f3/v371atX79ixg9+xAAB+H7BMUgcxGIz6+nrOfZqbm3EeBLRKRETky5cvtra2kpKSCKHy8vKwsLDx48fzOy52TCazsbGxvLz83bt3586dayv7hhBqd1Ak9/Cwu1Yzd7iR8woM7W5O3sP69es1NTVjY2MNDQ3nzZs3efJkPLl148aNampqHI5SUVHh4OCQnJzcr1+/S5cuff36Fa9y2+7Qtjdv3qiqqtLp9JZvVVVVNTY2Tp8+3dfX9927d8ePH4fsGwAAAAAA6EomJiYUCuXOnTv8DgR03LNnzygUyrFjx9rqMH369P79+xNfjkRERDw9PbsqOtBLQQKug+h0ervDmhobGyEB166oqKhFixbJyMgghKqqqqKjoy0tLfkdFP/hJUqLiopavoUb8eC1Dm9O3oO8vPyTJ08UFRVzcnKOHz8eFRVlYWFx5cqVduvIXLhwobCwkEajxcXFTZ06VVFREacgOddGRAidPn1aSUmpZXtWVlZAQICIiMiyZcs2bNjAeScAAAAAAAD8CoMHD8aDLdLS0jplh3Z2dhQKhUKhUKlUWVnZkSNHXrlypVP23Kvk5+efOHGis/ZWW1uL6+d01g4BaBck4DqurfmGhLq6ulYzIIDN9u3bT548iYvr19bWpqSksK080AuZm5sjhFJSUlq+hRtNTU3b3Tw7O7u6urrVzdXU1MgpPB8fn+Li4rt377JYrMrKyvj4eFdX13aDfPv2LULIwMCALZuWl5fHecO0tDQHBwe2xuTk5NGjR4eFhZWVlRErtwIAAAAAANDFNDQ0aDTa2LFjDx482Fn7lJaW3r9/f0BAwKxZszIyMqZOnRoSEtJZO+8lwsLCOjEBd/369ffv3wsIQEoEdB34tHUcHmTEAYvF+vLlS9cE09NNmDAhOztbQkJCQECgsbExLy/P2Nj42bNn/I6Lb/DqpU+fPs3IyCC3f/369e7duwghzgkye3t7BQWF+vr60NBQcjuLxTp16hTb5mlpaREREdbW1hyWVW2VsLAwQqi2tpbcmJ6eHhsby3nDz58/s801rq2tnT17tqOjY1ZWFp6SDAAAAAAAAF+oq6szmUwNDY1jx47hVeN+nri4+OLFi5ctWxYUFPTixQspKanAwMBO2XMv0dDQcPXqVV634lwjCLJvoIvBB67jlJWV2+0DCTjuqaioVFZWTpo0SVpaurm5+dWrV2PGjJk7dy6/4+IPExOTKVOmNDc3T548mVjw9O3btxMmTKitrTU3Nx83bhyHzWk0Gp7CuXz58kuXLuH7hqKiorlz56akpNDp9L///pvoXFNTgxDKysp69uzZjx8/ysrKysvLOa9hiuFxdllZWUSaLzU11cPDg/PfuZqamg8fPvTv35/cGB0dTafTu+ESHAAAAAAAoLeRkpJisVgHDhzw8fH5FeswMBiMgQMHFhQUEC15eXmurq5SUlJSUlKTJ08mTygpKSnx8fFRV1cXEBCg/I+dnR1C6P+xd+dxNeb9/8A/p9PpnNN62vfltKlEyNKCklJjyp2ISJbGPg0GYYyxjCFbMrYJMQwGZZAYjGzJGtmXLNGiVQvtner8/ri+9/mdu+WIzlLN6/nHPM712a73dQ6m8+6zrFmzRktL68WLF76+vsrKyrq6uqNHj87JyRH07d27d+/evYVv7e7uLlhsRO2SlpqaOnv2bG1tbSUlJXd39ydPnjx69MjHx0dZWdnAwGDGjBnl5eVNH2HmzJny8vLCGy7x+Xx9fX3BGW6XLl1ydnZms9l6enpz5syhvnFQHj9+PHToUG1tbZqQ5cuXi+iYnZ1tZ2eXkpJy7do1qj11ClxcXJynp6eampqCgoKtre2+ffsaxZmRkTFs2DAOh6OoqOjj45OWliao8vHxMTIyaukzEvGJAHwxJOC+nKmp6Sfb5OfnSyGSzuTo0aOHDx/W0dFRUlIqLS09ePCgqqrqhQsXZB2XDOzatcvFxeX58+f9+vVTU1PT0NDo2rXr7du3LS0t4+LiPnngw8yZM2fOnFleXj569GgVFRUdHR09PT1qqW9sbKzw6Qp9+/bt06dPQUGBs7Ozpqamuro6h8NhMBg9e/Y8fPiwiFv4+/t369aNEDJu3Dg9PT0Oh9OzZ08ejyd6ZnhKSgqVuRO4cOHCmDFjrl+//uk3BQAAAABA8kxNTel0+rJly7Zv3y72wevq6jIzMwWJsPfv3w8YMODBgwe//PLLkiVLkpOTBw4cWFJSQtWGhIQcO3Zsw4YN165dGz58OCFkw4YNW7ZsoWqLiorc3d1dXV2vXLkSERFx6tSpkSNHflYwwcHBNTU1f//9944dO1JTU4cNG+bj4+Ph4XH+/Pk5c+ZER0cvXLiwaa/AwMD6+vqEhARByY0bN/Ly8gIDAwkhSUlJ3t7eSkpKv/76a3Bw8LZt26hyQsiHDx+GDBlSUFAQGxt77tw5Ozs7FRWVv/76a/LkySI6cjicvXv3mpiYdOvW7erVq1evXrWxsSGEHD16VFVVdenSpdu3b1dVVZ04ceLly5eF41yxYkVZWdmqVat++OGHGzdueHh4lJaWfvI9Ef2JAHw5PnypVatWfXLOqrW1tazD7KgiIiLodLq8vDwhhMPhjBw5UsoBCP530prGFhYWhJBdu3Y1Kqd2drCwsBCUZGVlUcO+fPlSUEhN9AsODm7Uvba2dvPmzX369FFSUlJUVHRwcFi5cmVZWVmjZvv37yeEGBoaNvsU3t7eGhoaTCaTy+XOmDHj7du3jdpcvHjRy8urpT/DBw8eFHGXgoKCSZMm6ejoKCgomJiYhIWFFRcXFxcX02i00aNHN/terV+/fv78+YLLhoYG/EMEAAAAAO3K0KFD5eXl+Xx+YGDg8uXL2ziam5ubnp5eVlZWRkZGcnJyQEAAjUaLi4ujahcsWMBkMjMyMqjLf/75hxCydu1aPp9PZYuWLFlCVRUUFBBCVq9eTV1GREQQQubOnSu40YwZMwgh+fn51KWjo6Ojo2OjSATfTW7cuEEIcXZ2FtSGhYURQsLDwwUlTk5OxsbGTZ+orq5OR0dn2LBhgpL58+fT6XTq1n379u3atWtdXR1VtXjxYkLIrVu3+Hz+iRMnCCGJiYlUVWxsLCHk+vXr1KWIjnw+v0uXLq6uri29ydSMwpkzZwo/nb29PY/Ho0qOHTtGCNmwYQN16e3tLfzVhslkCr6OifhEANoCM+C+nIGBAZvNFt0GafIvtmjRosrKyoCAADU1tdLS0vj4eAUFhePHj0stAF9fX+ovSWsav3r1is/nU7+3ETZ58mQ+n//q1StBiZGRETWs8EETMTExfD7/wIEDjbozGIzvvvvu9u3b5eXlFRUV9+/fX7JkibKycqNm48aN4/P52dnZzT7F2bNni4qKqqur09PTt2/f3mjm5v79+wcPHvzu3btr165VV1dTsfF4vJcvX/7nP/8hhAiWhTZ7F21t7T179uTn59fU1GRkZGzZskVdXV1dXb2hoaGl2XONZsCpq6tT/0sDAAAAAGgnuFyutrb2kydPIiIi9u7dKzzV68vk5eUZGxubmpr279//8ePHJ0+eFExVS0hI6N69u6qqamlpaWlpaffu3Wk0WnJyMiGESsCpqqpSLakvAh8/fhQeWXhrGmtra/KZy7B8fHwEr6lZBcK/m7ewsGh2NDqdHhAQcP78ecHa0uPHjw8cOFBHR6egoOD27dtDhgwpKyujnog6Pq7ZJ6J2f6aeSHTHTzIwMOBwOMXFxcKFAQEB1JQOQoifnx+bzb548eInhxLxiQC0BRJwX05fX1/wl7klZWVl0gmmU1JQUDhy5MilS5dsbW3ZbDaPxwsNDf3qq69kHVenQv0+7ffff3dxcWEymVShvLy8paXl7NmzCSGvX78W7x2FE3AhISFLliwRMf9OtJiYGMG2EdSZ7u7u7jt37mzNBnbiEh4erq6ufuvWLandEQAAAAAkTVNTU1lZOTU11cLC4vjx49T2ym0cMCEh4eTJk9ra2srKyl9//bWg6s2bNykpKer/paenx+fzqZkcJiYmdnZ2+/fvz8zM5PF4GzduJP+bICOE6OrqCl5T308/64dhbW3tRt01NTWFS1oaLTAwsKqq6uzZs4SQhw8fvn79mkopUtulRUVFCZ6IOv+NeiIPDw8FBYVff/21rKzsw4cPv/32m5qaGvXtQHTHZl26dMnPz8/Y2FhVVVVZWbm0tLTR/Al9fX3hZ9HT0xPeeq8lIj4RgLb4RP4IRNDX1//k9Kja2tq6urpP5ulAhJ49ez59+vSPP/6YNm1aVVXV+fPnaTTavn37xo8fL+vQOjxq+wlCiJaWVtPat2/fktYdNtJ6r1+/zs7O5nK5hJBNmzY9ffqUWtnaFiYmJra2ttXV1RkZGVeuXLly5cqxY8cSEhIYDIY4Qv7/6urqVq9ePX36dOETkFNSUkpLSx8/ftyvXz/x3g4AAAAAZEVbW7uwsDA1NTUkJKRHjx7GxsZHjx793O3VhLFYLGqq2k8//TRr1qx9+/ZNnDiRquLz+U5OTtR6UgE1NTVCCLVS1d/f39TUlEajKSsrR0ZGenh4CLdUUFBofRhVVVWNSpr+zNzKs0Hd3Ny0tbVPnDgREBBw4sQJOTm5gIAA6nEIIdOnTx89erRwe2ohjrGx8ZEjR0JCQqhj3LhcbmxsrIaGxic7NnX16lUvL68ePXps377d2tqaTqc7ODg0asPj8YQvqd1vPknEJwLQFkgMfTl9ff1Gf5+bbVNcXCz8dR2+zPjx48ePHz9nzpxdu3ZVVVXNmjUrJibm3Llzn1wFDCLIy8tbW1u/ePFi1apVW7ZsUVRUpMrr6+vj4+Pnz59PCJkwYYIY7/jo0SNnZ2dCSF1d3bx588QyVc3Pz2/r1q3U68uXLwcEBJw7d2737t3Tp09v++DCzp49u2zZsoCAAOG/0b/99ltSUtK4cePEey8AAAAAkKHAwMClS5empqZSl99+++3w4cPbkoATmD59+q+//rp48eLAwEAlJSVCiKmpaWlpKXWwaVOVlZX5+fkJCQkODg5GRkY0Gq3196LT6Y0ybllZWYKf+duITqcPHz782LFjDQ0Np0+fHjBggJ6eHhHKl7X0RDk5OZqamklJSYaGhsI/V3+yYyP79++vr6+Pi4ujfrtfWVnZNL2Ym5sreF1bW5uXl2dvb//JkUV/IgBfDEtQv5y2trbwfN1mlZWVUTtlglhs2rTpxYsXAwcOZLPZycnJRkZG06ZNe/Lkiazj6sCioqIYDMaePXsMDAwGDhw4ZMiQvn37amhojBgxori4eOrUqeJNYz1//tzFxYXH440aNerIkSNiHJni7u6+bNkyQgi1x6oYUQt1m5bb2tpOmzZNsHoXAAAAADoBXV3d6upqQQLO29t70qRJYjkRlcFgrFq1Kjc3d82aNVTJsGHDnj9/npiYKNxMMFdr586d1tbWvr6+xsbGn5V9I4To6Oikp6cLtkW6fPmycEKq7QIDA9+/f5+YmHjnzh3BOaf6+vq9e/c+cuRIYWGhoKXw5tqbNm0KDAzs2bNno3kqn+yoqKgofIYpVc7hcKjLkydPNl2gduLECcGkmWPHjtXU1DSaP9gs0Z8IwBdDAq5NKioqRDeQk5NDAk68DA0NL1++fODAAS8vr9LS0j/++KNv3752dnYxMTGyDq1DGjp06O3btydMmKClpXX79u2LFy++ePHCzMxsypQpycnJO3bsaOUU9Fa6d+9ez549ORwOk8kUy68Qm6KWgmZkZAgXJicn+/r6ampqslgsOzu7VatWVVdXN+pYVla2aNEiLpfLZDJNTU3nzp0r2Ojhzp073bp1o5J63bp1o3ady8vLI4QEBQXRaLRG/3tOSkoaNmyYtrY2i8UyNzcPCgrKycmhqi5duhQUFGRoaMhgMDgczuDBg1uzESwAAAAASBmXy+Xz+devX6cuIyMj58yZI5aRR40a1bt378jISGo3mEWLFpmamvr5+X377bebNm1atmzZwIEDBafPcbnchw8fzps3b82aNevWrduzZ8/79+9beaPg4OCKigo/P79du3atWLFi1KhR5ubmYnkEiru7u5aW1s8//0wIofZro2zatKmysrJXr14//PDDpk2b5s2bZ2NjU1RUJHiiQ4cOLV++fM2aNRs2bIiLixPkyER3dHZ2fvLkyapVq/bt25eenu7m5kYImThx4qFDh5YuXbpgwQJjY+NGEVpaWnp5eW3btm3lypVTpkwxMDD45ptvPvlcoj8RgC8nySNWO7+uXbuKfntVVFQOHTok6zA7LR6Pt3fvXicnJwaDwWazaTRacHBwSkqKrOOCFllZWU2dOnXNmjViGW3Xrl2EkG+//Va4MCUlhRDSvXt3QcmBAwfk5OSYTKa3t3dQUBB1upOrq6vg1Fc+n19RUdGrVy+q48iRI6kDpOzs7D5+/Mjn8+/duxcREUHNip8zZ05ERERERER5eTmfz6e2qDh//rxgqH379lFZSzs7Ow8PD2NjYyUlpYqKCuoumpqaDAZjwIABY8aM6du3LyGEwWDcvXtXLG8IAAAAAIjL0KFDe/fuHR0dLSiZOHGiq6vrFwzl5uZmaGgoXEL9CjYoKIi6zMnJmThxoo6ODp1O19LS8vf3f/XqFZ/Pb2ho2LNnT6Nt2tTU1J4+fcrn86lNyl6+fCkYdsuWLYSQe/fuUZcNDQ1r1641NzdnMpl2dnbHjh374YcfLCwsqNobN24QQnbt2tVSdz6fP2HCBDqdLuLRpkyZQggZMGBAo/Jbt255e3urqKjQ6XQTE5Pp06dTP3t//PixaR7TwcGhsrJSdEc+n5+Xl+fl5cVisTQ0NG7evMnn85csWaKnp8dkMp2dne/evTt58uTRo0cLno5Go3348OGXX37R09Njs9lDhgx5/vy5IEJvb2/hD4XJZAYHBwsuW/pEANoCCbg2+eSJnCwWa/PmzbIOs/MrKSnZsmWLvb09k8lks9kGBga//vqrcHoF2oOKigo2m62rqyuuAZtNwFGHQ4WEhFCX+fn5ysrKqqqqjx49okrq6uomTZpECPnpp58EvRYvXkwIWb58uaBNUFAQIWTx4sWCNq6uroQQwTiURgm4/Px8RUVFJpN57tw5QZvs7GzB64sXL+bk5AguqZ8/pk6d2oa3AQAAAADE79tvvx0xYsScOXOECwcMGJCUlCS1GGbNmqWnp5eSklJXV8fn82tra69fvy4vLz937lypxSBG9fX1PXr0cHd3z8jIoEoqKio2bdpE/ruAFKBzwxLUNrG0tBTdoLq6mlqnBhLF4XDCwsIePXr08uXLJUuWEEIWLlyoqKg4ZMiQq1evyjo6+D/37t2j0WgLFiyQ3C2Sk5N/+eUXQsjkyZOpkgMHDpSXl0+bNk2w3yqdTo+MjFRQUNi+fTu1lQOfz9+1a5eGhgb1h4dqQ51BcfTo0c8K4I8//qisrAwNDR0yZIig0NDQUPB60KBBwqehU6c3pKWlff6zAgAAAIAEcblcBQWFW7duCRdaWVk1OqNTohISEgYNGtS7d286nU4IYTAY9vb2dDqduuxwsrOz79+/P2bMGBMTE6pEUVHRzs6OENJBnwjgs+AU1DYxNzdXUFCora0V0SYrK0tq8YCxsfHixYsXL1787Nmzffv2RUdHe3l5ycnJMRgMDQ0NExMTGxsbe3t7R0fHXr16sVgsWcf773Ls2DFVVdW5c+eKd9jTp0+/ffu2trb2zZs3r169IoSsWLFi4MCBVC21bYfgkqKuru7g4JCSkvLkyZNu3bqlpaUVFhbq6up+++23gjbUIUrp6emfFczNmzcJIcLZt5ZUVlbW1tZSx/g23ZAOAAAAAGSLy+Veu3atUQJu1apVCQkJT58+pdJGkubs7BwfH79x40YHBwcej/f69esdO3YwGIzQ0FAp3F3sjIyMjI2N169fz2QyTUxMKioq7t27t3nz5q5duw4ePFjW0QFIHBJwbWJkZMRisUQn4N69eye1eEDA1tZ2zZo1a9asycrKevr06f379588efLy5cszZ84cOnSosrKyvr6eTqcrKytrampqamqamZl16dJF1lF3clu3bhXvmaqUt2/fvn37VkFBQVtbe+TIkWFhYdSGrBRqCip1JrowahpaTk5Ot27dqL+k+fn5O3bsaNSsrq6urq5OXr61/1Tm5+cTQgwMDFpqcObMmY0bN968ebO8vLyVYwIAAACA9JmZmWVkZPTq1evOnTu9e/emCvX09CIjI8PCwjZv3ixYYCE50dHROjo6W7duzc3N5fP5BgYGbm5usbGxNjY2kr61JMjJyZ0/f37p0qU//PBDYWEhk8nkcrmTJ09esGABk8mUdXQAEocEXJsYGRl98iho6gs5yIqxsbGxsbG3t3ej8qqqqnv37t25c+fx48ePHz8uKSnBP/oSlZuby2Qyz549K/aRv/32261bt7ZUS/0N5Tc5kpwqEa719vZue3jCwzZ18ODBcePGcTicOXPm9OnTR1VV9d27d9QqVAAAAABoV7hc7ps3b8aMGXP79m1BAo4QEhISoqioGBISsnXrVmqPYMlRUVGJioqKioqS6F2kqUuXLkeOHJF1FACygQRcmxgZGdXV1YluU1xcLJ1g4LOw2WwXFxcXFxdZB/IvYmFhsWfPnt9//506A0E6qP3XcnNzG5VTJdQ8OOq/b9++bfvtdHR0mr0dZeXKlYSQw4cPCzLC9+/fb/tNAQAAAEDs1NXVGxoabG1tz549O3PmTOGqESNG+Pv7Gxoa3r17V3i3XwAAEXAIQ5sYGRlVVFSIblNaWiqdYADaud69e5eWlk6ZMoXH40ntptRy1CtXrggXlpaWPnjwQF1dndq8w9bWVlNTMy0t7cWLFyKGohaiig7eycmJEHLhwoVma6kd5YQ3pEMCDgAAAKDdMjMzs7KyOnfuXFFRUaMqOp3O4XC2b98uk8AAoCNCAq6tOByO6AY1NTWfnCUH8G/g6OhYWlrKZDJbmiAmCWPHjtXQ0Ni1a9eDBw+okoaGhgULFvB4vClTplDHLcnJyVG/1fzmm2+Ef7pKS0u7du2a4JLaSO7hw4cibhcSEsJisXbu3Hnp0iVB4cuXL6ljFqj5cffu3aPKcynOHi4AACAASURBVHJyfv75ZzE9KAAAAACIWVVVVXZ29tdff52UlNS09vnz53FxcfPnz2+2FgCgESTg2kpXV1d0AxaLVVBQIJ1gANozJSUlY2PjhQsXfvXVV1K7qZqa2r59+2pqavr27evj4zN27FgbG5tdu3b16dNn2bJlgmY//viju7t7cnKyiYnJwIEDPTw8LC0tbWxshPNo//nPfwgh3333XXBw8PDhw5udLqevr79t27aamprBgwc7ODi4u7ubm5tbW1tTM2GpU1aHDh06fvz4ESNG2Nraurq6amhoSPxdAAAAAIDPZ2hoWFVVNWDAgKtXrzbb4MWLF6mpqRMmTOjdu/fNmzelHB4AdCzYA66tjIyM0tLSRDRgMBgFBQUiTkUE+PdwdHS0sLCws7MLDw9fv369dG7q6+t7/fr1n3/++erVq5WVlVwud/ny5eHh4YqKioI2TCbz3Llz27Zt279//507d+rr642NjadOnTpq1ChBmzFjxjx9+nTv3r1xcXFcLpfBYDR7u9DQUC6Xu27dups3bz558kRHR2fq1KlqamqEkPDw8Lq6uj179sTGxhoaGoaHh//www++vr4lJSWSfhMAAAAA4HM5OjpWV1e7u7vPmDGjpTYXL15MTEy8e/eup6dnv379VFVVS0tLnZ2dqZUW0IlxudzQ0FBZRwEdCa3p4YDwWaZNm7Zz504RDTgcTmxsrJeXl9RCAmi3Nm3alJGRERUV1bdv3/79+2/cuFHWEQEAAAAANG/Hjh337t2Ljo5ms9klJSUsFktE4+zs7B07drx+/TonJ8fNza2lX9ZCp2FqahoSEiLrKKAjwQy4trKwsJCXlxexy1t9fT2WoAJQzM3Nd+3aRQi5efPm5MmTe/TogVMIAAAAAKB9srS0jIuLI4QMHDgwKSlpyJAhIhobGRlRR94DADQLe8C1lbGxMZvNFtGgpqamsLBQavEAtGeenp7Pnz8nhMjJyS1YsMDZ2Xns2LGyDgoAAAAAoBmWlpavXr0ihJibmx85ckTW4QBAx4YEXFsZGRmJXt5fW1srzTMfAdozRUXFHj16pKamEkJsbGzc3NwKCgoWLlwo67gAAAAAABozNTV99+5dXV2dh4fHxYsXZR0OAHRsSMC1lZGRkYj1p5TMzEzpBAPQ/jk5OQmOiAoKCgoKCkpJSRGxry0AAAAAgKxQk+ACAwPz8/OrqqpkHQ4AdGBIwLWVkZFRZWWl6DaYAQcgIJyAI4RMnjw5Li5OR0dn7ty5MowKAAAAAKApwSpUT0/PxMREWYcDAB0YEnBtxWAwlJSURLfJz8+XTjAA7V+jBBwhRFNTc968eadOnTp48KCsogIAAAAAaAoJOAAQFyTgxEBHR0d0g+LiYulEAtD+WVlZFRUVNfpLoaqq+ueff44fP15OTm79+vWyig0AAAAAQJiFhcXr168JIYMHD75w4YKswwGADgwJODEwMjIS3eDDhw/SiQSgQ+jbt+/169cbFfbu3ZvH48XExERFRfn6+r5//14msQEAAAAACJiZmT18+JAQ0rVr15ycHOzuLRa1tbVcLnf69OmSGNzHx+eT39ABZAIJODEwNzcX3aC+vr66ulo6wQC0f3w+f8OGDU3L5eTkQkNDc3JyHBwc+vXrt3Tp0qtXr0o/PAAAAAAASvfu3a9evdrQ0EAIsbGx2bZt2xcM4u7uTqPRaDQanU7X1NQcPHjw0aNHxR1pR8Ln86uqqnCoBfzbyMs6gM7A0tKSTqfX19e31IDJZBYWFhobG0szKoB266efflq4cKGIBqtWrbK3t1++fPnx48fT09ODg4OZTGZmZqaNjY2CgoLU4pQhLpcbGhoq6ygAAAAA/u1MTExsbW2fP39uZ2e3aNGi3bt3f9k4HA5n5cqVPB4vMzPzyJEjgYGBO3funDJlinij7SiYTOa7d+/odLqsAwGQKhqfz5d1DB3e/v37Z86cWV5e3lIDNTW1CxcuODo6SjMqgPaMTqfzeDw5uU9Mwi0uLj537tz58+dfvnxZUVExePBgNTU16UQoW6ampiEhIbKOAgAAAADIiBEjgoODAwIC+Hw+nU6nZsN9Fnd391evXmVnZ1OXubm5NjY2+vr6z58/F3ewQHx8fB4/fix4twHaET602cWLFzkcjog3WU1N7cyZM7IOE6AdcXd3v3TpkqyjAAAAAAD4hMWLF69atYp67evrm5CQ8LkjuLm5GRoaCpe4uroqKysLLtPT0wMCAlRUVFRUVIYPH56eni6oev/+/ZQpU4yNjWk0muALppubG5/Pj4iI0NTUTEtL+/rrr5WUlHR0dEaNGvXu3TtBX0dHR0dHx0aRWFhYUK9v3LhBCLl79+6sWbO0tLQUFRXd3NweP3788OFDb29vJSUlfX396dOnl5WVNftQFRUVkZGRPXv2ZDKZbDZ7wIAB169fF9R6e3sHBAT8/fffvXr1YjKZpqamCxcurK6uFjRgMpnBwcHU64iICG1t7aysLD8/P0VFRXV19XHjxpWWlv711189e/ZksVgWFhZRUVGtv3Wjd3vbtm3W1tYKCgpWVlZbt25t/kMCkDzsAScGRkZGItafEkLq6+sLCgqkFg9A+zdw4MCkpCRZRwEAAAAA8Ak2NjaCqWp+fn4JCQltHLCuri4zM9PS0pK6fP/+/YABAx48ePDLL78sWbIkOTl54MCBJSUlVG1ISMixY8c2bNhw7dq14cOHE0I2bNiwZcsWqraoqMjd3d3V1fXKlSsRERGnTp0aOXLkZwUTHBxcU1Pz999/79ixIzU1ddiwYT4+Ph4eHufPn58zZ050dHRLW8fQ6fSYmJjevXtv2rRp1apVr1698vPzKy4uFjS4ePHiN998Ex4efvXq1bFjx65du3bFihUthVFYWDh06FAvL6/Lly/Pnj37wIEDnp6e33333bx58y5evOjk5PT999/HxcW18tbC1qxZExYWNnDgwK1bt3br1i0sLGz9+vWf9RYBiI2sM4CdQWVlpby8qN30GAzG+vXrZR0mQDuSmJg4ePBgWUcBAAAAAPAJt2/f7tOnD/V6/fr1SkpKnzuCm5ubnp5eVlZWRkZGcnJyQEAAjUaLi4ujahcsWMBkMjMyMqjLf/75hxCydu1aPp9fWlpKCFmyZAlVRc3qWL16NXUZERFBCJk7d67gRjNmzCCE5OfnU5etmQHn7OwsqA0LCyOEhIeHC0qcnJyMjY1b84x//vknISQ2Npa69Pb2JoScPHlS0MDW1tbOzk5w2WgGHCFk0aJFglp7e3tCyOnTp6nLsrIyBoMREhLSylsLZsAVFRWxWKzp06cLGru4uKipqdXU1LTmoQDECzPgxIDNZoveGJ7H4+Xk5EgtHoD2r3///pcvX5Z1FAAAAAAAnyA8A27SpElMJvMLtoHLy8szNjY2NTXt37//48ePT548KZiqlpCQ0L17d1VV1dLS0tLS0u7du9NotOTkZEIIlYBTVVWlWiorKxNCPn78KDyyr6+v4LW1tTUhJD8/v/WB+fj4CF5bWFgQQry8vIRLWjmanZ0dIUR4GhqdTv/qq6+EY8vLyxMxgnBjKhJPT0/qUllZWUdHp6VImt5aIDExsbq62svLq/S/+vXr9+HDh8ePH7fmoQDECwk48dDW1hbdICsrSzqRAHQITCbT0NDw8OHDsg4EAAAAAEAUams2akaFpqamo6PjhQsXPncQTU3NhISEkydPamtrKysrf/3114KqN2/epKSkqP+Xnp4en8+nlqCamJjY2dnt378/MzOTx+Nt3LiR/G+CjBCiq6sreE0tzBK9P1Ijwt9kqe6amprCJSJG27Vr14ABA3R1dVVUVJydnQkhfKEzHjU0NIQXiokeqmkkKioqwtNcGnUXfWuBN2/eEEJGjBgheHujoqIIIYIVvgDSJGrhJLSeoaFhRkaGiAa5ublSCwagQwgNDcXBTwAAAADQ/lGT4AwMDAghQUFBhw8fbpQF+yQWi0VNVfvpp59mzZq1b9++iRMnUlV8Pt/JyYlahimgpqZGCKFWqvr7+5uamtJoNGVl5cjISA8PD+GWohdjNVJVVdWohMFgNCqRk2vVNJ2IiIjFixePHj169erVenp6T548obao+7LAmkYiIoxP3lqAyspFR0d36dJFuNzBweGzYgMQCyTgxMPc3Pz69esiGhQWFkotGIAOwdPTc+HChcuXL5d1IAAAAAAAonTp0iUtLY3KfI0ZM2bmzJmrV68WnnrWetOnT//1118XL14cGBiopKRECDE1NS0tLXV3d2+2fWVlZX5+fkJCgoODg5GRkfBZqJ9Ep9MbZdyysrIUFRW/IOymfv/9dy6X++eff1KZslevXollWPHe2tTUlBDC4XBaensBpAlLUMXD0tJS9D+FRUVFUgsGoENwdXW9e/dudXW1rAMBAAAAABAlNzf3zJkz1Gs2mx0UFBQQEPBlQzEYjFWrVuXm5q5Zs4YqGTZs2PPnzxMTE4WbCbaZ27lzp7W1ta+vr7Gx8Wdl3wghOjo66enpZWVl1OXly5fFuDCLz+erqKgI5qnFx8eLa2Qx3trLy4vFYv3222/Cy1e/YAs/ALHADDjxMDExUVRUrKioaKlBo50yAYAQ4unpmZiYKLxxLAAAAABAe+Ps7Hz//n3B5d69e01MTLKysoyNjb9gtFGjRm3YsCEyMnLKlCkmJiaLFi2Ki4vz8/MLDQ21srIqKSm5dOnS7NmzR4wYQQjhcrn79u2bN2+etra2nJyclpbWsGHDtLS0WnOj4ODgU6dO+fn5BQcH5+TkbNu2zdzc/AsCbpabm9vu3bsXLlzYvXv3Cxcu3Lt3T1wji/HWWlpaK1euDA8Pd3Fx8fX1VVRUfP78+atXry5duiS1aAEEkIATDyMjI+ENJptVUVFBzTEGAIqjo+PRo0fbnoBLSUnZsWPHpUuXcnNzWSyWoaGhs7PzhAkTXF1dRXd0cnIqKipKTU1VUVFpezMAAAAA6JT69u0rmAFHmTFjxm+//bZ69eovGI1Go61bt87Dw2PhwoWHDh3S1NS8cePG4sWLjx49WlRUpK6u3r9//x49ehBC+Hw+dSYDdfwCRU1N7caNG7a2tp+80ejRozMzM3fs2PHdd99ZWFjs2LEjJSUlNjb2C2Juat26deXl5Tt27GhoaPjqq6/++ecfFxcXsYws3lvPnz9fX19/48aNq1atIoRYWVlNnjxZOnECNEJr9qwQ+FzPnj1zcnISMc1NWVn50aNHZmZmUgwKoL27fPmyv78/dbz6l+Hz+fPnz4+KiuLz+crKylZWVoSQtLS0ysrKoKCgQ4cOiehbW1urrKzM4/FevXpFnXROCLlw4UJRUdGoUaNENwMAAACAf4/379/b2toK7+udl5dnaWlZXl4u0fvOnj07NjY2ISGhZ8+edDqdx+PduXNn4MCBs2bNioyMlOitAUDsMANOPIyMjERvZUWn0wsKCpCAAxDm7u6up6eXlpbW6Fii1lu8ePHGjRupA6EmTJjAZDIJITU1NceOHevVq5fovgoKCqdPny4rKxNOqy1cuNDMzEw4AddsMwAAAAD499DS0pKXl8/Ly9PT06NK9PT0+vTpEx4evn79esndNyEhYdCgQb1796YuGQyGvb09nU6n0+mSuykASAgScOIhvAdkS3AQKkBTfn5+CQkJX5aAe/Dgwbp16+Tk5E6ePDlo0CBBOZPJHDNmTGtGaHR+/IMHD+7evds0Uf65x8wDAAAAQCdjb2//+PFjQQKOEPLXX39ZWVlJNAHn7OwcHx+/ceNGBwcHHo/3+vXrHTt2MBiM0NBQyd0UACQEp6CKjeiNMOvq6goKCqQWDEBH4evre+rUqS/ru3Xr1oaGhvHjxwtn35oKCgpSVlYmhJw/f75nz57y8vITJkygqvT09Gg0Wl1dHSFkzpw5/fr1I4T89ddfNBqNRqNNnz69aTOB33//3dXVVUVFRVlZuWvXrnPmzKHKa2pqDhw44OnpqaGhwWAw9PX1g4KC3rx582XPCAAAAADtAZWAEy7R0NAICAjYsGGD5G4aHR09ZcqUrVu3+vr6+vv7R0ZGOjo6pqSk2NjYSO6mACAhmAEnNgYGBtnZ2S3V1tTU5OfnSzMegA7Bzc0tNTW1rKzsC843OHv2LCFk0qRJn2xZUVFx+vTp4cOH6+vr9+3bt2fPnk3bWFpajhs3bvfu3fb29sHBwYSQZptRpkyZEhMTw2AwevbsyWKxHj169ODBA6rq/v37ISEhqqqqrq6uqqqqKSkpR44cuX79+vPnzxUVFT/3GQEAAACgPbC3t79x40ajwsjISA6HM3v2bAaDIYmbqqioREVFRUVFSWJwAJAyJODExszM7Pbt2y3V1tXV5ebmSjMegI6CTqefOHEiJCTks3qVlJRkZ2fTaLQ+ffq0pn1ISMjatWu///77lhqEhYUlJibu3r27S5cuixYtEjHUqVOnYmJizM3NExMTuVwuIaShoUEwxbVfv37Hjh3z9vam0m21tbUDBgy4fft2fHx8KxfGAgAAAEB7061bt507dzYqVFVVPXLkSHBwsLiOFgWATgxLUMXG2tqaRqOJaJCVlSW1YAA6EB8fn5KSks/tVVRURAhRVVVls9mtad+9e3cR2bfPEh0dTQiJiIigsm+EEDk5OeENQYYPHy6Y7KagoEAd6ZCWliaWuwMAAACA9Nnb29+/f//jx4+Nynk8XlZWVnx8vEyiAoAOBAk4sTExMRGdCMAMOIBmBQcHnz9//nN78fl8QsgnDz8RCAwM/NxbtOTmzZuEkCFDhohuxufzy8vLS0tLqdW1og9KBgAAAID2TFFR0dra+tixY43Kx44da2pqeuHCBZlEBQAdCBJwYmNkZCR65T9OQQVolq+v74ULF6qqqj6rl7q6OiHk48ePPB6vNe0Fs9XaqL6+vqioiM1mcziclhps2bLF0dGRyWSqqKioq6tPmzZNLLcGAAAAABkaO3bsixcvmpYfPnz4+vXr4eHh0g8JADoQ7AEnNkZGRtSUnJYUFxdLLRiAjiUwMPDgwYOTJ09ufRctLS1NTc2ioqLHjx+LOC1BQEFBoQ0B/n/UX3MR681nzJixa9euLl26rF271tbWlsVinT59WqLHYwEAAACAFDg6OkZGRjZbdefOnbFjxxobG2trazOZTA8Pj9Yv1ACZ43K5oaGhso4COj8k4MTGyMiopqZGRIOysjKpBQPQsQQHB/v5+QUEBGhoaLS+V//+/ePj42NjY1uTgBMXeXl5dXX1kpKSjx8/qqqqNqrNycmJiYlRVVVNSkrS0dGhCu/fvy+18AAAAABAQhwdHe/evdtS7Z9//nnlypVDhw5lZGTIy8tL6FxUkAR8WCAdSMCJjbq6ekNDg4gGcnJyzX5jB4AhQ4bMnj179+7dnzV1/5tvvomPj9+yZUtoaKiVlVXbw5CXlyeEfHJNq5OT05kzZy5cuDB8+PBGVW/fvuXz+TY2NoLsG0ECDgAAAKBT0NTUVFJSyszMNDExabaBm5ubm5ublKMCgI4C02LFSUtLS0StgoICtoEDaMk333yze/fuz+ri5+c3ZMiQioqKQYMG/f3338JrwNPT07Ozsz83Buok08ePH4tOpk+dOpUQsnDhwszMTKqEz+c/fvyYEELl3V69eiWY8ZqUlHTw4MHPjQQAAAAA2iHRk+AAAERAAk6c9PX1RdTKy8sXFBRILRiAjqVLly5aWlrXrl37rF6xsbH9+/d/9+7d119/raur6+Tk1KtXL11dXQsLi8uXL39BDDY2Nunp6c7OzhMmTFi6dGmzzfz9/SdOnPjy5UsrKytXV1cXFxc9Pb2RI0cSQiwtLYcMGVJcXNyzZ89vvvlmyJAhgwYNmjlz5udGAgAAAADtUK9evVJTU2UdBQB0SEjAiZOpqamIWj6fjwQcgAilpaUt7WvbEjU1tStXruzZs8fLy4tGo929e/fp06cqKipjx47t27fv5wZAo9Hi4uJcXV2fPHkSHx8vYi3qnj17du7c6eDgcP/+/Tt37nA4HMFRpwcPHpwwYUJZWdmhQ4eKioqOHDmyceNGJSWlzw0GAAAAANqbLl26XLlyRdZRAECHRBN9cCd8lhUrVixfvrylWiUlpS1btkyaNEmKEQF0JIcOHYqNjT1+/LisAwEAAAAAaMbbt2+tra1ra2tlHQgAdDyYASdOenp6bDa7pdrKysqMjAxpxgPQsYwZM+bOnTtfsHcbAAAAAIAUmJmZWVhYPH/+XNaBAEDHgwScOJmZmSkoKLRUy+fzkYADEG3BggWhoaGiz0AAAAAAAJAVFxeX69evyzoKAOh4kIATJzMzM9GJAyTgAET77rvvqqqqvv32W1kHAgAAAADQDCTgKLW1tVwud/r06bIOBKDDQAJOnExNTauqqkQ0yM3NlVowAB3U1atXExMTX716JetAAAAAAAAa+7IEXH19fUxMzIABA9TV1WktELGfeDvE5/OrqqpEf/8FAGHysg6gU2GxWIqKih8/fmypQWFhoTTjAeigwsPDR40ahSPeAQAAAKC9sbW1zc3NLS0t5XA4rexSW1vr7+9/5swZFovl5OTE4XBycnJu377t4OBgaWkpaGZnZyeZkCWCyWS+e/eOTqfLOhCADgOnoIqZjY1NWlpaS7Xy8vI8Hk+a8QB0UF5eXv369fvll19kHQgAAAAAwP/w9PQMCwvz9/dvZfsVK1YsX77cx8dn//79WlpahJA7d+706dMnKipqzpw5kowUANoRLEEVM3NzcxG1dDq9pKREasEAdFznz5/fvHlzSkqKrAMBAAAAAPgfKioqv/76aysb83i8rVu3amlpHTlyhMq+tUZ0dHT37t1ZLJa2traPj09+fj5VnpSUNGjQIGVlZVVVVV9f30ePHgm63Lx5k0ajXb9+fcaMGdra2qqqqgMGDLh165bwsBkZGWPHjtXS0mKxWH369Dlx4kSj7qmpqbNnz9bW1lZSUnJ3d3/y5MmjR498fHyUlZUNDAxmzJhRXl4u6MJiscaNGye4rKiomD9/vpmZGZPJNDY2njZtGlUeFxfn6emppqamoKBga2u7b9++Vr4JAJ0MEnBiZmNjI6KWyWTm5eVJLRiADu3FixcDBgwQ/LQBAAAAANAeLFu2rLS0tJWNHz169P79+5EjR6qqqrayy9KlS2fMmKGsrPzDDz9MnjyZz+dTmbvLly97enqWl5evXr162bJlr1+/dnV1ffbsmXBff3//8vLyhISEI0eO5Ofne3l5FRUVUVWFhYUuLi4XL178/vvvo6KiNDU1hw8ffuDAAeHuwcHBNTU1f//9944dO1JTU4cNG+bj4+Ph4XH+/Pk5c+ZER0cvXLiw2ZgbGhp8fX0jIyP79OmzbNmy//znPywWi6o6evSoqqrq0qVLt2/frqqqOnHixMuXL7fyrQDoTLAHnJhZWVmx2eyWtqKUk5PLzc21tbWVclQAHZGenl5BQYGdnV12drasYwEAAAAA+D89evTIysoqKirS1NT8ZOPXr18TQrp37960qri4+O3bt4JLQ0NDBoORn5+/du1aT0/Ps2fPNtphLTw8XFdXNykpic1mE0ImTZpkZma2aNGi+Ph4QRtjY+P9+/dTr8vKykaPHn3x4sXAwEBCyLp163Jych4+fNitWzdCyPTp093c3ObOnRsYGMhkMqku6urq0dHRhJA+ffrcunVr69at4eHhCxYsIIQ4OzsfP348ISFh27ZtTZ/l5MmTly9fXrFixdKlSxtVHTlyRPB66NChhoaGcXFx7u7un3zrADoZJODEzMzMTEFBoaUEXH19PWbAAbSeioqKi4uLg4NDnz59Pnz4YG1tLSfXeebtcrnc0NBQWUcBAAAAAJ/N09MzMTFx9OjRn2xZUVFBCFFRUWlatXLlypUrVwounz17ZmNjc/Hixdra2qlTpzbKvuXl5d25c2fWrFlU9o0QoqGh4evr+9dff1VXVwumm/n6+gq6WFtbUx2py1OnTnXv3p3KvhFCaDTauHHjpk2bdv369UGDBlGFPj4+gu4WFhaEEC8vL+GSls5JO3PmDCFkxowZot8NAwMDDodTXFwsuhlAp4QEnJiZmpqKONeiuro6NzdXmvEAdGg0Gi02NvbAgQM7d+4sLi42MjJqza8ZOwoGgyHrEAAAAADgS7Q+AaesrEwIEawDFRYaGurn5ye4NDIyIoRkZmYSQrhcbqPG1Fw5ExMT4UITE5Pa2tp3795RyTJCiK6urqBWXl6eEFJfX09dvnnzRjibJhgtPT1dkIDT1tZu1F34x295eXnBaI1kZmYqKSkJdxe4dOnSxo0b79+//+HDh4aGhoqKChwFCf9OSMCJmZmZWUvT3wghPB4vKytLmvEAdALjxo0T3t4VAAAAAEC2PDw8fv7559a0pFJjGRkZTau6devW9ChVKjklWBMqQKPRWnM7BQWFlqpaM0LT3xC3cgEKn89vGjMh5OrVq15eXj169Ni+fbu1tTWdTndwcGjNgACdT+dZzNVOKCoqNvvvjsCbN2+kFgwAAAAAAACInbm5eVFR0dmzZz/Zslu3btra2idPnqyrq2vNyNQ8OOG94SjUnDhqfpxAZmYmg8EwNDRsZcxNuxNCzMzMWtNdNCMjo5KSkg8fPjQq379/f319fVxcnJ+fX5cuXQwMDERMWAHo3JCAEz99fX0Rte/evZNaJAAAAAAAACAJixYtun79+iebycvLh4WFvX79euHCha1Zejl48GAGg7Fnz55GjXV0dFxcXP7666/KykqqpKSk5PTp0x4eHoIN4ETz9/d/+PDhgwcPqEs+n3/w4EEOh+Pi4tKa7qJ99dVXfD5/z549jcqpp+BwONTlyZMnsf4U/rWwBFX8uFzuy5cvW6rNz8+XZjAAAAAAAAAgdoGBgcOHD2/NQlQqVbdx48YLFy54e3tzOBzqC+OFCxeqq6sFzfr379+/f399ff25c+euXbt20KBB3t7elZWVV69eSjuLAwAAIABJREFU/euvvzQ1NSMjI93d3QcMGDB+/Pj6+vqYmBgej7dmzZpWBjx//vw///xzyJAh3333nZaWVnx8fFJSUnR0tOBUh7bw9/d3cXGZN2/e3bt3HRwc8vPz3759e/ToUTc3t5iYmIkTJwYFBT179mzv3r3GxsZtvx1AR4QEnPjZ2Nj8888/LdXiwBcAAAAAAICOzsbGhk6nP3nypGvXrqJbKigonD59eseOHfv374+Ojv748SNVfurUqVOnTgmaLVu2rH///oSQiIgIfX396OjoZcuWsdnsQYMGKSoqEkKcnJyuXLny448/Ll68WE5OztXVdf/+/T169GhlwOrq6teuXVu0aFFUVFRFRUXXrl0PHToUFBT0JQ/fBJ1OP3v27I8//njs2LHDhw9raWl98803hJBx48alpaXFxMScO3euV69eJ06c+O2338rKysRyU4COhYb5n2K3bdu2+fPnC/8qQ5icnFx1dTVOPwQAAAAAAOjQwsPDaTTaunXrZB0IAHQA2ANO/ExNTUWcw8Bms3Nzc6UZDwAAAAAAAIjd8OHDt2zZIusoAKBjQAJO/MzMzETMK5SXl8/Ly5NmPAAAAAAAACB2Li4u3t7e8fHxsg4EADoAJODEz8zMTPTJypgBBwAAAAAA0AmEhYVt3bpV1lEAQAeABJz4KSsri9jirba2FjPgAAAAAAAAOgFPT89nz57dv39f1oEAQHuHBJxE6Ovrt1RVXV2dk5MjzWAAAAAAAABAQmbMmDF+/HhZRwEA7R0ScBJhZmbWUhWfz3/z5o0UYwEAAAAAAABJ+fHHH83MzBISEmQdCAC0a0jASUSXLl1E1GZmZkotEgAAAAAAAJCcmpoaLS2tGTNmyDoQAGjXkICTiC5dujCZzJZqcQgDAAAAAABA58BkMjdu3Pjx48cTJ07IOhYAaL/kZR1A52RmZsZisWpqapqtff/+vZTjAQAAAAAAAAnhcDhv3741MTHh8/nW1tZDhw6Vk8Nkl8/A5XJDQ0NlHQWAZCEBJxFmZmZ8Pr+l2g8fPkgzGAAAAAAAAJAoDQ2N8vLy+/fvr1ixgk6nKygoyDqijoTBYMg6BACJo4nIE8EX+/Dhg66ubksz4FgsVnZ2tqamppSjAgAAAAAAAAAA6cO0WIlQU1MTMeVYQUEhLy9PmvEAAAAAAAAAAICsIAEnKXp6ei1VycnJ4RwGAAAAAAAAAIB/CSTgJMXU1LSlqvr6esyAAwAAAAAAAAD4l0ACTlJsbGxaqqqurs7JyZFmMAAAAAAAAAAAICtIwEmKtbV1Swff8Hi8rKwsKccDAAAAAAAAAAAygQScpHC5XBaL1VLt27dvpRgLAAAAAAAAAADIDBJwkmJqakqj0VqqfffunTSDAQAAAAAAAAAAWUECTlLMzMyqq6tbqi0oKJBmMAAAAAAAAAAAICtIwEmKurq6iBlwxcXF0gwGAAAAAAAAAABkBQk4CdLR0Wmpqra2tqamRprBAAAAAAAAAACATCABJ0FmZmYtVbHZ7NzcXCnGAgAAAAAAAAAAsoEEnAR16dKlpSo6nZ6XlyfNYAAAAAAAAAAAQCaQgJMga2trBoPRUi1mwAEAAAAAAAAA/BsgASdBXC6XzWY3W1VbW4sZcAAAAAAAAAAA/wZIwEmQmZlZSwehVldXv3v3TsrxAAAAAAAAAACA9CEBJ0FmZmYtHXXK5/MrKiqkHA8AAAAAAAAAAEgfEnASpKmpqaKi0lLtrVu3pBkMAAAAAAAAAADIBBJwkqWqqtpSFZagAgAAAAAAAAD8GyABJ1lWVlYtVRUWFkozEgAAAAAAAAAAkAkk4CTLwcGhpXMY6urqsA0cAAAAAAAAAECnhwScZNnY2CgqKjZbxWKxsrOzpRwPAAAAAAAAAABIGRJwkmVlZSUvL99sFZ1Oz8rKknI8AAAAAAAAAAAgZUjASZalpWVtbW2zVXV1dZgBBwAAAAAAAADQ6SEBJ1m6uroNDQ3NVlVWVmIGHAAAAAAAAABAp4cEnMQZGho2W97Q0PDy5UspBwMAAAAAAAAAAFKGBJzEWVlZtVSVnp4uzUgAAAAAAAAAAED6kICTOAcHBxqN1mwV9oADAAAAAAAAAOj0kICTOFtbW0VFxWarCgsLpRwMAAAAAAAAAABIGRJwEmdpaclgMJqtqq2traqqknI8AAAAAAAAAAAgTUjASZyVlVVNTU2zVWw2GwehAgAAAAAAAAB0bkjASZyurm5DQ0OzVXQ6HdvAAQAAAAAAAAB0bkjASYOhoWGz5XV1dUjAAQAAAAAAAAB0bkjASYOVlVWz5ZWVlViCCgAAAAAAAADQuSEBJw0ODg7Nljc0NLx8+VLKwQAAAAAAAAAAgDQhAScNNjY2SkpKzValp6dLORiA9ubevXtqamoHDx6UdSD/5+bNmzQaLSYmhrr87bff1NXVX7x4IduoAAAAAAAAoONCAk4arKys5OXlm63CHnDQoe3du5dGoyUmJjYqDwoKaunPfFN1dXVVVVUtHRYsc7W1tdXV1XV1dbIOBAAAAAAAADqq1n5DhrawtLTk8XjNVhUWFko5GID2pk+fPlVVVXQ6XdaBNG/27NlhYWHtNjwAAAAAAABo/zADThr09PRamj5TXV1dXV0t5XgA2pt2nt5q5+EBAAAAAABAO4cEnJQYGho2W85ms7EKFTo9Hx+fESNGnDlzxtHRkcVimZmZLVq0SLDmVHjPNTs7ux49egj3ffbsGY1GW7lyJXV56dIlZ2dnNputp6c3Z86cyspK4UFevXq1efNmfX19BoNBjf/nn3/27NlTWVlZR0fHw8Pj9OnTVPuUlJSxY8fq6uoyGAwDA4PFixe3tAZ2zZo11MjUZUsDAgAAAAAAALQES1ClxMrK6s2bN03L6XR6dna2paWl9EMCkKaLFy/euHFj48aNFhYWx48fj4iIkJOTW716daNmgYGBP//889u3b83MzKiS48ePU+WEkKSkJG9v74EDB/76669paWmbN29++fKlcAosMjLy5MmT48aN09bWZjKZiYmJwcHBo0ePnj17dmFh4fnz58vKyqiWN27cePPmTVhYmJGR0fnz5yMiIphM5rJly0Q/hYgBAQAAAAAAAFqCBJyUODg4/PPPP03L6+rqMAMO/g1KS0v/+OMPPz8/QkifPn1OnDgRHx/fUgLu+PHj33//PVVy/Phxe3t7GxsbQkh4eLi1tfW5c+eoNaEsFmv16tW3b9/u27cv1fjQoUNPnz41MDCgLpOSkgghe/fuZbFYVHfBjWbNmjVr1izq9cSJE1NTU2NjYz+ZgBMxIAAAAAAAAEBLsARVSmxtbZWUlJqWV1VVZWZmSj8eACmj0+lfffWV4NLa2jovL69pMyrXduLECeoyKyvrzp071PS3goKC27dvDxkypKysrLS0tLS01NHRkRCSnJws6D5+/HhB9o0ajRDy448/VlVViYiNRqPZ2toWFxd/8ilaOSAAAAAAAACAMCTgpMTS0pLBYDQtr6+vF+wtBdCJaWhoyMv//ym38vLy9fX1zbYMDAy8du3a+/fvyX/Xn44cOZIQQi3ijoqKUv+vESNGEEJKSkoEfbt06SI81KhRo8LDwzdt2mRiYrJ06VLhFFt6evqkSZOsrKw4HI6ysnJCQgKfz//kU4gYEAAAAAAAAKAlSMBJiZWVVW1tbbNV6enpUg4GQFyotHLTk3yrq6sbZZwVFBRaOebIkSPr6+tPnjxJCDlx4oSdnZ2dnR0hhEqQTZ8+/dL/Cg0NFfRlMpmNRlu3bt2LFy9GjBixdu3arl27Pnr0iBBSUlLi4uJy5syZhQsXJicn379/f+DAga0Mr9kBAQAAAAAAAERAAk5K9PT06urqmq3CHnDQcRkbGxNC0tLSGpU/e/bM1NT0y8bs3r27tbX16dOnP378ePXqVWr9KSFEMKD7/+JyuaIHtLCwiI6OTk1Nrays/OmnnwghZ86cyc/Pj4iImDx5sr29vaWlZUVFResjbDogAAAAAAAAgAhIwEmPoaFhs+X5+flSjgRAXPr166etrb158+aioiJB4YEDB168eOHv7//FwwYGBl64cOH8+fN1dXWCBJy+vn7v3r2PHDlSWFgoaMnn80UsHRWu6tq1q6WlZW5urqCcw+FQVW/fvn348GFrAmtpQAAAAAAAAAARcAqq9FhZWVGbWDVSVVVVW1vb+gV6AO0Hk8ncvHlzcHCwnZ3dyJEjdXR0Hjx4cOLECRsbmx9++OGLhw0MDFy1alVUVJStrW3Xrl0F5Zs2bRo8eHCvXr3GjRunq6ublZV16tSpa9euaWlpNTvOhAkTWCxWv379mExmcnJyamrqhg0bCCHOzs4KCgpLliyhznPYtGmTtbV1a1LhLQ0IAAAAAAAAIAIScNLTvXv3f/75p2k5m83Ozs42NzeXfkgAbRcUFKSrq7t69eqDBw9WVlYaGxt///33S5YsUVNT++IxHRwcrKysrl271miBp6ura1JS0tKlS7dt21ZZWWloaDh06FAVFZWWxvH09IyMjPzjjz/odDqXy42KipozZw4hxNzc/ODBgz/++OOUKVNMTU1/+eUXPp8/b968TwbW0oAAAAAAAAAAItBac/AfiMWePXtmzZrVdKspDocTHx/f+j3gAQAAAAAAAACgA8EecNJjZWUlL9/MlMP6+vqsrCzpxwMAAAAAAAAAAFKABJz0WFpa8ni8puVVVVVIwAEAAAAAAAAAdFZIwEmPvr5+XV1d0/K6urrXr19LPx4AAAAAAAAAAJACJOCkysDAoNlyJOAAAAAAAAAAADorJOCkysrKqtlyLEEFAAAAAAAAAOiskICTKnd3dxqN1rS8tLRU+sEAAAAAAAAAAIAUIAEnVSYmJkpKSk3LP3z4UFFRIf14AAAAAAAAAABA0pCAkyp7e3s5uWbeczabnZ6eLv14AAAAAAAAAABA0uRlHcC/S9euXcvLy5uW02i0N2/edOvWTfohAbRSTk7OmzdvDh8+zOFwZB1Le8flckNDQ2UdBQAAAAAAALQXSMBJFYPB0NHRycvLa1ReXV2NGXDQnt29e3fQoEGqqqr6+vr/+c9/ZB1Oe8dgMGQdAgAAAAAAALQjSMBJm62tbdMEXE1NzfPnz2USD8AnJScnT506devWrW5ubqamprIOBwAAAAAAAKCDQQJO2vr163f58mU+n9+o/NmzZzKJB0C02traQYMG8Xg8WQcCAAAAAAAA0FHhEAZpc3BwUFZWblr+5s0b6QcD8EmjR4+Oi4uTdRQAAAAAAAAAHRgScNLWtWtXGo3WtLzpulQAmTt8+HBKSoq1tbWsAwEAAAAAAADowJCAk7auXbuWlZU1LZeXl8/Pz5d+PAAiVFdX29vb29nZyToQAAAAAAAAgA4MCThpk5OTMzAwaFrOZDKxChXam3379i1atEjWUQAAAAAAAAB0bEjAyUCz84nq6+uRgIN2ZcOGDR8+fHB3d5d1IAAAAAAAAAAdGxJwMtCvXz85ucbvfGVl5evXr2USD0BTpaWly5Yt++OPP2QdCHQYN2/epNFoMTExbR9q7NixdnZ2TU+LBgAAAAAA6KCQgJOB7t27Nz0Itb6+/smTJzKJB6Cpffv2TZkyxd7eXtaBQPPi4+P9/f0NDAyYTKaenp6Li0tERERFRYWs4xKPqqqqqqoqJOAAAAAAAKDTkJd1AP9GLSU1Xrx4IeVIAFqyb9++3bt3yzoKaEZNTc24ceOOHj1qamo6fPhwPT29oqKiW7du7dmzZ8GCBbKOTjyOHz/e0NDQdKYwAAAAAABAB4UEnAzY2tp+/PixaXlmZqb0gwFo6sGDB7W1tT179pR1INCMBQsWHD16NCwsbOPGjQwGQ1BeXV1Np9NlGJh4IfsGAAAAAACdCb7hyIaJiUnTwvfv30s/EoCmvvvuu2b/iILMZWdnb9++3cnJafPmzcLZN0IIi8USvrx06ZKzszP7/7F353E15v//+F+n7ZyW06KVllNRqSRSiojQIiHibTfWBhMZspURY5d1yBoZxlZRUkQqW4pkC4VUQ5bSvp7W8/3j+sz5nV+bJF0tj/tf53pdr+t1PToat5vnvBZxcRUVlaVLl5aWllLt1E5t9+/fX7hwoaKiorS09ODBgx88eCD4bFpamrOzs7S0tLS09Pjx4wXPhwkICBgxYoSMjIyYmJi+vv7ff//dSNo7d+5YW1tLSUlJS0s7OjomJiYK3g0JCTEzM5OSkmIIuHXrFiHE3t5eTU2N37OkpMTd3V1TU5PJZKqrq//6669Ue3x8/NSpU5WVlUVFRbt16+bh4VFeXt7k7xIAAAAAAKD1oABHD0NDw7qNUlJSqamprR8GoJbi4uLNmzfTnQLqERYWVlVVtWjRIgaD0Ui3O3fu2NnZSUpK7tu3b9q0aT4+PhMnThTs4OTkVFxcfOXKlQsXLmRmZtrY2OTk5FC3srOzBw8e/OzZs02bNq1du/bevXtWVlZ5eXnU3cDAQGlp6XXr1h08eFBaWnrWrFlUyayuW7dujRgxori4eMuWLV5eXu/evbO0tExKSqLuxsXFjRs3Tl9f/+bNm6dPn2az2aamplevXu3Xr1+tcWpqahwdHXft2mVmZubl5TV27Fh+qTE2NjYtLc3V1fXo0aNDhw7dunXrtm3bvu8LBQAAAAAAaBVYgkqP/v37X79+vaamRrBRREQkLS1NW1ubrlQAhJCPHz9mZWVh/WnbRB3VIlilev/+fUVFBfVZUVFRRkaGELJixQpdXd3r169Ti1JZLNaWLVsePnzYv39/qqe6uvrp06epz0VFRZMmTYqKiqKKdN7e3tnZ2W/evKFmQfbt29fW1vbYsWPUBnMXLlzgv9rBwUFVVTUgIGDo0KF1o65YsUJZWfnOnTvi4uKEkNmzZ2tqaq5evfry5cvUOMLCwkePHmWxWBYWFrGxsX5+fra2tnVX0YaEhNy6dWvDhg3r1q2rdWvJkiVLliyhPs+aNevx48f+/v5eXl7f/bUCAAAAAAD8ZJgBRw9jY+O6B6FWVFQILvUCoEVoaKijoyPdKaB+1PaRbDab3zJs2DCd/1A1taysrIcPH9ra2hYVFeXn5+fn51MFu3v37vGfEvwj1tXVJYR8+fKFurxy5Urv3r2lpaWpZ3v37s1gMASf5evWrZusrGxubm7dW1++fHn06NH48eOp6hshpEuXLo6OjuHh4VwulxCSn58vJibGn8vGZrPLy8v5lURB165dI4QsXLiw8W+GwWDo6+vXGwYAAAAAAIB2KMDRw9DQkMfj1WosLS3FQahAuytXrowePZruFFA/qvSWmZnJbzlw4EBAQMCWLVv4LVQdf8+ePXL/cXZ2JoTwl5ESQpSVlfmfRURECCHV1dX8x+Pj4/nPqqio8Hg8/rPR0dGjR49WV1eXlpaWkpLKz8+v+1cZISQ9PZ3U2exSQ0OjoqLi48ePhBB7e/uSkpIDBw5UVVW9e/cuICDA0tKSX60T9P79e0lJSUVFxbq3UlNTZ8+eraOjIysrKyUldeXKlXrDAAAAAAAA0A5LUOmhq6tbUlJSt/3Vq1etHwaAj8fjXb16FRtptVl6enqEkLdv35qamlIt9vb2hJC4uDh+H6oItWDBgkmTJgk+y+Fw+J/FxMQaegWPx7OwsNi6datgI7Wy9e7duzY2Nn369Dl48KCurq6wsLCxsXG9gzS+RR0hZNKkSYmJiYsXL16yZAmPxxs8ePDJkycbysNkMuu25+XlDRw4kBCyadMmCwsLFovl4uKCv0IBAAAAAKBtQgGONhoaGtQkEUEpKSl0ZAH4P1++fOHxeL169aI7CNTP1taWwWD4+flNmTKloT78Qlu9W7N9E4fDyc/Pr/fZ06dPV1dXBwQEaGlpEUJKS0vLysrqHYTq8P79e8HG9+/fi4qKqqqqUpdv374dNWrU7t27u3XrVndJPp+amtqNGzcKCgqoIiDftWvXMjMzT5w4MXv2bKql3v+rAQAAAAAA0BZgCSptjIyM6jZSi7MA6PL69evmVW2gdejq6jo7O0dERGzYsKHWKS58Xbt2NTU1vXDhwtevX/mNPB6vicszx4wZk5ycfPPmTcFG6l3UCLKyslRjSEhIQ2MqKSkNHDjw4sWLpaWlVEteXl5YWNiwYcOofd8+ffrk7++/cOFCXV3dRqpvhJCRI0fyeLwTJ07Uaq8VJj09/fnz5035AQEAAAAAAFofZsDRxtzc/OrVq/x9lyjl5eUlJSWSkpJ0pYJOLioqatiwYXSngMYcPnw4NTV1/fr158+ft7OzU1JSqq6ujo2NFeyzd+/e4cOHm5iYTJ8+XVlZ+cOHD6GhoTExMQoKCt8cf/Xq1QEBAaNHj54zZ46Ojk5eXl50dLSbm5uzs/OQIUN8fX1nzZo1efLkpKSkkydPqqurNzTOrl27hg4dOnjw4JkzZ1ZXV/v6+lZWVvJXNysoKLDZ7I0bNz579kxISIjJZPbt27fe4q+Tk9PAgQOXL1+ekJBgbGycmZmZnp4eGBg4YMAAMTGxtWvXUmdN7N27V1dXV3B3PAAAAAAAgLYDBTjaGBkZSUlJFRQUCDaKi4unpqbWOzkOoBVER0fX2vwL2hp5efmYmBgfH59z584dP368tLRUUlJSS0vLxcWFXzy1tLS8c+fOunXrfHx8SktLVVVVHRwcBM9ObXz82NhYDw+PwMDAnJwcOTm5QYMG9enThxAyffr0169f+/r6Xr9+3cTEJDg4+NChQ0VFRfWOY2Fhcfv2bU9PTw8PDyEhIUtLy9OnT1PjEEIyMjIsLCwiIiIePHjAf8TFxeXIkSO1xhEWFg4PD/f09Lx06dL58+cVFBTmzp1LCNHW1j5z5oynp+f8+fM5HM6mTZt4PN7y5cu/8+sEAAAAAABoDQycGUeXd+/e9enTp7i4WLBRRkbm1KlTY8aMoSsVdGaVlZWSkpIVFRV0B4EO7t9//zUyMpo3b966detkZWV5PF5ubu6SJUvOnj1bWFjYxEIhAAAAAABAO4I94GjTvXt3Lpdbq5HL5aalpdGSB+DEiRPm5uZ0p4CO7/bt20VFRQsWLKB2cGMwGPLy8pqamkJCQvh/QgAAAAAA0CGhAEcnTU3NWi3l5eVJSUl0ZAEge/bscXBwoDsFneLi4hgMhq+vb0MdDh06JCcn9+bNm9ZM1fGYmpqKioq6uroGBQVFR0dfvHjR1dV1+/bts2fPlpaWpjsdAAAAAABAy0MBjk717vWGAhzQJTU11d3dvRkPcrncAwcODBkyRF5enslkcjgcBweHU6dOtXhC2lVUVHC53KqqKrqDtG8GBgYhISEVFRXz58+3sbGZN2/ekydP/vrrr7obwAEAAAAAAHQMOISBTubm5qGhoZWVlYKN6enpNMWBTi0hIaF3796ioqLf++D79+8dHBxevnxpamo6Z84cNpudkZFx69atqKiomTNn/oyoNHJzc3N1dRUWFqY7SLtnb29vb29PdwoAAAAAAIBWggIcnYyMjCQkJGodhPr582e68kBnFhcXZ2Fh8b1PVVdXjxs3Ljk5+dSpUzNmzBC8VXeLw44B1TcAAAAAAAD4XliCSqdevXrVbVRRUfn69Wvrh4FOLjY2dsCAAd/7VGBg4OPHj5cvX16r+kYIYbFYgpcHDx7U09NjMpm6uro+Pj6Ct0pLS1etWsXhcJhMpo6OztatW6urq/l3c3JyXFxcNDQ0hISEGP8ZOnQoIWTbtm1qamrl5eXz5s2TlpYePHgwNdru3btNTExYLJaEhISVlVVsbCx/NHt7+1GjRu3atUtXV5daLbtjx45ayXk83ooVK1RUVKgxHzx4wL+1bds2BoORkpJCXcbHx0+dOlVZWVlUVLRbt24eHh7l5eXf+x0CAAAAAABAh4cZcHTS0NCoqKio1VhUVJSUlKSoqEhLJOi04uLiNmzY8L1PBQcHE0JcXV0b77Zt2zYPD4+5c+e6u7uHh4e7urqWlpauWLGCEMLj8caNGxcdHe3q6mpgYPDo0SNPT8/U1NRjx45Rz86YMePhw4cHDx5UV1f39vYOCgrauXOnra0tdTczM/O333578uTJ77//rq+vTwgRFhb29fUdNGiQi4tLWVmZt7f36NGj37x506VLF+qRq1evPn/+fPv27RwOJyAgYNWqVQwGgwrDT6usrOzp6cnlcrdt22ZjY5OWliYvL1/354qNjU1LS3N1dVVTU4uIiNi6dSuTyfTy8vrerxEAAAAAAAA6OB7QyszMrNafiISExOHDh+nOBZ3L169fFRQUmvGgkZGRoqIi/7KqquqtgPLych6Pl5OTw2KxFixYwO82cOBAGRkZ6m5oaCgh5K+//uLf9fT0JIQ8fvyYx+Pl5+cTQtauXUvdysrKIoRs2bKFuty6dSshxNLSsqKioqGEZ8+eJYT4+/tTl3Z2doSQGzdu8DsMHTq0S5cuVBhqrpyOjg6Xy6XuUiei8h+n3vj27du6L6qpqdHT0zMwMGjqdwcAAAAAAACdBmbA0Wzo0KEJCQk1NTX8ltLS0mfPntEYCTohHx+f5m1tVlhYyGaz+ZefP3/W0dHhXyYmJvbq1evmzZtcLtfGxoaqphFCzM3N79+//+LFCxMTE6oAN336dP5TM2fO3Lx5c3BwcN++falHpKWlqVtSUlLUSwUzLFu2rJGzIwwMDAghubm5/BZhYeFhw4bxLx0cHG7duvXs2TN+NdzZ2ZnJZFKf+/btSwj58uXLN78KBoOhr68fFxf3zZ5NUV5efufOnfz8/IiICGVl5RYZs3PS0tKaM2cO3SkAAAAAAKCzQwGOZgMHDjxy5EitgsLjx4/pygOdk4iIyLx585rxIJvNTktL41/Ky8sHBAQQQoKDg8+cOUM1Uh2cnZ1rPZuXl0fdlZKSkpOT47draGgQQlJTU6nPBgYGp0+fnjRpUteuXXfv3k0IsbGxERxHT0+v1sjHjh07derUmzdvSktLqe3keDwe/64ElXyAAAAgAElEQVSioqJgtbFr166EkI8fP/ILcKqqqvy7YmJihBDBPekEpaambty48d69e1+/fq2qquJyuQoKCg18VU3y/v37a9euhYaGXrt2jc1my8nJsdnsiRMn/siYnVwzDvYFAAAAAABocSjA0czMzKyqqqpWI3+Ld4DW8eTJkylTpjTjQT09vRcvXnz+/JkqY4mLi0+YMIH8/3+HqeLX4cOHa1XKjI2NCSEMBqOR8RkMRkBAgJOTE4fDYTAYUlJSu3btEpy/Rgjhz1ajbN261cPDY9KkSVu2bFFRUXn58uW4ceMEO9Q6J6HuPoy1jo9oSF5e3sCBAwkhmzZtsrCwYLFYLi4ur169asqzdUVERLi5uRFCMjIyVq9efezYMRUVleYNBQAAAAAAAG0NCnA0U1VVrTtBo7CwsLS0VEJCgpZI0Ak9fvzY29u7GQ/a2dldvHjRz8/Pw8OjoT4cDocQIisrSx1dWou2tnZ4eHheXh5/Etz79+8JIZqamtRlaWlpZmbmlStXjI2N1dTUGi/YEUL8/Py0tLTOnj0rJCRE6itnFxQUCP739e+//xJC1NTUvvnD1nLt2rXMzMwTJ07Mnj2baikpKfneQQghnz59iomJWbFixYwZM0aNGmVhYdGMQQAAAAAAAKAtE6I7APzfPCBBEhISSUlJtISBTig/Pz8vL09LS6sZz06bNo3D4WzatCksLKyhPjY2NiwW69ChQ4ILOfn7Hjo5ORFCTp06xb91+vRpQsioUaOoy6NHj+rq6jo6Oqqrq3+z+kYI4fF4bDabqr4RQi5fvlyrQ01Njb+/P/W5qqoqMDBQXl6+7n+GTXkRIURWVpa6TE9Pf/78+fcO4uXl1atXLxcXlwsXLmzcuBHVNwAAAAAAgA4JM+DoZ21tHRMTI1ibqK6uTk5O7tevH42poPN48uQJddRAM0hISAQGBtrb2zs6Og4ZMmTAgAEyMjIlJSUhISH8PgoKChs3blyxYsXAgQMdHR0lJCSSk5NTUlKio6MJITY2Nk5OTu7u7unp6YaGhgkJCUeOHJk8eTK/FKWlpfX3338vX75cUVFRSEhIQUFhzJgxjWy1NmTIkOPHj69atap3796RkZFPnjyp1UFTU3PLli1v3rzR1NQMDAx89eqVt7d3M3YKGzBggJiY2Nq1a4uKivLz8/fu3aurq5uZmdn0EcaOHVtQUPD777//8ccf3/t2AAAAAAAAaEdQgKOfhYWFlJRUQUEBv6WkpCQxMZHGSNCpPH782MTEpNmPm5qaJiYm7tixIywsbM+ePVVVVbKysnp6eqtXr1ZXV6f6uLu7U0cobN68mRCio6MjeOYDNfnr9OnTPj4+6urqXl5e/AWtPB5PRUWFx+NRxy9QZGRkYmNj9fX1682zY8eO4uLiI0eO1NTUjBw58saNG9RObXw8Hi8kJOTXX3/ds2ePkpLS9u3b3d3dm/GDa2trnzlzxtPTc/78+dQ0QB6Pt3z58iY+PmbMGENDw61btzbj1QAAAAAAANC+MAQPBwRa5OTkqKmpcblcwcYRI0ZERETQFQk6lWnTpo0aNWrq1Kl0B6mHm5ubv7//lStX+vbtKywsXFlZ+ejRIysrqyVLluzatasZA9rb27948SIjI6PFo36X/Px8W1vbhw8f0hsDAAAAAAAAWgf2gKOfvLw8m82u1Yg94KDV3Lx5U3AFdJty5coVa2trU1NTYWFhQoioqGivXr2EhYWpy/bL2Nh448aNdKcAAAAAAACAVoICXJtQdwHgx48faUkCnU1NTY24uHib3XBwwIABISEhu3fvjoyMDA8P9/HxsbS0FBUVnTNnDt3Rmk9DQ2PlypV2dnZ0B2mquLg4BoPh6+vbUIelS5cyGIzi4mJCSEVFhZaW1oIFC1oxIAAAAAAAQFuHAlybMGzYsFp7wLPZ7NevX9OVBzqVDx8+GBgY0J2ifocPH54/f/6BAwccHR2dnJx27drVr1+/+Pj4nj170h2tmXR1dZ2dnX/77bdmj8BgMKZPn16rcdOmTQwGIzk5+cfStQAej1dWVlZWVkZ3EAAAAAAAgDYEhzC0Cebm5hISEoLnMDAYjKSkJD09PRpTQWeQmJhoZGREd4oGsdnsPXv27Nmzp6UGDA8Pb6mhmmHBggWTJ0/+888/aczwszGZzI8fP7b3NcIAAAAAAAAtCzPg2gRTU9PS0lLBltLS0pcvX9KVBzqPNl6A60iWLVsWFxfXIatvDAZD8BLVNwAAAAAAgFpQgGsTJCUllZSUBFuqqqoSEhLoygOdBwpwrePly5cXL158+vRpq70xLS3N2dlZWlpaWlp6/PjxaWlp/FssFsvX1zcqKsrQ0FBISCgyMpIQEh8fP3XqVGVlZVFR0W7dunl4eJSXlzc0+P379wcMGMBisZSUlObMmVNVVSV4l8ViCS6SLSkpcXd319TUZDKZ6urqv/76K9UeEBAwYsQIGRkZMTExfX39v//+u4W/AgAAAAAAgDYDS1Dbiv79+wcFBQm2vHjxgq4w0Hm8ePHiR/YjgyaysLBozZJ6dnb24MGDWSzWpk2buFzuzp07raysnj9/LicnR3W4c+fOqlWrnJ2dp0yZ0qtXL0JIbGxsWlqaq6urmppaRETE1q1bmUyml5dX3cHfvn1rY2MjLy+/adMmSUnJffv2NbJhZU1NjaOj461btyZMmNC3b99Pnz7x58cFBgZKS0uvW7dORkbm2LFjs2bN4nA4Q4cObfmvAwAAAAAAgG4owLUV1tbW165d43K5/Jb379/TmAc6CcyAawWOjo6enp66urotNWBxcXFKSopgS25uruClt7d3dnb2mzdvNDQ0CCF9+/a1tbU9duzYypUrqQ6nT58OCwtzcHDgP7JkyZIlS5ZQn2fNmvX48WN/f/96C3De3t6lpaUPHjygKnfOzs4cDkfw7y5BISEht27d2rBhw7p162rdunDhAv+zg4ODqqpqQEAACnAAAAAAANAhoQDXVvTv35/JZAr+I1ZERCQjI0NNTY3GVNCxFRQUFBYWqqurf7Mnl8t9/fp1Tk5OQECAgoJCK2TrGEpLS7OysoSEhFavXt2Cw16+fPny5cuNdLhy5Urv3r2lpaXz8/MJIb1792YwGPfu3eMX4IyMjASrb7UwGAx9ff24uLh670ZFRRkZGVHVN0KIkpIS9f8P6u1MtS9cuLDxn6hbt26ysrK1yogAAAAAAAAdBgpwbYWZmVlhYaFgi6ioaFJSEgpw8PNcvnyZmiHVuGXLll24cCEnJ4fNZvfo0WPUqFGtkK1jYDKZx48f379/f8sOO3jwYP5sNcrFixfPnz/Pv0xLS+NyufwFp5S8vDz+57onLKempm7cuPHevXtfv36tqqricrkNVVo/fPhga2sr2KKqqtpQ1Pfv30tKSioqKta9FR0dvXv37qdPnxYUFNTU1JSUlPB4vIbGAQAAAAAAaNdQgGsrhISEtLW13717x28pKyt79eqVjY0NjamgYwsNDTU1NW2kQ1VVVb9+/bS1tcPCwvT19ZlMZqtl6zDWrl3LZrPHjRsnJSXVUmNqaGhMmDBBsCU5OVnwksfjWVhYbN26VbBRRkaG/7nWH2VeXt7AgQMJIZs2bbKwsGCxWC4uLq9evWooQK1jT8XExBrqyePx6v21uXv3ro2NTZ8+fQ4ePKirqyssLGxsbNzQIAAAAAAAAO0dCnBtyIABAwQLcOXl5a15ZiJ0QgUFBfPmzWukw927d0tLS2sdDwLfS0pKauzYsdRho62Dw+Hk5+c3fT+1a9euZWZmnjhxYvbs2VRLSUlJQ51VVVU/fvwo2FLrUpCamtqNGzcKCgoEy3+EkNOnT1dXVwcEBGhpaRFCSktLy8rKmpgWAAAAAACg3RGiOwD8f4YMGSIhISHYggIc/FRJSUn6+voN3T1y5MiYMWPevn3bmpE6pAsXLnC53EZKWi1uzJgxycnJN2/eFGysqalpqD+19lNWVpa6TE9Pf/78eUOdra2tnzx5wj8ForCwMCoqqqHOI0eO5PF4J06caPyNISEhWH8KAAAAAAAdGGbAtSFmZmaioqKCLampqXSFgQ6vtLQ0Jyen3hMYqquro6OjPT09i4qKWj9Yx2NlZSUnJ/frr7/+888/rfPG1atXBwQEjB49es6cOTo6Onl5edHR0W5ubs7OzvX2HzBggJiY2Nq1a4uKivLz8/fu3aurq5uZmVlv5xUrVpw9e9bGxmbx4sWioqJ+fn4DBw68fv16vZ2dnJwGDhy4fPnyhIQEY2PjzMzM9PT0wMDAIUOG+Pr6zpo1a/LkyUlJSSdPnmzKYSAAAAAAAADtFApwbYixsXFxcbFgS3l5eV5eXq2d1AFaRHJycs+ePeu9ZWJiUl5enp2d3cqROrDQ0NC+ffuGhYW1zhEW8vLysbGxHh4egYGBOTk5cnJygwYN6tOnT0P9tbW1z5w54+npOX/+fA6Hs2nTJh6Pt3z58no79+zZ88aNG+7u7h4eHqqqqhs2bJCUlGyoACcsLBweHu7p6Xnp0qXz588rKCjMnTuXEDJ9+vTXr1/7+vpev37dxMQkODj40KFDKPgCAAAAAEBHxcCqnzbF0NBQcONzWVnZsLAwanN0gJZ19uzZsLCwM2fO1Gpfs2aNjIzM6tWraUnVgdXU1DCZzNzcXDabTXcWAAAAAAAAaFXYA65tcXJyErysqqpKS0ujKwx0bPXOgLtz586ZM2e6detGS6SOTUhI6NWrVz169Fi/fj3dWQAAAAAAAKBVoQDXtlhYWAieFVhcXBweHk5jHvgu8fHx8+bN6969u4SERJcuXYyMjFxcXGJiYqi7K1askJOTe/DgQRNHq9tfRUWFwWBUVVVRl0FBQWw2+6+//mpe2npPYCgvL1dSUpo5c2bzxoTG6ejohIaGBgYG2tjY0J0FAAAAAAAAWg/2gGtbRowYUeuoxNjYWLrCQNPxeDx3d/c9e/bweDwpKSlqZtnr169fvHhRVFRkaWlJCImPj8/Pz3/x4oW5uXlTxvxm/2fPnhUXF8fHxzcvc90ZcBkZGW5ubufOnWvegNAUZmZmjx8/DgoKmjBhQmBgIN1xAAAAAAAAoDVgD7g2p9Y2cNSMJyEhzFVs09asWbNt2zYpKaldu3b98ssvTCaTEFJeXn7p0iUTExM9PT1CSFJS0p07d2bNmkXd/aa6/VVUVDIzMysrK0VERAgheXl5Z8+edXJyUlVVbUZmERERLpdLDUURExPbtm3bsmXLmjEafJeKigojI6PXr1/THQQAAAAAAABaAwpwbY6Hh8eOHTuqq6upSxkZmRs3bvTv35/eVNCIZ8+emZiYEEJu3rxpbW39815UqwD3I2JjY2tNt9ywYQOPx8P2ZK3m8ePHVlZWDx8+7Natm6ysLN1xAAAAAAAA4CfCvKo2Z+TIkYKHJJaXlz98+JDGPPBNBw4cqKmpmTlzZuPVt8mTJzMYjJs3bxJCFi1axGAwfH19a/Xx9PRkMBje3t61+tfr8OHDDAZj7dq1/JYnT55MnDhRXV1dXFy8e/fuzs7OoaGh9T5bXFxMLYylVFRUbNq0CdW31mRiYpKcnGxlZdWvX799+/bRHQcAAAAAAAB+IhTg2pzBgwcXFRXxL7lc7u3bt2nMA99EHZQxe/bspj8yceJEQkhQUFCtdqplwoQJzYjx6tWrIUOGBAcH9+zZc/To0bKyssHBwVeuXKm389u3b3V0dPiXo0ePHjNmTDNeCj9CTU0tOzt7+PDhd+7cGT16dE5ODt2JAAAAAAAA4KfAIQxtkbm5+f379/mXTT83E1pfXl5eRkYGg8EwMzNr+lNWVlZKSkqRkZFFRUX8CY+vX79OSkrq16+flpZWM5IcP368qKjoxIkT/FIgFazezrUKcLdv3y4oKGjGS+HHHT169OPHj2PHjjU3N+dwOEOHDv3jjz/oDgUAAAAAAAAtCQW4tmj8+PEJCQnl5eXU5efPn7lcLovFojcV1IuatSQtLS0uLt70p4SFhceNG3fkyJGrV69OmjSJaqSmv1GT45qBOqlDVFSU36KmptZQ55SUlOHDh1Of9+3bt2DBgiYeDQE/g6qq6qNHj65evRoXF7d///7Nmzc7ODgYGRnJy8s/efKkkT/HDk9LS2vOnDl0pwAAAAAAAPhRWILaFtna2gpWQ6SkpB49ekRjHmgEdYxJM46prbsK9QcLcE5OTkJCQr/99puPjw+/etsQwRlwGzZs4HA4zXsptCAHB4c///wzKyvr0aNH0tLS/v7+/v7+iYmJzE5MsKAMAAAAAADQfmEGXFtkZGQkeDptWVnZw4cPBw0aRGMkaIicnBwhpLCwsLKy8ruKBUOHDlVUVLx69Wp5eTmTyfz48WN8fHzfvn21tbWbl8TS0vLcuXMLFy50dXVdv3794sWL3dzcZGRk6u3ML8B9/vxZTEys2VU/+Bl69ep18uRJulMAAAAAAABAi8EMuDZq6NCh/M/l5eW3bt2iLQo0SkFBQV5evrq6+sWLF9/1oLCwsJOTU1FRUWRkJCHk8uXLPB7vBwth//vf/9LT03ft2sVisby8vIyMjOpNlZaWxuFwqFl78fHx5ubmnXmRIwAAAAAAAMDPhgJcGzV27FhJSUn+ZXx8PI1hoHHU5ER/f//vfZAqt4WGhhJCrl69Sn5g/Skfm81etmxZSkrK8uXLP3z4MH/+/Lp9BNefHjhwwNXV9QdfCgAAAAAAAACNQAGujbKxsRG8zM3NLSwspCsMNG7u3LmEkP379799+/a7HrS2tpaXl79+/Xp5eXl0dLSxsXGPHj1aJBKTydy5c6eKikp8fHxVVVWtu/wCXERExP3792v9sgEAAAAAAABAy0IBro3S0NBgs9n8SwkJCUyCa7NGjx5ta2tbUlJibW199epVwf37UlNTMzIyGnpQRETEyckpNTX1woULpaWlPzj9LSoqisvl8i/T09Nzc3O7dOkiIlJ7q8eUlBSq0hcUFLR69eofeSkAAAAAAAAAfBMKcG2XnZ0d/3NJScnDhw9pDAON8/f3HzRo0MePH0eNGqWsrGxhYWFiYqKsrNy9e/fG9++jim6bN28mP7z+dOXKlYqKijY2Nr/88suYMWN69epVUVGxcuXKuj1DQkJSUlKo2AsXLvyRlwIAAAAAAADAN6EA13aNGTNGWlqa+lxZWYlzGNoyGRmZ27dvnzhxwsbGhsFgJCQkvHr1is1mT506tX///o08OHz48C5durx588bIyEhXV/dHMnh4ePTv3z8hIeHs2bPx8fH9+vX7559/3N3d6/bU09Ozs7MLDQ0dMGCAvLz8j7wUAAAAAAAAAL6JIbhcDtqUwsJCRUXFiooK6lJeXj47O5veSNAxGBoaBgQEzJw5c8aMGW5ubnTHAQAAAAAAAOjgMAOu7ZKWluZwOPzLkpKS1izA3bt3T15e3t7evtXe2ERtNlg7kpqa2qVLlxcvXrRU9Y3L5R44cGDIkCHy8vJMJpPD4Tg4OJw6dapFBm9ZMTExQ4cOlZGRUVJSmjp16pcvXxrvv379esZ/2Gx2v379vL29+WVxAAAAAAAAgKZAAa5NGzNmjJDQ//0ZMZnMZp/DYGpqymAw1q9fX+/d8PBwqr4geFxmVFRUbm7u9evX8/LymvfSn6TNBmsvPn/+LCcnFxoaOmPGjBYZ8P3796amposXLy4tLZ0zZ46np6ednV1KSkpUVFSLjN+CcnJyRo0a9fnz5x07dvz222+XLl2aN29eUx708vLat2/f0qVLq6urV65cOXv27J8dFQAAAAAAADqS2scjQpvi4OBw/Pjx/Px8QkhxcfGDBw9GjhzZOq8eN27c5cuXTU1N5eTkvutBX1/f+fPnf/36VUFBoU0FA0pqaqq2tvaFCxdWrVr146NVV1ePGzcuOTn51KlTtSp6gkeythEPHz4sKCg4d+4c9d/Rv//+e+7cOR6Px2AwGn9w+vTp1Lmx69evHzRo0NmzZ3fu3Nm1a9fWCA0AAAAAAADtH2bAtWnDhg1jsVjU5+rq6tacUmRkZJSQkHDkyJHvffDcuXM/Iw9fs4MBJTU1VVVV9cmTJyNGjPjx0QIDAx8/frx8+fK68+n4v7rUZ19f36ioKENDQyEhocjISEJIfHz81KlTlZWVxcTEunfvvmvXrurq6vXr16uqqoqLiw8ZMuTly5eCA0ZFRVlbW7PZbGlp6f79+9+9e5cQUlpaunv3bhMTExaLJSEhYWVlFRsb21BaGRkZQgh/7mRycrKqquo3q2+ChIWFhw8fTgj59OkTv/HYsWO9e/dmsVgqKioLFizIycnh37K3t//f//539+5dU1NTFoulrq6+YcOG6urqzZs3a2lpSUhI9OvX7+bNm/z+GRkZy5cv7969u5iYmJyc3NSpUzMyMgS/xv379+/atUtbW1tcXNzQ0PDvv//m3/2urwIAAAAAAABaE2bAtXU2Njb//PMPdVZGTExMVVWViEjb/VN79+7d7du36U4BjUlNTU1OTqbmc/244OBgQoirq+s3e965c2fVqlXOzs5Tpkzp1asXISQuLu7Dhw9ubm5du3aNjIx0d3e/dOnS06dP3d3dFRUV9+/fb25u/urVKw0NDUJIRETEyJEj1dXVFy5cyGaz79+/36VLF0KIsLCwr6/voEGDXFxcysrKvL29R48e/ebNG+puLRYWFnp6el5eXubm5uvWrYuPj7906dL3/sipqalCQkLa2trU5ZYtWzw9PZ2dnV1dXT9+/Lh379779+8/ePBAXFyc6nD//v3nz59v27ZNWVl5586d69evv3r1qoiIiI+Pj7i4+LJlyxwdHd++fauurk4IycnJCQ4OnjBhgp6eXkpKys6dOz9//hwdHc1/+8aNGzkczpEjRyQkJLZu3Tpr1qyuXbva2tp+71cBAAAAAAAArYoHbVtcXBw1bYcQIiMjExIS0oxB+vXrRwjx8vKq9+61a9eo8SsrK/mNp0+fJoSoqqoK9szKylq2bJmxsbGsrCyTydTW1p41a1ZkZGRNTQ2Xy120aBGTyaz1C7Zx40bBEQICAuzs7Kit+rW1tRcvXpyRkVErD/VqDw8PHo939epVU1NTMTExcXHxioqKhoLxeLybN29OnTpVQ0NDTExMQkLCyMho3bp1JSUlzfi6OrYZM2Z07949IiKiRUYzMjJSVFTkX1ZVVb0VUF5eTrVTvxhhYWGNDKWvr08IOXz4MHX59u1bBoPh4uJCXRobGysoKOTk5DSe5+zZs4QQf3//hjo8ePBASEiIwWCoqak15Uvw8vIihNy9e/fDhw/Pnj3z9PRkMBiLFy+m7mZnZ7NYLGdnZ37/iIgIQsju3bupSzs7O0JIeHg4dfn161dCCIvFys7OplrCw8MJIcePH6/37S4uLoSQrKws6pLJZIqJiX39+pW6zMzMJIQsWrSoeV8FAAAAAAAAtJq2O5cKKObm5hISEgUFBYSQgoKCwMDA0aNH05IkOzvbzMzs33//JYTIycmxWKzU1NTU1NS4uLikpKTS0tJ3796ZmprGxMQQQoYNGyYqKkoI6d69O/V4dXX1jBkzqAWqLBaLzWanpaXt37//1KlTV65cGTx4cK3Xffr0KTg42NnZuaamhhDC4XCoAet14MCBxYsXE0KEhYW7dOlSUFCQmJiYmJgYERFx9+5dYWHhn/KNtE9v3rz5+vVri6w/JYQUFhay2Wz+5efPn3V0dPiXiYmJ1GQ3QoiRkZGDg0O9g1RVVVVVVeno6CQlJdnb21Obx6mpqXXt2pX6dfr8+fOzZ88WLVr0zclcBgYGhJDc3Nx672ZkZCxZsoT6RdLU1LS0tKTa8/PzU1JSdHR0+MXuWvi/n7Kyst7e3suWLaMub968yeVyp02bxu85YsSIrl27BgcH//7771SLqKgo/9tWUFCQkZExNDSUl5enWqj/QKhSWiM/jqKiItViYWHB311RSUlJRkamoYNcG/8qAAAAAAAAoDVhD7h2YMGCBfyZZWFhYXTF+Ouvv/7999+ePXu+ffs2Nzc3Pz8/LS1t69at1BQhOTm58PDww4cPU50vXLgQHh4eHh4+ZcoUqmXbtm3nzp2Tlpa+ePFicXHx169fv3z5MmvWrIKCAmdn56ysrFqve/bs2bx58xwdHRMSEnJzc6lCTENmzJgxceLE4ODgwsLCrKyssrKyo0ePEkJiY2ODgoJa/rtoz5KTkx0dHVtqNDabLVg8kpeXDwgICAgIEKxJUfT09Gq1vHjxYuzYsXJycqKiouLi4iEhIYQQTU1N8f98+vSJKj2/f/+eEKKlpVVvhmPHjg0ePFhZWZnNZg8YMIAQwuPx6nbjcrnW1tbp6ekPHjy4cOFCXFzc+PHjKyoqCCG7d+82MzMrLCxs6Mc8evTolStXrK2tKysrp0yZwt82Li0tjRBCLZLl09DQSE1N5V/KyckJloBFRET41TfqkhBSXV1NXRYXF69du9bY2FheXl5KSmrlypW1fhxlZWXBd4mIiPCfbfpXAQAAAAAAAK0MBbh2YN68efx/wFdWVr569ap542zYsIFRnyaerJqcnEwIcXZ25m8fpqmpuXr16smTJ3/z2eLi4h07dhBC9u3bN378eOrHUVJSOnHihJmZ2devX/fs2VPrkSdPnpiYmAQFBZmYmMjJyamqqjYyvoyMjL+//9ixYyUkJAghQkJC8+fPp1b/UVv1A4XL5RYVFdWtjjWbnp5eSUnJ58+fqUtxcfEJEyZMmDCBP/GNr9by5OzsbCsrq5iYGA8Pj+jo6NjYWGo+2t27d2MFUFU5qopUd4EzIWTr1q0uLi6qqqrUcRDUust6BQQEpKSkeHt7Gxsbjx079uTJkzdu3Jg2bVp+fr6vr6+trS21C1u9rK2tHR0d9+zZU1ZW5uHhwW9vygEOdWduCgk1+Bevs7Pztm3bxo8fHxER8fTpU/5UOz4xMbGGnm36VwEAAAAAAACtDEtQ24Fu3boZGBg8evSIEL9VB+kAACAASURBVFJeXh4WFkYtLmtlampqhJDQ0NA1a9ZISkp+17M3b94sLCxksViTJk0SbGcwGLNmzYqPjz9//vzWrVtrPeXl5dVIqeKb9PX1r1+/np2d3ewR+D59+vT3339HRkamp6eXl5c7OTnJysr++LCtz8fHh8fjNbQUtBns7OwuXrzo5+cnWJZqivDw8Ly8vOPHj8+ZM4dqqaqqIoQoKysLLmKlUL976enpdcfx8/PT0tI6e/Ys9auSkpLS0BvfvXtHCOnTpw91OW3atKKiooULF965c6egoMDb2/ubmY2NjadNm3bq1KnFixdT+ypSRzG8f/+euqR8+PCBf0TDd/n06dONGzdmzpxJzSolhFDrr5uo6V8FAAAAAAAAtDLMgGsf3NzcpKSkCCHl5eXnz59v3iBr1qwpqk8TF2kuXbpUVlb22bNnvXr1+vvvv8vLy5v+6idPnhBC9PT0+EdD8vXt25cQkp6eTu1Pz8dkMi0sLJr+CkLI06dPjx49+ueff65Zs2b16tX3798nAov7mufmzZv6+vr29va7d+8eMWKEm5vbyJEjFRQUmO2TsbFxy65JnDZtGofD2bRp0/cujqaWXvL3j3v9+vXTp08JIfxVzBTqj09NTa1Xr14BAQHUilRBPB6PzWbzC7WXL19u6I1du3YlhMTHx/Nb5syZ07dv36ysLDs7u969ezcl9saNG8XExPj7u9nY2EhISFAHg1AiIyM/ffo0atSopoxW92chhPBru1VVVVevXv2ux5v4VQAAAAAAAEArwwy49mH69Om//PIL9fnZs2cVFRWNrERriJiYGFXFq4XFYjXlcQ0NjTt37vzyyy9PnjyZNWvW6tWr3dzcFi9e3JTZcDk5OYQQ/ubxgviNWVlZ/J3mCSFdunRp+uEJSUlJM2fOpCYJtqDw8PBFixY5OzuPHj3aysqqZQenhYuLi7KysoaGBrWr2o+TkJAIDAy0t7d3dHQcMmTIgAEDZGRkSkpKqKWjjbC0tBQXF3d3d09JSSksLDx27NiUKVMkJSV3796dlpY2cODAioqKe/fu2djYUNWu7du3Ozo6mpqaTpkyhclkPn369JdffqFeevz48VWrVvXu3TsyMpIq9dZrypQp27ZtW7ZsWXZ2tqGhYWpq6qFDh9LS0saMGRMSErJhwwb+vLNGcDgcV1fXXbt2BQQETJw4UVZWdvPmzb///vv48ePt7e0/ffq0d+9eHR0dV1fX7/0mCSGqqqo9evQ4efJkjx49JCUl//77b6pM2URN/yoAAAAAAACglWEGXLsxYcIE6oOUlNR3zYtpQUZGRgkJCUFBQZaWll++fFmzZk2fPn2asicdtVVWvXOv+I21ttNq+uLTwsLCESNGPHr0SE9Pz9/f/8uXL1VVVTwe77fffmviCHXFxsYuWrRoypQpFy9e9Pb27hjVN0KIkpKSiIiIlZXVjBkzWmpMU1PTxMTEpUuXfvr0ac+ePWvXrj106JCUlNTq1asb2VVNXV09ICBAVlb2zz//PHPmzG+//ebr6/vXX39t27btxYsXHh4e27dvr6io4B8/6uDgcO3aNWVl5Z07d27cuDEjI0NTU5MQsmPHjkmTJh05cmThwoUlJSU3btzg71FYi7S0dHR09JgxYw4cODB+/Pjt27f379//6dOnly9fXrx48fr16/fv39+Un9fDw0NWVnblypXUJNClS5eeOnXq3bt3ixcvPnjw4Pjx4+/evVtvpbspLl68aGRktGrVKg8PD0tLy+Dg4KY/2/SvAgAAAAAAAFoZA2fktRd37twZO3Zsfn4+IWTatGn//PNP0581NTVNSEjw8vJav3593bvh4eHUOQyVlZX8GTf//PPPjBkzVFVVMzIy6h0zMjJy3rx56enpPXv2TExMpB588eKFkZERIeTr16+C8902bty4bt06IyOj58+f1xonJiZm0KBBRGAGXOOvrnv36NGjv/76K5PJTE9PV1FR4ff89ddfjx49OmnSpO9dtLtmzZqMjIz4+Hjq3IkOplu3bg4ODomJiZqamhcuXKA7DgAAAAAAAEDHhxlw7YaVlRW/Onbt2jV6wxBChg8fHhERQQhJTk6mdu8iArPYahV2zczMCCFv3rwpKSmpNc7jx48JIerq6oLrT78LNQVPX19fsPpGCElLS2vGaIsXL05JSTEwMOiQ1TdCSM+ePWNiYh48eCAiIkIdTQsAAAAAAAAAPxUKcO3J/PnzRUVFCSFVVVUvXrygOw5RV1enaoLU+ZWEEP7KO2rTNz5ra2slJaXy8vIzZ84ItvN4vJMnTxJCnJ2dmx2D2g6vrKxMsDExMTE6Ovp7h/r8+fPDhw+tra3XrFnT7Dxt3IABA6ja4pkzZ548ebJmzZrbt2/THQoAAAAAAACgI0MBrj1xcXFhMpmEEC6XGxoa2spvnzlz5vnz53Nzc6nLgoKCZcuWVVVVSUlJ8U+QVFNTo2pwfn5+VAu1TxaTyVy3bh0hZPny5f7+/lTB7uvXr3Pnzn38+LGMjMyyZcuaHYyaXvf69Wt+de/p06dTpkxp+hkOlKysLA8Pj6lTpy5atKjZYdq+Hj16sNnsuXPnEkLOnTv3+fPnDRs2bN++ne5cAAAAAAAAAB0WCnDtiaampr6+PiGkoqKi9QtwUVFRU6ZMUVBQUFZW7tatm7y8/MGDBxkMxr59+yQkJKg+wsLCs2bNIoTs2LFDSUlJQUHB3d2durVo0aJFixYVFxdPmjSJzWYrKSmpqKj4+flJSEj4+/s3slv/Nzk5OVEbz02fPl1FRUVWVrZv376VlZUnTpxo+iAhISF2dnZ9+vRxc3NrdpJ2oUePHlpaWu/evaMuT5482adPn6SkpKacAQoAAAAAAAAAzSBCdwD4Pjt27Bg3blx+fv7z58/fvHmjq6vbaq8+ceKEr69vbGxsVlaWiIiItra2sbGxm5sbdYQCn7e3t5CQ0OXLlz9+/KioqNirVy+qncFg+Pj4jBw58sCBA/Hx8YWFhRwOx97eftWqVRwO50eCiYqKRkZGrlq1KiwsLC8vT0VFZcaMGX/++Sepc7JqQ9LS0n799dfPnz//SIz2QkdHJysr6+XLl9XV1dQkwd27d7969crGxoYQMmfOnB/84wAAAAAAAACAWnAKavvj5OQUGhpaU1Mzbty4ixcv0h2nI5CQkLh3756JiQndQVqJlJSUhYXFypUrbW1t+Y1v374dMmQIg8Ho379/UFAQjfEAAAAAAAAAOhgsQW1/Ll68WFNTw+Pxrl+//vHjR7rjtHu//fbbzp07O0/1jRCiqqqqra0dFRUl2Kijo/Pp06dTp059/vxZTU3twYMHdMUDAAAAAAAA6GBQgGt/hIWFDxw4wGazuVyuh4cH3XHatytXrly7dk1eXp7uIK2qW7du2dnZkZGRdW8NHz48Li5u7969Dg4OVlZW3t7emZmZrZ8QAAAAAAAAoCPBEtT2Sl9fPzk5mclkfvnyRVZWlu447dXFixePHDly48YNuoO0qlOnTgUGBoaHh+fk5LDZ7Hr7/Pvvv+vXr//69WtUVNTw4cONjY1FRUVVVFQSEhKUlZVbOTC0IC0trTlz5tCdAgAAAAAAoHPBIQztVVBQUP/+/blc7tq1aw8cOEB3nHbp9u3b8+bNe/v2Ld1BWpuenl5WVhaLxSosLGyoAMfhcPz8/AghiYmJp0+fTkhIePXqFYfD4fF4GhoarZsXWpKoqCjdEQAAAAAAADodzIBrxxYtWnTixInKysry8nIREdRSv8+rV6/s7e3PnDkzePBgurO0tvz8fC0tLXt7+7Fjx06ePJnuOAAAAAAAAAAdHPaAa8cOHjwoIiIiIiLyxx9/0J2l/Vm4cOE///zTCatvhBBZWVkxMTFLS8tr167RnQUAAAAAAACg40MBrn0LDQ0VFxc/duzYly9f6M7Snpw7d+7Lly8qKip0B6GNnp4eh8NBAQ4AAAAAAACgFaAA174NHTo0JCSkoqJCQ0Nj3759dMdpN5KSkoyNjXV1dekOQhs9Pb3MzMyuXbvWexYqAAAAAAAAALQg7AHXQezYsWP16tWampqpqal0Z2kHNDQ0YmJi1NXV6Q5Cm507d2ZmZhJCAgMD09LS6I4DAAAAAAAA0JGhANehjB8/PjQ0dNWqVXQHadP8/PzGjBlz8OBBuoPQKTQ09MiRI1euXFFXV4+NjVVTU6M7EQAAAAAAAECHhaMzO5RLly69evXq0qVLdAdp06qqqlxcXOhOQTM9Pb3Xr18TQsaNGxcUFLR48WK6EwEAAAAAAAB0WJgBB53OmDFj5s+fP3r0aLqD0OnDhw8aGho1NTW3bt3auHFjVFQU3YnqkZ+fLycnt3z58p07dxJCDh065OHh8eDBA2rzvqlTpz59+vTly5cMBuMnBWiFVwAAAAAAAEBngEMYoNPR0NB4//493Slopq6uLiQkVFJSYm1t/fjx4/z8/OaNc+vWLQaDwWAwzpw5U/fu9OnTGQwGi8X6sbD/p6KigsvlVlVVUZdlZWVlZWU/9X8htMIrAAAAAAAAoDNAAQ46HXV19Q8fPtCdgn4GBgbp6emEEDU1NXd39x8ZSlJS8vz587Uay8rKLl++LCkp+SMjC3JzcysuLjYwMKAug4KC3r17JyT0E/8Sa4VXAAAAAAAAQGeAf1hCp4MZcBRDQ8OXL18SQnx8fFJSUn5kqOHDh9+4cSMvL0+wMTQ0tKysbMSIEc0etu7CT2FhYcHLViiNofoGAAAAAAAAPw7/toROBwU4Cr8AN2TIkLdv33769KnZQzk4OFRUVNQ6/ePcuXPDhw+XkpKq1Tk6OnrAgAHi4uIqKipLly4tLS3l36qoqFixYoWysjKLxTIxMQkPDxesuG3bto3BYPBrhfb29g0d3pqRkbF8+fLu3buLiYkpKysvWLCgpKTE39/f0NCQyWTq6+sHBQVRPQ0MDPr06SP4bFJSEoPB2LhxY+OvAAAAAAAAAGg6FOCg00EBjsIvwBFCpk6devbs2WYPpaur27NnT8FVqIWFhdeuXZsyZUplZaVgzzt37tjZ2UlKSu7bt2/atGk+Pj4TJ07k33V1dd25c6etre3BgwctLS0nT55cXV3djDy5ublXrlyZNGnS4cOH582b5+fnZ2NjM3nyZHNz8/3796urq48fP/7ixYuEkIkTJz579oxaikuhanOCqQAAAAAAAAB+kAjdAQBaG/aAowgW4Ozs7ObOndvsneBqamomTZq0adOmrKwsJSUlQkhwcDCPxxs3blxoaKhgzxUrVujq6l6/fp2a2sZisbZs2fLw4cP+/ftnZGQcP37c2dn59OnTVGdRUdE9e/Y0I0/v3r3fvHnDv8zNzT18+PDkyZNPnDhBCJk7d66Ojo6Hh4ezs/PEiRP//PPPoKCg33//neocFBTUq1evnj17NuubAAAAAAAAAKgHZsBBZ4QaHCFET0/v7du3NTU1hBBqp7abN282bygej0fNVgsICKBazp07N3LkSBkZGcFuWVlZDx8+tLW1LSoqys/Pz8/P79evHyHk3r17hJBbt27V1NRMnTqV33/y5MnNy8NXU1PD5XJ79OhBCHFwcOByuVwut7Ky0tzc/M2bN9nZ2VStLTg4mOr/4cOHR48eYfobAAAAAAAAtCwU4KAzwipUiuAkuLVr1/r7+zd7qJ49exobG1OrUHNycm7evFm3fJaWlkYI2bNnj9x/nJ2dCSHU6Q1USZTD4fD7q6qqNi9Mbm7uokWLVFVVhYWFxcXFqZl9M2fOFP8PlbOgoIAQMnHixJiYmOzsbPLf+tMJEyY0770AAAAAAAAA9cISVOiMqAKcpaUl3UFopqen9+jRIyMjI0LI/PnzXVxcjh492uzRJk+e7OHhkZGRERYWxmQyR48eXasDj8cjhCxYsGDSpEmC7YJFN8GTT8XExJqXZOzYsffv31+yZMnIkSOlpaXPnj27f//+v/76y8zMTLAbdcDChAkTNm7cGBISMmfOnODgYAMDAwMDg+a9FwAAAAAAAKBeKMBBZ4QZcBQ5Obldu3bNnj2bunRwcNiwYYOXl1fzRps8efKaNWsuXLhw5cqVsWPHSkhI1OrAL7QNHTq07uPUfLePHz+amJhQLR8/fmxGjIyMjHv37s2YMYO/fxy1wpTFYllYWNTt37t3b11d3bCwsAkTJty9e9fT07MZLwUAAAAAAABoBJagQmeEPeAonp6excXF/MvVq1cfOHCg2aNpamqam5sfPnz47t279W7f1rVrV1NT0wsXLnz9+pXfyOPxqJlxQ4cOZTAYgstg+VuzfRcRERFCCJvNpi65XC41jq+vb1VVFb+b4PmqEydOjIyMjIiIqKqqwgZwAAAAAAAA0OIwAw46o+Li4vDwcLpT0I/D4RQUFOTn58vKyhJCBg8ebGVldenSpfHjxzdvwMmTJ//+++9ycnJ2dnb1dti7d+/w4cNNTEymT5+urKz84cOH0NDQmJgYBQUFDQ2NX3755eTJkyIiIoMGDXrx4sXTp0+lpKS+N4OKikqvXr38/PzYbLaUlNSFCxeEhYX9/Pxmz549YMCAsWPHslis58+fV1ZWnjt3jnpk4sSJmzdv3rNnj76+vqGhYfN+dgAAAAAAAICGYAYcdEbW1tbCwsJ0p2gT+vTp8/TpU/7lqlWrtm/f3uzR/ve//wkJCY0fP76h7dssLS3v3LljaGjo4+Pj7u4eGBg4bNgw/my1w4cPu7m5hYWFubm5paenBwQEaGtrNyNGQECApaWlj4/P3r17+/bte+vWrVmzZgUHBwsJCW3ZsuWPP/548eKFo6Mjv7+xsbGOjk5MTAyOXwAAAAAAAICfgUEt/gLoVAoLC9XV1alDMDu5pUuXamlpubm5UZd3794dN27cxYsXhwwZQm8wAAAAAAAAgA4DM+CgM5KWlhYWFs7Ly6M7CP1qzYAbPHiwmZlZbm4ujZEAAAAAAAAAOhgU4KCT0tTUTE9PpzsF/WoV4AghO3fu/OOPP+jKAwAAAAAAANDxoAAHnRQKcJS6BThDQ8Pi4uKlS5fSFQkAAAAAAACgg0EBDjopFOD4OBzOvXv3BFtSUlJ8fHzoygMAAAAAAADQwaAAB50UCnB8GhoaV69eFWwRERFZtmzZsGHD6IoEAAAAAAAA0JGgAAedFApwfNOmTat76sL27dsrKysPHDhASyQAAAAAAACAjgQFOOikUIDjMzMzi4+Pr9t+9+7dQ4cOBQcHt34kAAAAAAAAgI6EwePx6M4AQIPCwkJ1dfWCggK6g7QJQkJC1dXVDAaj7i11dfXly5fjTAYAAAAAAACAZkMBDjqvLl26vHv3Tk5Oju4g9DM3N9+/f3///v3rvWthYZGVldW7d29DQ8MvX75069atleO1KVpaWnPmzKE7BQAAAAAAALQnInQHAKANtQoVBTjy3yrUhgpwcXFxfn5+R48elZKSysjI0NLSauV4bYqoqCjdEQAAAAAAAKCdQQEOOq+cnJzg4OC+ffvSHYR+ZmZm0dHRjXSYPXv27NmzKysrUX4CAAAAAAAA+F44hAE6rzFjxoiLi9Odok0QEhK6efPmN7uh+gYAAAAAAADQDCjAQeelr6//4cMHulO0Caampjwer7q6mu4gAAAAAAAAAB0QCnDQeXXv3v3du3d0p2gT9PX1uVwuzoQFAAAAAAAA+BlQgIPOCwU4QYMGDbp37x7dKQAAAAAAAAA6IBTgoPNCAU6QpaVlTEwM3SkAAAAAAAAAOiAU4KDzYjAYmpqaaWlpdAdpEzADDgAAAAAAAOAnQQEOOjVMguMbOHBgbGwsj8ejO0hzPHnyREZG5syZM3QHaTFTp041MDBop38cAAAAAAAAUAsKcNCp9ejRIyUlhe4UbUXXrl3PnTv3XY/cunWLwWCoq6uXlJQItqenpzMYjMOHD/9gJB6Pt2nTppqamsa7VVVVlZWVlZeX13v3/fv3J06c+MEkraysrKysrAwFOAAAAAAAgI4BBTjo1DADTtDYsWMTEhKa8WBGRsaWLVtaPA8h5OHDh3/88cc3C3BmZmZlZWVz5syp9+65c+faXQEuKCjo3bt3QkL4KxoAAAAAAKAjwL/uoFNDAU7Q5MmTHz161IwHTUxMdu3a9TO+yabPyBMWFq63vaKi4uLFiy2XqPWg+gYAAAAAANBh4B940KlpaWm9evWK7hRthZWV1YMHDxpayNkILy8vBoOxdOnSRvqUlpauWrWKw+EwmUwdHZ2tW7dWV1fz78bHx9vY2HTp0kVGRoYq5xFCbG1t9+3bRwgRFRVlMBg7d+4khGzbtk1NTa28vHzevHnS0tKDBw8mhMTFxTEYDF9f31ovzcjIMDAwiI+Pj4mJYTAYDAaDX2E8ePCgnp4ek8nU1dX18fHhP0IN9fjxYzc3N0VFRUlJyaFDh758+TIxMdHe3l5KSqpbt24LFy4sLi7mP8JisdatW7dw4cKuXbsymcw+ffpcvXpVMMa///47depUBQUFFotlZmYWHBwseJfFYvn6+kZFRRkaGgoJCUVGRhJC7O3t1dTUqA5VVVXr1q3r3r27uLi4hobGxIkTk5KSmvYnAwAAAAAAAG0CCnDQqenp6aWmpn769InuIG2FjY1NRETE9z4lLy+/atWq0NDQ/9fencZVVa0PHF9HQBGQSa0cEMFSEDCUUAEHEI+AA0qOKdm1Iucr+cc0MHG85i3lXu2K401xnjJzyhRk0JwQBLUL4jwjqaCITHL+L3btTgeEI2gH9Pd9dfbaa639bJYfXzyfZ62tkXiSqVSqgICAiIiI/v37/+c///H29g4LCxs1apR09+7du0qlMjs7e968eXPmzGnduvX169eFEDNmzOjbt68QIjY2NiEh4b333pP6Z2Zmjh07Njk5+dNPPx07dmw5gZmbm69atapZs2ZOTk4JCQkJCQl2dnZCiC+//HLcuHFdunT55ptvnJycxo0b99VXX6kPHDZsWEFBwZ49e5YuXZqUlOTv7+/r69utW7f9+/cHBwcvWbJk8uTJ6v1nzZr1yy+/rFy5cs+ePa+99lrfvn2PHDki3crKynJ3d4+Jifn0008jIiLq168fEBCwdu1a9eHx8fEDBw708PCYOXOmo6OjxlvMmDHjH//4x+DBgyMjI0eMGHHhwgUTE5Py1gMAAAAAUN2ogFebl5dXTEyMrqOoLhYuXDh+/Hjt+x88eFAIER0dnZeXZ21t/dZbbxUUFKhUqkuXLgkhIiMjpW67du0SQixcuFAeGBYWJoRISkpSqVRSzdfevXtLzz9y5EghRFFRkdwyd+5cIYSHh0dhYaHcKGW7li9fXmaQrVq18vDwkC/v3r1raGg4atQoucXd3d3MzEyKXJrKzc1Nvjtu3DghxKRJk+SWjh07WllZyZd16tQxMjK6f/++dJmbm2tiYtK7d2/pMiQkRAiRmpoqXZaUlHTu3Llhw4b5+fnycCHE7t271WP28fFp0qSJ9Ltz584dOnQo89UAAAAAADUCFXB41bVq1So9PV3XUVQXdnZ2UVFRzzqqpKSkbt26CxYsyMjIWLBgQekOUgIuMDBQbhk+fLgQQtqM2bJly9q1a3/99dfalyJOnDjRwMDgWeOUHDhwID8/X6q5k3To0CEnJ+fMmTNyH19fX/l3ixYthBBKpVK9JTMzU31OV1dXc3Nz6bexsXGXLl1iY2NVKpUQYteuXW3atHFycpLuKhSKwMDArKysn3/+WR7u5OTUs2fPpwXs6OiYlJS0bt06FR9FBQAAAICaiQQcXnUk4NQplUpjY+PK/UHefffd7t27z549+8aNGwqFQv3WpUuXTExMLCws5JZmzZoJIS5evCiEaNq0aVRU1IkTJ2xsbEaMGKHN01u1alWJCOVghBD9+/e3+F1ERIQQ4v79+3Kfhg0byr/19fWFEPXr11dvUT/ATgjRqFEjjcvc3NycnBzpcdLLytTfXZvXmTNnjre3d2BgoL29/YoVK4qLi7V/WQAAAABAdUACDq86EnAa3nvvPalgrRIWLlxYWFg4adIkaVulTCMfV9rgwYOvXLkSHh4u1YtpHJFWmsb8z0SqI1uyZMnBP2vXrp3cp3R5XfnfJNX4ckVhYaH8u8J3FxW9joWFxd69e+Pi4mxsbIKCgjw8PNQ/AQEAAAAAqP5IwOFVZ2dnl5aWpusoqpGAgIDt27dXbqy9vf348eM3bNhw6tQp9XZbW9vc3Fz1ErOrV68KIZo3by63mJubh4aGnjt3rk2bNtI5dJWLoULW1tbS4zz/TL1A71lpbJ69cuVKvXr1zMzMhBC2trbSy8pKv7s2unTpsnfv3jVr1hw/frz0914BAAAAANUZCTi86mxsbK5fv15UVKTrQKoLDw+PjIyMO3fuVG54eHj466+//tlnn6k39uvXTwihfrrcmjVrhBC9evUSv5ekSSwsLLp06ZKdnf348WMhhJGRkRAiOzu7csFIjIyM1GdQKpWGhoaRkZHq20hLSkqq8ogTJ07IW0qvXr16+PBhT09PqfatX79+qampKSkp0l2VSrVu3Tpzc3N3d3ctJ1f/+/j7+wshbt26VZVoAQAAAAB/MX1dBwDonrQL1dHRUdeBVBc2Njbz5s2bP39+JcaamprOmzfvb3/7m3qjUqns169fSEjI5cuXHRwcTp48uXTp0iFDhnTs2FEIsWjRotjYWC8vrwYNGmRkZCxbtqx3795S6s3NzS0iIiI4OLhnz55WVladO3euREhubm6LFy+eM2dO06ZNO3fubGtrO2vWrEmTJrm7u0sPSktLO3/+vPRF18rp0KGDj4/Pxx9/bGhouHjxYoVCERoaKt0KCQlZv359jx49xo8f36BBgx07dsTHxy9ZsqRu3bpaTt62bVsfHx8nJ6eCgoINGzbo6+sHBARUOlQAAAAAwF+PCjiAY+A0ff755/Hx8ZUePnz4cDc3N43GTZs2TZkyZfv27WPGjPnpp5/Cw8PlgrgOHTpkZ2eH/gopUwAAE31JREFUhYV98MEH//3vfz/66CP5DLgBAwaMHj16165dH3/8cXJycuXimTZtmlKpnD179sSJE7OysoQQISEha9euLS4unjNnTlhY2NGjR6UavUpr2bLl/PnzV61aNXny5Lp16+7YsUPKLQohLCwsDh8+7OPjI2US79y5s2HDhpEjR2o/uVKp3LRp04gRI0JCQgoKCnbu3ClPDgAAAACoERQv7qAloKYICwszNjaWS5YghGjRosX+/fttbW11HUgNYGhoOGDAgAo/HAEAAAAAeGVRAQeI/Pz8uLg4XUdRvQQFBS1fvlzXUQAAAAAA8DIgAQcIGxubvLw8XUdRvfj7+y9cuFDXUQAAAAAA8DIgAQcId3d3EnAaWrdu7eLiEhkZqetAAAAAAACo8TgDDhAFBQVmZmb5+fm6DqR6OXv27ODBg8+cOaPrQAAAAAAAqNmogANEnTp1rKyszp8/r+tAqhcHB4cWLVp8/vnnug4EAAAAAICajQQcIIQQDg4OZ8+e1XUU1U5kZOSRI0emTZum60AAAAAAAKjBSMABQpCAe4rGjRvHxsbu3bv3+PHjuo4FAAAAAICaigQcIIQQjo6OHHb2NH369Bk8eLCuowAAAAAAoKbiIwyAEEKkpqa+//77KSkpug6kmvL09Lxy5UqHDh0MDQ2trKx0HQ402djYfPjhh7qOAgAAAABQNn1dBwBUC9IWVJVKpVAodB1LdRQbG/vBBx/Y2trGx8e/+eabug4HmgwMDHQdAgAAAADgqaiAA35jaWkZHh4+YcIEXQdSfT148MDU1FTXUQAAAAAAUMNwBhzwm88+++z27du6jqJaI/sGAAAAAEAlkIADftOuXbukpCRdRwEAAAAAAF42bEEFfpOVleXg4HDnzh1dBwIAAAAAAF4qVMABv2nYsGHt2rVv3Lih60AAAAAAAMBLhQQc8Ie2bdsmJyfrOgoAAAAAAPBSIQEH/IEEHAAAAAAAeO5IwAF/IAEHAAAAAACeOxJwwB9UKlVcXJyuowAAAAAAAC8VEnDAH1xcXPT09IqKip5p1IoVKxQKxbhx457WoWPHjm+99dbDhw+rHOALMWnSJAsLi2PHjlVxnqKiooiIiDZt2piYmFhZWb3//vvXrl3TcmzTpk0Vv9PT0zM3N2/Xrl1oaGhWVlY5oaalpSkUik6dOpU/+ZIlSxQKxdSpU+WW57gipSevhqr5v0AAAAAAeOmRgAP+YG1tbWBgoJH0qaLCwsKkpKTz58/fuXNHboyOjt68efNzfEpVnDhxIjs7+8yZM1WcZ/LkyRMnTszKyurTp0+DBg3Wrl2rVCofP36s/QzdunXz8fHx8vKysrJKTU2dO3eui4vL7du3n2+oZa6IllauXHn8+PGqPP2vV5X3BQAAAAA8F/q6DgCoXtzc3I4cOdK/f//nNWHt2rV379798OHDFi1ayI2TJ09u3rz5oEGDntdTqiIyMjI+Pj4wMLAqkzx48GDhwoX169c/c+ZM/fr1hRB9+/b94Ycftm/fPnToUC0n2bRpU4MGDaTf165d6927d2pq6vz587/66qvnGGqZK6KNe/fujRkzZv78+e3bt69KAH+xSr8vAAAAAOB5IQEH/MlzT8AJIZRKpfplSkrKyZMnmzdv/hwfURX29vb29vZVnCQzM/PJkyeOjo5S9k0I0alTpx9++OHGjRuVm9DKymratGkDBgz4+eefyw+1Vq1nruTVWBEtrVmzprCwsBIDda5y7wsAAAAAeF7Yggr8iZSAe75zvvHGGwqFori4WAgRHBzcoUMHIcS2bdukI89GjRol94yJifH29q5Xr56JiYmnp+fevXvV55GOG0tMTDx//ryfn5+RkZG1tbV0Kz09fcKECS1btqxTp46RkVHbtm0jIyO1HDtkyBCFQnHgwAG588GDB4cMGdKkSRMDAwNzc3Nvb++YmJjy37Fx48b6+vppaWnSawohfvzxRyGEo6Njpf5mQgjxxhtvaLSUDlUIYWRktG3bNjc3t3r16hkbG3t6esbHx1c4s7wiskOHDvXu3bt+/fqGhoatW7eeM2dOfn6+dOv+/fu+vr7BwcFCiPHjx0sLt3HjRnmsnp5eXFycl5eXiYmJqalp9+7dS/8revjw4ZQpU2xsbOrUqWNtbT1x4sT79+9r9Ll06VJQUJC1tXWdOnWaNGmiVCoPHTok3arKEpd+30osMQAAAACg0kjAAX/i7u6uXnL13L355pvSDkpHR8e5c+fOnTs3ICBAurV+/fru3bsnJiZ6enq6u7sfO3asV69eK1as0JghNTXVy8vr2LFjzs7OXbp0kRqDg4MXLlxYt27dd999t0uXLqdPnx4zZsyiRYu0GashLy9v4MCB3333XYsWLQYOHNiqVauYmBhfX9+kpKRy3svY2Lhfv36ZmZlff/11QUHBqFGjYmJievbs6efnV4m/kkR6oqura/ndjhw5MmDAgJycnD59+ri6usbHx3fv3v1Z00nr1q3r2rXrgQMHXF1dAwICCgsLp06d2r1794KCAiGESqXy9PT08vISQvj7+0sL9/bbb8vDExMTu3fv/ujRo969ezdr1iw6Orpbt27nzp2TO+Tl5Xl6es6bN8/U1NTf39/Q0DAiIqJTp07qH0Y4ffq0i4vLihUrSkpKOnXqZGFhER0d/eTJE+muzpcYAAAAAFB5KgB/5ujouG7dOu37L1++XAgxduzYp3V4/fXXhRBFRUXS5f79+4UQ/fv3V+/z66+/mpqaNmnS5Pr161JLcnKygYGBsbHxvXv3pBap4snCwmLIkCF5eXnqw8+cOZOcnCxffv/990KIli1byi3ljB08eLAQYv/+/XJLTEzMzZs35Uup8uuTTz4p/+9w+fJlc3PzWrVq2djYCCF8fX1zc3PLHyJr0qSJECIrK0u6zMnJ2b59u6WlpZWVlXokGqH+73//k/4fGz16dElJidS4du1aIYSdnZ3Gu4eFhcktGiuSmZkpVa6dPn1aaikuLh4xYoQQ4osvvpBHhYWFCSEWLVqkHrlchrZs2TKp5cmTJwMGDBBC/N///Z/cLTQ0VAgxffp0ef4hQ4YIIUJDQ+U+Li4uQoiZM2fK73Lz5s0nT55Iv6uyxBrvq6rsEgMAAAAAKocKOEBTr169ShcWvWgbNmx48OBBSEiIlIoSQjg7O/v4+Dx69EjayykrKSmJjIysW7eueqODg4Ozs7N82bdvXxMTk/Pnz5eUlFQ4tjQvL69GjRrJl1LJXnp6evmjjIyMHB0dS0pKpH2Ue/bsMTY2Ln+IhoYNG0q7O83MzAIDA8eNG5eUlKQeSZn09PRmz56tUCiky2HDhrVp0yYtLS05OVnL565duzY3N3fkyJHyhlk9Pb358+fXrl178eLFGn/DMtnb2wcFBUm/a9WqNXLkSCFEamqq1KJSqZYvX25paTl16lR5/pCQECHE1q1bpZbExMSTJ0+2bt166tSp8rs0atRIPuGuOiwxAAAAAKBy+AgDoCk8PFz+ksBfRjrq68CBA2lpaXLjxYsXhRAXLlxQ76lUKs3NzZ82T2Fh4ePHj1UqVcOGDS9dulRYWGhoaKjl2NLy8vIKCwulbI58IFqZTp8+rVQqMzMzu3btmpCQsGnTptGjR7dt21a6e/DgwZMnT/r5+Tk4OJQziZ+fX+3atYUQDx8+PHXqVERExP3792fPnm1qalrOKFtbW0tLS/UWDw+P1NTUkydPygGUT9p0rLFh08LC4u233z5x4sTZs2ednJzKn+Gdd95Rv2zcuLH0FtJlenp6VlbW66+/PnbsWLnP48ePxe9LLIQ4evSoEEKpVMrZt6fR1RIDAAAAACqNBBygqW7dus7OzkeOHHFzc/vLHip9LXT37t2lb2mkRaQNnhrS0tJmzpwZHR19586dcp5S5tjS9u7du2DBgqNHj+bm5mrTPy8vz8/PLzMz86uvvgoJCVmyZMno0aN9fHwOHTrUsmVLIURoaOjRo0d79epV/jxRUVENGjSQfhcVFQUHBy9atOiXX37R+OqChtLZ0oYNGwohfv31V22CF0Lcvn1blPXNB6lG7ObNmxUm4F577TX1S6lsTaVSSZfS4mZmZi5dulRjYHFxcXFxsb6+fmZmpvg9c1cm3S4xAAAAAKAqSMABZfD29o6Ojv4rE3BSsubIkSMdO3Ysv6dUI6YuLS2tffv2jx49GjFiRI8ePRo0aFCrVq2BAweWzkCVHlvaunXrAgMDzc3Ng4ODXV1dTU1Nb9y4IW1RfJoNGzbcuHHDz89P2lY5atSonJycKVOmSB/xvHbt2tGjRzt27Ghvb1/h02UGBgYRERFRUVHR0dEXLlxo0aLF03oWFhZqtDxrJZdUdCbny2RSS4UlaUII9Rq00qR5fHx8NHYTa/8snS8xAAAAAKAqSMABZfD29p4xY4Z8YtdfQCq2unz5coUJuNL+9a9/PXz4cMqUKXPnzpUbi4uLKxfJrFmzhBAbN2708fGRWk6dOlX+EOljCN26dZNbJk+enJ2d/eWXXyqVyqKiIoVC8c9//vNZI6ldu3ajRo0yMjJu3LhRTgKudBJKqiaT6uC0IZ27d+vWLY12qaXCQ+gqJC9uOX2kGrrSMUh0vsQAAAAAgKrgIwxAGTw9PePj47U5fb8S9PX1hRBFRUXqjZ07dxZC7Ny5sxITSueIqR9hdvny5ezs7MqFV3q2CrMzBgYGQoj79++rN86dO/eTTz5JT0+/ePHiF198Ib3gM8nNzb127Zooa3OoumvXrqnvylSpVDExMUII6aOi2ujatasQIi4uTr0xOzs7JSXFwsKidevWUkuZC6cNe3v7+vXrp6ennzt37ml9pMSrFHlpOl9iAAAAAEBVkIADymZjY7NgwYIXMbOUTjpz5ox6gi8wMNDMzGzjxo0bNmyQGwsKCtavX1/hhFLxlPzRz/z8/ODg4EqHpzHbzZs3Z86cWf4QT09PIcS3334rlZ5J0tPTT5w4If2Oi4t71m2hBQUFwcHB+fn5rVu3lg6SexqVSjVlyhT5j7ly5cpr167Z29urfzO0fEOHDrW0tFy+fHlKSorUUlJS8tlnnxUVFQUFBenp6UmN0sLJ3zbVXq1atcaMGSOE+Oijj+7evSu3p6enHz58WPrdvn17Z2fnlJSUefPmyZth7969e/PmTVENlhgAAAAAUBVsQQXKNn369H379mnff+vWrYmJieotvr6+06dPL92zVatWdnZ2aWlpbm5udnZ21tbWM2fOtLS0jIqKGjRo0NChQ8PDw62trR88eHD27Nn8/PyhQ4eW/+jRo0evX79+2rRpx48fNzExSUhIqFevnnSMnfbxy8aOHRsaGtqzZ09/f/9Hjx4dOHDA398/JyennCE+Pj79+vX7/vvvHRwc/P39zc3Nz549GxMTU1JSEh4enpSUtHPnzoEDB27fvl0qInuaYcOGScV0eXl5KSkp9+7dMzExWblyZYUBJyQk2NnZubi43Lp1Ky4uzsDA4JtvvtH+lc3MzFavXt2/f//27dt7eXlZWlomJiZmZGS4urqGh4fL3fz8/AwMDFavXn3v3j0jI6OAgIBBgwZp+YiwsLCEhITY2NhmzZq5uLjo6+tfvXr1woULs2bN8vDwkPp8++23Xl5eU6ZMiYyMtLW1zczMzMjIiIqKGjJkiM6XGAAAAABQFVTAAWXr37//tm3btO+fmZl57M/Onz9fZk+FQrFlyxYPD4+zZ8/u2LFD3tLo7+9//PjxwYMHP3jw4ODBgxkZGe+88442iSQPD4+tW7c6ODjs27dv//793t7ecXFxSqVS++DVTZo0aebMmRYWFps3bz516tSkSZNWrVrVvn378kdt2bLl3//+d/PmzTdt2rR48eJz584NHz48JSVl+vTpW7Zs8fHx2bVr1wcffFD+rt6ffvpp9+7du3fvlhJMH3/88alTpyo8FM/DwyMmJsbDw+PAgQMnTpzo2rVrdHS0+oF02ujdu/fPP//s6+t7/Pjx7777Tk9Pb/r06bGxsUZGRnIfa2vr9evX29nZ/fjjj1KaT/v569Sps2/fvgULFrRq1SoxMVEqfPvkk0/UU3jOzs6JiYnDhw8vKiqKj4+/efOmn5+ftJG2OiwxAAAAAKDSFKU//AdA4ufnN2HCBF9fX10HAgAAAAAAajAq4ICn6tGjx+rVq3UdBQAAAAAAqNmogAOeKicnx8LC4gV9CxUAAAAAALwiqIADnsrMzCwoKGjZsmW6DgQAAAAAANRgVMAB5Tl16tSIESOSk5N1HQgAAAAAAKipqIADyuPs7FxcXBwdHa3rQAAAAAAAQE1FAg6oQFBQUFBQkK6jAAAAAAAANRUJOKACf//7311dXTdv3qzrQAAAAAAAQI3EGXBAxS5fvuzk5PTw4UNdBwIAAAAAAGoeKuCAijVv3nzp0qVvvPGGrgMBAAAAAAA1j76uAwBqhqFDh169etXS0nLYsGHm5ua6Dgf4K9jY2Hz44Ye6jgIAAAAAajwScIC2goKCrly5EhUVFRQURA4OrwIDAwNdhwAAAAAALwPOgAOeWX5+vqGhoa6jAAAAAAAANQMJOAAAAAAAAOAF4iMMAAAAAAAAwAtEAg4AAAAAAAB4gUjAAQAAAAAAAC8QCTgAAAAAAADgBSIBBwAAAAAAALxAJOAAAAAAAACAF4gEHAAAAAAAAPAC/T/7m44YuscNSwAAAH9pVFh0Q29weXJpZ2h0AAAAAABJbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lcywgbGF1bmNoZWQgaW4gMjAxOCBhdCB0aGUgVW5pdmVyc2l0eSBvZiBHZW5ldmEgYnkgUmFwaGHDq2wgU2FuZG96LrnvAosAAAA1dEVYdFRpdGxlAEludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVz65h0xwAAAIp6VFh0UmF3IHByb2ZpbGUgdHlwZSBpcHRjAAB4nD1NMQ7DQAjbeUWewAFncnOnbh3ygep6kSJFatX/D/VlqBE2RgjL/bHdls/3vR/nkOUCQnwNixYvDdYf7qWrlaZqGY6Okp6OBrCdLqg7hikZphmCFZWDY55VDGpNt24anb7BuGv5nI+vjMmMlB9KNSG3Js8+dgAAAp5pVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADw/eHBhY2tldCBiZWdpbj0n77u/JyBpZD0nVzVNME1wQ2VoaUh6cmVTek5UY3prYzlkJz8+Cjx4OnhtcG1ldGEgeG1sbnM6eD0nYWRvYmU6bnM6bWV0YS8nIHg6eG1wdGs9J0ltYWdlOjpFeGlmVG9vbCA5LjQ2Jz4KPHJkZjpSREYgeG1sbnM6cmRmPSdodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjJz4KCiA8cmRmOkRlc2NyaXB0aW9uIHJkZjphYm91dD0nJwogIHhtbG5zOmRjPSdodHRwOi8vcHVybC5vcmcvZGMvZWxlbWVudHMvMS4xLyc+CiAgPGRjOmNyZWF0b3I+CiAgIDxyZGY6U2VxPgogICAgPHJkZjpsaT5SYXBoYcOrbCBTYW5kb3o8L3JkZjpsaT4KICAgPC9yZGY6U2VxPgogIDwvZGM6Y3JlYXRvcj4KICA8ZGM6dGl0bGU+CiAgIDxyZGY6QWx0PgogICAgPHJkZjpsaSB4bWw6bGFuZz0neC1kZWZhdWx0Jz5JbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lczwvcmRmOmxpPgogICA8L3JkZjpBbHQ+CiAgPC9kYzp0aXRsZT4KICA8ZGM6dHlwZT4KICAgPHJkZjpCYWc+CiAgICA8cmRmOmxpPkltYWdlPC9yZGY6bGk+CiAgIDwvcmRmOkJhZz4KICA8L2RjOnR5cGU+CiA8L3JkZjpEZXNjcmlwdGlvbj4KPC9yZGY6UkRGPgo8L3g6eG1wbWV0YT4KPD94cGFja2V0IGVuZD0ncic/PplCL5gAAAAASUVORK5CYII=
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Alberti, Leon Battista (1428), //De commodis litterarum atque incommodis//, Florence: G. Carotti, 1976.
* Alberti, Leon Battista (1452), //De re aedificatoria//, Florence: di Lorenzo, 1485. English transl. by J. Rykwert, R. Tavernor and N. Leach, //De re aedificatoria. On the art of building in ten books//, Cambridge (MA): MIT Press, 1988.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Mandosio, Jean-Marc (2000), "La classification des sciences et des arts chez Alberti", in F. Furlan (ed), //Leon Battista Alberti: actes du congrès international de Paris, 10-15 avril 1995//, Paris: Vrin, pp. 643–704.
En considérant sous ce point de vue tous les phénomènes observables, nous allons voir qu’il est possible de les classer en un petit nombre de catégories naturelles, disposées d’une telle manière que l’étude rationnelle de chaque catégorie soit fondée sur la connaissance des lois principales de la catégorie précédente, et devienne le fondement de l’étude de la suivante. Cet ordre est déterminé par le degré de simplicité, ou, ce qui revient au même, par le degré de généralité des phénomènes, d’où résulte leur dépendance successive [...]. Les phénomènes les plus simples [...] sont nécessairement aussi les plus généraux. Comte (1830), //Cours de philosophie positive//, Tome 1, pp. 86-87.
Source: Martianus Capella (5th century), //Satyricon, De nuptiis Philologiae et Mercurii, et de septem artibus liberalibus libri singulares//, ed. Grotius, 1599.
\define link_d() {{$:/website_url}}/#{{!!title}}
\define c_msg() Link to '{{!!title}}' copied into the clipboard!
<$wikify name="dlink" text=<<link_d>> ><$wikify name="cmsg" text=<<c_msg>> >
<$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Get a direct link to this entry" message="tm-copy-to-clipboard" param=<<dlink>> ><$action-setfield $tiddler="$:/temp/copy_message" $field="text" $value=<<cmsg>>/>
<i class="fas fa-link"></i></$button>
</$wikify></$wikify>
Total number of 'source images': <$calc><$calc><$count filter="[!img_1[]!regexp:title[/temp/imgdatabase]]"/> + <$count filter="[!img_2[]!regexp:title[/temp/imgdatabase]]"/> + <$count filter="[!img_3[]!regexp:title[/temp/imgdatabase]]"/></$calc></$calc>
<br>
Number of scholars with 'source images': <$count filter="[!img_1[]!regexp:title[:/temp/imgdatabase/]]"/>
<div style="line-height:1.2em">
<$list filter="[!img_1[]!regexp:title[:/temp/imgdatabase/]sort[map_id]]">
<$link to={{!!title}}>・ {{!!title}}</$link><br>
</$list></div>
Total: <$count filter="[has[map_id]has[specialized_map]]"/>
<div style="line-height:1.2em">
<$list filter="[has[map_id]has[specialized_map]sort[last_name]]">
<$link to={{!!title}}>・ {{!!title}}</$link><br>
</$list></div>
\define concatenate() {{$:/temp/lwdef_searchindex!!text}}(?i)
<!-- Search box and sort options -->
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render when printed -->
<table class="centertable borderless" style="margin-bottom:-40px">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i> <$edit-text class="noborderfield searchfield" tiddler="$:/temp/lwdef_searchindex" type="search" tag="input"/><$reveal state="$:/temp/lwdef_searchindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/lwdef_searchindex" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td><div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/EditInfoReveal' field='sortby'><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr>
<tr><td colspan="2" style="line-height:3em"><span style="display: flex; flex-direction: row; align-items: center" class="box"><div class="darkgreyfont">Time interval: </div><div><$edit-text class="rcfield dateeditfield clickbutton" style="width:14.6em; line-height: 1.6em" tiddler="$:/temp/EditInfoReveal" field="interval_start" type="search" tag="input"/></div> – <div><$edit-text class="rcfield dateeditfield clickbutton" style="width:14.6em; line-height: 1.6em" tiddler="$:/temp/EditInfoReveal" field="interval_end" type="search" tag="input"/></div></span></td></tr>
</table><br>
</$reveal>
<!-- Edit mode -->
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render when printed -->
<$reveal type="match" state="$:/temp/EditInfoReveal" text="on">
<$button class="clickbutton tc-btn-invisible righto" set="$:/temp/EditInfoReveal" setTo="off">Edit <i class="fa fa-toggle-on"></i> </$button></$reveal>
<$reveal type="match" state="$:/temp/EditInfoReveal" text="off">
<$button class="clickbutton tc-btn-invisible righto" set="$:/temp/EditInfoReveal" setTo="on">Edit <i class="fa fa-toggle-off"></i> </$button></$reveal>
</$reveal>
<!-- List -->
<div style={{!!vstyle}} ><$wikify name="dsearch-entry" text=<<concatenate>> >
<$list filter="[has[map_id]has[comments]greatereqthan:map_date{$:/temp/EditInfoReveal!!interval_start}lessthan:map_date{$:/temp/EditInfoReveal!!interval_end}regexp:title<dsearch-entry>sort{$:/temp/EditInfoReveal!!sortby}]">
<table class="borderless" style="page-break-inside: avoid">
<tr><td><div class="greyfont" style="padding-bottom:6px"> //<$link to={{!!title}}>{{!!title}} ({{!!dates}})</$link>//: <$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></div></td></tr>
<!-- Edit mode on --><$reveal type="match" state="$:/temp/EditInfoReveal" text="on">
<tr><td><div class="center" style="position: relative; width:62em; overflow-y:hidden; line-height:1.25em"><$edit-text class="borderless editbox_list" tiddler=<<current>> field="comments" tag="textarea"/></div></td></tr>
</$reveal>
<!-- Edit mode off --><$reveal type="match" state="$:/temp/EditInfoReveal" text="off">
<tr><td><div class="justify" style="position: relative; width:100%; overflow-y:hidden; line-height:1.25em">{{!!comments}}</div></td></tr>
</$reveal>
</table>
</$list>
</$wikify>
</div>
"Qui traite des pierres et de leur formation" (Lancelin 1803, p. 133).
"La littérature est complétement séparée de la glossologie, en ce que l'une étudie l'instrument général des communications de la pensée entre les hommes, et l'autre l'usage qu'ils ont fait de cet instrument." Ampère (1834), Tome 2, p. 77.
"La littérature, telle qu’elle a été définie ci-dessus, semble pouvoir se diviser en quatre branches, selon qu’elle a pour but l’//art d’enseigner//, ou la //pédagogie//; l’//art de raisonner//, ou la //logique//; l’//art de s’exprimer// et la //connaissance des ouvrages littéraires//." Omalius d'Halloy (1834), p. 12.
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
"//Arts Logical or intellectual are four in number//, divided according to the ends whereunto they are referred. For mans labour in //Rational Knowledges// is, either to invent that which is sought; or to judge what is invented; or to retain that which is judged; or to deliver that which is retained. So as there must needs be so many //Rational Sciences//; Art of //Inquiry or Invention//; Art of //Examination or Judgement//; Art of //Custody// or //Memory//; and Art of //Elocution or Tradition//." Bacon (1623), Book IV, p. 141.
"Logic has been termed the Art of Reasoning. [...] Logic is a Practical Science." Bain (1870), p. 30.
"Formation-regarding; viz. Logic, the art of thinking", Bentham (1816), Appendix IV, Section VIII, p. 178.
About "The forms of Conceptions and their combinations." Coleridge (1818), p. 44.
"Consequences from speech, in reasoning", //Leviathan// (1651), chap. 9, p. 53.
"The science of the syllogism, or of correct argument from given premises. It is the science of terms and propositions, in so far as an understanding of these is necessary to the understanding of arguments." Hooper (1906), pp. 213–214.
"The conditions under which we may predicate a relation of coincidence or proximity in Space and Time (or of non-coincidence or non-proximity) form the subject-matter of Logic" (Spencer 1864, p. 12).
Cf. //Speculum doctrinale//, books II & III.
"A fundamental part of logic is the study of the right use of language, the clear definition and, if needful, invention of terms, //Orthology//." Pearson (1892), chap. 10, p. 454.
"LOGICS, or the consideration of IDEAS or NOTIONS: their kinds; Simple, Complex, Adequate, &c. Disposition into classes or Categories, Predicaments, Predicates, &c. Their composition, or association into Axioms, Propositions, Problems, Theorems, Theses, Hypotheses. Arguments; as Syllogism, Enthymeme, Sorites, Sophism, Dilemma, Crocodilus, &c. Their Resolution; Definition, Division, &c. into Premisses, Consequences, Terms, &c. Their Truth, Falshood, Evidence, Demonstration, &c. Operations therewith; as Argumentation, Induction, Discoursing, Philosophising, &c. Disputation, Distinction, Contradiction, Reductio ad Absurdum, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Le grand problème de l'intelligence humaine, c'est de distinguer, entre ces différentes idées, ces divers jugements, ce qui est conforme à la vérité de ce qui n'est qu'un préjugé ou un jeu de l'imagination. Lorsqu'il s'agit d'idées que l'homme a reçues ou de jugements qu'il a portés à des époques que sa mémoire lui retrace, cette distinction se fait en examinant la manipre dont il a acquis ces idées, les circonstances et les motifs qui ont déterminé le jugement qu'il en a porté, et c'est là l'objet de la science du troisième ordre, qui a reçu le nom de //logique//." Ampère (1834), Tome 2, pp. 11–12.
"Science des méthodes directrices de l'esprit humain" (Lancelin 1803, p. 133).
"Sciences régulatives de la connaissance, ou théories des sciences", Naville (1888), p. 38.
"La logique est l'art de penser juste, ou de faire un usage convenable de nos facultés rationnelles, en définissant, en divisant, et en raisonnant. La logique peut se distribuer en art de penser, en art de retenirses pensée, et en art de les transmettre." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" viewBox="0 0 576 99" enable-background="new 0 0 576 99" xml:space="preserve"> <image id="image0" width="576" height="99" x="0" y="0"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAANgAAAA+CAYAAABJPdVyAAAABHNCSVQICAgIfAhkiAAACJRJREFU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==
" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" viewBox="0 0 576 99" enable-background="new 0 0 576 99" xml:space="preserve"> <image id="image0" width="576" height="99" x="0" y="0"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAkAAAABjCAYAAABzPb+HAAAABHNCSVQICAgIfAhkiAAAGb5JREFU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" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" viewBox="0 0 576 96" enable-background="new 0 0 576 96" xml:space="preserve"> <image id="image0" width="576" height="96" x="0" y="0"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAkAAAABgCAMAAADCdz0bAAAABGdBTUEAALGPC/xhBQAAACBjSFJN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" />
</svg>
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
iVBORw0KGgoAAAANSUhEUgAAAFoAAAAaCAMAAAAALrtcAAAAb1BMVEW/zOV/mcxAZrOAmcwQQJ/v8vnf5fLMzMyzs7PP2eyfstkgTaZggL+/zOZwjcZQc7kwWayPpdKvv9/m5ubs7Oz5+fmfn5+srKyfs9nZ2dnf5vKmpqa5ublwjMbGxsby8vLS0tK/v7+ZmZkAM5n///8Sj9nlAAAAAXRSTlMAQObYZgAAAAFiS0dEAIgFHUgAAAAJcEhZcwAACxMAAAsTAQCanBgAAAAHdElNRQfiCBwDCAUHEnMRAAAAGXRFWHRDb21tZW50AENyZWF0ZWQgd2l0aCBHSU1QV4EOFwAAAZlJREFUSMfF1n+TgiAQBmBHacRJpub8nVkd8f0/Y8CKu5h3SHMz9/5RZOMjbiuUJDtzV3OSv84iR9lyRwZF0j/DEZv02L7JOZXV0Zx72k2P+RwpeY02Nwfk2FA5s+eqEp0sS+0rZE1zd6IZop2bA/IGX32d0lOvDgzow3mh4XJO2KDrygSGrUdXpMTH0nFoO7oQJhs0d0VQaAPdkEIgh7aj081ar2hVURpuVKzpxY6ha6UGQtdgX0pG6WKxg/SN63R2eHU20NjVxXTEIpT6aozSU6pz/qlD4Gfk7WwDzb0Hhi0znfS12LpDRICWsw20fFAbqmC5HuwgTWqth8Z+OFpeyRoCVQAO7Jif0QyN/e1ojQ/4SE4LDXYsLdvGtgquJHwg9+z6vLetEknLroEnHwNH1IHQxo6nQdpYAj36WXxCW9u837hHC49mxSe0saHqwyhxde092ti/0V1VdY7Dof6g3FyrPHdNYrYBIXDBZkVmj5xDu4yfK7QKzeVtT2Hpvg0ssH3BpPftjXko99Wksx25xP9T0HLy/3kBZuXhbGNlTM8AAAAASUVORK5CYII=
R0lGODlhWgAaAKUkAL/M5X+ZzEBms4CZzBBAn+/y+d/l8szMzLOzs8/Z7J+y2SBNpmCAv7/M5nCNxlBzuTBZrI+l0q+/3+bm5uzs7Pn5+Z+fn6ysrJ+z2dnZ2d/m8qamprm5uXCMxsbGxvLy8tLS0r+/v5mZmQAzmf///////////////////////////////////////////////////////////////////////////////////////////////////////////////yH+EUNyZWF0ZWQgd2l0aCBHSU1QACH5BAEKAD8ALAAAAABaABoAAAb+QJJwSCwaj8ikcslc/prQqHQKfZJE2Kx2y+16M0zMaEwum89odINk9Xo3Frf30FyUH+m8nrxuazcHgYKBWAgUcV4IhFgbFUwDZiQMegMDAmSVmZlmfUJbCEiFJIdznlgeRhIPAg8YJAUEkQUQeUKQY0icbKZZoKMTwMCio4hbdFdYE0ViZQuTka+0aLZkQgkN2Ni6fr1CCF2+xFzHWY5Et3tDs9Mk6EKXeZ3I3STfXOEkE+O8Rg17uOqklaEGEF4aeZ+8gRNCQUgGY7waFtHAIFYtEgmErBvYrhoJg2p2zRvmAYFJBBeGcZD4MAs5LGCQJOhg54wtBkI0WATojkT+BwFABQgcg1ALviH6RPhCYIGlS15Hj2DYyXNEB2s7CY444q9MUXpFki4V0dQhlpdYQDDZWHWEK4wWtXLdxmuYvYT1sJQlAUIEWiwcPizR6RHd2wSx5H7U89Xuwrx6JYb4m4VDBnNHOhQuc5iAYpBnGitVeI+0XnMS5SCIWaTr1o6crX1mLBLvXaOmsVzAPNLL7iIFNpt5OztebdyQbXP5XdfNMXXCzWQsfvA4vdvXuzDXUrLLcyGutZYhML0naLq9x5ZOvuV3FguOMmz4w5sEs9fozJCH/fq8V+u6AZPScgK6ccE8300QyGVG4EFUA8+gQR462AwVGoByZLgFB6dCQaHBP/r5Zxw3GpYIERQOgqjihVYM4uKLMMYoiGBNaKDJjTjmqCOOGohExY9ABpnED0QWaeSRSCap5JJMNunkk0EAADs=
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Oken, Lorenz (1804), "Übersicht des Grundrisses des Systems der Naturphilosophie", //Gesammelte Schriften//, pp. 4–23.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Spencer, Herbert (1854), "The Genesis of Science and the Factors of Organic Evolution", //The British Quarterly Review// 20, pp. 108–162.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bourdeau, Louis (1882), //Théorie des sciences. Plan de science intégrale//, Paris: Germer-Baillière.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 254.
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
"La chimère de la magie noire, ou surnaturelle, est la magie proprement dite, cette magie noire qui se prend toujours en mauvaise part, que produisent l'orgueil, l'ignorance et le manque de philosophie." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Par la magie naturelle, on entend l'étude un peu approfondie de la nature, les admirables secrets qu'on y découvre. [...] La magie en général est la science ou art occulte qui apprend à faire des choses qui parroissent au dessus du pouvoir humain." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"From a Greek word, which signifies a loadstone : this naturally compounded
species of mineral, having iron for its principal element, being the only body, in which the peculiar relation, in the way of attraction and repulsion, to other bodies of the same sort, or to iron,
was for a long time observed.", Bentham (1816), //Chrestomathia//, pp. 31–32.
"Science du magnétisme", Bourdeau (1882), vol. II, p. 71.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hundt, Magnus (1504), //Compendium totius logice quod a nonnullis paruulus//, Leipzig: Martinus Herbipolensis.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
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
"MANAGE, including the consideration of HORSES; their Age, Colour, Tooth, Hoof, Star, &c. Paces; as Amble, Gallop, &c. Airs, as Vault, Demivolt, Curvet, Capriole, &c. Aid, Correction, Hand, Bit, &c. Saddle, Shoe, Bridle, &c. Diseases; as Halting, Farcy, Staggers, Scratches, Yellows, &c. Operations; as Rowelling, Curtailing, Gelding, &c. — Hawk, Hawking, Hood, &c. Reclaiming, Casting, &c. Pit, Filanders, &c. — Hound, Hunting, &c. Rut, Stalking, Birdlime, Trammel-net, Bat-fowling &c.—Fish, Fishing, Fishery, &c. Angling, Hook, Rod, Float, &c. Bait, Fly, Huxing, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""><!-- Hide tiddlymap when printed -->
<div id="scale_big">
<$tmap class="mapframe" height="calc(14vw + 40vh)" view={{!!title}}></$tmap>
</div>
<div id="scale_small">
<$tmap class="mapframe" height="calc(300px + 14vw)" view={{!!title}}></$tmap>
</div>
</$reveal>
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
\define popup1_name() $:/temp/popup_{{!!map_id}}_1
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
<$wikify name="pup1_name" text=<<popup1_name>> >
<$wikify name="img1" text=<<p_img1>> >
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""> <!-- Do not render tiddlymap when printed -->
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-grow: 1">{{||map}}</div><div style="padding-left:15px; position:relative; flex-shrink: 0; max-width:50%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img1>>/></$button></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; flex-grow: 1"><$tmap class="mapframe" height="302px" view={{!!title}}></$tmap></div><div style="padding-left:10px; position:relative; flex-shrink: 1; max-width:50%"><$button class="hpic" style="max-width:100%" popup=<<pup1_name>> tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div></div>
</div>
</$reveal>
<$reveal type="popup" state=<<pup1_name>> >
<div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div>
</$reveal>
</$wikify></$wikify>
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
<$reveal type="match" state="$:/state/SampleReveal1" text="state1">
<table class="centertable borderless">
<tr><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/map1" actions="""<$action-setfield $tiddler="$:/state/SampleReveal1" text="state2"/>"""><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td><td><i class="fas fa-sync" style="color: #555753; font-size: calc(10px + 0.4vw); width: 1.4em"></i></td><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/map2" actions="""<$action-setfield $tiddler="$:/state/SampleReveal1" text="state2"/>"""><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
</table>
<table class="centertable borderless">
<tr><td style="width: 49vw"><$tmap class="mapframe" height="calc(14vw + 30vh)" view={{$:/temp/map1}}></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="calc(14vw + 30vh)" view={{$:/temp/map2}} ></$tmap></td></tr>
<tr><td align="center"><$list filter="[title{$:/temp/map1}]"><$link to={{!!title}}>{{!!title}} ({{!!dates}})</$link></$list></td><td align="center"><$list filter="[title{$:/temp/map2}]"><$link to={{!!title}}>{{!!title}} ({{!!dates}})</$link></$list></td></tr>
</table>
</$reveal>
<$reveal type="match" state="$:/state/SampleReveal1" text="state2">
<table class="centertable borderless">
<tr><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/map1" actions="""<$action-setfield $tiddler="$:/state/SampleReveal1" text="state1"/>"""><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td><td><i class="fas fa-sync" style="color: #555753; font-size: calc(10px + 0.4vw); width: 1.4em"></i></td><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/map2" actions="""<$action-setfield $tiddler="$:/state/SampleReveal1" text="state1"/>"""><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
</table>
<table class="centertable borderless">
<tr><td style="width: 49vw"><$tmap class="mapframe" height="calc(14vw + 30vh)" view={{$:/temp/map1}} ></$tmap></td><td style="width: 49vw"><$tmap class="mapframe" height="calc(14vw + 30vh)" view={{$:/temp/map2}} ></$tmap></td></tr>
<tr><td align="center"><$list filter="[title{$:/temp/map1}]"><$link to={{!!title}}>{{!!title}} ({{!!dates}})</$link></$list></td><td align="center"><$list filter="[title{$:/temp/map2}]"><$link to={{!!title}}>{{!!title}} ({{!!dates}})</$link></$list></td></tr>
</table>
</$reveal>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Comparator&dt=Comparator" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Comparator"></iframe>
<!-- Top toolbar -->
<table class="centertable borderless" style="margin-top:-20px; margin-bottom:20px">
<tr><td align="center"><!-- Select scholar --><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder"><$list filter="[title[Blank]][has[last_name]sort[last_name]]"><option value=<<currentTiddler>>>{{!!last_name}}{{!!blank_item}}<$list filter="[all[current]has[first_name]]">, </$list>{{!!first_name}}<$set name="title_var" value={{!!title}}><$list filter="[title<title_var>!has[uploaded]!has[blank_item]]"> ✗</$list></$set></option></$list></$select></div></td><td><!-- New datasheet --><$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Create a new datasheet"><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_title" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_firstname" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_lastname" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_dates" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_country" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_aka" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="new_id" $value=""/><$action-setfield $tiddler="$:/temp/newdatasheet" $field="justcreated" $value="no"/><$action-setfield $tiddler="$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder" $field="text" $value="Blank"/><$action-sendmessage $message="tm-close-tiddler" $param="Maps editor"/><$action-navigate $to="Create a new datasheet"/><i class="fa fa-plus-square"></i></$button> <!-- Field editor --><$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Open the fields editor"><$action-setfield $tiddler="$:/temp/fsearchindex" $field="text" $value=""/><$action-navigate $to="Fields editor"/><i class="fa fa-bars"></i></$button> <!-- Table definitions --><$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Open the table of definitions"><$action-setfield $tiddler="$:/temp/tdef_searchindex" $field="text" $value={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder!!text}}/><$action-setfield $tiddler="$:/temp/td_sortby" $field="text" $value="last_name"/><$action-navigate $to="Table of definitions"/><i class="fas fa-comment-alt"></i></$button></td></tr>
</table>
<!-- Configuration of the field 'Background map' located in:
$:/plugins/felixhayashi/tiddlymap/misc/advancedEditorBar
-->
<!-- Map editor & fields -->
<table class="centertable borderless" style="font-size: 15px">
<tr><td style="width: 100%"><div style="overflow: auto; width:100%; height: 100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED">{{$:/plugins/felixhayashi/tiddlymap/hook/editor}}</div></td><td></td><td><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""<div style="overflow: auto; width:245px; height:380px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;" class="greyfont center"><br><br><br><br><br><br>No datasheet for '{{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}}'</div>"""><div style="overflow: auto; width:245px; height:380px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;"><div class="center"></div><div class="greyfont">  //Dates//:</div> <$edit-text class="rcfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=dates/><br><div class="greyfont">  //Country//:</div> <$edit-text class="rcfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=country/><br><div class="greyfont">  //Aka//:</div> <$edit-text class="rcfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=aka/><br><div class="greyfont">  //Map date (format 00xxxx)//:</div> <$edit-text class="rcfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="map_date"/><br><div class="lightgreyfont center" style="line-height:1em">———————</div><div class="greyfont">  //Creator of the map//: </div><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]"> <$edit-text class="tw-edit-texteditor editfield rcfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=map_creator/></$list> <$button class="erasebutton tc-btn-invisible" tooltip="Delete the 'map_creator' field and its content"><$action-deletefield $tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} $field="map_creator"/><i class="fa fa-times-circle"></i></$button> <$button class="clickbutton tc-btn-invisible" tooltip="Fill the 'map_creator' field with admin name"><$action-setfield $tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} map_creator="Raphaël Sandoz"/> <i class="fas fa-user-check"></i></$button><br><div class="greyfont">  //Uploaded//:</div><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]"> <input type="text" class="editboxsmall rcfield" style="width:9.6em;" value={{!!uploaded}} readonly /></$list> <$button class="erasebutton tc-btn-invisible" tooltip="Delete the 'uploaded' field and its content"><$action-deletefield $tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} $field="uploaded"/><i class="fa fa-times-circle"></i></$button>  <$button class="clickbutton tc-btn-invisible" tooltip="Set or update the current date in the 'uploaded' field"><$action-setfield $tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} uploaded=<<now "0DD.0MM.YYYY">>/> <i class="far fa-calendar-check"></i></$button></div></$list></td></tr>
<tr><td><$reveal type="match" state="top-left" text="┌"><$button class="tc-btn-invisible lefto"><input type="checkbox" checked/><$action-setfield $tiddler="top-left" $field="caption" $value=" " text=" "}/><$action-setfield $tiddler="bottom-right" $field="caption" $value=" " text=" "}/> Show markers </$button></$reveal><$reveal type="match" state="top-left" text=" "><$button class="tc-btn-invisible lefto"><input type="checkbox"/><$action-setfield $tiddler="top-left" $field="caption" $value="┌" text="┌"}/><$action-setfield $tiddler="bottom-right" $field="caption" $value="┘" text="┘"}/> Show markers </$button></$reveal><$button class="tc-btn-invisible clickbutton righto"><$action-setfield $tiddler="$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder" $field="text" $value="Blank"/><i class="far fa-window-close"></i> 'Blank' map </$button></td></tr>
</table>
<$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
""">
<!-- Preview -->
<$button class="clickbutton tc-btn-invisible" tooltip="Open the datasheet of the selected scholar"><$action-navigate $to={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}}/> <i class="far fa-address-card"></i> {{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}}</$button>
<$reveal type="match" state="$:/state/PreviewReveal1" text="state1"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/PreviewReveal1" setTo="state2">Preview <i class="fa fa-toggle-on"></i> </$button><div style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;"><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]"><$transclude field="text" mode="block"/></$list></div><br></$reveal><$reveal type="match" state="$:/state/PreviewReveal1" text="state2"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/PreviewReveal1" setTo="state1">Preview <i class="fa fa-toggle-off"></i> </$button>
<!-- Content editor -->
<div class="listfield">
<$edit-text tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} class="tw-edit-texteditor borderless editbox" tag="textarea"/>
</div>
<!-- Image popup code -->
<$reveal type="match" state="$:/state/CodeImageReveal1" text="state1"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/CodeImageReveal1" setTo="state2">Image code template <i class="fa fa-toggle-on"></i> </$button><div style="overflow: auto; width:100%; height:380px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;"><$list filter="[Title[Image_popup_code_template]]"><$transclude field="text" mode="block"/></$list></div></$reveal><$reveal type="match" state="$:/state/CodeImageReveal1" text="state2"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/CodeImageReveal1" setTo="state1">Image code template <i class="fa fa-toggle-off"></i> </$button>
</$reveal></$reveal>
</$list>
<!-- List of disciplines & analytical framework -->
<br><div style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED; margin-top:-15px">
<table class="centertable borderless">
<tr><td><div class="greyfont center" style="width:250px; padding-bottom:5px">//List of disciplines//:  </div></td><td style="width:30px"></td><td><div class="greyfont center" style="width:250px; padding-bottom:5px"> //Classificatory paradigm(s)//:</div></td><td style="width:30px"></td><td><div class="greyfont center" style="width:250px; padding-bottom:5px"> //System type(s)//:</div></td></tr>
<!-- List of disciplines --><tr><td rowspan="2"><div class="listfield center" style="height:17.2em; max-width:230px; overflow-y:scroll; line-height:1.2em; background-color:#f4f4f4"><$edit-text class="borderless editbox_list" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="list_disciplines" tag="textarea"/></div><!-- Number of disciplines --><div class="greyfont lefto" style="padding-top:5px">//Number of disciplines//: <$edit-text class="rcfield numbereditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="number_disciplines"/> </div></td><td></td>
<!-- Classificatory paradigms --><td class="center"><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
"""> <$select class="mselfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} multiple field="paradigm" default=""><option value='Ontological'>Ontological</option><option value='Epistemological'>Epistemological   </option><option value='Methodological'>Methodological</option><option value='Teleological'>Teleological</option></$select></$list></td><td></td>
<!-- System types --><td class="center"><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
"""> <$select class="mselfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} multiple field="system_type" default=""><option value='Linear'>Linear (serial)</option><option value='Ramified'>Ramified (dichotomic)</option><option value='Tabular'>Tabular</option><option value='Circular'>Circular</option><option value='Dynamic'>Dynamic</option></$select></$list></td></tr>
<tr><td></td><td colspan="3"><div class="greyfont"> //Additional information//:</div><div class="listfield center" style="height:8em; overflow-y:scroll; line-height:1.2em; background-color:#f4f4f4"><$edit-text class="borderless editbox_list" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="comments" tag="textarea"/></div><!-- Specialized map --><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]"><span class="righto" title="Check this box if the map is specialized in a narrow disciplinary area"><$checkbox class="tc-btn-invisible clickbutton" tiddler=<<current>> field="specialized_map" checked="yes" unchecked="no" uncheckactions='<$action-deletefield $tiddler=<<current>> $field="specialized_map"/>'> Specialized map </$checkbox></span></$list></td></tr>
</table></div>
<!-- Data for images -->
<div style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;" class="greyfont"><div class="greyfont"> //Data for images//:</div>
<table class="centertable borderless" style="margin-top:-5px">
<tr class="greyfont" style="text-align:center"><td></td><td>//Image file name//:</td><td>//Source//:</td><td>//Date (00xxxx)//:</td><td> //Diagrammatic type//:</td></tr>
<tr><td class="greyfont" style="width:80px">//Image 1//:</td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=img_1/></td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=src_img_1/></td><td style="text-align:center"><$edit-text class="rcfield dateeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=date_img_1/></td><td><!-- Diagrammatic types --><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
"""><div class="sselfield" title="Type of image 1"><$select class="clickbutton buttonfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="diag1_type" default=""><option value=''>—</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option><option value='Table List'>Table & list</option><option value='Tree Table'>Tree & table</option><option value='Tree Circle'>Tree & circle</option></$select></div></$list></td></tr>
<tr><td class="greyfont" style="width:80px">//Image 2//:</td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=img_2/></td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=src_img_2/></td><td style="text-align:center"><$edit-text class="rcfield dateeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=date_img_2/></td><td><!-- Diagrammatic types --><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
"""><div class="sselfield" title="Type of image 2"><$select class="clickbutton buttonfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="diag2_type" default=""><option value=''>—</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option><option value='Tree Table'>Tree & table</option><option value='Tree Circle'>Tree & circle</option></$select></div></$list></td></tr>
<tr><td class="greyfont" style="width:80px">//Image 3//:</td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=img_3/></td><td><$edit-text class="rcfield longeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=src_img_3/></td><td style="text-align:center"><$edit-text class="rcfield dateeditfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field=date_img_3/></td><td><!-- Diagrammatic types --><$list filter="[Title{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}]" emptyMessage="""
"""><div class="sselfield" title="Type of image 3"><$select class="clickbutton buttonfield" tiddler={{$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder}} field="diag3_type" default=""><option value=''>—</option><option value='Tree'>Tree</option><option value='Table'>Table</option><option value='List'>List</option><option value='Circle'>Circle</option><option value='Triangle'>Triangle</option><option value='Network'>Network</option><option value='Tree Table'>Tree & table</option><option value='Tree Circle'>Tree & circle</option></$select></div></$list></td></tr>
</table></div>
<!-- Edition tools buttons -->
<table class="righttable borderless" style="margin-top:-10px">
<$button class="clickbutton tc-btn-invisible buttonfield" message="tm-copy-to-clipboard" param=" " tooltip="Copy a short unbreakable space ' ' to clipboard"><$action-setfield $tiddler="$:/temp/copy_message" $field="text" $value="Copied to clipboard!"/> <i class="far fa-clipboard"></i>   </$button><$button class="clickbutton tc-btn-invisible buttonfield" message="tm-copy-to-clipboard" param=" " tooltip="Copy an unbreakable space ' ' to clipboard"><$action-setfield $tiddler="$:/temp/copy_message" $field="text" $value="Copied to clipboard!"/> <i class="far fa-clipboard"></i>   </$button><$button class="clickbutton tc-btn-invisible buttonfield" message="tm-copy-to-clipboard" param=" " tooltip="Copy a long unbreakable space ' ' to clipboard"><$action-setfield $tiddler="$:/temp/copy_message" $field="text" $value="Copied to clipboard!"/> <i class="far fa-clipboard"></i>   </$button>
</table>
<!-- Button to upload files (disabled)
@@.ilinkfont.center
<div class="tc-file-input-wrapper">
<$button tooltip={{$:/language/Buttons/Import/Hint}} aria-label={{$:/language/Buttons/Import/Caption}} class=<<tv-config-toolbar-class>>>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]"><i class="fa fa-upload"></i> Upload file</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]"><span class="tc-btn-text"><$text text={{$:/language/Buttons/Import/Caption}}/></span></$list>
</$button><$browse tooltip={{$:/language/Buttons/Import/Hint}}/>
</div>
@@
-->
<!-- Tips displayed below maps -->
<$reveal type="nomatch" state="$:/temp/maptips!!enabled" text="no">
<span class="noprint"><span id="scale_big" class="center" style="height: 1.6em; line-height: 1.6em; position:relative; top:-0.1em; border-style: solid; border-width: thin; border-top: 0; border-color: #cfcfcf; background-color: #F7F7F9; color: #3e3a5b"><div style="opacity:0.8; font-size: 76%; height: inherit"><i class="fa fa-info-circle" style="padding-right: 6px"></i>To enlarge the view, fly over the map and click on the<span style="vertical-align:-2%; padding-left: 4px; padding-right: 4px">[img height=45% class="nodragdrop" [fullscreen.png]]</span>button. Hover the blue icons<span style="vertical-align:-2%; padding-left: 4px; padding-right: 4px">[img height=45% class="nodragdrop" [blue-disc.png]]</span>to display the definitions. <$button class="tc-btn-invisible clickbutton righto" style="height: inherit; font-size: 79%" tooltip="Hide"><$action-setfield $tiddler="$:/temp/maptips" $field="enabled" $value="no"/><i class="fa fa-times" style="padding-right: 8px; opacity:0.9"></i></$button></div></span></span>
</$reveal>
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Jullien, Marc-Antoine (1819), //Esquisse d’un essai sur la philosophie des sciences: contenant un nouveau projet d’une division générale des connaissances humaines//, Paris: Baudouin.
* Jullien, Marc-Antoine (1827), //Coup d’oeil sur les progrès des sciences, des lettres et des arts//, Paris: Rignoux.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Foskett, D.J. (2009), "Marc-Antoine Jullien: A Pioneer of Documentation", //Libri// 11(1-4), pp. 281–304.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Quintilian (~95), //Institutes of Oratory//, London: H.G. Bohn, 1856.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tatarkiewicz, W. (1963), "Classification of Arts in Antiquity", //Journal of the History of Ideas// 24(2), pp. 231–240.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Goetz, G. & Schoel, F., eds (1910), //De lingua latina quae supersunt; recensuerunt Georgius Goetz et Fridericus Schoell; accedunt grammaticorum Varronis librorum fragmenta//, Leibzig: B.G. Teubner.
* Varro, Marcus Terentius, //Libri novem disciplinarum// (lost treatise).
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 88.
* Lindberg, David C. (1980), //Science in the Middle Ages//, University of Chicago Press, p. 469.
* Simon, Manfred (1963), //Das Verhältnis spätlateinischer Enzyklopädien der Artes liberales zu Varros Disciplinarum libri novem//, Jena.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Nizzoli, Mario (1553), //De veris principiis et vera ratione philosophandi contra pseudo-philosophos//, Parme.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 98.
* Ong, Walter J. (1957), "Mario Nizolio. De Veris Principiis et Vera Ratione Philosophandi contra Pseudophilosophos Libri IV", //Renaissance News// 10(3), pp. 154–156.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Capella, Martianus (5th century), //Satyricon, De nuptiis Philologiae et Mercurii, et de septem artibus liberalibus libri singulares//, ed. Grotius, 1599.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Bovey, Muriel (2003), //Disciplinae Cyclicae - L’organisation du savoir dans l’oeuvre de Martianus Capella//, Edizioni Università di Trieste‑EUT.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 89.
* Guillaumin, Jean-Baptiste (2007), "L’encyclopédisme de Martianus Capella: héritage d’une forme traditionnelle ou nouveauté radicale?", //Schedae prepublication// 4(1), pp. 45–68.
* Moretti, Gabriella (1984), "Marziano Capella e il sistema delle arti liberali dal Tardoantico al Medioevo", //Antropologia medievale// 1984, Genova, pp. 163–177.
* Stahl, William Harris & Johnson, Richard (1992), //Martianus Capella and the Seven Liberal Arts: The Marriage of Philology and Mercury//, New York: Columbia University Press.
"The Elementary Pure Sciences, or Elementary Mathematics, are Geometry, Theoretical Arithmetic and Algebra. The Ideas on which the Pure Sciences depend, are those of Space and Number; but Number is a modification of the conception of Repetition, which belongs to the Idea of Time", Whewell (1840), //Philosophy of Inductive Sciences//, vol. 2, p. 446.
"Mathematics deal with things which are immovable, but probably not separable, but embodied in matter; while the first science deals with things which are both separable and immovable." //Metaphysics// 1026a15.
"Mathematics studies quantitative forms which are related to a kind of (intelligible matter) that does not involve change, even though these mathematical objects are not independant of sensible substances", Cleary (1994), p. 38.
"To express most of the facts and laws of Physics, Chemistry and Life, terms of Quantity are required, as when we speak of the magnitude of a body, or say, that the force of attraction between two bodies diminishes, in a certain proportion, as their distance increases. Hence arises the necessity of having a set of fixed measures or standards, with which to compare all other quantities. [...] The rules for applying these standards to all possible cases, and for comparing all kinds of quantities with each other, constitute a body of science, called the //Science of Quantity//, the //Mathematics//.", Arnott (1828), p. xi.
"The science of Mathematics, which treats of quantity, without referring to any particular kind of quantity, as length, weight, heat, etc, is called an Abstract Science." Bain (1870), pp. 24–25.
"Mathematics is the abstract science of Quantity, and the laws of Quantity, in every possible combination." Bain (1870), p. 26.
"Quantity-regarding; viz. Mathematics", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Mathematics deals with that which is not in motion and not abstract (for this ponders forms of bodies without matter, and thus without motion; but these forms, since they are in matter, cannot [actually] be separated from bodies)", Boethius, // De Trinitate// II.55 (168.68).
"Under the general term //Mathematics//, are comprised the sciences of //Geometry//, which is conversant about the laws of figure, or limitations of space; and //Arithmetic//, which concerns the laws of number." Coleridge (1818), p. 36.
Cf. Evans & Berggren (2006), pp. 43, 243-255.
"Mathematics is also a universal science, since it contains seven arts under it: arithmetic, geometry, music, astrology, the science of aspects, the science of weights, and the science of devices (//ingenia//)." Gundisalvo, //De divisione philosophiae//, trans. Grant (1974), p. 66.
"Consequences from quantity, and motion determined a) By figure, b) By number", //Leviathan// (1651), chap. 9, p. 52.
"Among the sciences which deal especially (if not entirely) with discrete quantity, the best known are probably Arithmetic and Algebra." Pearson (1892), chap. 10, p. 455.
"The science of Quantity." Ramsay (1847), p. 2.
"The other sub-division has for its subject-matter, the relations between terms which are specified quantitatively but not qualitatively. The amounts of the related terms, irrespective of their natures, are here dealt with; and Mathematics is a statement of the laws of quantity considered apart from reality." (Spencer 1864, p. 12)
"Mathematics concern themselves with quantity and number. One part is called arithmetic, another geometry, and these are the earliest and simplest mathematics. Geometry raised aloft to the heavens becomes astronomy, or if applied to visible things is called Optics or Perspective. Arithmetic applied to sounds, gave Music." Vives (1531), p. 201.
J. G. Sulzer (1758), p. 74.
"La mathématique concrète a un caractère philosophique essentiellement expérimental, physique, phénoménal ; tandis que celui de la mathématique abstraite est purement logique, rationnel." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 138.
"Science des rapports spéciaux des grandeurs", Bourdeau (1882), vol. I, p. 270.
"La mathématique concrète a un caractère philosophique essentiellement expérimental, physique, phénoménal ; tandis que celui de la mathématique abstraite est purement logique, rationnel." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 138.
"L'étude des mathématiques proprement dites, n'a jamais été séparée de celle des sciences physico-mathématiques, qui se lient immédiatement aux sciences physiques. Quiconque a la moindre idée juste des mathématiques, sait assez l'impossibilité absolue d'éloigner l'arthmologie et la géométrie de la mécanique et de l'uranologie." Ampère (1834), Tome 1, p. 196.
"Les idées abstraites susceptibles de rapports et par conséquent offrant par les combinaisons diverses dont ces rapports sont susceptibles un objet de méditation et de recherches, on peut conserver encore le nom de //Mathématiques//" Condorcet (1793), p. 768.
"La Mathématique contemple et & considère les quantités des choses", Christofle de Savigny (1587), plate B.
"Les sciences mathématiques [...] étudient des formes et aspects définis des processus et objets réels, de leurs rapports et leurs lois réels (essentiellement mécaniques et physiques), reflétant ces formes et aspects, connexions et rapports sous une forme abstraite lorsqu'il s'agit uniquement de notions abstraites (grandeurs, nombre, ensemble, fonction, etc.). Le caractère abstrait de l'objet des mathématiques, l'importance extrême de la méthode logique d'étude, d'élaboration et de démonstration de la véracité des résultats obtenus, rapprochent partiellement les mathématiques de la logique en tant que partie de la philosophie." (Kedrov 1965, Tome 2, p. 491).
"Les mathématiques sont les sciences des rapports conditionnellement nécessaires de quotité et de quantité dans les nombres, les figures et les mouvements", Naville (1901), p. 48.
"Les mathéamatiques peuvent se subdiviser en trois branches de second rang, selon qu’elles sont tout-à-fait //pures// ou //abstraites//, telles que l’//arithmétique// et l’//algèbre//; qu’elles sont appliquées à l’étude des dimensions, telle que la //géométrie//; et qu’elles sont appliquées à l’étude des forces, telle que la //mécanique//." Omalius d'Halloy (1834), p. 4.
"Une autre propriété plus générale des corps, et que supposent toutes les autres, savoir, la Quantité, a formé l'objet des Mathématiques. On appelle quantité ou grandeur tout ce qui peut être augmenté et diminué. La quantité, objet des Mathématiques, pouvoit être considérées ou seule et indépendamment des individus réels, et des individus abstraits dont on en tenait la connaissance, ou dans ses individus réels et abstraits, ou dans leurs effets recherchés d'après des causes réelles ou supposées, et cette seconde vue de la réflexion a distribué les Mathématiques, en pures, mixtes, physico-mathématiques." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"C'est le groupe des vérités mathématiques, vérités essentiellement //abstraites//, //absolues//, //nécessaires//. Ces vérités, et tel est leur caractère le plus général, sont indépendantes de //tout//, hormis de l'entendement qui les conçoit." Saint-Hilaire (1854), vol. 1, pp. 239–240.
"Les mathématiques mixtes ont pour objet les propriétés de la grandeur concrète en tant qu'elle est mesurable ou calculable. La grandeur concrète, c'est-à-dire la grandeur envisagée dans certains corps ou sujets particuliers." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Les mathématiques pures considèrent les propriétés de la grandeur d'une manière abstraite. Or la grandeur sous ce point de vue est ou calculable ou mesurable. Dans le premier cas elle est représentée par des nombres, et les mathématiques pures se nomment: //Arithmétique//, dans le second cas par l'étendue, et s'appellent //Géométrie//." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
Total number of maps: <$count filter="[has[list_disciplines]]"/>
<br>
Number of maps between 1700 and 1800: <$count filter="[greaterthan:map_date[1700]lessthan:map_date[1800]]"/>
<$calc><$count filter="
[has[list_disciplines]regexp:list_disciplines[Dioptric]]"/> / <$count filter="[has[list_disciplines]]"/> * 100 </$calc>% of the maps contains 'Optics'
"Nature often operates contrary to human interest; for she always follows the same course without deviation, whereas human interest is always changing. When, therefore, we have to do something contrary to nature, the difficulty of it causes us perplexity and art has to be called to our aid. The kind of art which helps us in such perplexities we call Mechanical Skill", //Mechanics// 847a14.
"Il semble d'abord que quand on a dit que la mécanique est la réunion de toutes les vérités relatives aux mouvements ou aux forces considérés en général, on a suffisamment distingué cette science de toutes les autres. Mais on pourrait objecter que, dans la géométrie, et surtout dans la théorie des lignes et des surfaces, on définit ces lignes et ces surfaces en déterminant le déplacement du point ou de la ligne qui les décrit, et que ce déplacement est déjà un mouvement. La réponse que je ferai à cette objection, c'est qu'il n'y a réellement //mouvement// que quand l'idée du temps pendant lequel a lieu le déplacement étant jointe à celle du déplacement lui-même, il en résulte la notion de la vitesse plus ou moins grande avec laquelle il s'opère, qui fait le caractère propre de la mécanique, et la distingue à cet égard de la géométrie." Ampère (1834), Tome 1, p. 69.
"La géométrie et la mécanique doivent, au contraire, être envisagées comme de véritables sciences naturelles, fondées ainsi que toutes les autres, sur l'observation, quoique, par l'extrême simplicité de leurs phénomènes, elles comportent un degré infiniment plus parfait de systématisation, qui a pu quelquefois faire méconnaître le caractère expérimental de leurs premiers principes." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 113.
"Expression analytique des lois du mouvement" (Lancelin 1803, p. 133).
"C'est la science des lois de la transmission et de la transformation des forces", Naville (1901), p. 67.
"La mécanique a pour objet la quantité considérée dans les corps en tant que mobiles ou tendant à se mouvoir." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La //mécanique céleste// [fait connaître] la cause des inégalités planétaires, en nous procurant les moyens de les calculer." Ampère (1834), Tome 1, p. 59.
Cf. //Speculum doctrinale//, book XI.
"Of the Ideas on which the Mechanical Sciences (as Mechanics, Hydrostatics, Physical Astronomy) more peculiarly rest; the ideas of Force and Matter, or rather the idea of Cause, which is the basis of these", Whewell (1840), //History of the Inductive Sciences//, vol. 1, p. 80.
"Mechanics, or Mechanical Physics, is the science of Motion, as regards bodies in //mass//, and of Force, which is the momentum of moving masses. There is an abstract or theoretical department (Kinematics), comprising all the laws of the Equilibrium, and of the Movements, of matter in mass, without reference to any special class of things. The //Concrete// applications of these laws embraces Astronomy, or the Celestial Motions, the kindred subject of Falling Bodies on the Earth, Statics, Hydrostatics, Dynamics, Hydrodynamics, Acoustics." Bain (1870), p. 26.
"//Mechanics// from a Greek word, which signifies a //machine//, an //engine//, a //contrivance//. In the limited sense of the word; viz. in the sense in which it is employed for the designation of the
several distinguishable classes of configurations, contrived principally for the purpose of gaining
force at the expense of //dispatch//, or //dispatch// at the expense of //force//." Bentham (1816), //Chrestomathia//, p. 22.
"In regard to //Magnetism// and //Electricity//, in so far
as the motions, which have place on the occasions on which those words are employed, are seen to extend to //measurable// distances, they seem to be considered as belonging to the head of //Mechanics//." Bentham (1816), //Chrestomathia//, p. 24.
"MECHANICS, including the structure and contrivance of MACHINES; as Ballance, Steelyard, Pully, Polyspast, &c. Wheel, Clock, Watch, Pendulum, Spring, Fusee, &c. Clepsydra, Coach, Rota Aristotelica, Perpetual Motion, &c. Mill, Press, Vice, Lath, Loom, Windlass, &c. Operations of Swimming, Diving, Flying, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Consequences from motion of special kinds, and figures of body", //Leviathan// (1651), chap. 9, p. 52.
J. G. Sulzer (1758), p. 55.
"Measuring all Lengthes." John Dee (1570), //The Mathematicall Preface//.
"La médecine et la chirurgie ont pour objet la conservation des êtres vivants" Condorcet (1793), p. 771.
"Cherche à fixer ou à rétablir dans le corps humain ce précieux état qu'on nomme santé." (Lancelin 1803, p. 133).
"La médecine est l'art d'appliquer des remèdes dont l'effet conserve la vie saine et redonne la santé aux malades." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Medicine is the science both of producing health and of dieting", //Topics// 110b18.
"The //Subject of Medicine// (namely //mans Body//) is, of all other things which nature hath brought forth, most capable of Remedy." Bacon (1623), Book IV, p. 119).
"MEDICINE, including the consideration of LIFE and HEALTH: conditions thereof; Longaevity, Strength, Temperament, &c. Means; as Food, Drink, Exercise, &c. Opposites; as Death, Disease, &c. Kinds hereof; Chronic, Epidemic, Contagious, &c. as Plague, Fever, Gout, Apoplexy, Epilepsy, Palsy. Pox, Polypus, Palpitation. Mania, Hydrophobia, Spasm, Hypochondriac. Phthisis, Scorbutus, Dropsy, Tympanites. Lepra, Itch, Plica, Ophthalmia, Gutta, Cataract, and the like. Wound, Ulcer, Cancer, Fracture, Fissure, Caries, and the like. Symptoms; Sign, Diagnostic, Pulse, Urine, &c. Prescription, Crisis, Cure, &c. Regimen, Diet, Medicine, &c. Kinds hereof; Specific, Purgative, Emetic, Diaphoretic, Diuretic, Alterative. Styptic, Astringent, Emollient, Opiate. Absorbent, Caustic, Anodyne, Sympathetic. Cardiac, Cephalic, Febrifuge. Antimonial, Chalybeat, Mercurial, and the like. Operations; as Evacuation, Phlebotomy, Suture, Lithotomy, Amputation, Inoculation. Salivation, Couching, Cupping, Trepanning. Touching, Paracentesis, Stroaking, Transfusion, Castration, Circumcision, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Medicina, e sottoposta é depende da tutte le otto parte de philosophia naturale per le cause é, segni de lé infirmita, per la cognitione de tutti li instrumenti medicinali, e parte sotto lá morale per lá prudentia é, honestá requisita del medico, e, parte sotto la Strologia per lé observatione necessarte di tempi accomodati a, la curatione di corpi." Collenuccio (1535), p. 17.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Dewey, Melvil (1876), //A Classification and Subject Index, for Cataloguing and Arranging the Books and Pamphlets of a Library//, Amherst: Lockwood & Brainard Company.
* Dewey, Melvil (1885), //Descriptive circular and sample pages of the decimal classification and relativ index//, Boston: Press of Library Bureau.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Frohmann, Bernd (1994), "The social construction of knowledge organization: The case of Melvil Dewey", //Advances in Knowledge Organization// 4, pp. 109–117.
* Graziano, Eugene E. (1959), "Hegel’s philosophy as basis for the Dewey classification schedule", //Libri// 9(1-4), pp. 45–52.
* Wiegand, Wayne A. (1998), "The “Amherst Method”: The Origins of the Dewey Decimal Classification Scheme", //Libraries & Culture// 33(2), pp. 175–194.
"//Memorials or Preparations to History//, are of two sorts, whereof one may be termed //Commentaries//; the other //Registers//. //Commentaries// set down a naked Continuance and Connexion of Actions and Events, without the Causes and Pretexts of Business; the beginnings and Motives thereof; also the Counsels and Speeches, and other preparations of Actions." Bacon (1623), Book II, p. 58.
"Which demonstrateth, how, above Natures Vertue, and power simple: Vertue and force, may be multiplied: and so to directe, to lift, to pull to, and to put or cast fro, any multiplied, or simple determined Vertue, Waight, or Force: naturally, not, so, directible, or moveable." John Dee (1570), //The Mathematicall Preface//.
"Mensuration is geometry in which the abstract study of figure and magnitude is supplemented by arithmetic-algebra, applying conventionally determined units of measurement." Hooper (1906), p. 169.
"The Sciences which treat of Mind or Spirit.", Ramsay (1847), p. 1.
"Qui traite des métaux en grand" (Lancelin 1803, p. 133).
"La metallurgie est l'art de traiter les métaux." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
Also called "First philosophy" (//Proté philosophía//), or "theology" //Metaphysics// 1026a19.
"The essence of each thing is one in no merely accidental way, and similarly is from its very nature something that is:—all this being so, there must be exactly as many species of being as of unity. And to investigate the essence of these is the work of a science which is generically one—I mean, for instance, the discussion of the same and the similar and the other concepts of this sort; and nearly all contraries are referred to this source; but let us take them as having been investigated in the ‘Selection of Contraries’.—And there are as many parts of philosophy as there are kinds of substance, so that there must necessarily be among them a first philosophy and one which follows this. For being falls immediately into genera; and therefore the sciences too will correspond to these genera." //Metaphysics// 1003b31.
"Now it may rightly be demanded, what after all this is remaining to //Metaphysick//! Certainly beyond nature, nothing; but of nature itself the most excellent part. And indeed without prejudice to Truth, we may thus far concurr with the opinion and conceit of Antiquity; that //Physick// only handleth that with is inherent in matter, and is moveable; //Metaphysick// things more abstracted and fixt. [...] //Physick// is that which enquires of the efficient cause; and of the Matter; //Metaphysick//, that which enquires of the Form and end." Bacon (1623), Book III, p. 91.
"Regarding properties or adjuncts common to all Beings, viz. Metaphysics or Ontology in the common acceptation of the word", Bentham (1816), Appendix IV, Section VIII, p. 178.
"METAPHYSICS, or the doctrine of ENS; Essence, Existence, Power, Act, Understanding, &c. — The MIND, its Faculties; Apprehension, Judgment, Imagination, Reason, Wit, &c. Its Operations; Retention, Reflection, Association, Abstraction, &c. Its Perceptions; as Substance, Accident, Mode, &c. Relations; as Unity, Multitude, Infinity, Universal, &c. Quantity, Quality, Whole, Part, &c. Genus, Species, Difference, &c. Proper, Opposite, Circumstance, External, &c. Effects hereof; Knowledge, Science, Art, Experience, &c. Conditions; Probability, Certainty, Fallacy, &c. Systems hereof; Nominals, Scotists, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The universal principles and conditions of Experience, having for its object the Reality of our speculative knowledge in general." Coleridge (1818), p.44.
"La Metaphysica, e, scientia acquisita per la quale se pervie ne in notitia de lá prima causa e, dé lé cose divine é, separate per progressi é, ragione naturale, e, questa realmente deffende tutti li principy dé lé altre scientie." Collenuccio (1535), p. 15.
Cf. //Speculum doctrinale//, book XVI.
"La métaphysique [a] pour but de résoudre les différentes questions qu'on peut se proposer sur la nature des substances, soit matérielles, soit spirituelles." Ampère (1834), Tome 2, p. 117.
A pour objet "le développement des facultés intellectuelles ou sentimentales de ces êtres, la nature des opérations de leur intelligence, la formation ou l'analyse de leurs idées, de leurs sentiments, la détermination de ces idées par des signes" Condorcet (1793), p. 768.
"Toute la philosophie est comme un arbre dont les racines sont la Métaphysique, le tronc est la Physique, et les branches qui sortent de ce tronc sont toutes les autres sciences, qui se réduisent à trois principales, à savoir la Médecine, la Mechanique, et la Morale. [...] Or comme ce n'est pas des racines, ni du tronc des arbres qu'on cueille les fruits, mais seulement des extrémités de leurs branches, ainsi la principale utilité de la philosophie dépend de celles de ses parties qu'on ne peut apprendre que les dernières." Descartes (1644), //Les principes de la philosophie//, préface.
"Offrant la description et l'histoire des météores" (Lancelin 1803, p. 133).
"La météorologie est la science des météores de l'histoire prise par les sens des vents, des pluies, des grêles, tonnerres, aurores boréales, etc. La réflexion a passé à la recherche de leurs origines, causes, formations, apparences, effets etc. et a produit la science qu'on appelle météorologie." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
Cf. //On the Heavens// (//Περὶ οὐρανοῦ//), and //Meteorology// (//Μετεωρολογικά//).
"From two Greek words, the first of which signifies //aloft// or //elevated//. No sooner does a substance break free from any of those bonds, by which, while remaining in a state of solidity or liquidity, it has been confined to a determinate part of the earth's surface, than it enters into the province of Meteorology.", Jeremy Bentham (1816), //Chrestomathia//, p. 31.
"METEOROLOGY, or the history of AIR and the ATMOSPHERE: including, 1°, That of its contents, Aether, Fire, Vapour, Exhalation, &c. 2°. Meteors formed therein; as Cloud, Rain, Shower, Drop, Snow, Hail, Dew, Damp, &c. Rainbow, Parhelion, Halo, Thunder, Water-spout, &c. Winds, Monsoon, Hurricane, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"De Celo, tratta del corpo naturale secondo el sito é, motto suo perpetuo e circulare che e, el celo é le sphere superiore." Collenuccio (1535), p. 13.
"Consequences from qualities of bodies transient, such as sometimes appear, sometimes vanish", //Leviathan// (1651), chap. 9, p. 52.
"The science of the earth's atmosphere, considered in its local conditions." Hooper (1906), p. 142.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Paludanus, Michael (1636), //Dialectica isagoge, sive instrvctio continens svmmatim præcipva logices capita//, Antverpiae: H. Verdussii.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
{{||map-image1}}
{{||image2-image3}}
<div style="position:relative; top:-0.8em; left:-4.1em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Chevreul, Michel-Eugène (1866), « Distribution des connaissances humaines du ressort de la philosophie naturelle, conforme à la manière dont l’esprit humain procède dans la recherche de l’inconnu », in //Mémoires de l’Académie des sciences de l’Institut de France//, Paris: Didot, tome 35, pp. 519–584.
* Chevreul, Michel-Eugène (1866), //Histoire des connaissances chimiques//, Paris: L. Guerin.
* Chevreul, Michel-Eugène (1864), //De l’abstraction considérée relativement aux beaux-arts et à la littérature : quatrième partie d’un ouvrage intitulé « De l’abstraction considérée comme élément des connaissances humaines dans la recherche de la vérité absolue »//, Dijon: J.-E. Rabutot.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, tome 2, pp. 26–35.
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
"L'arte militare, similmente da philosophia non e, escusa, questa e, nobilisma & antiquissima de tutte l'arte, & é, non manco necessaria che difficile, perche e, quella che deffende é, genera la paee & e, la tutella deli occy, philosophici, e, questa non depende da una parte sola de philosophia, má de tutte le preditte scientie, e, da le arte narrate de prossimo, la prudentia, la magnimita lá fortezza, lá eloquentia che sonno parte principalissime di capitani, dal ethica è, dall rethorica se imprendeno, la displicentia de le cose humane è, terrene é, lo appetito de lá glorta, dá lá physica e, metaphysica, la perritia militare e, l'arte bellice da là poetica, e, dá lá historica e, da li libri composti dé lá disciplina militare, li tempi da principiare limpresse, da astrologia, la provisione del agricultura, la cognitione de li lochi dá cosmographia, el comporre el movere, lo ado perare li instrumenti e, machine da guerra, da geometrica, generalmente chi ua discorendo trovera." Collenuccio (1535), p. 18.
"MILITARY Arts, including the consideration of ARMIES, Fleets, Cavalry, Infantry, &c. consisting of Regiments, Troops, Companies, Phalanx, Legion, &c. Soldiers; Dragoon, Grenadier, Fusileer, Cuirassier, Archer, Janisary, Spahi, Velites, Argyraspides, Gend'armery, &c. Divided into Squadron, Battalion, Brigade, &c. commanded by General, Marshal, Bashaw, Admiral, &c. Lieutenant, Brigadier, Colonel, Captain, Serjeant. Major, Adjutant, Ensign, Quarter-master. Tribune, Centurion, Primipilus, &c. in Battle, Siege, March, Camp, &c. Ranged in Line, Column, &c. Motions; Attack, Retreat, Halt, &c. Evolutions; Wheeling, Counter-wheeling, &c. Signals; Word, Drum, Chamade, &c. Guards; Garrison, Piquet, Patrool, Round, Quarter, Place of Arms, &c. Standard, Banner, Eagle, Labarum, &c. Their Arms; Artillery, Carabine, Musquet, &c. Helmet, Buckler, Pelta, Cuirass, &c. Aries, Balista, Catapulta, Sling, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
{{||map}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Milanković, Milutin (1955), //Science and technology over the centuries// [//Nauka i tehnika tokom vekova//], Sarajevo: Narodna prosvjeta.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 2, p. 400.
"Applied to mineral bodies, it is capable of producing not only the effect of //composition//, as well as that of //decomposition//, but, in many instances, that of //recomposition//: i. e. by putting together bodies, such as they are in their natural state, it produces new ones; —bodies possessed of properties never before made manifest.", Jeremy Bentham (1816), //Chrestomathia//, p. 30.
"Les divers terrains, qui recouvrent le globe terrestre jusqu'à la profondeur où il est donné à l'homme de pénétrer, ne sont étudiés dans la géographie physique que sous le rapport des propriétés qu'ils offrent à l'observation immédiate: nous avons maintenant à examiner les matériaux dont ils sont composés. Ces matériaux ont reçus le nom de minéraux, et la science qui en traite celui de //minéralogie//." Ampère (1834), Tome 1, p. 87.
"La minéralogie restreinte à l'histoire des //espèces chimiques// pures, ou à des ensembles cristallisés d'espèces chimiques isomorphes, n'a aucun caractère essentiel qui lui soit propre. Elle est la résultante de connaissances qu'elle doit à la chimie, à la physique, à la partie concrète de la géologie, aux sciences mathématiques pures, surtout à la géométrie" (Chevreul 1866, p. 565).
"Dans la chimie, on considère toutes les combinaisons possibles des molécules, et dans toutes les circonstances imaginables; dans la minéralogie, on considère seulement celles de ces combinaisons qui se trouvent réalisées dans la constitution effective du globe terrestre, et sous l'influence des seules circonstances qui lui sont propres. Ce qui montre clairement la différence du point de vue minéralogique, quoique les deux sciences portent sur les mêmes objets, c'est que la plupart des faits envisagés dans la première n'ont qu'une existence artificielle." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 72.
"Offrant la description et l'histoire des minéraux" (Lancelin 1803, p. 133).
"De l'histoire des mines, prise par les sens, la réflexion a passé à la recherche de leur formation, travail, etc. et a donné lieu à la science qu'on nomme Minéralogie. La minéralogie prise dans toute son étendue, s'occupe de la connaissance des substances du règne minéral, c'est-à-dire des pierres, des sels, des substances inflammables, des pétrifications; en un mot des corps inanimés et non pourvus d'organes sensibles." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"From two Greek words ; one of which, derived from the Latin, signifies belonging to //mines// ; and a Greek word, which signifies //an account//, or //giving an account for//." Jeremy Bentham (1816), //Chrestomathia//, pp. 16–17.
"MINEROLOGY, or the history of EARTH; 1°. Its parts; as Mountain, Mine, Moss, Bog, Grotto; and their phaenomena, as Earthquake, Volcano, Conflagration, &c. Its Strata, as Clay, Bole, Sand, &c. 2°. Fossils or Minerals, as Metals, Gold, Silver, Mercury, &c. with operations relating to them; as Fusion, Refining, Purifying, Parting, Essaying, &c. Litharge, Lavatory, Pinea, &c. Salts, as Nitre, Natron, Gemma, Allum, Armoniac, Borax, &c. Sulphurs, as Arsenic, Amber, Ambergrease, Coal, Bitumen, Naphtha, Petrol, &c. Semi-metals, as Antimony, Cinnabar, Marcasite, Magnet, Bismuth, Calamine, Cobalt, &c. Stones, as Marble, Porphyry, Slate, Asbestos, &c. Gems, as Diamond, Ruby, Emerald, Opal, Turcoise, &c. Emery, Lapis, &c. whence Ultramarine, Azure, &c. Petrifactions, as Crystal, Spar, Stalactites, Trochites, Cornu Ammonis, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"De Mineralibus, scrive di corpi naturali misti perfetti non aminali, come sonno metalli, sali, alumi, colori è, simil cose." Collenuccio (1535), p. 13.
"The science of the substances which enter into the earth's solid crust." Hooper (1906), p. 151.
"//Mixt Mathematick//, hath for subject //Axioms//, and //portions of Physick//; and considers //Quantity//, as it is auxiliary to enlighten, demonstrate, and actuate them. For many parts of Nature can never be with sufficient subtilty comprehended, nor demonstrated with sufficient perspicuity, nor accomoded to use with sufficient dexterity and certainty, without the Aid and intervening of the Mathematicks. Of which sort are //Perspective//, //Musick//, //Astronomy//, //Cosmography//, //Architecture//, //Ingenarie//, and divers others." Bacon (1623), Book III, p. 110.
"Nothing can be demonstrated by the physicist without something also being demonstrated a priori. Therefore physics (true physics, I mean), which depends on geometry, is usually numbered among the mixed mathematics. [...] Therefore //pure// mathematics is that which (like geometry and arithmetic) deals with quantities in abstract, so that it does not require any knowledge of the subject. Whereas the //mixed// mathematics are those in which reasoning some property of the subject is also considered, as is the case with astronomy, music, physics, and the parts of physics that can vary on account of the variety of species and parts of the universe." Thomas Hobbes (1658), "De homine", in //Thomae Hobbes Malmesburiensis Opera Philosophica quae latine scripsit omnia//, William Molesworth (ed), vol. II, p. 93.
"Of these, then (be they studies or sciences), we call those mixed in which certain ideas of the mind, are applied to the general properties of bodies, solid, fluid, and aerial ; to the power of vision, and to the arrangement of the universe ; whence we obtain the sciences of Mechanics, Hydrostatics, Pneumatics, Optics, and Astronomy.", Coleridge (1818), p. 38.
"Theoretically free, but practically and in application subject to and controlled by authority" (Flint 1904, 140).
"Molecular Physics refers to the //molecular// movements and arrangements of material bodies. It comprises the Molecular Cohesions and Adhesions, as operative in the structure of Solids, Liquids, and Gases; Heat, Light; Electricity." Bain (1870), p. 26.
"L'art de se conduire, c'est-à-dire les règles de pratique qu'il faut observer pour conserver la liberté de ses pensées et de ses déterminations, perfectionner ses habitudes morales, résister aux impressions premières ou les corriger." Condorcet (1793), p. 770.
"Qui offre les rapports, les droits et les devoirs de tous les hommes" (Lancelin 1803, p. 133).
"Sciences régulatives de l'invention: Théorie des buts bons et de leur hiérarchie", Naville (1888), p. 38.
"Théorie des buts obligatoires et de leur hiérarchie", Naville (1901), p. 183.
"La Morale, science des moeurs, est la science qui nous prescrit une sage conduite et les moyens d'y conformer nos actions. La morale est ou générale ou particulière. Celle-ci se distribue en Jurisprudence naturelle, en Œconomique et en Politique. Mais la morale seroit incomplète si ces traités n'étaient précédés de celui de la moralité, du bien et du mal moral, de la nécessité de remplir ses devoirs, d'être bon et juste, vertueux etc. C'est l'objet de la morale générale." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts."
"The principles and conditions of the coincidence of the individual will with the universal reason, having for its object the Reality of our practical knowledge." Coleridge (1818), p. 44.
"Science des corrélations spéciales de structure", Bourdeau (1882), vol. II, p. 381.
"Science des matériaux plastiques", Bourdeau (1882), vol. II, p. 317.
"Science des corrélations d'ensemble", Bourdeau (1882), vol. II, p. 411.
"Science des modes de structure", Bourdeau (1882), vol. II, p. 347.
"The science of organic forms." Hooper (1906), p. 172.
"The fundamental ideas of Mechanics being thus acquired, and the requisite consequences of them stated in axioms, our reasonings proceed by the same rigorous line of demonstration, and under the same logical rules as the reasonings of Geometry; and we have a science of Statics which is, like Geometry, an exact deductive science." Whewell (1840), //Philosophy of Inductive Sciences//, vol. 2, p. 615.
Cf. Martianus Capella, //Satyricon//, Liber IX.
"La Musica, tratta la proprieta é, la intelligentia é, proporcione de la voce de suoni, é, de la consonantia e, harmonia che de quelle nascono." Collenuccio (1535), p. 11.
"Consequences from sounds", //Leviathan// (1651), chap. 9, p. 53.
"Which demonstrateth by reason, and teacheth by sense, perfectly to judge and order the diversitie of Soundes, hie or low." John Dee (1570), //The Mathematicall Preface//.
"Le sens de la vue a crée l'Optique ou Perspective, celui de l'ouye la Musique", Christofle de Savigny (1587), plate B.
"La musique est la science des sons en tant qu'ils sont capables d'affecter agréablement l'oreille." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"As for //Narrative Poesie//, or if you please //Heroical//, (so you understand it of the //Matter//, not of the //Verse//) it seem to be raised altogether from a noble foundation; which makes much for the Dignity of mans Nature. For seeing this sensible world, is in dignity inferiour to the soul of Man; //Poesie// seems to endow Humane Nature with that which //History// denies; and to give satisfaction to the Mind, with, at least, the shadow of things, where the substance cannot be had. For if the matter be throughly considered; a strong Argument may be drawn from //Poesie//, that a more stately greatness of things; a more perfect Order; and a more beautiful variety delights the soul of Man, than any way can be found in Nature." Bacon (1623), Book II, p. 67–68.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
"The labour of ages has at last nearly completed an arrangement of the constituent materials of the universe, under three great classes of Minerals, Vegetables and Animals; commonly called the //three kingdoms of Nature//, and of which the minute description is termed Natural History.", Arnott (1828), p. vii.
"The Partition of //Natural History// we shall raise out of the state and condition of //Nature// herself, which is found subject to a triple state, and under a three-fold regiment: For //Nature is either Free and displaying herself in her ordinary course//; as in the Heavens, living Creatures, Plants, and the Universal furniture of the world; or //put out of her usual course and deposed from her state, by the pravities and insolencies of contumacious Matter, and the violence of Impediments//, as in Monsters: or lastly, she is //Comprest and fashioned//, //and as it were new cast//, as in Artificial Operations. Let therefore the partition of //Natural History//, be made into the History of //Generations//; of //Præter-Generations//, and of //Arts//; whereof the last we use to call //History Mechanical//, or //Experimental//. The first of these handles the //Liberty of Nature//; the second the //Errors//; the third the //Bands// thereof. And we are the rather induced to assign the //History of Arts//, as a branch of //Natural History//, because an opinion hath long time gone currant, as if Art were some different thing from //Nature//, and //Artificial// from //Natural//." Bacon (1623), Book II, p. 51.
"//History of nature// is of three sorts; of //nature in course//, of //nature erring or varying//, and of //nature altered// or wrought; that is, //history of creatures//, //history of marvels//, and //history of arts//. The first of these, no doubt, is extant, and that in good perfection; the two later are handled so weakly and unprofitably, as I am moved to note them as deficient." Bacon (1605), p. 69.
"Nature's-work-regarding; viz. Natural History", Bentham (1816), Appendix IV, Section VIII, p. 178.
"From Zoology, or the laws of animal life, to Botany, or those of vegetable life, the transition is easy and natural. In this pursuit, how striking is the necessity of a clear idea, as initiative of all Method! How obvious the importance of attention to the conduct of the mind in the exercise of Method itself! The lowest attempt at botanical arrangement consists in an artificial classification of plants, for the preparatory purpose of a nomenclature; but even in this, some //antecedent// must have been contributed by the mind itself; some //purpose// must be in view; or some question at least must have been proposed to nature." Coleridge (1818), p. 13–14.
"The latter study is called Natural History (//historia Naturae//) and the man versed in it is termed a //Historicus//. Reflexion (//contemplatio//) must succeed to actual sense experience." Vives (1531), p. 42.
"We may proceed to the second Part, namely that of //Nature//, or //Natural Philosophy//. Democritus said excellently, that the knowledge concerning Nature, lies hid in certain deep Mines and Caves. And it is somewhat to the purpose, that the Alchimists do so much inculcate, that Vulcan is a second Nature, and perfects that compendiously which Nature used to effect by ambages and length of time: why then may we not divide Philosophy into two parts; the //Mine//, and //the Fornace//; and make two professions, or occupations of Natural Philosophers; Pyoners or workers in the Mine; and Smiths, or Refiners? [...] The //knowledge of Nature//, be divided into the //Inquisition of Causes//; and the //Production of Effects//; Speculative, and Operative." Bacon (1623), Book III, p. 89.
"Man's-work-regarding; viz Natural philosophy", Bentham (1816), Appendix IV, Section VIII, p. 178.
"Consequences from accidents of bodies natural; which is calledNatural Philosophy", //Leviathan// (1651), chap. 9, p. 52.
"Natural science is universal because eight sciences are contained under it. These are the science of Medicine, the science of judgments, the science of nigromance according to physics, the science of images, the science of agriculture, the science of navigation the science of mirrors, the science of Alchemy, which is the science of the conservation of things into other species ; and these eight are the species of natural science." Gundisalvo, //De divisione philosophiae//, trans. Grant (1974), p.63.
"Natural science deals with things which are inseparable from matter but not immovable", //Metaphysics// 1026a13.
"Formed solely by the free activity of the human mind" (Flint 1904, 139).
"//Natural Theology//, is truly called //Divine Philosophy//. And this is defined to be a Knowledge, or rather a spark and rudiment of that Knowledge concerning God; such as may be had by the light of Nature; and the Coutemplation of the Creature: which Knowledge may be truly termed //Divine// in respect of the Object; and //Natural// in respect of the Light." Bacon (1623), Book III, p. 87.
"The theoretical is divided into theology, physics, and mathematics", Hugh of St.-Victor (1130), //Didascalicon// III.1, p. 83.
J. G. Sulzer (1758), p. 24.
"Navigation [is] aided by Mathematics, Mechanics, Astronomy, Optics, and Meteorology". Bain (1870), p. 29.
"NAVIGATION, or the consideration of SAILING; in Ship, Frigate, Bark, &c. Parts thereof; Mast, Anchor, Sails, Yards, Cordage, Capstan, Rudder, Deck, &c. Their Course, Rhumb, &c. shewn by Compass, Needle, Variation, &c. Directed by Steering, Current, &c. Distance, or Reckoning, by Log, Observation, Longitude, Latitude, &c. Taken by Fore-staff, Back-staff, Astrolabe, Nocturnal. Sinical Quadrant, &c. Wrought by Gunter, Chart, Mercator, Traverse, &c. The operations of Sounding, Weighing, Careening. Signals; Buoy, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Which demonstrateth, how, by the Shortest good way, by the aptest direction, and in the shortest time: a sufficient Shippe, betwene any two places (in passage navigable) assigned, may be conducted: and in all stormes and naturall disturbances chauncing, how to use the best possible meanes, to recover the place first assigned." John Dee (1570), //The Mathematicall Preface//.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Arnott, Neil (1828), //Elements of Physics//, Philadelphia: Lea & Blanchard, 1856.
* Arnott, Neil (1861), //A Survey of Human Progress//, London: Longman.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 173.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 177–178.
"Science des fonctions de rénovation", Bourdeau (1882), vol. II, p. 478.
\define now_comment() Comment_<<now "0DD.0MM.YYYY-0hh:0mm:0ss">>
<$wikify name="comment_date" text=<<now_comment>> >
@@.center
//To add data on a map or to leave a comment, <br>please fill in the fields below. Your participation is much appreciated!//
@@
@@.borderless.centertable
|Name:|Academic affiliation (optional):|
|<$edit-text class="longeditfield" tiddler="$:/temp/newcomment" field="name"/><$button class="tc-btn-invisible clickbutton"><$action-setfield $tiddler="$:/temp/newcomment" $field="name" $value=""/> <i class="fa fa-times-circle"></i></$button>|<$edit-text class="longeditfield" tiddler="$:/temp/newcomment" field="affiliation"/><$button class="tc-btn-invisible clickbutton"><$action-setfield $tiddler="$:/temp/newcomment" $field="affiliation" $value=""/> <i class="fa fa-times-circle"></i></$button>|
@@
<$list filter="[title[$:/temp/newcomment]has[name]]">
Informations / Comment:<br>
<$edit-text class="commentbox" tiddler="$:/temp/newcomment" field="text"/>
<$reveal type="nomatch" state="!!text" text="">
<!-- Buttons -->
@@.right
<$button><$action-deletefield $tiddler="$:/temp/newcomment" $field="name"/><$action-deletefield $tiddler="$:/temp/newcomment" $field="affiliation"/><$action-deletefield $tiddler="$:/temp/newcomment" $field="text"/><$action-sendmessage $message="tm-close-tiddler" $param="New comment"/><$action-sendmessage $message="tm-notify" $param="Cancelled-comment"/><i class="fa fa-times-circle"></i> Discard</$button>
<$button><$action-setfield $tiddler=<<comment_date>> date_comment=<<now "0DD.0MM.YYYY, 0hh:0mm:0ss">>/><$action-setfield $tiddler=<<comment_date>> processed="No"/><$action-setfield $tiddler=<<comment_date>> name={{$:/temp/newcomment!!name}}/><$action-setfield $tiddler=<<comment_date>> affiliation={{$:/temp/newcomment!!affiliation}}/><$action-setfield $tiddler=<<comment_date>> text={{$:/temp/newcomment!!text}}/><$action-deletefield $tiddler="$:/temp/newcomment" $field="name"/><$action-deletefield $tiddler="$:/temp/newcomment" $field="affiliation"/><$action-deletefield $tiddler="$:/temp/newcomment" $field="text"/><$action-sendmessage $message="tm-close-tiddler" $param="New comment"/><$action-sendmessage $message="tm-modal" $param=""/><i class="fa fa-check-circle"></i> Submit</$button>
@@
</$reveal>
</$list>
</$wikify>
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Condorcet (1772), "Division des sciences", ms 865 f. 217 r, edited in J.‑P. Schandeler et P. Crépel (2004), //Tableau historique des progrès de l’esprit humain: projets, esquisse, fragments et notes (1772-1794)//, Paris: Institut National d’Études démographiques, pp. 125–126.
* Condorcet (1792), "Rapport et projet de décret sur l’organisation générale de l’instruction publique", in //Oeuvres de Condorcet//, Tome VII, Paris: Didot, pp. 449–573.
* Condorcet (1793), "Sur le sens des mots //sciences// et //art//, sur les classifications des sciences et des arts", n.a.fr. 4586, f. 55 r‑62 v., edited in J.‑P. Schandeler et P. Crépel (2004), //Tableau historique des progrès de l’esprit humain: projets, esquisse, fragments et notes (1772-1794)//, Paris: Institut National d’Études démographiques, pp. 761–773
* Condorcet, Nicolas de (1793), //Tableau général de la science//, Paris: Didot, 1847.
* Condorcet, Nicolas de (1794), //Tableau historique des progrès de l’esprit humain//, Paris: Brissot-Thivars, 1823.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Baker, Keith Michael (1962), "An unpublished essay of Condorcet on technical methods of classification", //Annals of science// 18(2), pp. 99–123.
* Brian, Éric (1988), "La foi du géomètre: métier et vocation de savant pour Condorcet vers 1770", //Revue de synthèse// 109(1), pp. 39–68.
* Crépel, Pierre & Rieucau, Jean-Nicolas (2005), "Condorcet’s social mathematic, a few tables", //Social Choice and Welfare// 25(2-3), pp. 243–285.
* Rieucau, Nicolas (2006), "Condorcet et L’art de former des tableaux historiques", //Mathématiques et sciences humaines// 176, pp. 89–116.
* Whitrow, Magda (1983), "An eighteenth-century faceted classification system", //Journal of documentation// 39(2), pp. 88–94.
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
"La nomologie se divisera en deux sciences du second ordre. Je donnerai à la première, qui comprend la nomographie et la jurisprudence, le nom de //Nomologie proprement dite//, parce qu'elle consiste dans la connaissance plus ou moins approfondie des lois qui existent ou ont existé; et celui de //Législation// à la seconde, qui se compose de la législation comparée et de la théorie des lois, et qui ayant en général pour objet le choix des meilleures lois à établir, est proprement la science du législateur." Ampère (1834), Tome 2, p. 134–135.
"Voilà, à peu près, ce que j'entends par la nomologie. Son objet, c'est l'idée de loi. La nomologie ignore la diversité des termes entre lesquels il y a des rapports de dépendance, elle ne connaît ni le nombre, ni la forme, ni la matière, ni l'esprit ; elle traite seulement des rapports de dépendance en eux-mêmes", Naville (1901), p. 40.
"The science of intelligence, or of the association of ideas. When association is due to some actual sensation reviving the memory of similar sensation experienced in the past, or of dissimilar sensations which have in the past been connected with the similar ones, this is a case of percetpion or perceptual anticipation. When there is no actual sensation, but the memory of some past event, spontaneously appearing, as in dream, brings other memories in its train, this is a case of imagination of the simplest sort. Lastly, the association of ideas may be due to a sensation or sensuous memory derived from an acted movement or uttered sound which has acquired conventional significance for animals of a given species; although there is nothing in the movement or sound which is intrinsically related to the idea conveyed by its means. This is symbolic ideation, or ideation of the sort which reaches the maximum of rationality in human thought logically expressed." Hooper (1906), p. 184.
"Intellectual-faculty-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
"La nosologie tient, dans les sciences médicales, la même place que la zoologie dans les sciences naturelles." Ampère (1834), Tome 1, p. 171.
⚠ Only the maps marked as 'uploaded' are completed.
"Scienze Obbiettivo-Subbiettivate e Subbiettivo Obbiettivate" (Pamphillis 1829, p. 46). "Objective-subjective and Subjective-objective Sciences, those of the Me in relation to the Not-Me, and of the Not-Me in relation to the Me" (Flint 1904, p. 172).
Réduite à ses éléments les plus simples et les plus essentiels, la société, c'est la //famille//; il en faut théoriquement étudier la constitution; ainsi se formera l'//œcologie//. Charma (1859), p. 15.
"The science of the earth as appropriated and modified by inhabiting organisms; hence, of air and water as affected by organic action, of soil as formed from decaying vegetable matter, of caves and trees as utilised by animals for abodes, and, lastly, of burrows, nests, and all other objects due to animal constructiveness."Hooper (1906), p. 134.
"Science des procédés utiles à la bonne administration de la famille", Charma (1859), p. 15.
Cf. Book 7 of the //Republic//.
Flint (1904), p. 72: "They are five in number, and form a naturally and closely connected series,—Arithmetic, Plane Geometry, Solid Geometry, Astronomy, and Harmonics. Even the two latter deal not with physical things,—the visible luminaries of the sky, and the musical sounds of the voice and other instruments,—but with permanent truths, mathematical relations, which eye cannot see nor ear hear."
See also Barbera (1981), p. 401.
"Among the theoretical sciences [of discovery], I distinguish three classes, all resting upon observation, but being observational in very different senses. The first is mathematics, which does not undertake to ascertain any matter of fact whatever, but merely posits hypotheses, and traces out their consequences. [...] Class II is philosophy, which deals with positive truth, indeed, yet contents itself with observations such as come within the range of every man's normal experience, and for the most part in every waking hour of his life. [...] Class III is Bentham's idioscopic; that is, the special sciences, depending upon special observation, which travel or other exploration, or some assistance to the senses, either instrumental or given by training, together with unusual diligence, has put within the power of its students. This class manifestly divides itself into two subclasses, the physical and the psychical sciences; or, as I will call them, physiognosy and psychognosy. Under the former is to be included physics,chemistry, biology, astronomy, geognosy, and whatever may be like these sciences; under the latter, psychology, linguistics, ethnology, sociology, history, etc." Peirce (1902), "A detailed classification of the sciences", §§. 239–242.
"Consequences from accidents common to all bodies natural; whichare quantity, and motion", //Leviathan// (1651), chap. 9, p. 52.
Cf. Geminus' //Philokalia// (Frag. 1).
iVBORw0KGgoAAAANSUhEUgAAAhMAAAJtCAIAAAAD6WXCAAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzdd1wU1/ow8GeWLfTeFGygAhZioyNKUaLh2rCgImDvvcZu7CbqNZrYosaK0WBDCSKoWBCDoghEEUERQXrvsDvvH+fN/PYusOwCsrI83z/uhzlz5szZ9WaePXMaRdM0IIQQQhJjyboCCCGEWhmMHAghhKSDkQMhhJB0MHIghBCSDkYOhBBC0sHIgRBCSDoYORBCCEkHIwdCCCHpYORACCEkHYwcCCGEpIORAyGEkHQwciCEEJIORg6EEELSwciBEEJIOhg5EEIISQcjB0IIIelg5EAIISQdjBwIIYSkg5EDIYSQdDByoNbH2NiY+peSklK3bt1mzZr15s0bWdcLobaComla1nVASDrGxsZpaWkuLi4cDiczMzMxMbG0tFRRUfHatWvu7u6yrt0XceLEid69e1tbW8u6IggBYJsDtV5//PFHcHDwixcv0tLSfH19KyoqZsyYUV1dLet6Nb+8vLx58+b9/fffsq4IQv8fRg7U6mloaBw9etTQ0PDTp09RUVGyrk7zO3v2bFVVlaxrgdD/wciB5AGPx/vmm28AICUlhaR4eXnxeLzy8vI9e/aYm5vzeDx9fX1vb+/09HSRa5OSkiZPnqynp6eoqGhpaXngwAGBQMCcXb9+PUVRR44cEb7k/v37FEWNHTuWSfHy8lJUVKypqTl06BC5nbGx8eLFi0tKSoqKipYvX96pUycul9upU6f169fX1NQIl3bv3j0vLy8jIyMOh6Opqenq6nr37l1yKj8//9tvv12yZAkALFy4kHTtXLx4kZylafrUqVN2dnZqamqqqqoODg4XLlwQ+XRFRUXLli3r0qULh8Oh/ldJSQnJU1xcvGbNmi5duvB4vE6dOi1btiw/P58p4c2bNxRF/fDDD7GxsSNHjtTS0lJUVPzmm29Onz5NMlRVVeno6CgqKhYWFgrfWiAQtGvXjsPh5OTkNPDvh1obtqwrgFDzYLPZAKCoqMikVFVVDR069OPHj76+vurq6kFBQefPn3/27FlsbCyHwyF5/vnnH0dHx8LCQicnJ01NzcePHy9ZsuT58+dnzpyRtgKVlZXTp0+PjIycMmUKi8X6/ffff/75548fP6anp5eWls6ePVsgEJw4cWL79u1lZWX79u0jV5WVlY0bN66oqMjW1nbQoEFJSUl37959+PBhZGRkv379aJoePHhwVVXVvXv3RowYYWdnBwAkRgLA3Llzjx49qqOjM3ToUAC4f//+5MmTo6Ojf/rpJ5KBpulhw4ZFRESMGDFi4cKFiYmJJ06cqK6unjJlSteuXXk8HqnA4MGDo6OjLS0tBwwY8OrVq/3799++fTsyMlJNTY35dFeuXNm7d6+7u/umTZvS09OPHDni5+fHYrGmTJnC5XK9vLx+/fXXq1ev+vn5MZdERERkZGQMGzZMV1dX2i8Tfe1ohFobIyMjAMjOzmZSKioq9PT0ACApKYmkTJgwAQAMDQ2ZbAKBwMrKCgACAwOZC+3t7RUUFMLCwshhbm6umZkZAISEhJCUdevWAcDhw4eFK3Dv3j0A8PT0ZFLI7Tp27FhYWEhS0tPTyaPZ3Ny8tLSUJCYlJSkoKKiqqlZXVzPX3r17Nz09nTkkLYxZs2YxKaQOBw8eFK7DrVu3AKBv374FBQUkJS8vjwQV5uOEhoYCwLfffstc9cMPPwDA77//zqSsXbsWADZv3kwOa2pqvLy8AGDt2rUk5fXr1+RZsXXrVuaqmzdvAkD//v3J4dOnTwFg6NChwjUkH+T06dM0kjv4tgq1eqWlpXPnzs3OznZ2djYxMRE+5efnx/zgpSjKw8MDAF69ekVS4uPjIyIiPDw8XFxcSIq2tvbMmTMB4M8//2xETfz8/NTV1cnf7dq169OnDwDMnDlTWVmZJJqYmJibm5eUlDBv1QDA2dm5Xbt2zKG3tzcAJCQkiL8XeYG2bds2DQ0NkqKlpbV9+3YAOHToEEn5559/AGDgwIHMVQ4ODgDw+PFjckjT9PHjx7W1tdevX09SFBQUVqxYAbW+AQ6Hs3jxYuZw2LBhbDab+Satra3Nzc3v3r2bnZ3N5Lly5YqiouKoUaPEfxDUGuHbKtRaTZ48mc1m5+fnv3r1qrS01MTE5OTJkyJ5yLObQdolxcXF5PDhw4cA8PHjxzlz5jB5kpKSmP+VVq9evYQPSdAyNTWtncj0MQgrKyurqqpSUlICgIqKCvH3ioiIgP+NCgAwePBgiqLCw8PJob6+PgBkZmYyGcjf5BYAkJCQkJ2dbWBgMH/+fCZPeXk5ACQnJwuXbGpqKvzyisViaWtrZ2VlVVZWkqaVr6/v999/f/ny5Xnz5gFAVFTUx48fPT09mVCK5AlGDtRahYSEUBSlpqZmbm4+cuTIxYsX135IaWlpCR9SFAUA9L9zmNLS0gDgxYsXL168ELmwwQd3nZif/8K0tbVrJ9JC86j++uuvffv2RUZG1hlO6lRdXZ2bm6uioiL8NAcAkpKXl0ce6N99952hoeHJkyft7e3t7e3fv3+/ZcsWiqLIuzX49xvIzMw8evSoyC1qampqampI7xHU+iah1pc5ZcqUdevWXbx4kUSOgIAAACAvvpD8wciBWqvs7OwGu15ZLHHvY8lTb+fOnWvWrJHq1vXFlTpvR56w9Tl//ry3t7empuaSJUusrKzU1dXT0tLICysxRJ7awkgiyaCqqhocHDxhwgTmCa6trU0CiXBmd3f34OBg8XcU/00CgJGRkZub2507d1JTUzt06HDlyhU1NbXvvvtO/FWolcLIgdou0rvw4cMHMXnII1h4nC4AZGVlNVcdtm7dCgAXL15kZr+/fPmywavYbLaBgUFmZmZRUZFwS6u0tLS4uFhbW5vL5TKJ2dnZ8+fPHzNmjKamZq9evYRPSfINSM7X1zckJOTy5cvDhw9PTEycMmUK81oMyRnsIUdtF+kkCA4OFplgIYy8DhKZBdKM8w1Jd4KTkxOTUjtykFdGItPjBw0aBABMlwZBDh0dHZmU9evX8/n8/fv3u7i49OvXTzhsAICFhYWOjk5CQsLbt2+b/llGjx6trq5+69atoKAgwFdVcg0jB2q7LC0tBw4cmJKSsmzZMiZ40DR9//595me4paUlAPj7+xcVFZGUFy9enDp1qrnqQDqxmY6W9PR0MnBWmKGhIQgNCSMWLFgAABs2bGBm7RUWFpIhUsId/qmpqSwWq75FWVgsFumWmD59em5uLpOekJDAjL+SnJKS0vjx4yMjI2/fvq2jozNkyBBpS0CtBUYO1KadOnXKyMjo4MGDRkZGrq6uTk5OhoaGzs7Onz59Ihnc3NwsLCySk5MtLCzGjRvn7u5uY2Mzfvz45qoAGdQ0fPhwHx8fT09PCwsLBwcHkU71YcOGcTic06dPjxw5cuLEiZcuXQKAgQMHrl27NiYmpmvXrp6enmPHju3ateuLFy/mz58/bNgw5lp3d/f8/HxTU1MHBwdHR0dnZ2cfH587d+4wGdatWzd48OBHjx517NjRycnJxcWla9eu5ubmZM6KtHx9fcvKykJDQ8eOHctMt0TyByMHatNMTU2jo6OXLFmiqqr68OHD6Ojo9u3br1+/vnfv3iQDm82+c+fOxIkTq6qqbty4kZaW9vPPPx8/fry53uCvXLnyhx9+0NLSunTp0suXL1euXPn777+LrInbqVOnCxcumJubBwcHh4eHM0/k7du3X7x40czM7Pbt28HBwV27dj19+jQzmQMASktL1dTU2Gx2RkZGRETE48eP79+/f/bs2aFDhzJrh/B4vNu3b+/bt8/MzOzZs2ekqTFr1qzGRUdHR8cuXboIBAJ8VSXfcJV1hORTZWWlra1tamrqxYsXnZycuFwuTdPFxcW3bt2aNGmSvb19I95HSXJTAwMDFRUV8pas2ctHXwn8p21NZsyYQVFUg0M2m93YsWO1tLRCQkJa+L6oKR4+fPjy5UtfX183NzfSMU5RlLq6+vjx43k8nvBk72Z048aNwsLCyZMnY9iQb/ivK6mbN28yi4yKH/xuY2NDsg0YMKDFqvfl0DR97dq1goICslASai3IwuxMhw3j8OHDlZWVZAmv5lVWVrZ161YWizV79uxmLxx9VXA+R2NcvHjx22+/rfNUUlJS03fgeffuXbdu3S5fviy8jresUBS1ePHisLCwyZMny7ouSArOzs5mZmaXLl1KS0tzcnJSUFDIycl5+PBhfHy8kZERWeGquXh7e9M0/eDBg0+fPq1YsUJkwRUkfzBySMfU1DQpKenq1atHjhwRXtCbQfZOMDMza3DFOjH8/f0bX8UvYO/evbKuApKakpLSo0ePdu7cefPmzX379vH5fA0NDQsLi507d86ZM0dTU7MZ7xUREZGamqqnp7d9+/bVq1c3Y8no64SRQzqWlpZVVVWpqalBQUFjxoypnYE89EePHr1r167G3aKsrOxrixyoldLV1d27d28LBH6R5RGR3MN+DukUFRWR4YZ1PtxjY2Pj4+NtbGwMDAzqvJy88+nUqROPx1NRUbG0tNy0aVNZWRmT4dChQ126dCE7IowbN470l7i5uQkXoqCgUFpaunbtWlNTU7LV3ejRo2uv2QcANE2fPn3awcGB7Blna2t79OhRPp8vku369evDhg3r3Lkzj8fT0tIaOHDg/v37hRfY6Nq1K0VRv/32m1RXIYTkliw2BWmVAgMDAcDGxiY6OhoAlJSUiouLRfJ8//33AHDgwAHyEpnZ94Y4ePAg+c4VFBT09PSYdSDs7OxqampInhkzZri7u5MB+3369HF3d3d3d1+zZg05O336dACYOnVq37594d+FUUkhioqKUVFRwrerqalhhuQrKyszt/vuu++EtxXas2cPSedwOPr6+sxcAX9/fyYPeW19/Phxqa5CCMkrjBySIpGjT58+NE2TbePOnj0rkqdLly4KCgoZGRlbtmypHTkKCgrGjRt37do1skMcn88/duwYeeBevnxZOKeOjk7tRPrfyKGgoGBsbBwWFiYQCGiajoiIIKtTDBs2TDjz7t27AaBTp0737t0TCATV1dV//vmnqqoqAOzYsYPkKSkpITPa9uzZU1VVRdN0RUVFUFDQzJkzySEhEjkkvAohJK8wckiKRI5evXrRNL1582YAGD58uHCGJ0+eAICbmxtN05s2baodOepEVkhdtGiRcKL4yAEA9+7dE07/+eefScOCz+eTlPLycrKCRXh4uHBOUnMDAwMSdeLj4wGAoqjKykoxlRSJHBJehRCSV9hDLh2apgFg4sSJmzdvvnPnTm5uLnnKw789H5MmTZKqQAsLi9u3b+fk5Eh+SYcOHQYPHiycQharKCsry8/PJ/W5d+9eXl6eoaGh8CKsAODi4rJ58+bMzMy3b9+amZm1a9eOxWIJBILLly9LPui2cVdJJSMj4/379+fOnXv//v3Lly9VVFS+xF2QrCxatGjhwoWyrgVqPIwcjdG9e/d+/fpFR0f/+eefZNKTQCC4dOmSoqJinQOuhL18+fLvv//OyMgoLy+naZrsCVq711qMnj17iqQw0YvZcejZs2cAkJ+f37VrV+GczJqpGRkZZmZmWlpaixcv3r9//5QpU0JDQ1evXm1ubt5gBRp3lYTS0tJGjx4dHx+voKBgaGg4evRoHx8f+ZhTiRh17pOIWhGMHI00ceLE6Ojoixcvkshx7969jIyMMWPG1LmfKPH69WsfHx/yTG8KMSPx6X9XISNjnCorK+vbT5sJIXv27NHQ0NixY8fvv/9++vTp4cOHr1u3zs7OTnwdGneVeLGxsffu3Ttw4ICzs/O1a9fat2/flNIQQl8OjsptpAkTJlAU9eDBA7LnT4OvqoqKitzc3J49e0am9WZkZJDxVGSRbakoKCg0mIeEECcnp/peUzIjfdls9qZNm96/f79ixQqyLY+DgwMZJCZG464SY9GiRevXr9+2bVtAQMBvv/2GYQOhrxlGjkbq0KGDo6OjQCD4448/qqqqrly5oq6uLmbX5YsXL6anp/N4vPv3748bN87AwIAEgPq23GkiskF3WlqahPnbt2//448/pqSkLFiwgKbpXbt2nTt37gtdVZujo+P79+9dXV2zsrL69OnTiBIQQi0JI0fjTZw4EQD8/f2Dg4Pz8/NHjx5d53okxD///AMAFhYWZAQt4/3797Uzk72v6SYsgN+vXz8ASE5O/vz5s+RXaWhoHDx4kHR6k2VUvtxVjOTk5MLCwosXLy5atEjaaxFCMoGRo/HGjRvHZrOjoqJ27NgBDY2qIhPxysvLhRPJm/3amcmsC+HdPaXl6uqqra1N03QjVp4wMTEBADFbczfjVQKBwMzM7N69ezh6CqFWBCNH4+nq6pLegqdPnxoYGLi6uorJTBa1TkhIOH/+PEl5+fLlxIkT6+y0sLCwAIALFy5UVlYCAPlfqaioqJA5JXv37l29evXHjx9pmi4rK4uKitqwYcPSpUtJtitXrnz//fcvX74UCAQk5fHjx2R+or29fX2FN+6qOvF4vOTkZPJuDSHUWmDkaBLywgoAxo8fL77jetSoUWSDUm9vb0NDQ01Nzb59+1ZXV588ebJ2ZjLj7+HDh7q6ukZGRiJ7i0po0aJF5P3Pnj17OnXqxGKxVFRUrK2tt23bxrzCysvL27VrV9++fVVVVTt16qSmpubo6JiZmdmrVy8mutTWuKtq43K5ERERHTp0aMSnQwjJEEaOJmH6NhqcAMjhcMLCwqZOnaqvr5+fn6+hobFgwYLIyMhhw4aRXg1hnp6ev/76a8+ePWtqasrKyhq9Cc+BAwdCQkJGjRpFOuRVVFR69+69fPnyH374gWQYOXLktm3b+vXrx2az09LSSGjZunXrkydPmBWxamvcVSLc3Nxu3LjxJfYXQgh9abgPOZIBBwcHAwODK1euyLoiCKHGwDYHammBgYEqKipNCRvGxsYURdW5ZEvnzp0pisrIyJCwqCNHjlAUtX79+kZXBqE2COeQoxZVUVExYsQIbOki1KphmwO1KBcXl8bNFkQIfT2wzYFaCJlkbmpq+oWW10UItRhsc6AW4ufnd+zYsbNnz7bYHT08PCiKCg4OFkkna45dv369vgtTUlKmT59ubGzM5XI7dOgwZ84csjqZsJCQEBcXF3V1dep/rVixgslz9+5dV1dXspXv4MGD//rrL+ESvLy8eDxeeXn5nj17zM3NycbA3t7ezL1++ukniqLWrFkjcuvDhw9TFCXVAGiEmtkX3v8DIZqm6cjISBsbm+YqzcjICACys7Nrn+rUqRMAfP78mabpS5cuAYCvr69whvLyclVVVU1NTbIt1eHDhwFg3bp1TIa4uDiyar2tre3EiRNtbGwAwNDQMDExkckTFBREUZS+vv6GDRt27dplaWkJABYWFkuXLr1//z7Jc/78eYqi1NXVPTw8hgwZoqioSFGU8I68EyZMAABHR8eOHTtu2LDhxx9/dHZ2BgAzMzOytWJ6erqCgkLnzp1FPiOZc/r06dOmfYsINR5GDtQSOnbsGBYW1lylSRg5KioqtLS01NXVKyoqmAzXrl0DgKlTp5LD2pGDTDE5c+YMk0Jmaw4cOJBJcXR0BIDIyEhyWFBQoKWlJfyIz8nJUVdXNzIy+vTpE0l58eIFh8NRUVHJy8sjKSRyGBoaMh9EIBCQuwcGBpKUYcOGAUBERIRwyWw228TERMrvDKHmhG+r0Be3ZcuWLl26uLi4NG+xenp6VC0pKSlMBh6PN2HChKKioqCgICYxICAAhCb/i4iOjo6KirKyspoyZQqTOHXq1AEDBjx8+PDVq1ck5Z9//uFyuczcfg0NjV69en348IF50eTv719UVLRixQoS5ACgT58+7u7upaWlIm/P/Pz8mMVXKIry8PAAAOZGvr6+8L/rSF6/fr2mpsbLy0u6LwuhZoU95OiL+/XXX2NjY5u9WHt7ew6HI5IYGRkpvMyXr6/vkSNH/P39R48eDQDV1dWBgYH6+vr1hTGyRaPIFrwA4Ozs/OzZs/DwcPJiSl9fPy8vjzQ1SIbMzEwAYBZLfvToEQCEhoa+efOGKSQ5ORkARPbaEllVXk9PDwCKi4vJ4ciRIzU1NS9durR//34WiwUNRT6EWgZGjjocPHhwz549YpZMR5LLzs42MTHR19dv9pKvX79ee6nEzp07Czc7bG1tzczMbt68WVJSoqqqGhYWVlBQMH/+/PoWGSNTCEVWwgeAdu3aAQDTpJgxY8aKFSumTZu2detWFRWVU6dOvX371t3dndkkleyMcuvWrdq3YHb8JZjYQ4gssK+oqDhhwoSjR4/ev3/fxcWlsLAwNDS0Z8+evXr1qv+LQeiLw8gh6qefflq5cqWPj8+GDRtkXZdWj6bpI0eOhIaGRkdHky1DWp6vr+/atWuvX78+efJkMnFdzA/2+nZGISnMCmPLli3Lzc3duXMn6TUBAHd39zNnzojkf/Lkia2trfjqkZaE+PofPXrU39/fxcXl1q1bVVVV2OBAMoeR43/s3Llz8+bNXl5ep0+flnVd5MTevXsTEhJGjhwp/N6mJU2ZMmX9+vV//PHHpEmTbt682bFjRzFLwZNuidrbYZEU0vIAAIqisrKy9PX1T5w4oa6ubmJiYmxsLJyf5Pzw4UODkaNBdnZ23bt3DwgIOHz48I0bNwAAOzmQzGEP+f+JiYnZvXs3h8MhgyNRc6murs7Pz9+yZYtM7m5sbOzi4hIaGhoZGfn582cymaO+zIMGDQKA8PBwkXSSQoZUAcDz589PnDixYsUKDw8PJycnkbABAAMHDgSAwMDAZvkIPj4++fn5jx49CgkJsbKyMjU1bZZiEWo0jBz/Z+LEiYWFhQAgZjtx1Ai9evVatGjRF9pxXRJ+fn5kwh001LdsYWHh6uoaHR196tQpJvHs2bNRUVHW1tZ9+/YlKampqSB2A0Rvb28NDY2LFy/6+/sziZWVlRcuXGhE/X18fFgs1oEDB/Lz8/FVFfoqyHRM8Ffk1KlT6urqANCpUydZ10U+6evr//XXX81SlITzORilpaVqamosFsvMzEwkf+35HMnJyaR8GxubSZMm2dnZAYCurm58fDyTJzMzU01NTUFBoV+/fg4ODo6OjsOGDduyZQszV4Om6evXr/N4PADo1q2bm5ubtbW1ioqKgoICk4HM57hz507t+qxevVqknq6uriwWi8VipaWlSfo1IfTFYJvj/9uzZ09RURFFUWQ/PtTsnj17Jqvfy8rKyp6engKBQJIegi5dukRFRc2ePfvTp0+XL1/++PHj9OnTnz9/3qNHDyZPYmJiz549+Xx+dHT048ePHz169Ndff23atMne3p4ZEzxixIi///6bTCi5d+9eYmLigAEDDh061LiPMGXKFIFAMHDgwPbt2zeuBISak6xD11fh5s2bGhoaAKCmpnb27FlZV0du7d69e8CAATK5tbu7OwC8efOm6UX5+/uTXxjMz/+qqqqkpCTSRxISEtL0W9S2c+dOADhy5MiXKBwhaWGbAwDgyJEjpIeDxWLhSPkvZ9WqVd26dVuyZEkL3/fz589hYWFWVlZmZmZNL420G/bt28f8/OdwOCYmJm5ubgCQnZ3d9FvUdu7cOUVFxXHjxn2JwhGSFkYOAICbN2+SP4qLi3v27Cnbysi3CxcuBAUFHTt2rCVvumHDhpqamrlz5zZLaWQ5QjLXj5GVlXXhwgWKogYMGNAsdxF29uzZ+Pj48ePHMzMNEZItnM8B586dU1VVLSkpAQADA4PaC1qg5vX27duOHTtaWlo2fa6DeNu2bUtMTHz16tXLly9tbW19fHyapdi5c+dGRUXZ29uPHTvW2Ni4qKjo/fv3t2/fLisrW7duXffu3ZvlLgAQEBAQGBiYnp4eGhqqqam5Y8eO5ioZoaaS9esy2SODZwhXV1dZV6et0NbWfvz4cVlZ2Ze7hY+PD5fLVVdX9/Pzy83NbcaSr1+/PmTIEB0dHQUFBSUlpW7dunl7e9+9e7cZb0HT9NGjR1VUVHg8nrOzc1xcXPMWjlBTUHTb3hE6Pz+/Xbt2ZDwMi8Vas2bN9u3bZV2ptsLExKRdu3Z79uxxcHCQdV0QQlJo6/0cN27cYF5PaWhokN0RUMtITk6uqqpasmTJ+fPnZV0XhJAU2no/x+XLl0kPBwDQNF17kVT0RUVFRd2/f3/evHna2tpkFyOE0Nevrb+t0tDQKCoqIn8rKysnJSVh8Gh5V69e9fT0pGl68uTJ586dk3V1EEINaNNvqxISEoQDZ2VlJYYNmRg9ejSfzw8ICHjz5o2qqupPP/1UVlYm60ohhOrVptschw8fXr58eXl5OTls164ds3UPkpW///57zJgxxcXFqqqqqqqqpqamsbGxuMuWJBYtWrRw4UJZ1wK1CW26nyMwMJAJGwBQe61s1PKsra0/ffqUmJj44cOHK1euaGhojBw50tXVVdb1agVwniBqMW26zaGurs5s+AwAkyZNwkE+CCHUoLbbz/H69WvhHX5YLJaFhYUM64MQQq1F240cd+/eraqqYg6VlZW7dOkiw/oghFBr0XYjx/379ysqKphDNptNNgVCCCEkXtuNHHfv3hU+5PP5HTp0kFVlEEKoFWmjkSM3N1dkxkB5ebmBgYGs6oMQQq1IG40cz58/J3tEMzgcDk4aQAghSbTRyPHs2bPS0lLhFC0tLVlVBiGEWpc2GjkePHhQU1MjnKKvry+ryiCEUOvSRiPHixcvRFKMjIxkUhOEEGp12mLkKCoqKigoEEns2LGjTCqDEEKtTluMHNHR0crKysIpOJkDIYQk1xYjx/Pnz0WG5CoqKrZr105W9UEIodalLUaO8PBw4XVHAIDNZuPOHAghJKG2GDmeP38ukoL7yCKEkOTaXOQoLy/PysoSSayqqsK3VQghJKE2FzliY2M1NDREEg0MDPT09GRSH4QQanXaXOSIj48XXiKXyMnJkUllEEKoNWpzkSMmJkZk3QLOArMAACAASURBVBEA0NTUlEllEEKoNWpzkaN29zjgBs4IISSNNhc5EhISaifiolUIISS5thU5qqqq8vLyaqfjkFyEEJJc24ocr1+/VlFRqZ2Oyx0ihJDk2lbk+Oeff2iaFknkcrm4GyBCCEmubUWOuLi4kpISkUQul6urqyuT+iCEUGvUtiJHVFRU7TaHgoICRg6EEJJc24ocr1+/rjMdIwdCCEmubUWOtLS02ol8Ph8jB0IISa4NRY6EhARVVdXa6VVVVRg5EEJIcm0ocrx+/ZqiqNrpfD5fTU2t5euDEEKtVBuKHHFxcbVXrAIADBsIISSVNhQ5EhIS+Hx+7fTevXu3fGUQQqj1akOR4+XLl3WmFxcXt3BNEEKoVWtDkSM1NbXOdFwoFyGEpNJWIkd5eXmdnRyAkzkQQkhKbSVyJCcnKysr13kKF61CCCGptJXIkZSUVGc6RVG4OQdCCEmlrUSOd+/e1d5+HAB4PB72cyCEkFTaSuSIj4+vqqqqnc7hcLS0tFq+Pggh1Hq1lchR31qHLBYLIwdCCEmlrUSO9+/f15lOUZSmpmYLVwYhhFq1thI5srKy6kzn8/nY5kAIIam0iciRkpJS35DcmpoajBwIISSVNhE5kpOTFRQU6jxVWVmJkQMhhKTSJiJHUlJSdXV1nafYbDaHw2nh+iCEUKvWJiJHQkJCWVlZnadUVFRauDIIIdTatYnIERsbW98pdXX1lqwJQgjJgTYROd69e1ffKQ0NjZasCUIIyYE2ETnqWyUXcIl1hBCSnvxHjsLCwvz8/PrO9ujRoyUrgxBCckD+I8fHjx95PF59ZwUCQUtWBiGE5ECbiBwURdV3Frd1QgghabWJyFHnKrkAwOFwcNEqhBCSlvxHjuTk5PLy8jpPcTgcHJWLEELSkv/IkZCQUN8pNpuNo3IRQkha8h856ltfHQBYLBa2ORBCSFryHznS09PFnMU2B0IISUv+I0deXl59pwQCAbY5EEJIWnIeOVJTU8Wsacjn87HNgRBC0pLzyPHx40c2m13f2erqamxzIISQtOQ8cqSkpIiZJV5VVYWRAyGEpCX/kaO+nTkAQFFRsSUrgxBC8kHOI8fbt2/5fH59Z+vbnBwhhJAYch45EhMTxZxVVVVtsZoghJDckPPIkZqaKuYsRg6EEGoEOY8cWVlZYs7ikFyEEGoEeY4cRUVF4rffwIVyEUKoEeQ5cnz8+FH86CkdHZ0WqwxCCMkNOY8cYvZ0AowcCCHUKPIcOXJycqqrq+s7S1EURg6EEGoEeY4cCQkJYqYBcrlc3EoWIYQaQZ4jx7t378ScZbPZOIccIYQaQZ4jR0pKipizCgoKampqLVYZhBCSG/IcOT5//izmLEVRGDkQQqgR5Dly5OTkiM+AkQMhhBpBbiNHRUVFVVWVmAw0TWPkQAihRpDbyJGWlia+A1wgEGDkQAihRpDbyJGenq6goCAmQ01NDUYOhBBqBLmNHGlpaeIXraqursbIgRBCjSC3kePTp08VFRXi83C53JapDEIIyRO5jRzv378Xs/QI4FayCCHUWPIcOcRnUFJSapmaIISQnJHbyCF+N0DATcgRQqix5DZyZGZmis+AW8kihFDjyG3kyM/PF58BB1YhhFDjyGfkyM3NZbPZ4vPgJuQIIdQ48hk50tLSGhxxi5EDIYQaR24jh/h9ZAFAS0urZSqDEEJyRj4jR3p6uvjJHACgra3dMpVBCCE5I5+RIzU1tby8XEwGBQUFfFuFEEKNI5+RIykpiaZpMRk4HA6OrUIIocaRz8jx4cMH8RnYbDZGDoQQahz5jBzi11cH3IQcIYSaQD4jx8uXL8VnUFJSwh5yhFqpqKioGTNmmJqaKisra2tr9+7de9asWY8fP5Z1vdqQBqbLtVJFRUXiM1RUVPB4vJapDEKoudA0vWLFiv3799M0raqqam5uDgAJCQlxcXHFxcUODg6yrmBbIYeRIysrS1FRUfzYKoFAoKKi0mJVQgg1i7Vr1+7bt09VVXXv3r2+vr7k919lZeWVK1f69esn69q1IZT4MUitUWxsrKOjo/hmh6qq6qtXr7p06dJitUIINVFMTAwJD6Ghoc7OzrKuTpsmh/0cGRkZDU4g5/P52OZAqHU5dOiQQCDw8fFpMGzQNH3q1Ck7Ozs1NTVVVVUHB4cLFy6I5Hnx4sW4ceM6dOigpKRkamrq6el58+ZNcurNmzcURW3bti05OXnMmDEaGhqkkJCQEAC4c+fO4MGDScmurq5RUVEiJaekpEyfPt3Y2JjL5Xbo0GHOnDnp6ekieUJCQlxcXNTV1an/tWLFCibP3bt3XV1dyY0GDx78119/CZdw5MgRiqIePHhw9epVOzs7ZWVldXV1Nze3J0+ekAzPnj2jKMrW1lbk1q9fv6Yoqm/fvuK/wwbQcufMmTMNrqDO4XBKS0tlXVOEkBSMjY0BIDw8vMGcs2fPBgAdHZ0xY8aMGTOGDIdZvnw5kyE+Pl5NTY3NZru5uY0bN65fv34sFmvWrFnk7OvXrwHAzc3N2NjYz89v//79U6dOpSiKzWZv2bKFy+XOmDFj//793t7eAKCmpvbp0yem5Li4OB0dHQCwtbWdOHGijY0NABgaGiYmJjJ5goKCKIrS19ffsGHDrl27LC0tAcDCwmLp0qX3798nec6fP09RlLq6uoeHx5AhQxQVFSmKOn78OFPI4cOHAcDR0VFFRWXhwoX79+/39fWlKEpRUTEhIYHk6dGjBwAkJycLfzlbt24FgN27d0v9DyBEDiPH7t27G1woVy5DJkJyLC8vDwAoiiorKxOf89atWwDQt2/fgoIC5tpvvvkGAMLCwkjKsmXLAODkyZPMVampqUwAIJEDAP773/8yGRYuXEgSha+aOnUqAOzatYtJsbKyAoAzZ84wKSdPngSAgQMHMimOjo4AEBkZSQ4LCgq0tLQ6d+7MZMjJyVFXVzcyMmKq9OLFCw6Ho6KikpeXR1JI5ACABw8eMBeSJgsTI3fv3g0AO3bsEP5++vTpQ1HUhw8fxH+N4snhA5T5BxaDx+PJupoIISkkJiYCgIaGRoM5//Of/wDArVu3hBPJm6jRo0eTQ/KEPXv2bJ0lkMjB4/GEoxR5WaSpqcnn85nEy5cvA4C3tzc5fP78OQBYWVmJFDhgwAAAiImJIYfa2tpcLlcgEDAZBg4cCABpaWnk8ODBgwBAhpAxPDw8AODChQvkkEQOW1tb4Tzh4eEAMGTIEHKYlpbGYrEsLS2ZDElJSQBgb29f5weXnByOrUpJSWkwDw7JRah1oWkaAFishrtmIyIiAIA8ixmDBw+mKIo8WAFg1KhR+/btmz9/fmFh4YwZM+p8IJiamiopKTGHurq6ANC5c2fhOpDEkpIS4Vs7OTmJFOXs7Pzs2bPw8HDyYkpfXz8vL480NUgGsoepoqIiOXz06BEAhIaGvnnzhikkOTkZAMijn9GnTx/hQz09PQAoLi4mh+3btx8yZMjt27dfv35tYWEBAAEBAQAwceLEOr44achhD3laWlqDeZh/IYRQq0AeskVFReKXwa6urs7NzVVRURFZJIKk5OXlVVZWAoCDg4O/vz+bzV6wYIGxsfEPP/xQWFgoUlSdi6LWOYOY/neEakZGBgAYGhqKZGjXrh0AMP3kM2bMAIBp06bFxcW9f/9+48aNb9++dXd3ZwonD7Fbt24dFfLPP/8AQEVFRe2vhUEGB9FCI2b9/PwAwN/fnxwGBAQoKCiMGzeu9qeQihxGjqysrAbzCP+UQAh9/XR1dXV0dPh8flxcnJhstR+dDJLIDLwcP378hw8f9u7dq6iouGnTpt69e4uUXGf7Rvy4zfruLnLrZcuWff/999euXevdu7eJicnWrVvd3d3PnDkjkv/Jkye1XxNt27atwUoKGzVqlIaGBokcaWlpf//9t7Ozs4GBgfirGiSHkYP0pImHQ3IRanVIx/KlS5fE5GGz2QYGBmVlZSIzukpLS4uLi0kHA5Oopqa2bNmyd+/eLV++PDU1debMmU2soZGREQB8/vxZJJ2kkJYHAFAUlZWVpa+vHxgYGB4enpqaGhwcrK+vz+QnORtcuVUSioqK48ePf/fuXXR0dGBgIE3TTX9VBfIXOfh8fllZWYPZMHIg1OpMnz4dAA4ePEh6y+szaNAgAGC6NAhySGKPCB6P99NPPxkaGkZFRdXU1DSlhnXeuvbdnz9/fuLEiRUrVnh4eDg5OZHRxsJIJ01gYGBTKsPw9fUFgFu3bgUFBXG53DFjxjS9THmLHBkZGZK8icKFchFqdf7zn/8MHTq0tLTU2dk5KChI+KVQcnLyp0+fyN8LFiwAgA0bNuTn55OUwsLC9evXA8CcOXNIyt27d4U7DD58+JCXl6etrS3JgH4xLCwsXF1do6OjT506xSSePXs2KirK2tqamXyXmpoKAGKilLe3t4aGxsWLF5n+CQCorKysPZ9REg4ODt26dQsPD7937963336rqanZiEJENXFs1tfm2bNnkmz2N3LkSFnXFCEktYKCAuaXu56eno2NTd++fcl7HuEhtmvXrgUAbW3tMWPGeHp6khFQ8+fPZzL0799fVVXVzc3Nx8fnP//5D3kJ8eOPP5KzZFSug4OD8K3JXHFXV1fhxHv37ok8T5KTk8k7Kxsbm0mTJtnZ2QGArq5ufHw8kyczM1NNTU1BQaFfv34ODg6Ojo7Dhg3bsmULM1eDpunr16+TEV/dunVzc3OztrZWUVFRUFBgMpBRuevWrROuD6m5jY2NyPe2detW0iPi7+8v8ZctjrxFjps3b0oSOXx8fGRdU4RQY/D5/JMnTw4ZMkRfX5/NZvN4PFNT00mTJjETp4mLFy/a2dmpqKioqKjY2tqePn1a+GxAQICLi4uWlhabzTY0NHRycjp37hxztimRg6bp9PT02bNnGxkZcTgcIyOj6dOnp6SkCGd49OhR7UVBAMDc3LyiooLJFhMTM2HCBAMDAwUFBS0trUGDBh0+fJg5K1XkIF0mysrKJSUl9X+1UpC3yHHixAlJ+jAWLVok65oihNoif39/iqKmT5/OzPurqqpKSkoifSQhISFf4qZkMSsvL6/mKlDe+jk+f/4sfn11QpJ2CUIINbtDhw4BwL59+9q3b09SOByOiYmJm5sbAGRnZ3+Jm549exYApkyZ0lwFylvkSElJEQgE4vNwOBzsIUcIyURVVRVN0yITlrOysi5cuEBRFFmnpHm9efPm999/79Kly7fffttcZcrb6iMfP35sMA+bzcZRuQghmZg7d25UVJS9vf3YsWONjY2Liorev39/+/btsrKydevWde/evblu9ObNm+3bt5eXlwcHB5eVlR08eFCStVskJG+Ro/YcnNoUFBQaXIYdIYS+hKlTp+ro6Bw6dOjq1asFBQVcLtfY2HjMmDHTpk1r3u2qqqqqgoKCioqKunXrtnPnzu+++64ZC5e3PQHbt2/fYPBQV1c/efKkp6dny1QJIYTkjLz1czBzf8RgsVjY5kAIoUaTq8hRXl4u4eIB2M+BEEKNJleRIzMzU5Ll02maxjYHQgg1mlxFDrIFY4PZBAIBtjkQQqjR5GpsVXp6emlpaYPZNDU1cT4HQl+zu3fvbtiwITU1FbfvbDGLFi2SZCtuQq4iR3Z2tvj9woisrCzc2Qmhr9bp06fnz5+/fPnySZMmKSgoyLo6bUWd2x3WR64iR2ZmpshWi3Wqrq7Gt1UIfZ3Cw8N37Njx7Nkzc3NzWdcF1UuuIkdaWlqDS48AAEVRTVyFHyH0Jezatevy5ctBQUGmpqayrgsSR64eoMzWLuIJbyeJEPpKFBUV7dy5s7CwUNYVQQ2Tq7FVGRkZkmTDPjeEvkIjRoxYs2aNrGuBJCJXbQ4JFyiWZM4HQqglvXnz5unTp/fv35d1RZBE5KrNIcnSI4CRA6Gvz/Xr1xcvXizrWiBJyVWbo7i4WJJsysrKX7omCCGp/Prrrw8fPpR1LZCk5KfNUVhYKOGIKYwcCH1Vbt26RVGUoaGhrCuCJCU/kSMrK0vCQVO4aBVCX5WXL1+amJjgoMdWRH4iR3Z2toQ7XuHSIwh9Vd6/fz958mRJcj5+/HjkyJF6enpsNltLS8vOzm7Hjh3p6ekNXnjmzBk1NbWwsDAAOH78uKam5tOnTyWv4ZEjRyiKWr9+vfhsK1eu1NLSkqrkVkp+IkdWVpaEu1RhmwOhr0pAQIAkO639+eefTk5ON27c4HK5ffr04fF4kZGR69atE57IFRYWdunSpdrXpqWllZSUkG3fPn36VFhYKOEgfqlERUUVFBTExcU1e8lfG/npIc/OzpZwcw4NDY0vXRmEkITu3r3br18/TU1N8dn4fP6CBQsEAsHJkyenTp1KEtPT00NDQ62trZlsq1ev7ty58/jx40UuX7169ZgxY8zMzABg48aN3t7e3bp1a9bPAQBw+PDhBw8eeHt7N3vJXxv5iRwSLloFAJKsxI4Qahnbtm0bMGBAg9kSExMzMzMHDBjAhA0AaN++vY+PD3MYExPz/Pnzzp07176cxWKRsAEACgoKXyJsAICFhYWFhcWXKPlrIz9vqyRftAr7ORD6ekRHR8+fP7/BbGTpBzHjJ5csWWJjYwMAAQEBFEVRFDVnzhzmbEpKyvTp042NjblcbocOHebMmVNn78ipU6ccHBzU1NRUVVV79uy5ZMkS4bMKCgrh4eHOzs6qqqrq6upubm5PnjwRzuDl5UVRVGhoqHDio0ePPDw8dHR0FBUVe/TosX37dpHfuDRNHzp06JtvvlFUVKT+182bN5k8R48e7dOnj5KSkq6u7oQJE16/fi1ciKGhoYuLS05Ozrx584yMjLhcbpcuXdauXVtVVUUyeHh4UBQVHBws8pEnTJhAUdT169fr+2LrRsuL7777TpLPy+Vy9+3bJ+vKIoRomqbj4+N79OghSc6amhpNTU0Oh/P8+fM6Mxw8eHD69OkA0KtXr507d+7cuTM4OJiciouL09HRAQBbW9uJEyeSAGNoaJiYmChcwowZMwCAw+FYW1s7OTlpaWkNHjyYnDp8+DAADB8+nM1mW1lZTZgwoWfPngCgqKiYkJDAlDBhwgQAuHPnDpNy7tw5FovF4/Hc3d29vLzISo4ODg4VFRVMHrLmSp8+fXbt2rV+/Xo9PT0AcHFx+f7775OTk0me2bNnA0CHDh08PT1tbW0BQE1N7cWLF0whBgYGWlpaPXv2tLW13bVr19atW7t27QoA06ZNIxlI94+vr6/wRy4vL1dVVdXU1KysrJTkX4EhP5FDkgYvACgrKx89elTWlUUI0TRN//zzzwsXLpQw8549ewBARUXlp59+KikpqZ3hzp07AODp6SmSbmVlBQBnzpxhUk6ePAkAAwcOZFICAwMBwMTEhHlY8/n8z58/k79J5ACAY8eOMWfHjh0LAMuXL2cKEYkcmZmZpHUSGxtLUmpqasjbtg0bNpCU0tJSHo+nq6tbXFxMUh48eAAAfn5+Ip/r22+/LS8vJym//PILANjb2zN5DAwMAGDIkCF8Pp+5u7KyMpvNzs/Pp2m6oqJCS0tLXV1dOGhdu3YNAKZOnVr3N14/+YkcnTp1kiRyqKmpnT17VtaVRQjRNE2PGDHi+vXrkuffuHEjGXyvoaGxatUq5slO1Bk5nj9/DgBWVlYiRZHfmjExMeSQvLT4448/6rwviRwWFha1bzdkyBAmRSRy7N27FwBWrlwpfFVeXh6Xy9XR0SGP+FevXokUUl1dTVFUt27dmBQy8Ey4hcHn88lIn7S0NJJCIgfTzCKcnZ0B4PHjx+SQvL67cuUKk2HKlCkAEBISUuenFkN++jkKCgokyUZRFG7rhNBXIiwszNXVVfL8W7ZsiYmJmTp1alVV1Z49e7p163b+/Hnxl0RERACAk5OTSDp5qoaHh5PDyMhIABg6dKiYokRebLRv3x7ELnpU5621tLS++eab3Nzc+Ph4ACDvpjIzM5kM2dnZNE0L71v66NEjAPjll1/m/GvevHkURQFAcnKycOF9+vQRPiSFMzX09fUFAH9/f3JYXV0dGBior6/v4uIi5lPXSX7GVkm4aBWLxcLVRxD6Ghw/frxjx47S/pLr1avXyZMnd+/evXnz5l9//dXPz8/S0rJ379715SfzNmovbdKuXTsAIP3kfD4/NzdXSUlJ/OBgfX194UPS+qHrn0bW4K179+5taGjo4eFx8+bNLVu2TJkypaysbO3atQAwceJEkpnP55O48ttvv9W+hUhnu5aWlvAhiS5MDW1tbc3MzG7evFlSUqKqqhoWFlZQUDB//vxGbNkrJ22O/Px8DocjYWZscyD0NTh16pSEU8dr09PT++WXX1atWlVTU3PgwAExOUWengySInyW/C2GtMtsS3JrADhz5szw4cM3b95samrau3fv4ODgxYsXr1y5kpwlQ0Z5PF6db43c3NyES25wHQ1fX9/y8nIykurKlSsgFKKkIieRQ/JFq2iaxjYHQl+DhISEuXPnNqUE0jnx8eNHMXmMjIwAgMweF0ZSyM9/spZJWVlZUVFRU+rTiFuTu6enpzs4OISFhUVERGRnZ//3v/9l2gEcDkdXV7eysrJZJr1PmTKFxWKR7pybN2927NjR3t6+EeXISeTIzs6WsMElEAiwzYGQzCUmJuro6GhrazelELKZm66uLjkksz2qq6uF8wwaNAiE+jMYJMXR0ZEckqGuZGGr5lLnrQsKCmJiYrS0tHr06EFSjh079vLly/3797u4uNjZ2dVe5GLgwIEAQEZ/NZGxsbGLi0toaGhkZOTnz5/JZI5GlCMnkSMrK0uSaYAAIBAIsM2BkMw9efLEzs5O8vwRERFBQUGVlZVMyrt378gShCNHjiQppEchLi5O+GlgYWHh6uoaHR196tQpJvHs2bNRUVHW1tZ9+/YlKbNmzQKA1atXMy0YmqabuALVpEmTtLW1jx8/HhMTQ1IEAsGqVauqq6tnzpzJ/NhNTU0FADGLJy1YsAAANmzYQAZiETk5OTdu3GhErfz8/MrLy8kQ58a9qgKQl5mAR44cER6KIIaiomJubq6s64tQWzdnzpzDhw9Lnp+Mi+XxeJaWlk5OThYWFuSdvpeXl0AgIHkEAoG5uTkAWFtb+/j4MHMmkpOTyYsjGxubSZMmkYilq6sbHx8vfAs/Pz8A4HK59vb2dnZ2+vr6ZmZmwndft26dcH4yi9vGxoZJqT0TMDAwkMvlcrlcd3f3iRMnklVPrKysSktLmTxBQUEAoKKiYmtr6+DgMHDgwNGjRx87dqympobJQ2YLslis/v37u7m5WVpastlsV1dXJgMZlVtdXS1cQ1Kfv/76SzixtLRUTU2NLMci+fcvQk4ix5YtWyRsc7HZbGY2DUJIVr755puXL19Knv/du3ezZs3q3bu3rq4um83W1tZ2dXU9d+6cSLbY2FgHBwcVFRUNDY01a9Yw6enp6bNnzzYyMuJwOEZGRtOnT09JSRG5ViAQHDt2zMrKSllZmcPhdO/enVlvotGRg6bpZ8+ejRgxQktLi8fjmZubb968WThsCASCc+fOMX0ewoQnA9I0fe3aNRcXFw0NDTab3a5du9GjRwvfSPLIQf8bIzdt2lT7e5aQnESOmTNnShI2AICiKFlXFqG2rqSkpL7BQm3N3LlzWSzWoUOHioqKSEpZWdnTp0/19fVZLNYX+pnr7u4OAG/evGl0CXLSz5Gfny9hTtx3DCGZ++OPP5hu7basoqLi+PHjffr0mT9/PrMSq5KSkrW1de/evQUCQV5eXrPf9PPnz2FhYVZWVsziwY0gJ5Hjw4cPEubs3r37l6wIQqhhxcXFZNGnNq6mpkYgEOTm5paXlwunP3369MmTJ8bGxnW+xWqiDRs21NTUNHE8tJzMIZc8MtceW40QamHR0dFSLToir1RVVb29vc+cOdO7d+8RI0aoq6vn5eXFxsY+ePCAzWaTLWyb617btm1LTEx89erVy5cvbW1thfc1aQQ5iRwSLloF0s8CRQg1uxcvXqxYsULWtfgqnDhxom/fvufPnz9+/HhZWZmqqqqJicmiRYvmzZvXvNtPJSYmXrx4UVFR0c/Pb+/evY1YcUQYRUu2d/dXjsvlikz/qU/Xrl0TExO/dH0QQvWpqalRUlKS8D9Y9HWSh36OsrIyyTNLOO0DIfSFREdH9+vXT9a1QE0iD5EjNzdX8hFTGDkQki2MHHJAHiJHTk6OmN2JReCiVQjJFkYOOSAnkUPyzBg5EJKt69evS/XfLPoKycPYqpycHAmXOwQAVVXVL1oZhJB4RkZG4ofkvn79eseOHZ8+fUpOTsapu7KyaNGihQsX1ndWHiJHbm5uVVWVhJkxciAkWwkJCb169arv7OrVq48fP+7o6DhkyBAPDw9c2VpWxC+ALw+RIysrS/LIwUzxRwi1vA8fPujr69cXDyZNmlReXv4lltxAzUse+jnS0tIkn5WCkQMhGYqLi6uvweHu7m5mZnb16tUWrhJqBHmIHJIvKMJms7GHHCEZqi9ybNiwoaysbNOmTS1fJdQI8vC2iuwoKQk2m43zORCSofj4eLLEtzCapnft2iWy6h/6mslDmyM3N1fCnCwWCzvcEJKhOtsclpaWM2bMkHxWFpI5eYgcki93qKCggG0OhGQoPj6+Z8+ewimfPn0qKCggm+6h1kIeIkdxcbGEOSmKwjYHQrJy584dPT09DocjnLh06dIdO3bIqkqocVp987CsrEzyJexZLBa2ORCSlVu3bomsOxISEnLz5s3Lly/LqkqocVp9myMnJ0eqWaYYORCSlYKCApGtAIODg7HB0RrJQ+SQvGONpml8W4WQrCQkJIjsfX3gwIHFixdLXsLjx49Hjhypp6fHZrO1tLTs7Ox27NiRnp7e4IVnzpxRU1MLCwsDgOPHj2tqaj59+lTy+5Lt+davXy8+28qVK7W0tKQqGQC8vLwoigoNDZXqKtmSh8gho5AwNgAAIABJREFUeWaaprHNgZCsiESOjRs3jh07lsWS9Cn0559/Ojk53bhxg8vl9unTh8fjRUZGrlu37tOnT0yesLCwS5cu1b42LS2tpKSEzP369OlTYWFhRkZG0z5NHaKiogoKCuLi4pq95K9Nq+/nyM3NlXy5Q4FAgG0OhGQiOzubNBSYlGPHjp09e1bCy/l8/oIFCwQCwcmTJ6dOnUoS09PTQ0NDra2tmWyrV6/u3Lnz+PHjRS5fvXr1mDFjSNzauHGjt7d38+7VShw+fPjBgwfe3t7NXvLXptVHjpycHMkXrRIIBNjmQEgmRBoc8fHxurq6Q4YMkfDyxMTEzMzMAQMGMGEDANq3b+/j48McxsTEPH/+vHPnzrUvZ7FYzN0VFBS+RNgAAAsLCwsLiy9R8tem1b+tysrKqqyslDBzTU0NtjkQkgmRyHHmzBnhh36DeDweAIjp1FyyZImNjQ0ABAQEUBRFUdScOXOYsykpKdOnTzc2NuZyuR06dJgzZ06dvSOnTp1ycHBQU1NTVVXt2bPnkiVLhM8qKCiEh4c7Ozurqqqqq6u7ubk9efJEOEOdPRaPHj3y8PDQ0dFRVFTs0aPH9u3bKyoqGvy8klQ4JCTExcVFXV2d+l9Lly4ltyssLBTOLxAI2rVrx+Fwmr4/SquPHGlpaZJnrqmpwTYHQjLRxMjRsWNHTU3N58+fR0dH15mha9eu5DVRr169du7cuXPnztGjR5NT8fHx/fv3P3nyZIcOHcaOHWtkZHT06NH+/fu/e/dOuISZM2dOmzYtKiqqR48e/fv3//z5c0xMjHCGZ8+eubm5lZaWenh4dOzYMSwszMXF5e3bt2Kqff78+UGDBoWGhlpZWY0ePbqqqmr9+vVubm7if+9KUuG//vrr22+/jY+PX7Jkya5duywtLQHAwsJi6dKlo0aN8vLyqqysFFk+MiIiIiMjY8iQIbq6umLuLhG6lRs2bJjkH5bFYsm6vgi1USNGjLh+/Tr5OyQkhMvl5uXlSVXCnj17AEBFReWnn34qKSmpneHOnTsA4OnpKZJuZWUFAGfOnGFSTp48CQADBw5kUgIDAwHAxMQkOTmZpPD5/M+fP5O/mSnux44dY86SEcbLly9nCpkwYQIA3LlzhxxmZmaS1klsbCxJqampIW/bNmzYUN9VElbY0dERACIjI8lhQUGBlpZW586dySEZ3zV06FDh74E0oU6fPl37q5NWq48c/fv3lzxy8Hg8WdcXoTbKzMzszZs35O+7d+8OGjSoEYVs3LiRjMXS0NBYtWoV82Qn6owcz58/BwArKyuRogYMGAAAMTEx5PC7774DgD/++KPO+5LIYWFhUft2Q4YMYVJEYsDevXsBYOXKlcJX5eXlcblcHR0dPp9f51USVlhbW5vL5QoEAibDwIED4d9dJ2iaNjc3Z7PZWVlZTIaOHTuSV1h1fkaptPq3VZIvdwj/vipFCLW8hIQE5j/Aa9eujRkzphGFbNmyJSYmZurUqVVVVXv27OnWrdv58+fFXxIREQEATk5OIunOzs4AEB4eTg4jIyMBYOjQoWKKIs9uRvv27UHs6kd13lpLS+ubb77Jzc2Nj49vSoX19fWrqqqEV+3LzMwEAEVFRXLo6+tbU1PDzM+Pior6+PHjd999p66uLuYzSqjVRw7JlzsEANzTGCGZqKmpYbFYHTt2JIcBAQGenp6NK6pXr14nT55MSUmZN29eSUmJn59fbGysmPxk3oahoaFIert27QCAdDvz+fzc3FwlJSVNTU0xRenr6wsfktYPXf+2cpLcutFXzZgxAwCmTZsWFxf3/v37jRs3vn371t3dndkFdsqUKSwW6+LFi+QwICAAALy8vMR8QMm1+lG5JSUlkmdmojFCqCWlpqZ26tSJPGr//vtvIyMjIyOjphSop6f3yy+/qKqq7tmz58CBA7/99lt9Ocm6drWf7yRF+GyDK+BJ+wCR5NaNvmrZsmW5ubk7d+68du0aSXF3dz9z5gyT38jIyM3N7c6dO6mpqR06dLhy5Yqamhp5Kdd0rbvNUVZWZmxsLHl+jBwIycS7d++6du1K/l66dGkTwwaDPAc/fvwoJg+5V+2dQ0kK+SFPpiiWlZUVFRU1S8Ukv3Wjr6IoKisrS19fPzAwMDw8PDU1NTg4WKRV5OvrS9P05cuX37x5k5iYOGrUqOYaXNq6I0dubq7kGwICLneIkIwkJSWZmpqSv3NycrZu3dosxZL//JkxpmS2R3V1tXCeQYMGgVD3AIOkkBFKAGBrawsAZGGr5lLnrQsKCmJiYrS0tHr06CH5VbUr/Pz58xMnTqxYscLDw8PJyanO39CjR49WV1e/detWUFAQNN+rKmjtkSMvL0+qfcSa65cOQkgqTJvj48ePFRUVIps7SSIiIiIoKEh4GsS7d+/IEoQjR44kKaRvIC4uTnhFIgsLC1dX1+jo6FOnTjGJZ8+ejYqKsra27tu3L0mZNWsWAKxevZppwdA03cQVqCZNmqStrX38+HFmXohAIFi1alV1dfXMmTMVFBTqvErCCqempgJATU2NmAooKSmNHz8+MjLy9u3bOjo6ks/Yb1jTh2fJ0N27d8X3aIkYPHiwrKuMUFs0atSoq1ev0jR97NixmTNnNqIEMi6Wx+NZWlo6OTlZWFiQXhMvLy9mZKpAIDA3NwcAa2trHx8fZs5EcnIy+dVoY2MzadIkOzs7ANDV1Y2Pjxe+hZ+fHwBwuVx7e3s7Ozt9fX0zMzPhu69bt044/+vXr0mZTErtmRmBgYFcLpfL5bq7u0+cOJGsemJlZVVaWirmKkkqnJmZqaampqCg0K9fPwcHB0dHx2HDhm3ZskVklszDhw8BgMVizZ49uxFfe31ad+T4888/pRphNnz4cFlXGaG2qFevXmQ23JgxYwICAhpRwrt372bNmtW7d29dXV02m62tre3q6nru3DmRbLGxsQ4ODioqKhoaGmvWrGHS09PTZ8+ebWRkxOFwjIyMpk+fnpKSInKtQCA4duyYlZWVsrIyh8Pp3r37vn37yKlGRw6app89ezZixAgtLS0ej2dubr5582bhsFHfVQ1W+NGjR+QNmwhzc/OKigrhnF26dAGAe/fu1fvlSq91R45jx45JtQ7V2LFjZV1lhNoiRUXF8vLykpISJSUlkecmagR/f3+KoqZPn87M+6uqqkpKSiJ9JCEhIUzOiooKDQ2N9u3bMxMPm0Xr7ufIycmRfLlDAFBVVf1ylUFIVrp27UpRlJiRqbKVnp6ura2tqKi4fPlyc3NzXHW06Q4dOgQA+/btI7MRAYDD4ZiYmLi5ucG/AweIGzduFBYWTp48WfJ9UCTRuiNHVlYWn8+XPD9GDtQIBQUFP/744+DBg9u3b8/j8XR1dfv3779+/Xrxg0ERg+kef/Pmzf79+2VdHXlQVVVF07TIeq9ZWVkXLlygKIqZ615WVrZ161bSydG8FWjdMwGl3dVLTU3tC9UEyatr167NmDFDeJGb3Nzc3Nzc6Ojoffv27d69e+HChU0pn6bpTp06jRgxgvyKlEvPnj0jP43Dw8PJ6xTURHPnzo2KirK3tx87dqyxsXFRUdH79+9v375dVla2bt267t27e3t70zT94MGDT58+rVixghkS3Vxad+TIysqSPDNFUSoqKl+uMkj+XL9+fezYsXw+38DAYNWqVe7u7u3atSsqKoqMjNy/f//ff/+9aNGimpqapUuXNvoWjx49IsMr5Zi/v3///v3v3btHVl5CTTd16lQdHZ1Dhw5dvXq1oKCAy+UaGxuPGTNm2rRp5EuOiIhITU3V09Pbvn376tWrm70CrTtySLXcIZvNxpmASHL5+fmzZs3i8/k9e/a8d++enp4eSdfW1ib7lU6bNu306dNr1qwZMmRIr169GnEL+t/Vs+Ubh8Px9fUNDg4ePHiwrOsiP0aMGDFixIj6ziYnJ3/Ru7fufo78/HzJM7PZbFx9BEnu9OnTWVlZLBbr/PnzTNhgsFisw4cPd+zYkSzaKnyK9FeXlJR8+PDBx8fH0NCQy+V26tRp3rx5wq3ksLAwS0vL33//HQB++eUXspubyMzWz58/L1u2zMzMTElJSUtLy9XV9Y8//pD8I0h+eVxc3KRJk9q3b89ms0U2mDM3N9+/fz9FUWRwp4iysjJlZWWKopjVk2p7//59ly5d7t+/j5FDfjTjOK2WJ9U0QBUVlZMnT8q6yqjVINOvhHdfqO2HH34AAEVFxcrKSiaRvFM+e/Ys+f8nGchP/k9oamrK7I7w888/u7u7GxgYAEDHjh3d3d3d3d2Fpxw9evSIlEBRlI6ODtNiHj9+fHV1tXA1yB2PHz8unCj55Y8fPyZnu3fvPmrUKDKZDgA4HE7Xrl2nTZuWkZFBQtqTJ09EvoE///wTADQ0NETmEDAqKip4PB6fz6co6tWrV2K+TNSKiHtbFRsb6+Hh8TWvTM7n8yXv9KYoauPGjTt27PiiVWqE6urqX3/9dfjw4bKuCPo/NTU1L168AADxCza4ublt3LixoqLi5cuX1tbWwqemTp1qb29//Pjx7t2719TUnD9/ftq0aUlJSUeOHFm1ahUALFy4cOHChWPHjg0ICPjPf/4j0kOem5vr6elZUFDg6en5888/t2/fXiAQ3L5928/P79KlS+bm5lu2bBFTMakuX7hwYXl5uZ+f34kT/4+9845rIuv6+ElPKKEE6UhRpCjFgqAUKSoW7ILoCoiu3bWtDcujq2td68qqiG0RxQaKqKuIBUQsYEUUdFEsgEgRkE7IvH/c95lPngRCQCAY7veP/SR3ztycmZX5zS3nnMNUKlUgEMyaNSs0NHT06NFnzpxBNoMHD75y5cqpU6dEos9QvdLRo0c3VPwmKyvLyMgIlfCzsrKS4DPmB0KSckRFRY0aNWr+/Plt5k3H5OzZs5GRkVg52hW5ublVVVUAIFw6Wxzy6Js3b0SUQ0lJKTo6Gr310+n0gICAixcvRkVF3bx5EymHZP7666+8vLyuXbtGREQwGAwAoFKpQ4cOPXz48IgRI7Zv375gwQKyEsP3nJ6dnY0qe5Pl9qhU6urVq0NDQ8+dO1deXo72lQQEBFy5cuXs2bM7d+4kIwNqa2svXboEElPpIeVAod2NXjXmR6GRFXJ1dXUyNzKmldDU1MzMzJS1F5j/gawYpqamJsFMRUVFxJ5kxIgRIrOpffv2jYqKEtmD3xBRUVEA8NNPP6HnPsnw4cO1tLTy8vJiYmICAgK+/3RUKYhCoRgaGpJm+vr6FAqFIIjMzExra2sAGDlypKqqak5OTkJCArlccfPmzZKSEg0NDRSAVi9IOe7evSuNcggEgszMzKioqNTU1Pz8/NevXzcppSmm2cyfP79J+8vx/xUMph7I+jlEwxXfJCOeDpbH4wEAGspIhs/noyyttra24o7Z2NjExsY+fPiwIeVo0umoogNBEAUFBWR1h4KCAnThZF44Npvt7e0dGhp66tQpUjmQPo0bN07C8x0tjyclJQUGBkq+6qVLl7579y4mJsbNzU1VVbVv374bNmyQMK7CtCBNvc9YOTCYeiCHC5LLFUsYmjS0fUMaKSouLkbJEcjKE8KgRgnBTE063dDQ0Nra+vnz53v37iXLZvz1118AYGZmZmRkRJ4YEBAQGhoaGRkZHBxMp9MFAkF0dDQAoIR9DZGVldWzZ8/t27dLSI7y9OnTXr16OTg4DBw4cMuWLXieo/2DlQODqQcdHR0FBYWKior09HQJu+YzMjLQh27duokcaqj6gjRIHvEQjZU+berp+/bt8/Dw+P3332/dutW9e/f09PSEhAQWi4X0g8TR0bFLly6ZmZlxcXFDhgy5d+9eXl6ejo6O5LDwrKwsKpWqrq5er4xlZmYOHjxYQUEhODh4zpw5EvrBtCt+7HgODKaVoNFovXv3BoDr169LMENHFRQUbGxsWvDX1dTU0PpEvSUvUaN4iEmzT3d0dLx06RKVSk1KSgoNDU1LSxs9evS9e/c8PDxEzvXz8wMAtBMXBXB4e3tLTqWXlZV18+bNeidDoqKiLC0tNTQ0jhw5gmXjxwIrBwZTP2gS5ubNm6mpqfUaVFZWHj58GAB8fHxEFqKlB737iwwOqFRqr169AADtehJGIBCgAnNI2OqlqacXFhZOnz5dR0fn3bt3AoGgoKDg/PnzZO05Yfz9/SkUysWLFwUCweXLl6GxAqVVVVUlJSX5+fmjR48WORQbGxsUFFRdXf3gwQM7OzsJnWDaIVg5MJj68fPz09HREQgEP/30k3ieGxT08PHjRyaTuXTp0mb/CsrfLN4/0q0TJ07U1NQIt8fExOTn5zOZzBEjRkjotkmnh4eHZ2VlTZ06VXh7Vb0YGxs7OTnl5+dfvHjx1atXhoaGKF6yIVJTU3k8XmZmpqenp3B7dXX1qlWrWjyBK6bNwMqBwdQPl8s9dOgQnU5PTU21trbeu3dvenr6169f379/f/r0aQcHh7CwMAD4448/LC0tm/0rFhYWAHD9+nW0W7e2thbV0J4+fbqxsXFWVpa3tzdKiUgQxNWrV6dPnw4A8+bNkzBb1dTTKyoqAOD27duvX78uLi4uLi7+9u1bQyv5/v7+ALB9+3YA8PHxkXx1T548UVZWfvHihcgur0uXLvF4vMWLFzdydzDtFgnx5evWrVu7dm3rxa9jEIcOHZo2bZqsvcDUz8WLF+td2gUARUXF/fv3i59Sby4QgiBCQ0MBoEuXLsKNHz58QJWOGAyGgYEBk8nMz89Hh549e6atrQ0AFApFQ0ODzPTs5eUlkuqj3l+U/vR3796J7w1jsViDBw9OTk4WuYqSkhIykcmjR48k372DBw+OHDmyX79+wo0hISGqqqqST8S0c9rLmCM9Pd3IyMjIyIjcrILBtAdGjBjx+vXrrVu3Ojs7a2lpMRgMHo+HKjulp6fPmjXrO/s3MDC4fPmyo6Mjh8MpKCiwtbUl83JaW1u/ePFi6dKlpqam3759YzAYrq6uf//998WLFxtK9SGMlKcTBBEXF4c0Rpjq6urY2FhXV9c3b94It3O53FGjRgFAt27d0GqKBD5+/Mjn84WXMYqKipYvX96kXKWY9ogEVZE85rhx48akSZOMjIxYLBabzdbR0XFzc/vPf/7z9OnTZigYmW108+bNzTj9hwaPOTAyZNq0aQAwduzY9PR0Pp+PGquqqh48eIDiKsQfAmPGjAGANWvWNNp5QEBAv379wsPDyRYGg9HoSAXT/mnOmIPP5wcEBHh4eJw8eTIrK6u6urqqqio3N/fWrVvr16+3tbVtRmp4GxsblNK50bcYDAbTUrx79+7w4cOqqqoRERFmZmZkDAqLxerbt++kSZMAQCQ1TklJyZUrVwBg4sSJjfb/4cOHjx8/kmOOSZMmHThwoNl/44cOHUJPCQkRNpaWlsjm9u3bZOPSpUvV1NQePHjQvN9tJXx9fSkUSlxcnKwdaQ7NiQRct24dWhtUU1MLDAw0Nzen0WgfPny4d+9efHy8s7OziYlJU/scPHhwWloaKgbQDJcwGEwzQC95ysrK9abEzsrKAgBUCJbk2LFj1dXVTk5OaG2/0f6zs7NRmOTq1avfvHlz8uTJ73c7Nja2pKSETBpGkpqa+urVK3H75OTk4uLiFy9e2Nvbf/+vY6AZylFVVbVnzx4AMDQ0TElJEVk8LC0tLSoqap4r0vxDxGAwLYi5uTmVSv348ePBgwenT59OBpaXlZUFBwcfP36cRqP99NNPpP2NGzfWrFkDAL/++qs0/WdnZzs5OQFAcXHxjh07Kisrv99nJyenxMTEixcvorBEYVBOeBcXl4SEBOH2/fv3JyQkTJ48+ft/HYNo8mxVWlpaWVkZACxevFh8zwmXyxVOdIPBYNozenp6QUFBADBz5kwDAwN3d/eBAwfa2NjweLygoCAGg3Hw4EFra+t9+/Zpa2urqakNHDjw27dv3t7e4pF94nz+/JnD4djZ2RUVFY0bNw5Fnn8/KC8vWThEmLNnz/bo0aNz584i7RYWFjNnzpRmWwFGSpqsHOXl5eiDyBhWMp8/f169enWfPn1UVFSYTKauru6wYcP27dtHhildunQJzU7WO+uXnZ29YMECU1NTDoejrq7u7u4eFhaGtr0LgzpBNS9LS0tXrVplbm7O4XC4XK6Dg0NwcDBKAycOQRAxMTHjx4/X19dnsVhKSko2Njbz5s1D0bbC3L9/f+LEiXp6eiwWS0dHx9vb+86dO/X2efPmTR8fH7TPUkFBoWvXrpMnT758+TKfz5f+vmEwrc3vv/9+4cKF4cOHCwSCxMTEhISEnJyc3r17BwUFpaenT506FQDU1dWrq6vLysoMDAxWrFhx/PhxaXr+8OEDk8ns1auXtra2nZ3d8OHDW8RhExMTc3NzNGEl3P7s2bOMjAwfHx/0aiuMyIpCeno6hUJZv359amrqqFGj1NTU2Gy2jY3N33//LXIiQRAhISG2trYcDkdDQ2PChAkis2Ha2tpeXl4AsGvXLiMjIyqVevToUXTo1q1bvr6+enp6DAZDVVXVw8Pj5s2bjV5dYmKil5cXj8djs9mWlpYbN24USa5MEERwcLCNjQ2bzRap+3vhwgV0osidEQgEOjo6DAajoKCgUQekRcLqeb17q8gteuvXr5dyFf7y5ctkrmZhtLS0yL0cMTExqPH69esip1+/fr3ewn+DBw9GhcZIUCdUKjUrK6vetZZRo0YJBAKR/svKytAuQ3H27t0rbLlp06Z608ytXLlSpE9UZLReTp8+LWJ86NAhV1fXs2fPxsbG3r9//9WrVzk5OeXl5VLeXgymfXL27Fkul+vv779r164W6RAFxISEhKAZs7///lv46MqVKwEgIyNj6NChAHDr1i3yEIqoJ58t6OlvY2PD5XK9vb137dq1dOlS9JAJCwsT7hNFuRsYGIwbNw4VQ1RWVn7y5AlpoKWl1bNnzy1btgBAjx49+vTpk5iYSBBEeXk5j8djMBjOzs4TJ05EVb9E9pWJeEUQRHh4OJVKZbFYnp6evr6+KEzH0dFROP5mxYoVAGBra7tly5bVq1ejiE53d/egoKC3b9+i9F9Hjx4Vvgr0djt06NDm33oxmqwcAoEAzUd16tTpzZs3jf7As2fP0P50JpM5Y8aMEydOREdH79ixY9iwYb/++itp1pByvHnzBsVJde3a9ejRoykpKTdv3iTnK6dMmSJsTHZiYmLCYrGCgoISEhJSUlIOHz5Mblc/efKkiIdoAwkAWFlZ7dy588KFC+Hh4WiIk5eXR5qdOHECmQ0aNCgqKurJkycXLlwgF9yOHTtGWqampiKBsbe3j4yMfPr06f3790NCQjw8PPT09GpqakQcOHToEJfL5XK5KioqKioqysrKCgoKDAaDSqUqKip26tTJ2NjYxsZm2LBho0aNmjJlyqJFi9avX7979+4jR46cPXv26tWrd+/eff78eVZWVmFhoXj/GIxM2LZtG41G09bWbqkOkXIEBwc/f/4cAIYPHy581NTU1MbGhiAIlOmkUeUAgA0bNpA2qLhh7969yRaUznLIkCGVlZWoBSUP7t+/P2mjpaWloKDA4/Hi4+NFvL1582ZOTg75deHChQAwY8aMhrzKy8tTUlLicrmpqamohc/no6Im5Abo8vJyFouloaGBgvwJgkArOuSTEO0fGzx4sLAn6KdFhPY7aU48B9pYBQAqKirbt28XefEXwd3dHYntnTt3JJg1pBxo+52hoSF5pxDjxo0DAAqF8vLlS/FOACAmJkbY/vnz5+hpPmLECOF2ciVtxIgRtbW1DblXVVWFit6MGzdOuL2iosLAwAAA9PX1ydN37dqF+hQWHtJevPNDhw4hdZQeCoXCYrEUFRVJveFyuYqKimw2m0ql0ul0S0tLHo+nr6/frVu3nj17Ojs7Dxs2zNfXd8aMGcuXL//99993794dGhoaERFx8eLFuLi4pKSkJ0+epKenf/jwIT8/v6ysTHxwhsE0iQkTJjCZTPFY+maDlAPNBJibmzOZzK9fv6JDKLfjxo0bCamVg8FglJaWkjZ1dXV0Op3BYJAt6CEjPMKoq6tDG7qys7NRi5aWFtQX8iJOSkoKAAwYMKAhr3bs2AEAS5cuFT6rqKiIyWTyeLy6ujqCIJBkDho0iDSora2lUCimpqZki7m5OZ1O//LlC9nSuXNnNIXVqJPS05xduX5+fp8+fVq9enVJScmSJUs2bNjg7+8/b9488RIFr1+/RlN7U6dORVssmsSnT5/Qi8CaNWtQYjiSxYsXR0ZGEgQRGRm5evVqkRNdXFzQ5COJlZVV7969U1JSUK00kv379wMAjUbbv3+/hLpmUVFRqBLO1q1bhds5HM6sWbNWrVr16dOn+/fvo2skF2/EKzSQaRu+E4Igqqurq6ur6z0qEAhevnwp4XQKhcJkMmk0Go1Go1KpqG4oOlEgENTV1dXV1dXW1qI/JCaTiYI92Wx2ly5dioqKOBwOh8NRUFBQUFBQ/C8cDgfZkMaKioo0Go3ZGBLqTGB+aB49eqSkpPTzzz+3Rufe3t4bNmyIjo5GlQ3RgrnkGlMidOnSRXgaHBUR+fLlS3V1NVpLT0xMBIC//vpL+A8Z/XN9+/at8EKvt7e3hB+qqKioqalBf/sSKkImJSUBgIuLi3CjmpqajY1NcnJyWlqalZUVmpvKy8sjDVCuGuEHS0BAQFBQ0NmzZ9HMVXJy8ocPH8aNG1fvkkGzaWZlp6CgIBcXl2XLliUlJZWUlOzdu/evv/7y9fX9448/hG8oGYwzfvz4ZvzKrVu30DK4uOqQW3ifPHkifiKa6BTByMgoJSVFJO1BfHw8ADg4OOjp6UnwBK2taWtro5nHhjxBfqI5TQCYNm1aWFhYy/4PaxGQ8DRqxufz+Xy+8E7K169fN2RM+y9UKhWpEYPBQFsSkCyRbyuC/4Ikikql6unpffnyhTwXfRD/ij6oqamVlpaw3wkrAAAgAElEQVSKrA2iXwQA9AF9VlBQQH+o6KvIf4W/5uTkfPv2rd7lNEyzyczMNDMza6XOfXx8NmzYcObMGaQcZ8+e7dWrl/ifpwTEU3UJZ7yvq6tDD+h6SxmKCADalSPCP//8s3Pnzvv374uv2NfL58+fAUA8DYyOjg4A5OTkWFlZoQX5S5cu/fbbb35+fhUVFWh1Rzgq08/Pb9WqVadOnULKERkZCY0lw28Gza8J6OjoePfu3QcPHgQHB58+fbq2tvbkyZPXrl27fPkyOftPJqHq0aNHM34CDc0AQEJ4oHh6agCo9x8QyvhWW1tLtnz79i0nJ0ca95Annz9/lvCCTHri6uo6fvz4c+fORUdHd+nSZcGCBbNmzWooa94PDYVCERYM4Uc5AFCpVHIHBBIMUjbq6uoEAgEakdDpdAUFBTabLS4Y9UoIm82uqakR/jmRnyZRVFSkUqlEw9VbCYJA4y0tLa25c+eKjFMx38Pr169Hjx796dOnVuq/R48eFhYW169fLy4uzszMzMzMFJkPaBTJBanQOyuLxZKmbrx4HOWJEycmT56sqqq6cOFCOzs7LpebnZ0tOaCk3kotIFbDMSwsbPLkyevWrVu3bh0AMBiMBQsWCOf519PTGzhw4PXr1z9+/GhgYBAVFaWsrNxSG9tIvrearL29vb29/e+//75s2bIzZ84UFhaOGjXqzZs36PWNfMFvXhn6elVBhHo3uUr5mi+9e031JCIiokePHtu2bSsoKFizZs2mTZsCAwODgoL09fWlcaw1oNPpdDodPY7Jhzt6lKPnuMgMlfAkFTk9ZWRkVFZWhj6jGSrW/4Jmq8jPZG/i/5UwN4iRA7p27erq6vrq1SvyGdfieHt7r1+//sKFC2jdotGU702CwWBoaGgUFBR8/vxZfBzQKKii+6lTp8jCJE+fPpV8Cpr2yM3NFWlHLWjkAQB0Oj0nJ8fR0XH9+vUcDsfS0lI8lj4gICA2Nvbs2bPDhg178+aNn59fS82Tk7TMX6+hoeHp06cNDAx27NiRl5cXERExY8YMACDjJyTLe0OQp2dkZNSbHQEAyMSiwkhZAlp695Blz549o6KiGrJRVVUlP9Pp9LVr186ePXv37t379+8vLi7et2/fyZMnQ0NDmzdxR6fT0coEOS2DXt75fD5amUdPag6Hg1YdUHpUJSUltFkLrZ+TKxMKYqBVCg6Hg1cdMC2Fra3t3bt3//rrr4kTJ7bGtBVSjjNnzqSnp9vZ2bV4DLKzs/P58+djYmJQUZMmgdK6CC9aNKocAwYMOH36dHx8vHBWruLi4mfPnqmpqZE1YA4ePPj06dOHDx9KKKQ4ZswYLpeLijZCK0xVQUspB2LlypVoe0BycjJSDnIm8evXr5IL0dQLORRQUFBojbd1Yfca9eTDhw+lpaVN+tepqam5adOmVatW7dmzZ+PGjcXFxb6+vg8ePBCvA4r0QPjRjzZNqaqqqqur83g8FRUVHo+HohSFUVZWVlJSwsGxmHZI//79t2/fbmxsLDxF3IKgCat//vkHAObOndvi/c+bN+/8+fNr1qyxt7e3trZGjQUFBUlJSRJSLiI0NTWzs7OfPHnSv39/AMjJyZEQ44WYNGnS6tWrQ0ND/f39UVl7gUCwbNmy2tra6dOnk2/DqE6X5IBiDofj4+Nz8uRJOp3O4/EGDRok3RU3gZZUDnV1dRUVlZKSEnJNFWVpBoCXL18OGDCgqR12794dfXj69GlrKIeqqioakEreiYQ8efr06bt37+rNsyYZRUXFlStXuri4uLq61tXVHTp0SFw5xo8fT4aeYjDygaGhoYKCwty5c52dnVupIAcadlAoFMm7m5qHu7v7ihUrtmzZ0rNnz549e6qpqX358gU9yhpVjrlz565cuXLYsGEjR44sLy+Pi4sbOXKkSGi3CCoqKn///fe4ceP69u3r5uamrq6ekpLy5s0bOzu7tWvXkmaenp579uwZNGiQlZUVmoTQ0NAYOnTo1KlThedaAgICDh06FBcXN336dAaD8Z23QpyWrOyUn59fWloKAORTnlSLCxcuNKNDDw8PNHkSERHRQj6KgoaTd+/ezc/Pl2CGRFsgENSbLUcanJyc0K5lVDRUBCmn1zCYHwhDQ0O0fW7evHnCaRNbELS2YW9vL56rqkXYvHnzhQsXXF1d//3339u3b+fn548YMQJFcUtm6dKl69evV1NTO3PmzNOnT5cuXXrs2DFy12VDeHl5JSUlDRky5OHDh1FRUTQabd26dbdv3ybjvVCEh46OTnl5+f379+/evXvnzp3z58/PmDFDZPezk5OTsbGxQCBojamq/3elIeqNBExJSfn8+bO4MZ/PJ/9xJCQkkO2owD2bzRYv5yIc7dxQJCDKC0KhUC5evCj+oy9fvqyurm60EwTavaeoqCjceO3aNXSKr6+veOwb6WFlZSUK+dHS0srMzBTv/NmzZ+TnDx8+iBt8/foV7RoQDiJF4MpOGHmFzWb/9NNPBEH06dOnpXKQdGRmz55NpVKDg4PJGMaKiooHDx5oampSqVQy1p0giKqqKhUVFV1dXRRC2OI0ebbq7Nmzf/zxh4ODg6Ojo6WlpaqqanV1dUZGxunTp9Gcz6hRo5ydnUn73bt3Ozk5VVVVOTk5zZw508XFhc1m5+bmxsfHP378ODU1VfLP7dmzJz4+vri4eMyYMT/99NOQIUPQdv709PTY2NikpCQUY9nUqyAZPHjwqFGjoqOjT5069fbt26lTp3bu3LmysvLly5dRUVELFixAesNmsw8cODBmzJi8vLxevXrNmjXLwcGBxWIVFBQ8ffo0JiZGQUGBXAGbOnVqSUnJ6NGj7e3t9fX1CYJIS0vbunXrt2/foInBShjMD02nTp1QLHBycjKPxxsyZAguwNNsqqqqQkNDbW1thRd1OBxO3759raysbty4UVRURIbTXbx4saSkZMaMGc3bndQ4ElSl3jHH8uXLJfQ2ZswY8VR9586dq3dPmKGhIWkjYbiQkpIiIS9vcXGxNJ0QDYw5CIIoKSlpaAVJJHHYkSNHGlqLtrW1Jc08PDwa8nb16tXijuExB0ZecXFxUVVVRWE979+/p9PpsvboB+bbt29UKtXQ0FAkidH9+/fRHiJy1qS8vNzKyopKpf7777+t5EyTxxzLly/X19e/ceNGWlpabm5uZWUlh8PR09Ozt7cPCAhAWapEQGs+e/bsiY2Nffv2bXV1tbq6uoODw6xZs6T5xd69e2dkZOzbty86OvrVq1elpaUcDsfExGTAgAFTpkxp6nq1OFwu9+rVq+fOnQsLC0tJSSksLGQymcbGxuPHjx82bJiwZWBgoLu7+59//nnt2rWsrCw0HjQ3N/f09ETFnBEhISEHDx68efNmZmZmaWkpg8HQ1dVF1ys8GsNg5J7u3bs/ePCgsrJSSUmpc+fOt27dMjExCQwMRMluMU1CSUlp8uTJYWFhVlZWI0eO5HK5RUVFqampCQkJdDr9wIEDFApl8uTJBEEkJCR8+vRpyZIlTQqqbxoSVKWhjIeYlgWPOTDyypYtW4yMjGJjY8mWO3fuWFtbu7q6ytCrH5fa2tpdu3b16dNHSUmJSqVyuVxbW9uFCxe+fv0aGRgbG9PpdB0dnY0bNwpncGhxcBwvBoNpLQwNDRUVFe/fv09OCDs5OT1+/PjChQseHh43btyQrXs/HHQ6feHChShrer2gCMQ2oHUWTzAYDAZAX1+/rq7u/v37wo00Gm3kyJH37t2rN10p5ocAjzkwGExroaSk9ObNG1ShQBgGg5Gfn29kZDR16lQHB4cxY8bIxD1Ms8FjDgwG01rY2trS6XQul4sqLwmjqKiYn5//4sWL+fPn29raPnv2TCYeYpoHVg4MBtOKGBsbd+7cGZWREOfy5csnTpz4+eefhwwZEhgYGB4ejsKeMO0cPFuFwWBaEWNj49GjR5MllsVxcXFxcXGxs7P7z3/+c/DgwSlTpgwaNEhZWbmysjItLQ0n5mlV5s+f/8svvzTjRKwcGAymFTExMamtrS0sLMzPz5eQMNve3v7atWtVVVXp6enXrl17+fKlvr7+0qVLJUQBY76f5lVOAqwcGAymVTE2Nn737p2Li0tCQsK4ceMkG7PZbFtbW1tb27bxDdNs8DoHBoNpRYSVQ9a+YFoMrBwYDKYVwcohl1AIsYLpJOfPn58zZ46SklJbOtQkampqqqurpbenUqmKioqt50/zqKys/PXXXxctWiRrRzCYlqekpMTQ0LC4uJjNZufk5DR7Yh3TrpCkHADw77//tpkrzSAiImLTpk1VVVVS2qurqz948KBVXWoexsbGeA8JRl5RV1fPzMz08PCYOnXqvHnzZO0OpgVoZIWcLAfbPrGysmIymdIrR3V1dTu/IgxG/kATVtOmTXv16pWsfcG0DD/2Ooe2tjYqNysl0msMBoNpKZByDBw4MC4uTta+YFqGH1456urqpLenUChYPDCYNqaoqCghIcHMzKy8vPzTp0+ydgfTAvzwytEkJWAwGKWlpa3nDwaDEYesL4SHHXLDj60cbDabTm9CMCOdTsfKgcG0MX379q2srAQAKyurkydPfn+H79+/DwoK6tOnj4aGBovF6ty5s7Oz85YtW96/f//9nYvg6+tLoVCw4InwYysHAKipqUlvTKVSS0pKWs8ZDAYjjqmp6Zs3bwDA29v7+6M6tm/f3q1bty1btqSmpmpra9vY2NTW1iYmJgYFBV2/fr0l/MU0zg+vHJqamtIbUygUPObAYNoYUjkMDAz69Olz9+7dZne1devWpUuX0mi0Xbt2FRYWvnjx4uHDh7m5uZmZmTt27PDz82s5rzGS+OGVo0kJ0QiCwMqBwbQxenp6RUVFaMLKy8vr0qVLzesnIyNj9erVFAolOjp64cKFwkHKJiYmixcvZrFYLeMxpjF+eOUwNDRskn1NTU0reYLBYBqia9euKKx4+PDhly9fbl4nf/75J5/P9/f3J6uaS+bbt28rVqwwNjZmsViGhoaLFy/++vWriE1iYqKXlxePx2Oz2ZaWlhs3bpRm08379++nTZumr6/PZDINDAxmzZqVk5MjYhMbG+vu7s7lcin/y5IlS7y8vCgUytWrV0VOmTBhAtJFaa5OxhA/OJs2bZI++prNZv/555+ydhmD6XCMHTs2MjISfe7cufP79++b0YmRkREAJCQkSGNcXl7eq1cvALC2th4/fny3bt0AwNLSsrS0lLQJDw+nUqksFsvT09PX1xftAXN0dKyqqiJtJkyYAADXr18nW168eMHj8QDAwcFh4sSJ9vb2AKCtrf3mzRvS5sqVKxQKRVNTc82aNVu2bLG2tgYACwuLRYsW3b59+8yZMwAQEBAg7HBlZaWSkpKqqmp1dXUzbk4b88Mrx6lTp7hcrpTKQaFQ1q9fL2uXMZgOx/Lly7ds2YI+q6iokJ+lp7i4GACoVGpFRYU09qgK4bp169BXPp/v6+sLACtXrkQteXl5SkpKXC43NTWVtAkMDASANWvWkP2IK4ednR0AhIWFkS1HjhwBAGdnZ7LFyckJAO7fv086r6amZmRkhL5WVVWpqalxuVxhibpw4QIABAYGSn1LZMkPP1ulpqYmfRg5QRAFBQWt6g8GgxGHXCQHgICAgGZkaUN/uVwul8PhkI0vX750FcLb2xu1EwQRGhqqrq6+evVq1EKj0ZYsWQIA586dQy3h4eFlZWUzZ87s0aMHabNjxw4mk7lv3z6BQFCvG48fP05OTrazsxNejQ8MDOzTp8+dO3eeP39OOsZkMvv27Yu+qqio9OjRIysrC01qsVisCRMmlJaWXrlyhewkMjISACZOnNjUOyMTfvjKTrq6uoTEpI0i5Ofnt54zGAymXkxNTcPCwtBnX1/fX3/9FT3HpQf9mYu8JpaWlsbHx5Nf9fT00IeMjIz8/HwtLa25c+eSR9ES/du3b9HXpKQkAHBxcRHuUE1NzcbGJjk5OS0tzcrKStyNes8CADc3t5SUlPj4eDQxpampWVRUhIYayCAvLw8A2Gw2+hoQEHDgwIGIiIgxY8YAQG1tbUxMjKampru7e1PuisyQB+Vo0qJ3YWFh6zmDwWDqxdTU9Pnz53V1dTQarV+/fp8+ffr48aOBgYH0PaD07CUlJTU1NUwmEzU6ODggRRF+RgNAdnY2AOTl5YWEhIj0w+fz+Xw+nU7//PkzAGhra4sY6OjoAEBOTk69ytHoWejrzz//vGTJkqlTp27YsEFRUfHo0aOvX7/29PQkk8w7ODiYmZldunSprKxMSUnpxo0bxcXFc+fO/VFyZv/ws1Xq6up8Pl96e/HNFRgMprXR0dGh0WgpKSno67hx4/bt29ekHtTV1XV1dQUCwbNnzxo1RnLi6elZ7xw9SjyBhi/iMxb1Dm5IpDxr8eLFQUFBFy5csLKyMjEx2bBhg6enJznqQgQEBFRWVqKdVFFRUfDjTFWBHCgHNDGMHMeQYzAywdPTMzMzE33++eef9+3b9/Llyyb1gGZywsPDG7VEI4CsrCwJNmhqKzc3V6QdtaAemn0WhUL58uWLpqZmTExMfHz8x48fr169KhK27OfnR6VST58+TRDEpUuXOnfu3L9//0YvrZ0gD8rRpDDyb9++tZ4nGAymISwsLMj6HN27d1+7du3hw4eb1MOsWbMA4MCBA8nJyY3+Fo/Hy8jIeP36dUM2AwYMAADhZRIAKC4ufvbsmZqamqWlpfRnkS1oSxUAPHr06PDhwyh0w8XFRV9fX7wrfX19d3f3uLi4+/fv5+bmomAOydfVfpAH5WjSbGlZWVnreYLBYBrC3Nw8PT2d/Dpt2rSmKoejo2NAQEBNTc2gQYMOHjxYUVFBHhKptkClUufMmYN+RXhpMyMjg8x9MmnSJHV19dDQUHL6SyAQLFu2rLa2dvr06Q2tN1hYWHh4eDx+/Pjo0aNk4/Hjx5OTk/v27duzZ0/U8vHjRwBodCJ9ypQplZWV27Ztgx9qqgrgx48EJAhi9uzZ0l8vjUaTtb8YTEckNTW1R48ewi1jx449e/Zskzqpqqry8fFBf8tsNtvc3Nze3t7GxkZBQQEA9PT0hC1dXV0BQEFBwdnZ2c3NDQX6bdiwgbSJiYlhMplMJtPT03PixImmpqYAYGdnV15eTtqIx3O8ffsWzVnZ29tPmjSpX79+AKChoZGWlkba5OXlKSsr02i0Xr16OTo6Ojk5DR069LfffisqKhK+nPLycmVlZSqVamZm1qT7IHPkQTm2bt0qfa51Op1eWVkpa5cxmA5HbW0tnU4Xbvnjjz94PF4zurp06dK4ceP09PQYDAaDwejUqVPfvn1nz5595coVYbPq6uqdO3f27NmTw+EwmcwuXbrMmDEjIyND2CYlJWXkyJFqamosFsvc3HzdunXCskHUpxwEQeTk5MycORM5oKenN23aNJGo+MTERAcHB/Hnj7m5uXD0H0EQU6ZMAYC1a9c24z7IEHlQjvDwcOHcZ5JRUFDIzc2VtcsYTEeka9euwik6CIKws7N7+PChrPxpJSIiIigUyrRp07Kzs1FLTU1NZmYmWiOJjY0VNvb09ASA9PR0WXjafORhnUNXV1f6MQeNRsPbqzAYmSCy1AEAS5cu/eOPP2TlTysRHBwMADt37iQzeTMYDBMTk4EDB8L/BiPn5ubeuHHDzs7OzMxMJq42GzlRDumrkdNoNJQAB4PBtDHiyuHt7X3jxo3U1FRZudQa1NTUEASBohFJvnz5cvLkSQqF0qdPH7JxzZo1fD6/SSu17YQfPoYcAHR1daurq6U0JggCjzkwGJlgbGx87949kcagoKAZM2aIt/+4zJ49Ozk5uX///uPHj9fX1y8tLX337t21a9cqKipWrVrVrVu333///c2bN8+fP3/69KmDg4O/v7+sXW4y8qAcysrKTUp6iMccGIxMUFJSEs7xh1iyZMmVK1du3brl5uYmE69anMDAQB6PFxwcfP78+eLiYiaTqa+vP3bs2KlTp6JrfPPmzalTp9hs9pQpU3bs2PGjZBwRhkI0JV1gu0VXV1c8qrNeFBQUdu/ePX369NZ2CYPBiFBRUdGpU6fy8nKR9ilTpqAkgzLxCtMM5GGdAwC0tLSktKytrcVjDgxGJigoKGhra5PZaklWrFhRXl5+/fp1mXiFaQZyohzSh5HX1tbipIcYjKywsrISXw83NzdfuHDhunXrbt++LQunME1GTpTD2NhYeuMvX760nicYDEYC9SoHACxcuNDb23vZsmVYPH4I5EQ5jIyMyJT9jYKLO2EwsqIh5QCAhQsX3rt3D69B/hDIw94qANDV1WWxWFKWeMKzVRiMrLCyslq3bl1DR2k0mre3t4aGRr9+/ZhM5tOnT6lUOXm7/R7mz5//yy+/yNqL/0FO9lbduXNn5MiRUi599+jRQ84ijzCYHwg6nV5dXS1hK+rLly+3bdvG4XDGjh3bpIloeUVdXZ0sJthOkJ8xh/SVAXGJDgxGhqAJK1tb24YMLC0tjx071oYeYZqMnIwEdXV1q6qqpDTGyoHByBBUIE/WXmC+CzlRDg6Hw2AwpDQWLgiDwWDaGC8vrybV8cS0Q+REOQCAx+NJaYny47eqMxgMpiH69Onz5MkTWXuB+S7kRzm0tbWltGSxWDjpIQYjK/r06ZOSkiJrLzDfhfwoh6GhoZSWDAYDJyDBYGRF7969Hz16JGsvMN+F/CiHiYmJlJa4uBMGI0MYDIaFhcXz589l7Qim+ciPcnTu3JnNZktjSaFQ8JgDg5EheNjxoyM/ymFsbKyoqCiNJUEQRUVFre0PBoNpCLzU8aMjP8rRqVMnKYMB+Xw+HnNgMDJEQUEhIiKi2ae/f/8+KCioT58+GhoaLBarc+fOzs7OW7Zsef/+fQs6iZGA/CiHgYFBbW2tNJY1NTU4dRUGI0MCAgKa/fa2ffv2bt26bdmyJTU1VVtb28bGpra2NjExMSgoCFf4aDPkRzl0dHQqKyulsaytrS0sLGxtfzAYTENQKBQHB4dm1B7funXr0qVLaTTarl27CgsLX7x48fDhw9zc3MzMzB07dvj5+bWGtxhx5Ec5AED6pGB5eXmt6gkGg5GMo6Pj3bt3m3RKRkbG6tWrKRRKdHT0woULlZSUyEMmJiaLFy9msVgt7SamfuRKOXR0dKS0xMWdMBjZ0gzl+PPPP/l8vr+//6BBg6Sx//bt24oVK4yNjVkslqGh4eLFi8WnqRMTE728vHg8HpvNtrS03Lhxo0gGPIIggoODbWxs2Gw25X+5dOkSaRMSEmJra8vhcDQ0NCZMmPDq1SvhTnx9fVksVmVl5bZt28zNzVkslqam5uTJk3NycpDB9u3bKRTKihUrRNzbv38/hUJZtGiR9HepjSDkiGHDhkl51f3795e1sxhMh+bLly+dOnVq0ilGRkYAkJCQII1xeXl5r169AMDa2nr8+PHdunUDAEtLy9LSUtImPDycSqWyWCxPT09fX98uXboAgKOjI0pQhEBPc1tb2y1btqxevbpTp04A4O7uHhQU9PbtW2Qzc+ZMADAwMBg3bpyDgwMAKCsrP3nyhOxkwoQJAODk5NS5c+c1a9b88ccfbm5uAGBmZlZTU0MQRE5ODo1GMzIyErkKDw8PAHjw4EGTblQbIFfKsWDBAimVw9zcXNbOYjAdHVNT09evX0tpjFbUqVRqRUWFNPYrV64EgHXr1qGvfD7f19cXAFauXIla8vLylJSUuFxuamoqaRMYGAgAa9asQS3l5eUsFktDQ+Pbt2+oJSEhAQCmTJlC/hBalh8yZEhlZSVq+euvv0ReT5FyaGtr5+fnoxaBQGBnZwcAMTExqGXo0KEAkJSURJ5VUFBAp9NNTEykvEVtiVzNVpmYmEg50VlaWtrazmAwGMnQ6fTg4GApjQsKCgCAy+VyOByy8eXLl65CeHt7o3aCIEJDQ9XV1VevXo1aaDTakiVLAODcuXOoJTw8vKysbObMmT169CBtduzYwWQy9+3bJxAIACAzM7O6urpnz57kmkq/fv0oFIrwPNuBAwcAYPPmzWQk8qxZs1RUVJKSksjJKMSUKVM0NDTQZwqF4uXlBQBkLH1AQAAAnDp1irSPjo4mBa+9ISeVnRAGBgYsFqu6urpRy7KysjbwB4PBSGDBggXoFV4aCIIAAAqFItxYWloaHx9PftXT00MfMjIy8vPztbS05s6dSx5Fey/fvn2LviYlJQGAi4uLcIdqamo2NjbJyclpaWlWVlZobkp4Qw0aNAirV2JiIgD89ddfwlUOkZ9v377V1dUlG0WKWaHOyXJBo0aNUlVVPXPmzK5du1AN3cjISACYOHGiVDeobZEr5dDX1xf5h9UQ5eXlre0MBoORjJeX14YNG6Q0RjsnS0pKampqmEwmanRwcECKUlxcrKamRhpnZ2cDQF5eXkhIiEg/fD6fz+fT6fTPnz9DfTm20UabnJwcKysrbW1tLy+vS5cu/fbbb35+fhUVFWgSjHya19XVIV05dOiQuM8ii+3CHsJ/1YX4b8UHNps9YcKEkJCQ27dvu7u7l5SUxMXFde/enRwStSvkarZK+mBANFva2v5gMBgJ6OnpqaiovHz5UhpjdXV1XV1dgUDw7NmzRo3R49jT07PeOXo6nQ5iD26Rc8l30LCwsGHDhq1bt65Lly5WVlZXr15dsGDB0qVL0VE0qcViser9oYEDBwr3jEYSEkATVii6/vLlyzU1Ne1zwAFyphza2tpS1pRlMpk4jByDkTmDBg2SPvDb3d0dAMLDwxu1ROOGrKwsCTZoais3N1ekHbWQW/zpdHpOTo6jo+ONGzeSkpLy8/N3795NTkwxGAwNDY3q6mo0gvlO+vXr161bt8jISD6ff/HiRQBon4scIGfKAVIHA9JoNKwcGIzMGTx4cGxsrJTGs2bNAoADBw4kJydLtrSwsODxeBkZGa9fv27IZsCAAQAgvEwCAMXFxc+ePd3mxg8AACAASURBVFNTU7O0tEQtBw8efPr06a5du9zd3fv166eioiLSj7OzMwDExMRIeRWS8ff3//r1a2JiYmxsrJ2dHdoo3A6RN+WQsjIglUrFyoHByJz+/ftfuXJFSmNHR8eAgICamppBgwYdPHhQeMK5rq5O2JJKpc6ZMwcApk2bJpxqKCMjg9wWNWnSJHV19dDQUHL6SyAQLFu2rLa2dvr06eSo4uPHjwAgIZvqvHnzAGDNmjXCFUcKCgrQoKGp+Pv7U6nUPXv2fP36td1OVQHIVyQgQRDDhw+X5qpVVFSio6Nl7SwGgyE8PT2vXLkipXFVVZWPjw/6K2az2ebm5vb29jY2NgoKCgCgp6cnbOnq6goACgoKzs7Obm5u6P19w4YNpE1MTAyTyWQymZ6enhMnTjQ1NQUAOzu78vJy0gYJm6KiooODg6Ojo7Oz85gxYw4ePMjn80kbFC1IpVJ79+49cOBAa2trOp3u4eFBGqB4juvXrwtfy/79+wFg+fLlItfo4eFBpVKpVGp2draUt6XtkTflWLhwoTTKoaSk9Pfff8vaWQwGQxw5ciQwMLBJp1y6dGncuHF6enoMBoPBYHTq1Klv376zZ88WUaDq6uqdO3f27NmTw+EwmcwuXbrMmDEjIyND2CYlJWXkyJFqamosFsvc3HzdunXCsiEQCMLDw+tNayQcDEgQxIULF9zd3VVUVOh0uo6OzpgxY4R1oknKcezYMQAYMGBAk+5JGyNvyvHnn39KEwzIYrF2794ta2cxGAxRVlamqKgoay/qZ/bs2VQqNTg4mMxZUlFR8eDBA01NTSqVSgaNtyybN28GgAMHDrRG5y2FvK1zoGDARs2qq6txWUAMpj2gqKjYv3//M2fOyNoRUaqqqkJDQ21tbefOnausrIwaORxO3759raysBAJBKz1DwsPD2Ww2GQ/fPpE35ZA+GLBFdtFhMJjvZ8aMGRMnTpSypmebwefzBQJBYWGhSOGfBw8e3Lt3T19fX/rk3NJz/PjxtLQ0Hx8f6WtGyAQKIRYI80OTl5dnbGwsTYmnMWPGREVFtYFLGAymURYvXmxgYNDe0okHBASEhYV16dJl5MiRXC63qKgoNTU1ISGBTqdHRUVJuR9HGiIjI2NiYnJycuLi4lRUVF68eEFmUmmfyJtyAACNRkOBnZJxdnaWPmcOBoNpVbKystzc3N69eydrR/4HPp8fHBx84sSJ9PT0iooKJSUlExMTV1fXOXPmoI1YLcXBgwcXL17M5/P79++/d+/e7t27t2DnrYEcKoempmZ+fn6jZt27d3/x4kUb+IPBYKShX79+s2fP9vf3l7UjmMaRt3UOkDoYsKSkpLU9wWAw0vPbb79JuaseI3PkUDlQ4bBGwYnWMZh2xeDBg2fPnr1p0yZZO4JpHDlUjq5du0pjhhOtYzDtjfXr12/fvh0VccK0Z+RQOUxMTMjiXBLg8Xg1NTVt4A8Gg5ESGo3G5XKvXbsma0cwjSBXlZ0QhoaGbDa70XTrpaWlhYWFrbEjG4PBNJusrCwtLa2QkBA9Pb2UlBRZu9NOmT9//i+//CJDB+RQOfT19aXZMEan07FyYDDtkLy8PJQydufOnRYWFrJ2pz0i8zhBOVQOQ0NDaUqRU6lU4fTLGAym/RAWFiZrFzCSkMN1DnV1dWnGHK2XdgaDwWDkGzlUDgDQ0tJq1Kaurg6POTAYDKYZyKdyGBoaNmpTXV2NlQODwWCagXwqhzQpZfh8fl5eXhs4g8FgMHKGfCqHubk5g8Fo1Cw3N7cNnMFgMBg5Qz6Vw8jIiMPhNGqGxxwYDAbTDORWOaSp74TXOTAYDKYZyK1ySJNZ5OvXr23gDAaDwcgZ8qkcnTp1kqYyJU603v55+/btggULLCwslJWVFRUVzczM5s+f//btW1n7hcF0aOSwshNCX18/Oztbsg2NRmtvpY8xwoSFhc2YMaO6uprD4Zibm9NotNevX5eWlrLZ7CNHjkycOFHWDmIwHRT5HHMAgIGBQaM2VCoVV+lot9y6dSswMLCmpmbdunX5+fmPHz9OTk7+8uXL7t27+Xy+n5/fnTt3ZO0jBtNBkVvl6NatW6M2LBYLL5K3WxYsWCAQCP7zn/+sXbtWUVERNbJYrAULFuzcubOurm7OnDmy9RCD6bDIrXKgyQ3JNjQaDaeuap88evQoNTVVVVV1xYoV4kfnzJmjr6//4sWLe/fukY3a2tpeXl4AsGvXLiMjIyqVevToUXQoNjbW3d2dy+VS/pclS5Ygg1u3bvn6+urp6TEYDFVVVQ8Pj5s3bwr/oq+vL4vFqqys3LZtm7m5OYvF0tTUnDx5ck5Ojohv79+/nzZtmr6+PpPJNDAwmDVrlrhNo/4AwM2bNz08PJSVlZWUlFxdXf/5558m+bN9+3YKhSJ+9/bv30+hUBYtWiTp7mMwjULIKREREcrKypKvXVVV9fr167L2FFMPu3btAgAfH5+GDKZPnw4AmzZtIlu0tLR69uy5ZcsWAOjRo0efPn0SExMJgrhy5QqFQtHU1FyzZs2WLVusra0BwMLCYtGiRbdv3yYIory8nMfjMRgMZ2fniRMn9u3bFwAYDMajR4/IzidMmAAATk5OnTt3XrNmzR9//OHm5gYAZmZmNTU1pNmLFy94PB4AODg4TJw40d7eHgC0tbXfvHlD2jTqD0EQJ06coFAoXC7Xy8tr0KBBbDabQqGEhoZK709OTg6NRjMyMhK5bx4eHgDw4MGDZv1vwWD+H7lVjqSkJBUVFcnKweVyT506JWtPMfWAZqLWrVvXkAGSlsmTJ5MtWlpaCgoKPB4vPj5e2NLJyQkA7t+/j74WFxerqamJPFJv3ryZk5NDfl24cCEAzJgxg2xBT2ptbe38/HzUIhAI7OzsACAmJoY0Qy1hYWFky5EjRwDA2dlZen8KCgq4XK6ent6nT59Qy5MnTxgMhqKiYlFRkfT+DB06FACSkpKEe6bT6SYmJg3dVQxGSuR2tsrIyKi2tlayTW1tLZ6tap8UFxeDxPI16JBIRE5FRcW8efNcXFyEG1++fMlkMtFIAgBUVFR69OiRlZUlPInk5uYmXONr8uTJAJCRkSHyo1OmTNHQ0ECfKRQKmhx7/vw5akFr+HZ2dn5+fuQpgYGBffr0uXPnDmnWqD8RERGlpaVLlizR09NDLba2tp6enuXl5VevXpXen4CAAAA4deoUaR8dHc3n8319fcXvJwbTJOSwshNCR0en0fpOVVVVBQUFbeMPphkQDW8ZR4fEMwV4e3uLtGhqahYVFaFXe9SCss7UW6y+oqKipqYGpa4RL0hsa2sr/LVTp04A8O3bN/Q1KSkJAER0CwDc3NxSUlLi4+PRxFSj/iQmJgJAXFxceno62QkKYcnMzJTen1GjRqmqqp45c2bXrl1UKhUAIiMjAQDvZsZ8P3I75oD//iFJgCAInPSwfYKeqhKC/NEh8uFLYmxsLNLy888/A8DUqVNfvHjx7t27//znP69fv/b09BQe0Pzzzz+DBg1CwYZqamrdu3eX4BUJ0i1S3j5//gwA2traImeh0Qw5pGjUHxSHdPny5RAhXr58CWJiJtkfNps9YcKEz58/3759GwBKSkri4uK6d+/eo0ePeq8Og5EeuR1zAIC+vj76Y5ZAowYYmWBmZgYAaWlpDRmgJ6n4I57JZIq0LF68uLCwcPPmzRcuXEAtnp6ewsVKT5w4MXnyZFVV1YULF9rZ2XG53OzsbDRhJQJ6c28IkQc3icjwqFF/kP29e/ccHBwk/Fyj/gBAQEBASEhIRESEu7v75cuXa2pq8IAD0yLIs3KYmpqmpKRItsnPz28bZzBNwtnZGQBiY2MrKyvF0x7X1dWhXapoQ5FkKBTKly9fNDU1Dx8+zOVyTUxM9PX1hQ02bNgAAKdOnfL09EQtT58+bYbPaFlCfBSLWsh1lEb9QZZZWVmNKkej9OvXr1u3bpGRkfv377948SIA4EUOTIsgz7NVFhYWjb6U4XWO9omtrW2vXr1KSkq2bt0qfnTfvn2fPn2ysbEh15kl8OjRo8OHDy9ZssTLy8vFxUXkMQ3/XUIQXp9onnIMGDAAAOLj40XaUQvaUiWNP0g1Y2JimuGDOP7+/l+/fk1MTIyNjbWzs+vSpUuLdIvp4MizchgbGysoKEi2aVRaMLJiz549VCp1/fr1GzZsqKioQI01NTXBwcGLFy+m0Wj79u2Tpp+PHz8CgIQEZZqamgDw5MkT9DUnJ2f9+vXNcNjCwsLDw+Px48dkBCIAHD9+PDk5uW/fvj179pTSn8mTJ6uoqJw6dSoiIoJsrK6uPnnyZDO88vf3p1Kpe/bs+fr1K56qwrQYstsQ3OokJSWhh4IEFBQUZO0mpkHCw8NZLBb639SrVy+0CAEAHA7n9OnTIsZaWloAUFtbK9Kel5enrKxMo9F69erl6Ojo5OQ0dOjQ3377jYyN2LRpEwCoqKj4+fmNHTuWy+VOnjxZXV3d3t6e7ATFT4jEje7fvx8Ali9fTra8ffsWzVnZ29tPmjSpX79+AKChoZGWlia9PwRBREdHows3NTUdOHBg3759FRUVaTRaU/1BeHh4UKlUKpWanZ3d2C3HYKRCnpUjOzu70TEHhULh8/my9hTTIP/++++8efPMzMwUFRUVFBS6des2b968t2/fils2pByJiYn1LhiYm5tXVVURBFFbW7t+/XojIyMWi2ViYrJhwwY+nz9kyJBmKAdBEDk5OTNnzkSJTPT09KZNm/b+/fsm+YN49uzZhAkTtLS0aDSampragAED9u/f3wx/CII4duwYAAwYMKDBu4zBNBF5Vg6CIOj0RrYAKCgo5ObmytpNTGsRERFBoVCmTZtGvm7X1NRkZmaiNYnY2NiO4M/mzZsB4MCBA63ROaZjIuez/MKBwfVCp9Px9io5Jjg4GAB27typq6uLWhgMhomJycCBA0EWO+tk4k94eDibzRaPkcRgmo2cK0ejO0moVCpWDjkGpf8TqfH15cuXkydPUiiUPn36yL0/x48fT0tL8/HxkZDKBYNpKvIczwEAVlZWKIC2IQQCAVYOOWb27NnJycn9+/cfP368vr5+aWnpu3fvrl27VlFRsWrVKmmKuPyg/kRGRsbExOTk5MTFxamqqqJdABhMSyHnymFhYcHhcCorKxsyqKmpwcohxwQGBvJ4vODg4PPnzxcXFzOZTH19/bFjx06dOlWaKMIf15/CwsJz587x+XxXV9e9e/eSyRMxmBZBbuuQI2JjY318fEpKShoyoFAoq1evbt7+fQwGg+mYyPk6R9euXevq6iQYEASBIrMwGAwGIyVyrhwmJiZlZWWSbcSLfWIwGAxGAnKuHACAAsQkgKojYDAYDEZK5F85jIyMJBsUFha2iSMYDAYjJ8j53ioA6N69+4MHDyQYoMKlGFmRm5u7YsWKy5cvi5dpwrQZ8+fP/+WXX2TtBeaHoUMoB5PJrKmpacig0YUQTOuxfPnyw4cP29nZ7dy5s3///rJ2p+OC4wQxTUL+laNr164cDkeCcrDZ7IKCAg0Njbb0CgMAgYGBKKAa5YXFYDA/Ch1COSTHrDCZzPz8fKwcbczly5dRNJysHcFgME1G/lfIu3TpUl5eLsEAp66SCceOHTt06JCsvcBgMM1B/pWDxWKhckANgVNXtT23b9++d+8eWSYPg8H8WMi/cgBA586dJRytra3FytHGvH79WldXF9fExmB+UDqEcpiZmUk4WlVV9eXLlzZzBgMAd+/enTdvnqy9wGAwzaRDKIeNjQ2NRmvoKEEQnz59akt/OjgVFRXnzp3z9/eXtSMYDKaZdAjl6Nq1q+SC5CKVdjCtyk8//eTk5CRrLzAYTPOR/125ANC1a1cqVZJGCgSCNnMGk5qaev78eVl7gcFgmk+HGHN069ZNQiQgAKSlpbWZMx2cV69eMZlMKyurRi319fUp/4XD4Ziams6YMSM9PV3YxtfXl0KhxMXFtZq/7YUDBw6gWjKydgSDAeggyqGkpCQ5SrmoqKjNnOngREVFjR07Vnp7d3d3T09Pc3Pz3Nzc0NDQnj17Xrt2rcW9yszM3LZtW4t3i8HIKx1COQDAxMREwtHKyko+n99mznRkmqocp0+fvnr16pMnT7KzswMCAqqqqn7++efa2tqW9Wr//v1YOTAY6ekoymFjYyPhKIfDwVU62oDExMT09PRevXo141wVFZWQkBBtbe1Pnz4lJye3oFcVFRUREREt2CEGI/d0FOWwtbWVMGFFp9M/f/7clv50TO7cuePr69vs01ksFnoDeP/+vXA7lUoNDQ21trZms9mampqTJ08m6zxu376dQqGsWLFCpKv9+/dTKJRFixaFhYUZGRnl5OQUFhaiNRVzc3PS7P3799OmTdPX12cymQYGBrNmzRKpIFlTU7Njxw5bW1sul8vj8ezs7NauXSv8FlJWVrZ27VoLCws2m83j8UaNGiUie+np6RQKZc2aNZcuXXJ2dlZRUVFSUnJ1dU1ISBC/AzQaLT4+3s3NTUlJicvlDhw48N69e+hQSkoKhUJxcHAQOeXVq1cUCgWH62NaGKJjEBsbq6Ki0tBNUFFRuXz5sqx9lH9GjhwZHR0tpbGenh4A5OfnCzcOHz4cAKKiotDXCRMmAMDIkSMZDMagQYPGjx+vo6MDAGZmZjU1NQRB5OTk0Gg0IyMjkc49PDwA4MGDB9HR0Rs3bgQABQWFzZs3b968+eDBg8jmxYsXPB4PABwcHCZOnGhvbw8A2trab968IfuZOnUqABgZGfn4+AwePFhTU5NGo3348AEdLSsrQwOsrl27+vr6Dh48mMlkMhgM0n+CIF69egUAPB6PTqf7+fnt2bNn+fLlKioqDAbjxo0bpNn+/fsBYNiwYXQ63c7ObsKECd27dwcANpudkZGBbCwtLQHg7du3wle6YcMGANi6dauUtx2DkYaOohwfPnxQVFRsSDkUFRUPHz4sax/lHwUFhfLycimNxZWjqqqqU6dOAJCZmYlakHIoKio+fvwYtXz9+tXY2BgAYmJiUMvQoUMBICkpieynoKCATqebmJigr2jVhMfjiThgZ2cHAGFhYWTLkSNHAMDZ2Rl9LS4uplAoXbt2ra6uRi18Pj85OZm0X7p0KQBMnz69rq4OtTx9+lRZWVlFRaWoqAi1IOUAgD179pAnPnjwAI1+yBakHABACltdXd348eMB4Ndff0UtW7duBYBNmzYJX4WtrS2FQsnKymrwRmMwTaejKAdBEAwGoyHloFKpv//+u6wdlHOSkpL69esnvb2IcpSVlQUGBgKAm5sbaYOUY/bs2cInrlq1CgA2btyIvp46dQoA5s+fTxocPnwYAFauXIm+1qscjx49AgA7OzsRr/r06QMAz549IwiitLSUQqF069aNz+eL+8/n81VVVRkMxtevX4XblyxZIqwTSDk4HE5lZaWwGQqWJBURKYeFhYWwzfXr1wFg0KBB6Gt2djaVSrW2tiYNMjMzAaB///7i7mEw30NHWecAiXkPBQLBhw8f2tKZDkhcXNzAgQObetZPP/00fPjw/v37a2lpHT161MTEBL34C4Oe5iS6uroA8O3bN/R11KhRqqqqZ86cIeM9IyMjAWDixIkSfjcpKQkAXFxcRNrd3NwAID4+HgCUlZUHDRr0+vXr4cOHi4cEvXr1qri42NraWlVVtaEeSLp27cpms4Vb0MoEEjApr1RXV3fQoEHPnz8nxzHSXCkG0ww6kHKgWeCGwMrR2oSEhAgvPktJbGzsP//8k5aWZm5uvn79+idPnhgZGYnYaGpqCn9F+QKI/5bzYrPZEyZM+Pz58+3btwGgpKQkLi6ue/fuPXr0kPC7aMeEtra2SDtaRyHXyU+ePDlq1Khr16716NFj8ODBwnogZQ8IZWVlETO0xFJQUCD9lQLAlClTAIDcKhYZGUmj0by9vSVcKQbTDDqQcvTq1UtCDhKRv2RMy8Ln83NzcydNmtTUE/Pz8wUCQUlJSUpKypo1a+ottSLyti5OQEAA/Pd5evny5ZqamkZfwykUCvzvQxmBWtBRAODxeBcuXLh///64ceNu3Ljh6uq6aNGiJvWAEA8nqjfAqNErHT16tIqKCrrS7Ozshw8furm5aWlpST4Lg2kqHUg5unfvrqSk1NBRXKKjVbl//37//v1l9ev9+vXr1q1bZGQkn8+/ePEiADS6ORitsuTm5oq0oxY0biCxt7c/d+7cw4cPDQ0Nd+/ejaLcm9SDeJ5/lIUT7QiQHjab7ePj8++//z5+/BjtEcBTVZjWoAMph+Spkq9fv7aZJx2Qe/fu9evXT4YO+Pv7f/36NTExMTY21s7OTrioFI1Go1AoInHpAwYMALHVCLKl3ly/vXv3RoEjKMbCzMxMS0srNTVVJLdNvT28f/9eWGMIgkDxHL17927qlaIB1uXLl69cucJkMpsUsY/BSEnHUo6ysrKGjvL5/Kqqqrb0p0PRHpSDSqXu2bPn69evIq/hFApFU1OztLRUOMDQwsLCw8Pj8ePHR48eJRuPHz+enJzct29ftHz97t07kQyMT548gf+uRlAolHnz5vH5/CVLltTV1SGDFy9ehISEKCkpTZ48WfhEgiCWLVtGmoWEhGRmZlpYWNja2jb1Sh0dHU1NTePj42/dujVkyBCR9XkMpkXoEFnWEQwGg8fjNTQrxWaz8/LyDA0N29irDsK9e/f27dsnQwcMDAzc3NwuXrxIpVLRXl5hRo0adfDgQVdXVxcXl7KyMrQlKTQ01NnZeerUqSEhIV26dHn37t29e/c0NDRILUlLSxsxYoS5ubm1tTWDwUhNTX3+/Lm+vj6pTMuWLbtx48bRo0cTEhLs7OyKi4tv3brF5/PDw8NFpqE8PT2fP39uZmZmZ2eXk5OTkJDAYDCCg4Obd7H+/v5r164VCAR4qgrTSnSgMQcAdO3ataFDOAFJ65GVlcVms8V3GbUxfn5+AoHA2dkZbWYVZtu2bT4+PqWlpWfOnCEnl4yNjZOTk2fOnPnp06ezZ89++PBh2rRpjx49Ijfp2dnZLVy4sKam5sKFC+fPn6+qqpo5c+b9+/fV1NSQAZPJvHr16saNG1Hc+MOHDwcOHJiQkCC+ylJTU3Pz5k1nZ+e4uLiUlJQBAwbcuHHD3d39e65UQUFhxIgRzesBg2kEmUWSyII5c+Y0dB9UVFSkT4yBaRIrV6709PSUtRfE5s2bAeDAgQOyduR/QLEXjo6OLdgnWmjx9fVtwT4xGGE61pjDxsamobKytbW1eMzRSpw/f37MmDGy9gLCw8PZbHZHCG44fvw4APj5+cnaEYzc0rGUw9zcnMlk1nuosrISh3S0EllZWf7+/rL14fjx42lpaT4+Purq6rL1pLVJT08/duyYsbHxkCFDZO0LRm7pQCvkAGBhYaGsrFxcXCx+iCAIrBytQXp6uqGhIYfDkcmvR0ZGxsTE5OTkxMXFqaqqbtq0SSZutAHp6ekbN26srKy8evVqRUXF3r17JcS9YjDfScdSjk6dOtUrG4iMjIy2dKaD8OTJExkWhygsLDx37hyfz3d1dd27dy+KzpNLampqrly5UlpaampqunnzZpSOHoNpJSiEWHYE+cbO7v/au9OApo71YeDPSUhYEggCAr0sCoqAVMAqe5FVxeKKG7YiuFT0arXWpdaltlq33m789VatvYLaKmq1LmDFghVERFBEBaGKiICAQtl3Es77Yd6ee24CyBIIy/P7xJkzM5mkNk9m5syM3Z07d1q8NWzYsKysrB5uT7+3fv16PT09skEsQqh/GHD9WdlD0xiyO0CgrktJSenc8bEIoV5rwEUOOzu71navqqmpaXGbOdQVGDkQ6n8GXOSwtrbmcrkt3lJTUyPbzCF5ycrK0tDQwA0wEOpnBmLkqKysbPEWl8vFyCFfx48fby1OszU0NPRAYxBC8jKwnq0CAA6HY2homJeXJ3uLpun8/Pyeb1I/pqys3PbWSTRN+/j4UBSVnJzc71da9CqrVq364IMPFN0K1FcNuMgBANbW1i1Gjvr6euxzyFdaWlobWydFRERMnTrV0dHRwcEhKChI6qhU1K0wTqOuGIiRw9nZOSoqSnYyvLGx8dmzZwppUn+Vnp5OjqyQderUqf379zNngyOE+pABN88BALa2tgKBoMVbT58+7eHG9G9paWktHvd98eLF3bt3f/LJJz3fJIRQ1w3EyGFtbd3a07e5ubk93Jh+LCMjw9zcXHYPjOLi4ry8vEGDBr3zzjsKaRhCqIsG4miVoaFhayvnX7582cON6cfS09OtrKxk03NycjZt2sQ+gA8h1LcMxD4HAJiZmbWYLnVkNOqKFoeq0tLSpk+ffv78eVzkgVDfNUAjh52dXYvpPB6vpKSkhxvTX7XY59i4ceMPP/zg4eGhkCYhhORigEYOBweHFo94UlZWxiUd8hIXFyc1KhgZGZmfn4/buCLU1w3QyGFtbc3j8Vq8hUs65IXP50uNVn344YdLly5VVHsQQvIyQCOHra2thoaGbLpYLMbRKnkpKCgwNzdnLnNycsRicRtHwSOE+ooBGjn4fD5FUbLpNTU1Dx8+7Pn29D9ZWVmmpqbsR3K/++67Dz/8sP01GBoaUn9TVVU1MzNbunRpZmYmO4+/vz9FUdHR0XJrt4xff/1VXV39//7v/zpU6uDBgxRFbdmypZtahZBiDdDIAQDOzs4tpqenp/dwS/qlP//8k93hAICQkBAnJ6eO1uPp6Tlx4kQLC4vCwsLDhw+PHj06KipKfs18vfv371dXVycnJ/fkiyLUyw3E9RyEh4fHxYsXa2trpdJxAxK5kIoc9+/fBwB7e/uO1nPq1CkdHR0AqKioWL169dGjR5csWZKdnd3aNJXcrV69evDgwdOnT++Zl0OoTxi4fQ4HB4cWv31whlwupCLHgwcPAgICulKhSCQ6dOiQvr5+fn5+T/YABg0atGLFin58gDlCnTBwg3lpXgAAIABJREFUI4eNjU1NTY1sekNDg2xHBHWUVOQ4duzYggULulinsrKyjY0NAEitP+dwOIcPH7a2tlZRUdHV1Z0/f35BQQG59dVXX1EUJbvr4oEDByiKWrNmDbk8d+6cm5vb4MGDhUKhlZXVsmXLSCcJWpmxeP78+eLFiw0NDfl8vpGR0bJly5hXbEN1dfW2bdssLS1VVFS0tbWnTZsmGwIfP37s7++vq6vL4XAolrFjx06ePJmiqCtXrkgVmTt3LkVRFy5ceG0DEJIbegAbNWqU7AeioaGRlpam6Kb1efr6+oWFheTv1NRUXV3djtZAfuYXFxezE8lakHPnzpHLuXPnAsDUqVN5PN748eNnzZr1xhtvAIC5uXljYyNN0wUFBVwud+jQoVKVe3l5AcDt27dpmj527BgAiESi6dOnT5s2bfjw4QBw4sQJkvPAgQMAsHnzZqZsWlqatrY2ADg6Os6bN8/BwQEA9PX1nzx5wuSRLVVdXU1O1R0+fLi/v/+ECRP4fD6Px2PeC03T+fn52trafD5/+fLlX3/9NdmgXktLa/ny5aGhoadPnwaAwMBA9hupq6sTCoWampoNDQ0d/YQR6rQBHTlWrlwp+4SVSCSKiIhQdNP6toqKCg0NDeZyyJAhH3/8cUcrkY0c9fX1gwcPBoCnT5+SFBI5BAJBSkoKSSkrKzMxMQGAS5cukZRJkyYBQEJCAlNPSUmJkpKSqakpuSRf6EydNE2npKQwX8SyMYBsQHDs2DEm5ciRIwDg6urKpMiWWr9+PQC8//77EomEpKSmpqqrq4tEotLSUpJCejZ79uxhSnl6enK5XNK2+vr6QYMGaWho1NfXMxnOnz8PAAsXLmzfh4qQfAzc0SoAGDdunLq6ulRiQ0MDTpJ30f3794cNG0b+JiNLe/bs6WKdNTU1y5cvLy4u9vDwMDU1Zd9asGDB6NGjyd+amprvvvsuADx48ICkBAYGAkB4eDiT/8KFC2Kx2N/fn1ySR4fZk16jR4/m8/ktNiMlJSU5OdnOzo49bbNw4cKxY8feuHGDeVEpEonk8OHDPB7vyy+/ZJ5UtrGxCQ4OrqioOH78OEl59OgRALi6ujIFXVxcJBJJYmIiACgrK8+dO7eysvLy5ctMhrNnzwJA2wcvIiR3Azpy2Nvby54sVF9f//jxY4W0p9/Yv3+/srIy+fvs2bMzZ87sdFXvvfeer6+vs7Oznp5eaGioqakp+YHPJnWY4D/+8Q8AqKqqIpfTpk3T1NQ8ffo0899a6tvWz88PALy9vX/77bfXtichIQEAxo0bJ5VOduKKjY1tsVRGRkZ5ebm1tbXUPo9SpXR1deF/N2wmf6uqqpJLEgVPnjxJLpuami5duqSrq+vp6fnaliMkRwM6cgwZMkT29AgAyMjI6PnG9CdKSkrMGdfnzp0jX82dc/Xq1d9++y09Pd3CwmL79u337t0bOnSoVB7yhcsg/03pv7fMUlFRmTt3blFR0fXr1wGgoqIiOjraysqK2Rnl448/3rRpU3Z29jvvvDNy5MiwsLA2TiosKioCAH19fal0Mr/S2jx5O0sFBQVxudzNmzfHxcXl5eWFh4f/9NNPgwcP9vb2JhkcHR3Nzc0jIiKqq6sBICYmpry8fPbs2Vwut7UGI9QdBnTkAABbW1vZRByt6qKnT5+S0arCwsLMzEwXF5dOV1VcXNzc3FxRUXHnzp2tW7e2uGeMiopK25Wwf6pHRkY2Njayh3c4HM7OnTuzsrI+/PDD3NzchQsXurm5ka9mWWRijJY534WktLgxQftLOTg4nDhxoqCgwM3NzdjYeN68eUZGRpGRkewx1cDAwLq6OvIk1blz5wCHqpAiDPTI4eXlJft7rbCwUCGN6TeysrLIE0qfffaZkZGRopsDTk5OI0aMOHv2rFgsvnjxIgAwkxyMIUOGfPvtt1lZWd7e3vHx8Tt37myxKjJvL/svhKSQPkRXStXV1dXW1h48ePDatWuPHj3KyMiQOhEgICCAw+GcOnWKpumIiAhjY+PWdkNAqPsM9Mjh5OQkFAqlEsVicWVlpULa0w+Ul5dLJBLy3Gp5eXkvOWx8wYIFZWVl8fHxV69etbOzYybwpejr6+/btw8Abt261WIGNzc3aGk+g6S8/fbbLZYyNzfX09N7+PCh1NFhUqUkEsnq1avHjx8fHBzs4eFhaWkp24kxNDT09PSMjo5OTEwsLCwkiznafOsIyd9AjxwODg51dXVSiVpaWnggeacxQ1UAcOXKFR8fH8W2h1iwYAGHwwkJCSkrK2MP7zQ2Nl67do09jnTv3j2QmTthWFpaenl5paSkhIaGMonHjx9PTk62t7dnHvGSQlHUypUrxWLxunXrJBIJSUxLSzt06JBQKJw/fz5JqaqqqqioEIvFbb+XoKCgurq6L7/8EnCoCinIwN23itDQ0Bg6dKjUw1S1tbWZmZmyJ6Gi9mCGqm7dumVlZdXizETPMzIyIjuVcTgcsgqEaGxs9PLy0tfXt7e319TUzM3NjYuL4/P5bWzre/jwYVdX10WLFh06dGjYsGHPnj27deuWjo4OO5bI2rBhQ0xMTGhoaFxcnJ2dXXl5+R9//CEWi8kcOMmjqalpb29/9epVc3NzkqiiojJy5MgVK1awF+TPmDFDXV394sWL5ubmrcUqhLrVQO9zwN9PRrLV1NSQJ+tRJzB9jt7T4SACAgKam5tdXV3JY7uEqqrq3r179fX1Y2JiwsPDnz17NnXq1Li4uDYmD0xMTJKTk4ODg/Pz88+cOZObm7t48eK7d++OHDmyjVfn8/lXrlzZuXMnWTeelJTk7e0dFxfHnnHJyckZMWIEADx+/PjmzZs3b96MiYnZt2/fmDFj2P8g1dTUZs6c2dzcLDtbg1APUeAqxF7i4sWLsr+Lp02bpuh29VULFy48cuQITdNmZmZXrlxRdHP+a/fu3QBw8OBBRTekZU+ePNHQ0LC3t09LSxOLxTRNi8XioqIisrnWpk2b2JknTpwIAJmZmQpqLBrosM8Bnp6eslMd3dfniI+P19bW7vSP8Z9++omiKENDQ/m2So5In6OkpOTZs2fkC66X+Omnn1RUVGbPnq3ohrQsLCyssrJyy5YtVlZW5Hk/Lperp6dHVsMUFxczOQsLC2NiYuzs7KROQEGox2DkAIFAILWbBQB0aIZ87Nix7G1NlZSURCKRlZVVUFAQWX3Gdu3atdLS0qioqLKysi62vHci8xxJSUkTJkxQdFv+6/jx4+np6XPmzNHS0lJ0W1rW2NgIAPn5+exEsVi8f/9+AGA/m7t161axWLx8+fIebiFC/6XoTk+vsHbtWqnF5GpqagUFBe0sPmbMGACgKIrL5XK5XKmnJKU2+3vw4MFbb721dOnSzjWV7HFkYGDQueLdraqqisfj0TS9fv36L7/8UtHNoX/55ZfAwMDx48dTFKWpqZmfn6/oFrUqLS1NTU1NSUnJz8/v008/3bhxY2BgIFl27u7u3tjYuGPHjgULFpC1q46OjmRECyGFwMhB0zQdHR0ttaGQpqYmeVizPUjk2LZtG5NSVlb2+++/M0fgJSUlyaupvTxyxMbGkg3Vx44dm5ycrOjm0IcOHRIIBMrKyh4eHr1/8/wHDx7MmzfPwMCAx+Px+XwDAwNfX9+wsLCmpiaaphcsWMDn8zU0NIKCgv766y9FNxYNaAP9qVzC09OzvLycndLQ0PDnn3/KPnbVTpqamt7e3mPHjtXT02tsbIyOjpZaCdxf1dTUjBkzpqamJiMjQ2ojQoVYunTp0qVLFd2K9ho1atSJEydau3v06NGjR4/2ZHsQag3OcwAAUBQl9Vx8XV1daztmt5+mpiY5K4J9+GAbU9y//PKLj4+Pjo6OiorKsGHDVq1a1aGjbdtZXCKRfPfdd2PGjBEKhZSMn3/+efDgwRRFhYWFyZbdtm0bRVEtbvZFPHv2zMTE5Pr16+7u7u1vOUKob8HI8f9NmzZNSel/emBkLXFX1NbWkpn21+4sJJFI3n333dmzZ0dFRdXU1Kirqz979mzfvn1WVlY3btx47Qu1vzhN07NmzVqzZs2jR4+cnZ0nTJigpqZGbmlra9vb2xsbG5NVAuwDLRhki702lhHk5OQMHTr0xx9/zMnJeW2zEUJ9FEaO/2/ChAkCgYCd8vTp007XJhaLU1JSZs2aVVdXN3PmzHfeeaft/Hv27Dl58qSGhsbZs2erq6uLi4uLioqCgoIqKipmzpz56tUreRWPiIg4f/68qqrqnTt3rl69GhUVlZKSIhAIOBzO9evXb9++7erqSg4Mj4mJKSkpYb9KVlZWWloatCNyjBgxouunjiOEei9FT7T0IswmEIShoSGZmXwtMkPO4/EEAoFAIFBTU+NwOBRFeXt7HzhwoLm5mZ1Zdoq7qqqKLEUMDQ1l52xubiazIxs3bpRX8SVLlgDAggUL2DkXLlwIAOvXr2dSLC0tAeDAgQPsbHv37gUABweHNj4KOzu7pKQkFxeX+Pj4NrIhhPo0nCH/Lzs7O/Y5nVVVVSkpKczzUa/V1NTU1NTETsnPz8/NzWW+2VsTHR1dWVlJDiBip1MUFRQUlJycHB4eTtY/d704OUSIzL4wjI2NASArK4tJWbBgwSeffBIeHr5s2TIm8ddff4U2Oxzwd58jISGhPVt/nz59OiIi4vbt22pqarm5ub12pUUftWrVKuZ8LYTkCyPHfy1evDg+Pp7ZX72xsfHOnTvtjxzbtm377LPPAICm6crKyuTk5J07d+7evfvYsWNxcXGyiw0ZZELF3NycOTSUQebtc3JyiouLpbpEnStOtoCVGv4il+zwNn/+/M2bN9+4caOgoIDs8lRQUHD79m0OhzNnzpzW3khNTU1tbW1WVpajo2PbW38nJia+8847urq6Li4uPj4+FhYWurq6NjY2bRRBHYWRGHUfjBz/5efnx96aoq6u7saNG//85z87Wg9FUSKRyNvb283Nzd7ePjU1df369eTs6xb99ddfAKCjoyN7i0l89epVa5GjQ8WnTJkSFhZ25syZTz/9lKwye/Xq1ZkzZwCAvSEKcwjE6dOnya6x58+fp2laartAKaTDcfPmzTYOATx37tycOXNUVFRWrVq1a9eu1rIhhHoznCH/H5MmTWJfJiUldaU2Ho9HNh367bff2sjW2lGj7MQ2fsJ3qPj06dOnTJlSUlJiZWU1f/78gIAAKyurkpKSiRMnSm3oRKa4mSes2j9U1UbkSEhI2LFjR0hISGFhIYYNhPoujBz/Y/HixexBm+zs7Ba/kduP/K4nR4S2loeMILG3tGMwia11ODpanMPhnDt3ztPTs7S09MSJE2fOnNHT09u9e/elS5ekTtX18/MTCoVJSUl5eXnl5eXXr19XUlKaNWtWG2+WRI5Lly5ZWVnJ3q2trQ0ICNi/f/+KFSvYB2sjhPocjBz/Y8aMGdXV1cylSCS6e/duVyok6zlUVFSYZROyyBNQjx8/Zi8YJFJSUgDAyMiojcjR0eIhISHXrl3bsmVLc3NzfX19Wlraxo0beTyeVFmBQDBz5kyapi9cuHD16lWxWOzp6dlGMwAgJydHXV3dyMjIzMxM9q5QKIyMjGxjIAsh1Fdg5JDGHrBqamoiX76d09jYSKY3WjuemvDw8NDV1W1oaPj555/Z6TRNk4XcM2fOlGPxL774gsvlfvrpp69tPxmwIss+4HVDVQAQFxf3+PFjBwcHqfSKigqKoqqrqy0sLF77ogih3g8jh7QlS5YwA1a1tbXtWcIti8yu+/j4ZGRkAMD69evbyKysrEy+x9euXXv69GlyDHVxcfHixYtTUlJEItFHH30kr+I0TdfX10skktOnTxcXF5eXl5eXlzc0NLRYs4eHh5GRUXJy8vXr1/l8/owZM9p+1zwer6amRmqHridPnujo6NTV1bXR60II9TEKWkfSq7FH/G1tbV+bX2qXdXZxiqL27NnDztziZrfNzc3MQ1wqKiqDBw8mu76rqalFRUXJsThN0+SMOSnGxsaff/55Y2OjVOZNmzaRDFOmTHnt52Bvbz969OjY2FgmZfz48TY2NkVFRa8tixDqQ7DP0YKAgADm2z89PV12/qBFNE1L/sbj8YyMjN59992EhISPP/74tWUpivr3v/996dKliRMnqqmpVVZWDhkyZPny5Y8ePWrP+UjtL56dnV1ZWSk1GQ4Aubm527ZtW7FihVQ6s4lIe468zsvLy8jIYPoca9ascXJySk1N1dPTe21ZhFBfoujQ1Rvl5eUxe1iRzaAU3SL5ePTokVAoFAqF4eHhlZWVJFEikRQVFW3btg0AeDyeVLfj/v37AKCmplZVVdV25U1NTVwu18rKilzu3r3byMioO94FQkjhsM/RArIOjqyBqKysbGMRX9+yZ8+e6urqrVu3zp07l3kulsPh6OnpbdmyhcvlNjU1SR2je/LkSQCYPHmyUChsu/Lc3FxNTU1mHfiWLVuePXvW6abq6OjI7gBPsDdE6XMOHjxIUdSWLVsU3RCEugTXkLds165dsbGxZCeSK1euKLo58pGdnQ2trDbPy8uTSCRKSkpkdQjR0NAQGhoKAO05HCkvL495emry5Mk6OjqyY2LtZ2trK3XWFml/WVmZSCTqdLUIIbnAyNGyN998880330xISAAAsVickZFBto/t00aOHBkfH//vf//bx8eHvYnI3bt3yR66M2bMYPoilZWVwcHBL1++tLW19fT0fG3lubm5YrF4w4YNNTU1HA7n+++/70pTo6OjpVJevXo1YsQIDQ2Ntp80Qwj1AIwcrdqzZ8/UqVPJQ6u//fZbP4gcmzdvvnTpUkpKytChQ21tbTU1Nevq6p4+fVpYWAgADg4O5Ot+yJAhYrH45cuXEomEz+cfOXKk7e0Libt37w4aNEhZWXn16tXKyspk2xU52rBhQ0VFxTfffIPz7QgpHM5ztMrV1ZUsamtoaIiLi1N0c+TA2Nj43r17GzZssLCwyMjIiImJuXfvnkAgmDVrVnh4eEJCAhnI0tHRKSkpUVZWdnNzu379utQ5u61JS0szNTVdsWJFRkbG6dOn5dvyW7duHTt2zMrKSmrbcJqmQ0NDnZyc1NXVhUKhi4tLi+d4P3/+fPHixYaGhnw+38jIaNmyZWS3eUZjY+PXX39ta2uroaGhra1tZ2e3bdu2ly9fMhmqq6u3bdtmaWmpoqKira09bdq05ORkdg2ZmZkURW3dujUiIsLV1VUkEgmFQnd39xb/5XC53NjYWA8PD6FQqKGh4e3tfevWLXLrzp07FEU5OjpKFcnIyJA98xghhVH0FH2v1tzcTD4lkUh0//59RTenVzMxMfHz83vzzTflXrNEInnrrbcA4Nq1a1K3goODAUBbW9vPz8/Pz4/sK7527Vp2nrS0NG1tbQBwdHScN28e+TWgr6//5MkTJs+iRYsAYOjQoXPmzJkwYYKuri6Xy83NzSV3q6urSQOGDx/u7+8/YcIEPp/P4/HOnTvH1ECWfGprayspKQUEBISEhHz88ccikYjH48XExDDZDhw4AADvvPOOkpKSnZ3d3LlzyR5fKioqf/75J8kzcuRI+HvPNMaOHTsAYO/evfL4RBHqKowcrxEfH6+pqUlRVHuWwg1kQqFwyJAh7733ntxrJt+2c+bMkUqPjIwEgNGjR5eXl5OU0tJS8nAX+8uarC85duwYk3LkyBEAcHV1JZfl5eUURQ0fPryhoYGkiMXi5ORkJj/ZAuD999+XSCQkJTU1VV1dXSQSlZaWkhQSOQAgJCSEKXj79m2KoiwsLKTeCwD88MMPJEUikZB9JJmAR85e3LVrF/vN2traUhSVk5PTkU8Ooe6CkeP1duzYoa6uLhAI8vPzFd2W3ouiKCsrq7i4OPlWW1JSoqWlJRAI8vLypG5NmTIFACIjI9mJERERADBjxgxySTastLOzkyo7duxYACD9yMrKSoqiRowYIRaLZRsgFos1NTV5PF5ZWRk7fd26dew4QSKHqqpqXV0dOxvZsiwlJYVckshhaWnJzvP7778DwPjx48nlixcvOByOtbU1k+Hp06cA4Ozs3NqnhFAPw3mO19uyZcvKlSspisJ9Xlvz6NEjADAxMXF1dZVvzZs2bSotLd28ebOhoaHULfLkm9Qruru7UxQVGxvLzjNu3Dipsh4eHgBAsqmrq48fP/7x48e+vr7p6elSOTMyMsrLy62trTU1NVurgTF8+HAVFRV2CpmZkNpxmcQtBnnOraqqirkcP378gwcPmH4MWVE0b948QKh3wGer2mXXrl1eXl7r1q1TUlKSOsQbAUBOTg4A/Otf/5JvtXfv3v3xxx/NzMzWrl0rdaupqemvv/4SCARSR32QlNLS0oaGBmVl5aKiIvj7lBS2N954A/4+lR0ATpw4sXjx4gsXLkRFRY0fP37z5s1ubm7kVjtrIGTPHSFTLCUlJexE9qIZACCbjNGsk2CCgoKioqJOnjy5fft2ADh79iyXy5U6egshBcLI0V5eXl737t3LyMiQPcoCbdiw4fz58/LdRJ2m6ZUrVzY3N4eEhPD5fKm7rz0JkWRoLRs7DwBoa2ufP3/+9u3b//rXv3799dfff//9ww8//Pbbb9tfA0E2Km47BQCk+iWypk+fLhKJSOR48eJFUlKSl5cXPo6Meg+MHB3TD1Z1dIevvvrq119/XbZsWUhIiLKyslzqDAsLS0xMnDp1qtQRv4SSkpKent7Lly8rKyvZxzjW1NRUVVVpaWmRYGNgYAAAZMEKG0kh/QaGg4PDL7/8cvfu3ZkzZ3733Xc+Pj4TJ07sUA2vXr2SyvbixQto80jHFqmoqMyZM+fw4cMpKSlJSUk0TeNQFepVcJ4DyYGpqSkAPHjwYNSoUXKpsKKiYuPGjSoqKt99911reciAktRMA7lkjtJqMY9sNrYxY8Zs3LgRAMgaC3Nzcz09vYcPH5aWlr62hufPn7NjDE3TZD0H2Ye/QwIDAwEgMjLy8uXLfD5f7isrEeoKjBxIPoRCoZ+f36JFiz755JOu17Z169ZXr15t2LChjVmllStXkpxlZWUkpaKigmwmyOyKaGlp6eXllZKSQjbgIo4fP56cnExOEwGAZ8+eZWZmsmu+d+8e/D0bQVHUypUrxWLxunXrJBIJyZCWlnbo0CGhUDh//nx2QZqmN2zYwGQ7dOjQ06dPLS0tbW1tO/oJuLi4mJmZxcbG/vHHHz4+PlLz8wgpmIKe6UL9zfDhw2fMmPHll1+amJgkJCR0papXr16R3RJtbW0dZAQEBDA5ycFTWlpafn5+M2fOJGvgV6xYwa4tOzubjDg5ODi8++67Tk5OAKCjo5Oenk4yXLp0CQAsLCzmzJnz3nvvWVtbA4ChoSGzVqOhocHd3R0Ahg0b5u/v7+Pjo6yszOVyT548ybwKeQ5q4sSJ1tbWJBt5oKvFlYCbN29mt5CUdXBwkPocduzYQSbP2S+EUG+AkQPJh4+Pz7Bhw2iadnd3P3PmTFeqant7dhsbG3bm8PBwJycngUAgEAgcHR2PHj0qW2FBQUFwcLCBgQGPxzMwMFi8ePHz58+Zu0VFRR9++KGpqSmfz1dTUxsxYkRwcLDU2p36+vqdO3daWFjw+XwtLS1fX9+bN2+yM5Bvfw8Pj5KSkqCgIB0dHTU1NTc3N6kFLh2KHOSJNTU1terq6o58fgh1O4pu6ekUhDpq06ZNe/fulUgkTU1NysrK9fX1sg9E9WOZmZmWlpYuLi7x8fHyqjMxMdHJycnf35+ckoJQ74HzHEg+hg4dyufzIyMjeTxeQkICGfNBXUHOnA8ICFB0QxCShpEDyYexsbGmpiZZTO7o6Dhx4kSycx/qnMzMzLCwMBMTEx8fH0W3BSFpGDmQfAwZMoSiKOYhpZCQEH9///YcJojYMjMzAwICZs2aNXbs2Nra2n379pFJcoR6FfxHieTD2Ni4vLw8MTGRSfn000/feOONKVOmyHHov99rbGy8fPnyhQsXjI2Nz58/7+vrq+gWIdQCnCFHcqOjo9PQ0FBQUMDevmn79u03b940NTVlNhhHCPV12OdAcqOrq2tubs7udgDAp59+Om3aNB6Pp62t3djYqKi2IYTkCPetQnIjEomam5sTExPHjx/PTv/nP/+ZlZVlYmIiFApjY2PJWjyEUN+Fo1VIbvbt2xcVFZWfn5+amtpihps3bwYGBk6YMCEgIADjB0J9F45WIbkxMTFpbm7Oz8+XPR+JcHFxCQ0NjYmJef/9962trR8+fNjDLUQIyQX2OZDcPHr0aPbs2W5ubqNGjVq+fHkbOZ8/f75p06YrV67U1dXxeDx1dfXa2lpyCBLqkFWrVn3wwQeKbgUacDByILmpq6vT1tb+z3/+c+nSpRMnTrSnyJMnT+7fvy8QCJqamnDlYCdoaWlpaWkpuhVowMHIgeRJX1//ypUrkydPzs/PV3RbEELdBec5kDyZmJjU19crKytnZ2crui0Ioe6CkQPJk6mpaXZ29rhx48hZeAihfgkjB5InExOTZ8+eNTY2njlzRtFtQQh1F4wcSJ5I5Bg3blxTU5Oi24IQ6i4YOZA8kcjh6+tLzrlDCPVLGDmQPJF5DkNDQ4FA8Oeffyq6OQihboGRA8kTh8Mhp2d7e3tHR0crujkIoW6BkQPJk7GxMUVRTU1NGDkQ6scwciA5MzMze/bsmbOzc2RkZKcr0dHRoVqxbNkyObaWOHjwIEVRW7ZskXvNCPVLuMs6kjMzM7MnT574+vqamppeuXKlc8do29ralpeXSyVmZ2eXlZWJRCJ5NBMh1HkYOZCckcgBAAEBAfHx8Z2LHLIjXa9evRoxYoSGhsZHH30kh1YihLoAR6uQnDGRw9fXtysDVlI2bNhQUVHx2Wef6enpyatOhFDnYORAcjZ8+PCsrCwAsLW1LS4ufvHiRdfrvHXr1rFjx6ysrKR2FKdpOjQ01MnJSV1dXSgUuri4tLhH7/Pnzxc4faTOAAATjklEQVQvXmxoaMjn842MjJYtW1ZQUPDaF62urt62bZulpaWKioq2tva0adOSk5Ol8jx+/Njf319XV5fD4bAnY8aOHTt58mSKoq5cuSJVZO7cuRRFXbhwoYOfAUK9CY2QXGVnZ5uYmJC/Fy1atGvXri5WKJFI3nrrLQC4du2a1K3g4GAA0NbW9vPz8/PzI/uNr127lp0nLS2NnPzh6Og4b948BwcHANDX13/y5AmT58CBAwCwefNmJqW6upq86PDhw/39/SdMmMDn83k83rlz55g8+fn52trafD5/+fLlX3/99ZQpUwBAS0tr+fLloaGhp0+fBoDAwEB2Y+rq6oRCoaamZkNDQxc/FoQUCCMHkj8ulysWi2majo2N5XK5XfyWJF/rc+bMkUonQ2GjR48uLy8nKaWlpTY2NgAQExPDZLOzswOAY8eOMSlHjhwBAFdXV6mXYEeO9evXA8D7778vkUhISmpqqrq6ukgkKi0tJSnkWaw9e/YwpTw9Pblc7tOnT2marq+vHzRokIaGRn19PZPh/PnzALBw4cKufCAIKRxGDiR/FhYWGRkZ5O/58+cfP36801WVlJRoaWkJBIK8vDypW+Q3fmRkJDsxIiICAGbMmEEu7969CwB2dnZSZceOHQsA9+/fJ5dSkUMsFmtqavJ4vLKyMnapdevWAUBISAi59PPzA4CbN28yGbZu3QoAP//8M7kkDxCzuykBAQEAcPXq1Y5/Egj1IjjPgeSPmSQHgLlz5546darTVW3atKm0tHTz5s2GhoZStxISEgDA1dWVneju7k5RVGxsLDvPuHHjpMp6eHgAAJNNSkZGRnl5ubW1taamZhuldHV1AeDly5dMBvK3qqoquQwMDASAkydPksumpqZLly7p6up6enq2460j1Hth5EDdgpkZnjx58rVr12praztRyd27d3/88UczM7O1a9dK3Wpqavrrr78EAoG6ujo7naSUlpY2NDQAQFFREQDo6+tLFX/jjTcAoLV58naWCgoK4nK5mzdvjouLy8vLCw8P/+mnnwYPHuzt7U0yODo6mpubR0REVFdXA0BMTEx5efns2bO5XG5HPwqEehWMHEj+bG1t2afJuru779u3r6OV0DS9cuXK5ubmkJAQPp8vdZeiKJKnxYJMhtaysfPIamcpBweHEydOFBQUuLm5GRsbz5s3z8jIKDIykh3MAgMD6+rqyJNU586dA4B58+a15+0j1Jth5EDy5+npWVlZyVzOnTv30KFDHa0kLCwsMTFx6tSpkyZNkr2rpKSkp6dXW1vLfiEAqKmpqaqq0tLSIsHGwMAAAAoLC6WKkxTSh5DV/lJ1dXW1tbUHDx68du3ao0ePMjIyyIQ8IyAggMPhnDp1iqbpiIgIY2NjZ2fndr1/hHoxjBxI/iwsLDIzM5nLBQsW8Hi8x48ft7+GioqKjRs3qqiofPfdd63lcXNzA5m5CnL59ttvt5FHNpsUc3NzPT29hw8flpaWtlFKIpGsXr16/PjxwcHBHh4elpaWsp0YQ0NDT0/P6OjoxMTEwsJCspij7feOUO+HkQPJn76+fl1dXUVFBZOyZMmSH3/8sf01bN269dWrVxs2bDAxMWktz8qVK0nOsrIyklJRUUGelGV2RbS0tPTy8kpJSQkNDWUKHj9+PDk52d7efvTo0S3WTFHUypUrxWLxunXrJBIJSUxLSzt06JBQKJw/fz5JqaqqqqioEIvFbb+XoKCgurq6L7/8EnCoCvUbinusC/Vnjo6Ot27dYi6Lioo0NDTaWfbVq1dkDtnW1tZBRkBAAJNz06ZNAKClpeXn5zdz5kwdHR0AWLFiBbu27OxsMvrk4ODw7rvvOjk5AYCOjk56ejqTR3Y9R0NDg7u7OwAMGzbM39/fx8dHWVmZy+WePHmSXbm9vT0AjBgxwsXFxcXFxcvL64MPPsjMzGTnqampUVdX53A45ubm7fwEEOrlMHKgbhEUFBQaGspOGTVq1KZNm9pT9tmzZ2381rGxsWFnDg8Pd3JyEggEAoHA0dHx6NGjshUWFBQEBwcbGBjweDwDA4PFixc/f/6cnUE2ctA0XV9fv3PnTgsLCz6fr6Wl5evry166QdrJ9D/YBAIBOyyRTwMAtm3b1p63j1Dvh5EDdYs9e/Z8/PHH7JT09PSRI0cqqj1y9+TJEw0NDXt7+7S0NLJgXiwWFxUVrVmzBgCkYuTEiRMBQKovglDfhfMcqFtITZIDwMiRI01MTOS4e65ihYWFVVZWbtmyxcrKioytcblcPT09srC8uLiYyVlYWBgTE2NnZ2dubq6w5iIkVxg5ULewtLTMyMiQSlRTU/vss88U0Rz5a2xsBAD2shUAEIvF+/fvBwD2s7lbt24Vi8XLly/v4RYi1H0ouqW1VAh1HYfDKS8v19DQYFISExPff//9+Pj4fnCuX3p6ur29fWNj49SpU998883GxsbCwsKoqKiioiJ3d/erV6/u3bv3yZMnDx48SE1NdXR0jI+Px6XjqN/APgfqLmZmZuHh4ewUR0fHkSNHRkVFKapJcmRlZZWYmDh79uzbt2/v3r37m2++iY6OHjNmTFhY2O+//87j8Z48eRIeHp6dnR0UFBQZGYlhA/Un2OdA3WXHjh1NTU3bt29nJ/7xxx++vr6d28YKIdRLYJ8DdZdRo0Y9fPhQKtHDw2PVqlXk9AuEUB+FkQN1lxYjBwDs2bPnjz/+IPufI4T6IhytQt1ITU3tr7/+Ys6rYDQ2NqqqqjIbeyCE+hbsc6Bu1Fq3g8/nNzQ0UBR14MCBDu2EiBDqDZQU3QDUn5HIQTZ3kqKkpETTtL29/YYNGxoaGgQCAdl1asBatWrVBx98oOhWINQuGDlQN2qtz8FISkq6f/8+l8vNy8szMzPrsYb1QlpaWopuAkLthZEDdaPhw4e/9hByGxsbAHjzzTd7pEUIITnAGXLUjXJycszMzJqamhTdEISQPOEMOepGQ4cONTY2zs7OVnRDEELyhJEDda+xY8feuXNH0a1ACMkTRg7UvcaMGXP37l1FtwIhJE8YOVD3wj4HQv0PzpCj7lVRUTFkyJDy8nJFNwQhJDfY50DdSyQSDR48OCsrS9ENQQjJDUYO1O1GjRp169YtRbcCISQ3GDlQt1NSUjp48GBHSxkaGlJ/U1VVNTMzW7p0qdTZ5t0nMzOToqi3335bLrXp6+tTFCUWi+VSG0IKh5EDdbv169d3ejGgp6fnxIkTLSwsCgsLDx8+PHr06P5xpCBCfRpGDtTt7OzsUlNTOxc8Tp06deXKlXv37r148SIwMLC+vn7JkiW4KB0hxcLIgXqCi4vLzZs3u1KDSCQ6dOiQvr5+fn5+cnKyvBqGEOoEjByoJ3Q9cgCAsrIy2R7x+fPnJKWoqGj16tVmZmaqqqoGBgaenp7ff/99U1PTV199RVHUxo0bpWo4cOAARVFr1qxhUuLi4qZOnTp48GAVFRVTU1N/f/+CggLmLpfLff78+bx587S1tVVUVGxsbI4ePSpVJ03ToaGhTk5O6urqQqHQxcXlxIkTr30v7SlVWVn50UcfmZiY8Hg86n/FxcVRFOXo6ChVJCMjg6Ko0aNHv7YBCHUJjVD3u3z58qRJkzpUxMDAAACKi4vZib6+vgBw7tw5mqZramrIDrtjx4719/d/++231dTUzM3Nm5ubCwoKuFzu0KFDper08vICgNu3b5PLo0ePcjgcABg5cqSnp6eRkZFAIKipqaFpOiMjAwDGjBljYGBgYmIyd+7ccePGkf9ljh07xq4zODgYALS1tf38/Pz8/Mhm6WvXrmXn0dPTA4Cmpqb2l2pubnZ2dgaAqVOnfv3118uWLePxeAAQEBDw+eefNzY2jhw5EgCys7PZL7Rjxw4A2Lt3b4c+aoQ6CiMH6gllZWXq6uodKiIbOerr6wcPHgwAT58+pWn64sWLALBgwQImQ1VVVXp6Ovl70qRJAJCQkMDcLSkpUVJSMjU1JZcvX75UU1NTVlaOiopi8uTn55M/SOQg9YvFYpIYEhJCwgmTPzIyEgBGjx5dXl5OUkpLS0nHKCYmhskmFTnaUyo6OhoAfHx8mEq2b98OAGFhYeRy7969ALBr1y72h2Zra0tRVE5Ozus/X4S6AEerUE/Q1NQEgF9//bXTNdTU1Cxfvry4uNjDw8PU1BQASHeB/BInhEIh+SUOAIGBgQAQHh7O3L1w4YJYLPb39yeXx44dq62tXbRo0YQJE5g8JFwxKIrau3cvl8sll8HBwRwO58GDB0wG8rTxF198IRKJSMqgQYN27twJAPv372/tvbSn1KNHjwDA1dWVKeXi4gIAzKDf/PnzORwO+w1mZ2enpqY6OTkNGTKktZdGSC4wcqAesnLlyk6sxnjvvfd8fX2dnZ319PRCQ0NNTU2PHDlCbpHEI0eOrFmzpri4WKrgtGnTNDU1T58+3dzcTFLOnj0LAPPmzSOXiYmJAMAOG7IMDQ319fWZS2VlZW1t7aampoaGBpKSkJAA//v9DgDu7u4URcXGxrZWbXtK6erqAsDLly+ZDORvVVVVcvmPf/xj/PjxDx48YLpHUm8QoW6k6E4PGij++OMPd3f39udnfv5TFKWhoTFmzJjt27dXVFSw86SmplpZWQGAsrLyokWLsrKy2HfJXAIZ/ykvL+fz+VZWVsxdssqPmfOQwsxzSKWTcae6ujqaphsbGwFAIBDIFtfQ0ACA+vp6dikyWtXOUlVVVfr6+kKhMDw8PDc3NzY21tzcnKKomzdvMvlPnjwJAFu3biWXDg4OXC63qKioxXeEkBxh5EA9h8fjNTY2tjNzizPkssRi8ZkzZ+zs7ABAVVX15MmTzC3y037JkiU0Tf/8888A8MUXXzB3yeBPUlJSi9WSyOHi4iKVzo4cZFmJmpqabHF1dXUAaGhoYJcikaP9pVJTU83NzZkfeVpaWqGhoez8dXV1IpFo+PDhNE3n5+dTFOXt7d3mp4WQfOBoFeo5EyZMuHr1qnzr5HK5s2bNSkpKOnHihEQiWbx4cWlpKbnl5OQ0YsSIs2fPisViMp3OTHLA38NBhYWFnX5pJSUlPT292trayspKdnpNTU1VVZWWlhafz+9iqeLi4hUrVsTExNy9e7ewsDAoKIhdREVFZc6cOVlZWSkpKZcuXaJpGoeqUM/AyIF6jouLy7lz57qp8nnz5s2YMaO2tpY9g71gwYKysrL4+PirV6/a2dkNGzaMuUUWQ8TExHTlRd3c3ABAakqDXLax51U7S23ZskUikXz77beenp5vvfVWi3GIPAgQGRl5+fJlPp/v5+fXlbeDUHsputODBpBbt26pqqq2M/NrR6uSkpKkntkdNWoUADAP5tI0nZuby+Fwpk+fDgDffPMNu3hBQYGKioqKisq1a9eYxMePH5ORqPaMVtE0HRcXBwA2NjalpaUkpby8nCzEu3z5slQp5qncdpaysbEZNGgQWV/SBjMzMy8vL6FQOHXq1LZzIiQvGDlQj3JwcEhMTGxPztdGjrVr1yopKTk4OLz33nuzZ88m+X19faWyeXl5cTgcDofz4sULqVv/+c9/yJJsa2trNzc3ExMTACgsLKTbHTlomt60aRMAaGlp+fn5zZw5U0dHBwBWrFghW4q9ErA9pTZs2AAA+vr6zs7OLi4u7u7uAQEBV69elWrSjh07yAPK7DkehLoVRg7Uo7766iup9dWteW3kuHv3rr+/v76+vpKS0qBBg2xtbXfs2MH+TifCwsIAwM3NrcVKrl275uPjo6mpyeVy33jjjaVLl9bW1tIdiRw0TYeHhzs5OQkEAoFA4OjoePTo0RZLsSPHa0tVV1fv2LFDSUlJdpyAWQxI5OTkAICamlp1dXVrnxVC8oWRA/Wo58+fGxsb9+Qr7t69GwAOHjzYky/aRfX19ba2ttra2r///jt51Kq5ubmiooLsbeXs7MzOTE7N8vf3V1Bj0UCEM+SoRxkbG6uqqnZxXrpDfvrpJxUVldmzZ/fYK3bdjRs3UlNTAwMDvb29ycQ4WdQyZ84cZWVlqWWPx48fB4CAgADFtBUNSBg5UE+bNGnS559/3jOvdfz48fT09Dlz5pAtBfsKslowPz9fKv3AgQMNDQ1k8QqRmZkZFhZmYmLi4+PTo01EAxtF07Si24AGHA0NjRcvXpCFb93h7Nmzly5dKigoiI6OFolEaWlpUhtS9XJ1dXWjR4/+888/XVxcxo0bx+VyS0pKbty4kZ6ebmBgEB8fX19fv3Pnzrq6uitXrtTU1ERERJBdhBHqGRg5kAJs2bJFVVV18+bN3VT/Dz/88NFHH4nFYmdn53379pEdSvqWkpKS3bt3R0REPH/+XCKRiEQiS0tLX1/fZcuWaWpqPnjwwMPDo7Ky0szMbPfu3dOmTVN0e9HAgpEDKcA333yza9eukpISRTcEIdQZOM+BFCAwMLCpqenp06eKbghCqDMwciAF0NbW/vjjj5n90hFCfQuOViGF0dbWTklJwWOIEOpzsM+BFCY9Pd3V1fX777/Pzs5WdFsQQh2AfQ6kSDk5OW+99RaHwxGLxeSMcdQdVq1a9cEHHyi6Faj/wMiBFCwnJ6e+vj4/P3/o0KGKbku/paWl1bfWQqJeDiMHUjyJRMLlchXdCoRQe2HkQAgh1DE4Q44QQqhjMHIghBDqGIwcCCGEOgYjB0IIoY7ByIEQQqhjMHIghBDqmP8HlCA1kJF1sCIAAAB/aVRYdENvcHlyaWdodAAAAAAASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXMsIGxhdW5jaGVkIGluIDIwMTggYXQgdGhlIFVuaXZlcnNpdHkgb2YgR2VuZXZhIGJ5IFJhcGhhw6tsIFNhbmRvei657wKLAAAANXRFWHRUaXRsZQBJbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lc+uYdMcAAACFelRYdFJhdyBwcm9maWxlIHR5cGUgaXB0YwAAeJw9TLENwzAM23VFTpAlmo7nTNk65II4NlCgQIv+P1TOUAoiJYKg7I9jWz7f93i+uiw3qOIrDBWXIuYP19TUUlU1wNmYihdnJWM9PoQOdtNgmhYIV+Y4nDOW2UNzcWumGDynMyvv9smQHz4/IAoTsqdoAAACnmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSfvu78nIGlkPSdXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQnPz4KPHg6eG1wbWV0YSB4bWxuczp4PSdhZG9iZTpuczptZXRhLycgeDp4bXB0az0nSW1hZ2U6OkV4aWZUb29sIDkuNDYnPgo8cmRmOlJERiB4bWxuczpyZGY9J2h0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMnPgoKIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PScnCiAgeG1sbnM6ZGM9J2h0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvJz4KICA8ZGM6Y3JlYXRvcj4KICAgPHJkZjpTZXE+CiAgICA8cmRmOmxpPlJhcGhhw6tsIFNhbmRvejwvcmRmOmxpPgogICA8L3JkZjpTZXE+CiAgPC9kYzpjcmVhdG9yPgogIDxkYzp0aXRsZT4KICAgPHJkZjpBbHQ+CiAgICA8cmRmOmxpIHhtbDpsYW5nPSd4LWRlZmF1bHQnPkludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVzPC9yZGY6bGk+CiAgIDwvcmRmOkFsdD4KICA8L2RjOnRpdGxlPgogIDxkYzp0eXBlPgogICA8cmRmOkJhZz4KICAgIDxyZGY6bGk+SW1hZ2U8L3JkZjpsaT4KICAgPC9yZGY6QmFnPgogIDwvZGM6dHlwZT4KIDwvcmRmOkRlc2NyaXB0aW9uPgo8L3JkZjpSREY+CjwveDp4bXBtZXRhPgo8P3hwYWNrZXQgZW5kPSdyJz8+mUIvmAAAAABJRU5ErkJggg==
\define concatenate() ^{{$:/temp/searchdiscipline!!text}}(?i)
@@.center
<$linkcatcher to="$:/temp/searchdiscipline">
<<lingo Standard/Hint>>
<div class="tc-search">
Discipline:
<$edit-text tiddler="$:/temp/searchdiscipline" type="search" tag="input"/>
<$reveal state="$:/temp/searchdiscipline" type="nomatch" text="">
<$button class="tc-btn-invisible clickbutton">
<$action-setfield $tiddler="$:/temp/searchdiscipline" $field="text" $value=""/>
<i class="fa fa-times-circle"></i>
</$button>
<!-- Display all -->
<$reveal type="match" state="$:/state/SearchdscReveal1" text="state1"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/SearchdscReveal1" setTo="state2">Select scholar <i class="fa fa-toggle-on"></i></$button><br><br><div style="overflow: auto; width:100%; height:380px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;">
<$wikify name="search-entry" text=<<concatenate>> >
<$list filter="[regexp:title<search-entry>regexp[(?i)\.* -.*]sort[map_id]]"><div style="display:block; text-align:justify;">
!! //{{!!title}}//
{{!!text}}<br><br>
</div></$list></$wikify>
</div></$reveal><$reveal type="match" state="$:/state/SearchdscReveal1" text="state2"><$button class="clickbutton tc-btn-invisible righto" set="$:/state/SearchdscReveal1" setTo="state1">Display all <i class="fa fa-toggle-off"></i></$button><br>
<!-- Display selected scholar -->
<br> Scholar:
<$select tiddler="$:/temp/discipline">
<$wikify name="search-entry" text=<<concatenate>> >
<$list filter="[regexp:title<search-entry>regexp[(?i)\.* -.*]sort[map_id]]">
<option value=<<currentTiddler>>><<currentTiddler>></option>
</$list></$wikify>
</$select>
<br><br>
<div style="display:block; text-align:justify;">
<$set name="this" value={{!!title}}>
<$macrocall $name="toc-tabbed-internal-nav"
tag="$(this)$"
selectedTiddler="$:/temp/discipline"
/>
</$set></div>
</$reveal>
</$reveal>
</div>
</$linkcatcher>
@@
<$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Timeline of the disciplines"><$list filter="[tag[uptonow]]"><$action-setfield $tiddler=<<current>> $field="end_t" $value=<<now YYYY>>/></$list><$action-navigate $to="Timeline of the disciplines (old)"/> <i class="fas fa-chart-bar"></i> Timeline of the disciplines (old) </$button> <$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Detailed statistics"><$action-navigate $to="Statistics_old"/> <i class="fas fa-chart-pie"></i> Statistics_old </$button>
[[coll-toolbar-old]]
[[Selected disciplinary maps]]
[[Maths]] | [[Change description fields for all datasheets]]
[[Change prefix for images]]
[[Iconographic fields]] | [[Tabular list of disciplines]]
[[Comments manager (old system)]] | [[New comment (old system)]]
----
<!-- Add a new (empty) comment -->
{{Comment-button}}
Cf. //History of Animals// and
//Parts of Animals//.
Cf. Treatise //On Colours//.
Cf. //On the Soul//, and
//On Things Heard//.
"Le point de départ de la division des sciences, c'est selon nous, l'//objet// qu'elles se proposent d'étudier. L'objet de la science, de toute science, c'est l'être sous tel ou tel point de vue déterminé. La science, ce sera donc l'//ontologie//, sous tel ou tel aspect; l'ontologie, c'est comme la racine de l'arbre scientifique. L'ontologie est ou //générale//, en tant qu'elle se borne à constater les caractères communs des êtres, ou //spéciale//, lorsqu'elle s'applique à reconnaître les caractères propres à chacune des classes d'êtres que nous concevons comme essentiellement distinctes." Charma (1859), p. 8.
"L'ontologie est la science de l'être en général." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Science de l'association des idées", Bourdeau (1882), vol. I, p. 121.
"Science des idées objectives", Bourdeau (1882), vol. I, p. 64.
"Science de l'identification des idées", Bourdeau (1882), p. 152.
"L'//ontologie générale// ne se divise pas." Charma (1859), p. 8.
"Science des idées subjectives", Bourdeau (1882), vol. I, p. 91.
"L'//ontologie spéciale// comprendra autant de sciences que nous compterons de classes d'êtres essentiellement différents", Charma (1859), p. 9.
"Optics investigates mathematical lines, but //qua// natural, not //qua// mathematical", //Physics// 194a8.
"From a Greek word, which signifies to //see//. To this head belongs the property, which //light//
has, of producing in the correspondent organs of man and other animals, the perception of //sight// or //vision//.", Bentham (1816), //Chrestomathia//, p. 28.
"OPTICS, including the laws and consideration of VISION, and Visible Objects; effected by means of Light: its Rays. Their Refrangibility, Reflexibility, &c. Focus, Transparency, Opacity, Shanow, &c.—Reflection thereof, in Mirrour, Looking-Glass, Catoptric Cistula, &c.—Refraction, in Lens, Prism, Glass, &c. Application, in Telescope, Microscope, Magic Lantern, &c. Spectacle, Polemoscope, Polyhedron, Camera Obscura, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
Mentioned in Freig (1575).
"Consequences from vision", //Leviathan// (1651), chap. 9, p. 53.
"The science of the external conditions of seeing; primarily of forms and dimension as related to their visual appearance." Hooper (1906), p. 178.
"Science de la lumière", Bourdeau (1882), vol. II, p. 57.
"L'ensemble de l'optique se décompose naturellement en plusieurs sections, d'après les différentes modifications générales dont la lumière, soit homogène, soit diversement colorée, est reconnue susceptible, suivant qu'on l'envisage comme directement réfléchie, réfractée, ou enfin diffractée." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 652.
"Le sens de la vue a crée l'Optique ou Perspective.", Christofle de Savigny (1587), plate B.
"Science des lois du mouvement de la lumière" (Lancelin 1803, p. 133).
"La quantité considérée dans la lumière donne l'optique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Toscanella, Orazio (1566), //La retorica di M. Tullio Cicerone//, Vinegia: L. Avanzi.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
"Toute science peut être exposée suivant deux marches essentiellement distinctes, dont tout autre mode d'exposition ne saurait être qu'une combinaison, la marche //historique//, et le marche //dogmatique//. Par le premier procédé, on expose successivement les connaissances dans le même ordre effectif suivant lequel l'esprit humain les a réellement obtenus, et en adoptant, autant que possible, les mêmes voies. Par le second, on présente le système des idées tel qu'il pourrait être conçu aujord'hui par un seul esprit, qui, placé au point de vue convenable, et pourvu des connaissances suffisantes, s'occuperait à refaire la science dans son ensemble." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 77.
"Toute science peut être exposée suivant deux marches essentiellement distinctes, dont tout autre mode d'exposition ne saurait être qu'une combinaison, la marche //historique//, et le marche //dogmatique//. Par le premier procédé, on expose successivement les connaissances dans le même ordre effectif suivant lequel l'esprit humain les a réellement obtenus, et en adoptant, autant que possible, les mêmes voies. Par le second, on présente le système des idées tel qu'il pourrait être conçu aujourd'hui par un seul esprit, qui, placé au point de vue convenable, et pourvu des connaissances suffisantes, s'occuperait à refaire la science dans son ensemble." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 77.
"Science des modes d’exécution des plans", Bourdeau (1882), vol. II, p. 359.
"The science of organs." Hooper (1906), p. 172.
"As for rhetoric and strategy, economics, and the craft of kingship, if some of these share in that which is beautiful in their actions,and if they contemplate that, they have, by having this scientific understanding, a share of the scientific understanding that is in the intelligible world", Plotinus (~270), 5.9.11.
"Qui s'occupe en général des fossiles" (Lancelin 1803, p. 133).
"C'est à l'oryctotechnie qu'il appartient d'aller chercher dans le sein de la terre les substances qui y sont cachées, de découvrir les moyens auxquels nous devons recourir pour les en retirer, et de surmonter tous les obstacles que la nature oppose à leur extraction." Ampère (1834), Tome 1, p. 102.
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
"PAINTING, or the DESIGNING of objects in Clair-obscure, Proportion, &c. with Ordonnance, Expression, &c. Circumstances hereof; Attitude, Contrast, Group, &c. Kinds; Limning, Miniature, Camieux, Fresco, &c. Enamelling, Mosaic, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Pittura, e postasotto le geometira é, sotto la minerale, per lá prospettiva per rispetto de le linee, umbre, e, lumine le qual cose la pittura consiste é, per la mistione di coloriche in essa concorrena." Collenuccio (1535), p. 17.
"The science of fossils, or of organic remains met with in the earth's crust." Hooper (1906), p. 134.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Collenuccio, Pandolfo (1535), //Educatione usata da li antichi in aleva re li loro figlioli//, Roma: A. Blado de Asola.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Freedman, Joseph S. (1994), "Classifications of Philosophy, the Sciences, and the Arts in Sixteenth- and Seventeenth-Century Europe", //The Modern Schoolman// 72(1), pp. 37–65.
\define ucase_d() {{$:/DefaultValues/timeline!!text}}(?i)
<!-- Default values -->
<p class="greyfont center">— Preset values —</p>
<table class="centertable borderless">
<tr><td>Preset scholars in comparator:</td><td><div class="selfield" style="width:14em"><$select class="clickbutton buttonfield" tiddler="$:/DefaultValues/comparator" field="scholar1"><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td><td><i class="fas fa-sync" style="color: #555753; font-size: calc(10px + 0.4vw); width: 1.4em"></i></td><td><div class="selfield" style="width:14em"><$select class="clickbutton buttonfield" tiddler="$:/DefaultValues/comparator" field="scholar2"><$list filter="[has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
<tr><td>Preset discipline on timeline:</td><td colspan="2"><div class="rcfield darkgreyfont clickbutton" style="width:14.1em; line-height: 1.6em; margin-left: -5px"> <$edit-text class="noborderfield" tiddler="$:/DefaultValues/timeline" field="text" type="search" tag="input"/></div></td></tr>
<tr style="height:38px"><td>Number of disciplines thresholds:</td><td colspan="3"><span style="margin-left: -5px"><$edit-text class="rcfield smalldateeditfield" tiddler="$:/DefaultValues/statistics" field="limit_disc_nb" type="search" tag="input"/></span> for stats and <$edit-text class="rcfield smalldateeditfield" tiddler="$:/DefaultValues/timeline" field="timeline_nb_disc" type="search" tag="input"/> for timeline</td></tr>
<tr><td>Prefix for external maps images:</td><td colspan="2"><div class="rcfield darkgreyfont clickbutton" style="width:14.1em; line-height: 1.6em; margin-left: -5px"><$edit-text class="longeditfield noborderfield" tiddler="$:/prefix_maps" type="search" tag="input"/></div></td></tr>
<tr style="height:38px"><td>Prefix for external source images:</td><td colspan="2"><div class="rcfield darkgreyfont clickbutton" style="width:14.1em; line-height: 1.6em; margin-left: -5px"><$edit-text class="longeditfield noborderfield" tiddler="$:/prefix_img" type="search" tag="input"/></div></td></tr>
<tr><td>URL of the website:</td><td colspan="2"><div class="rcfield darkgreyfont clickbutton2" style="width:14.1em; line-height: 1.6em; margin-left: -5px"><$edit-text class="longeditfield noborderfield" tiddler="$:/website_url" field="text" type="search" tag="input"/></div></td></tr>
</table>
<table class="centertable borderless">
<$wikify name="ucase_value" text=<<ucase_d>> ><$button class="clickbutton tc-btn-invisible buttonfield"><$action-setfield $tiddler="$:/temp/map1" $field="text" $value={{$:/DefaultValues/comparator!!scholar1}}/><$action-setfield $tiddler="$:/temp/map2" $field="text" $value={{$:/DefaultValues/comparator!!scholar2}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="text" $value={{$:/DefaultValues/timeline}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/DefaultValues/timeline}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<ucase_value>>/><!-- ⓘ The variable 'bckg_img_name' is returned from variables 'bckg_img' and 'prefix_map' via macro '$:/substring_backimg' --><$list filter="[regexp[\$:/plugins/felixhayashi/tiddlymap/graph/views/]]+[has[config.background_image]]"><$set name=bckg_img value={{!!config.background_image}}><$set name=prefix_map value={{$:/prefix_maps}}><$action-setfield $tiddler=<<current>> $field="config.background_image" $value=<<bckg_img_name>>/></$set></$set></$list><$action-sendmessage $message="tm-notify" $param="$:/default_msg"/> <i class="fas fa-arrow-circle-down"></i> Apply values </$button></$wikify>
</table>
<br>
<!-- Header & Footer -->
<p class="greyfont center">— Header & Footer —</p>
<table class="centertable borderless">
<tr><td><$list filter='[title[$:/Header]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/PageTemplate"> Display header </$checkbox></$list></td><td></td><td><$link to="$:/Header"><i class="fas fa-edit"></i> <b>Edit header</b></$link></td></tr>
<tr><td><$list filter='[title[$:/Footer]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/PageTemplate"> Display footer </$checkbox></$list></td><td></td><td><$link to="$:/Footer"><i class="fas fa-edit"></i> <b>Edit footer</b></$link></td></tr>
</table>
<!-- Warning if footer is disabled -->
<$list filter='[title[$:/Footer]!tag[$:/tags/PageTemplate]]'><div class="center" style="color:#920906">⚠ The 'Settings' button located on the footer is now hidden!</div></$list>
<br>
<!-- Links to system pages -->
<p class="greyfont center">— Settings & metadata —</p>
<table class="centertable borderless">
<tr><td><$link to="$:/DefaultTiddlers"><i class="fa fa-home"></i> Default Tiddlers</$link></td><td></td><td><$link to="$:/favicon.ico"><i class="far fa-square"></i> Favicon</$link></td><td></td><td><$link to="$:/markupdata"><i class="fa fa-code"></i> Raw markup data</$link></td></tr>
</table><br>
<p class="greyfont center">— Advanced configuration settings —</p>
<p class="center"><$list filter='[title[$:/DefaultValues/map_save_image_button]]'><$checkbox class="tc-btn-invisible" field="text" checked="" unchecked="display:none"> Display 'save as image' button on maps</$checkbox></$list>
<br><$list filter='[title[$:/DefaultValues/map_search_button]]'><$checkbox class="tc-btn-invisible" field="text" checked="" unchecked="display:none" actions='<$action-setfield $tiddler="$:/DefaultValues/map_save_image_button" $field="shift_button" $value="margin-left:-2.6em; margin-top:0px"/>' uncheckactions='<$action-setfield $tiddler="$:/DefaultValues/map_save_image_button" $field="shift_button" $value="margin-left:0px; margin-top:0px"/>'> Display 'search for definitions' button on maps</$checkbox>
<br><$checkbox class="tc-btn-invisible" tiddler="$:/temp/print/preview" field="display_source" checked="yes" unchecked="no"> Mention the source on printed pages</$checkbox></$list></p>
<p class="center"><$link to="Enable_disable_dragdrop"><i class="fas fa-mouse-pointer"></i> Enable/disable 'drag and drop'</$link></p>
"[Particular] //Civil History is of three kinds//, not unfitly to be compared to the three sorts of Pictures or Images: for of Pictures and Images we see, some are unperfect and unfinisht; others perfect; and others decayed and defaced with Age. In like manner we will divide //Civil History//, which is //the Image of Actions and Times//, into three kinds, agreeable to those of Pictures; namely, //Memorials//; //Perfect History//; and //Antiquities//." Bacon (1623), Book II, p. 58.
"The science of diseases." Hooper (1906), p. 173.
"Sensitive-faculty-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Dove, Patrick Edward (1851), //The Theory of Human Progression, and Natural Probability of a Reign of Justice//, Boston: B.B. Mussey.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 204.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Janet, Paul (1897), //Principes de métaphysique et de psychologie//, Paris: C. Delagrave.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 301.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Otlet, Paul (1934), //Traité de documentation : le livre sur le livre, théorie et pratique//, Bruxelles: Editiones Mundaneum.
* Otlet, Paul (1990), //International organisation and dissemination of knowledge: Selected essays of Paul Otlet//, transl. W. Boyd Rayward, Elsevier.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Buckland, Michael K. (2012), "Interrogating Spatial Analogies Relating to Knowledge Organization: Paul Otlet and Others", //Library Trends// 61(2), pp. 271–285.
* Levie, Françoise (2006), //L’homme qui voulait classer le monde: Paul Otlet et le Mundaneum//, Impressions nouvelles.
* Van Acker, Wouter (2010), "Seeing the network for the trees of knowledge: Paul Otlet’s (1868-1944) Universal Network of Documentation", in //Networks of Design: Proceedings of the 2008 Annual International Conference of the Design History Society//, Universal-Publishers, pp. 396–403.
* Wright, Alex (2014), //Cataloging the World: Paul Otlet and the Birth of the Information Age//, Oxford University Press.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Skalich, Paul (1559), //Encyclopædia, seu orbis disciplinarum//, Basilea.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Gostl, Igor (1995), "Five Centuries of Croatian Encyclopaedism", //Journal of Croatian Studies//, vol. 36/37, pp. 83–122.
* Mandosio, Jean-Marc (1997), "Classification des sciences à la Renaissance", in Danielle Jacquart (ed), //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, p. 373.
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
"C'est un art qui par des lignes et des couleurs représente sur une surface égale et unie tous les objets visibles. Le peintre imite ou contrefait la nature par l'emploi des couleurs." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
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
"The science of the unconscious relations between organisms and their environment, these relations including the direct effects of environment upon organisms, and those reactions of organisms which are purely physical or reflex. According to this definition, perilogy includes, at least in their fundamental forms, the theories of natural selection by survival of the fittest and of the adaptation of organisms to their environment." Hooper (1906), p. 173.
Cf. //Opus maius//, part 5.
"PERSPECTIVE, or the projection of Points, Lines, Planes, &c. in Scenography, Orthography, Ichnography, Anamorphosis, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Which demonstrateth the maners and properties of all Radiations: Directe, Broken, and Reflected." John Dee (1570), //The Mathematicall Preface//.
"La perspective est l'art de représenter sur une surface plane les objets visibles tel qu'ils paroissent à une distance donnée à tracvers un plan transparent, placé perpendiculairement à l'horizon, entre l'oeil et l'objet." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Peter Abelard (~1121), //Logica Ingredientibus//, esp. p. 1.7–11.
* Peter Abelard (~1124), //Logica Nostrorum petitioni sociorum//.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in S. Ebbesen, R.L. Friedman, //Medieval Analyses in Language and Cognition//, Copenhagen: Kgl. Danske Videnskabernes Selskab, pp. 165–190.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Ramus, Petrus (1555), //Dialectique//, Paris: A. Wechel.
* Ramus, Petrus (1557), //Pro philosophica parisiensis - Academiae disciplina Oratio//, Paris: A. Wechel.
* Ramus, Petrus (1562), //Advertissements sur la reformation de l’université de Paris//, Paris: A. Wechel.
* Ramus, Petrus (1576), //Professio Regia: hoc est, septem artes liberales//, Basel: S. Henricpetri.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Clerico, Geneviève (1986), "Ramisme et post-ramisme : la répartition des “arts” au XVIᵉ siècle", //Histoire Épistémologie Langage// 8(1), pp. 53–70.
* Feingold, Mordechai, Freedman, Joseph S. & Rother, Wolfgang (2001), //The Influence of Petrus Ramus: Studies in Sixteenth and Seventeenth Century Philosophy and Sciences//, Basel: Schwabe.
* Graves, Frank P. (1912), //Peter Ramus and the Educational Reformation of the Sixteenth Century//, New York: Macmillan.
* Hooykaas, Reyer (1958), //Humanisme, science et réforme - Pierre De La Ramée//, Leyde: Brill.
* Hotson, Howard (2007), //Commonplace Learning: Ramism and Its German Ramifications, 1543-1630//, Oxford: Oxford University Press.
* Howell, Wilbur Samuel (1951), "Ramus and English rhetoric: 1574–1681", //Quarterly Journal of Speech// 37(3), pp. 299–310.
* Mandosio, Jean-Marc (1997), "Classification des sciences à la Renaissance", in Danielle Jacquart (ed), //Les voies de la science grecque: études sur la transmission des textes de l’Antiquité au dix-neuvième siècle//, Genève: Droz, p. 382.
* Mandosio, Jean-Marc (2002), "Méthodes et fonctions de la classification des sciences et des arts (XVᵉ-XVIIᵉ siècles)", //Nouvelle Revue du XVIᵉ Siècle// 20(1), pp. 19–30.
* Ong, Walter J. (1983), //Ramus, Method, and the Decay of Dialogue: From the Art of Discourse to the Art of Reason//, Cambridge (MA): University of Chicago Press.
* Wilson, Emma A. [ed.] (2016), //Ramus, Pedagogy and the Liberal Arts: Ramism in Britain and the Wider World//, Routledge.
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
"PHARMACY, or the Preparation and Composition of REMEDIES; as Mithridate, Treacle, Hiera Picra, Laudanum, Diasenna, Tureith, Calomel, &c. in the form of Electuary, Confection, Extract, Tincture, Syrup, Troche, Pill, Powder, Lohoc, potion, Apozem, Drops, medicated Ales, Wines, Waters, Unguent, Emplaster, Purge, Clyster, Suppository, Pessary, Collyrium, &c. From Drugs, or Simples; as Guaiacum, Sassafras, Colocynthis, Crocus, Rhubarb, Cassia. Senna, Cortex, Styrax, Jalap, Scammony, Opium, &c. Fats, Claws, Horns, &c. of Viper, Crab, Elk, &c. Cantharides, Millepedes, Mummy, Usnea, Ichthyocolla, &c. Antimony, Orpiment, Asphaltus, Bismuth, Marcasite, Bole, Cinnabar, Mars, Venus, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
J. G. Sulzer (1758), p. 9.
"It may be divided into //lexicology//, which treats of the spelling and derivation of words, [...] and //grammar//, which discusses the parts of speech, their inflexions, and the correct methods of combining them in sentences." Hooper (1906), pp. 209–210.
Cf. Boethius (~509), //In Isagogen Porphyrii Commenta//, I.3.
Source: Darjes, Joachim Georg (1742), //Introductio in artem inveniendi seu Logicam theoretico-practicam//, Jena: C. F. Buchii.
Source: Henry of Langenstein (1397), //Expositio progli Bibliae//, Vienna Nat. Bibl. 3900.
Main source: Samuel Christian Hollmann (1733), //Id. partis posterioris//, sectio prior.
Source: Heinrich Paxmann (1556)
"Consequences from quantity, and motion indeterminate; which, being the principles or first foundation of philosophy, is called //philosophia prima//", //Leviathan// (1651), chap. 9, p. 52.
"Dans les sciences philosophiques proprement dites, on doit distinguer la psychologie, où l'on étudie l'intelligence humaine sous le point de vue //subjectif//, de la métaphysique, où l'on examine la réalité //objective// de nos connaissances." Ampère (1834), Tome 1, p. 235.
"La philosophie se trouve dans une situation particulière parmi toutes les autres sciences, du fait qu'elle englobe la science de la pensée avec ses lois spécifiques logiques, et la dialectique comme science de lois les plus générales de tout développement." (Kedrov 1965, Tome 2, p. 494).
"La philosophie, ou la portion de la connaissance humaine qu'il faut rapporter à la raison, est très étendue. Il n'est presque aucun objet aperçu par les sens, dont la reféexion n'ait fait une science." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La philosophie [...] n'est pas une science; elle est la //science des sciences//, la fin de toutes les autres." Saint-Hilaire (1854), vol. 1, p. 244.
J. G. Sulzer (1758), p. 139.
"Cette belle //science des principes//, que je nommerois volontiers la législatrice de l'esprit humain, a été longtemps et étrangement défigurée par les rêves des anciens philosophes (ou soi-disant tels), par les folles disputes des sectes, les nombreuses absurdités des théologiens et des scolastiques, par le dangereux talent d'abuser des mots en les employant à discourir sur des choses dont on n'a point d'idées. [...] Dérobée par sa sublimité aux regards du vulgaire et des faux ou des demi-savants, elle ne peut être que le partage des hommes assez heureux pour réunir la //précision//, l'//étendue// et la //profondeur// des vues, et capables de remonter avec une sage hardiesse à la génération et aux éléments primitifs de toutes nos connaissances: alors elle embrasse à la fois les sciences, les //arts//, l'//homme//, le //globe//, l'//univers//, et son domaine commence et finit avec celui de la //Nature//" (Lancelin 1803, p. 133).
Source: Alcuin of York (~795), //Dialogus de dialectica//, PL101:952A-D.
Source: Argyropoulos (1457).
"The distinction of sciences into speculative, practical, and productive", //Topics// 157a9.
"Aristotle became the founder of more sciences than any other man. He gave existence and form to almost as many special scientic disciplines as he wrote books", Flint (1904), p. 78.
"According to the Posterior Analytics, every science has its own subject-genus which is assumed to exist and is defined at the beginning of an inquiry, which then demonstrate that certain per se attributes belong necessarily to that subject", Cleary (1994), p. 34.
"//Philosophy// dismisseth Individuals and comprehendeth, not the first Impressions, but the abstract Motions thereof, and conversant in compounding and dividing them according to the Law of Nature, and of the things themselves. And this is wholly the office and operation of //Reason//." Bacon (1623), Book II, p. 50.
Source of this disciplinary scheme: Cassiodorus, Aurelius (~562), //Institutiones divinarum et saecularium litterarum//.
"Cleanthes says, that there are six divisions of reason according to philosophy: dialectics, rhetoric, ethics, politics, physics, and theology." Diogenes Laertius, //The lives and opinions of eminent philosophers//, Book VII, §.XXXIII, p. 274.
Source: Collenuccio (1535).
Source: Crusius, Christian August (1747), //Weg zur Gewissheit und Zuverlässigkeit der menschlichen Erkenntniss//. English translation by G. Tonelli (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia//, 30(3), p. 243.
Source: Johann Christian Eschenbach (1756), //Logic oder Denkungswissenschaft//, § 7. English translation from Giorgio Tonelli (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia//, 30(3), p. 243.
Main source: Richer of Reims (~996), who was a student of Gerbert of Aurillac. He explained the disciplinary system of his teacher in chap. 59 of his //Historia congressus Gallorum//, entitled: "Divisio theoricae philosophiae in species".
"Philosophy in the first place is divided into two parts. One part is that by which we know the dispositions of our works; the other is that by which we know all other things which exist. For there is one part of philosophy which makes us know what ought to be done and this is called "practical" (//practica//); and there is another which makes us know what ought to be understood and this is "theoretical" (//theoria//)." Gundisalvo, //De divisione philosophiae//, trans. Grant (1974), p. 61.
Source: //The Logic of Hegel, Translated from the Encyclopædia of the Philosophical Sciences//, trad. William Wallace, Clarendon Press, 1874.
Source: Kahrel, Hermann Friedrich (1757), //Encyclopaediae logicae prolegomena de Philosophia//, pp. 24–26, table XIII. English translation from Giorgio Tonelli (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia//, 30(3), p. 243.
Source: Athanasius Kircher (1669).
Source: Gregor Reisch (1503)
Source: Savonarola (1492).
ⓘ //Philosophy// is not included in Shield's disciplinary system. However, he explains this absence as follow:<br>
"The words physics, history, and philosophy, for example, have lost much of their original meaning, and become current in the most varied senses." Shields (1882), p. 9.
Source: Titelmans (1541).
Source: Toscanella (1566).
Main source: Valla (1501), I.6.
"We come now to the Knowledge which respecteth the //use and objects of the Faculties of the Mind of Man//. This hath two Parts, and they well known, and by general consent received, //Logick// and //Ethick//: Save that we have a little before set at liberty //Civil Knowledge//." Bacon (1623), Book IV, p. 139.
"Let us come to that Knowledge, whereunto the Ancient Oracle directeth us, which is //the knowledge of ourselves//: which deserves the more accurate handling by how much it toucheth us more nearly. //This knowledge is to man the end and term of Knowledges; but of Nature herself, a portion only//." Bacon (1623), Book IV, p. 114.
"PHONICS, or the doctrine of SOUNDS, Voice, &c. Their modifications, in Echo, Resonance, Whispering-place, Speaking-trumpet, &c. — Their Tune, Gravity, Interval, &c. Time, Triple, &c. expressed by Note, Chord, &c. Comparisons thereof; Concord, as Unison, Octave, Third, Fourth, Discord, &c. Composition; as Melody, Harmony, Counter-point. Symphony, Synaulia, Chime, Song, Rhythmus, &c. Kinds; Genus, Mode, &c. Circumstances; Key, Cleff, Signature, Transposition, &c. Staff, Scale, Gammut, Solfaing, Modulation, &c. Instruments; Organ, Bell, Trumpet, Lyre, Cymbal, Violin, Harpsicord, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Science des sons", Bourdeau (1882), vol. II, p. 35.
"The science of the outward movements of organisms—that is, of their movements through surrounding space. This is mainly a zoological science, but not by any means exclusively so, since the important provisions of nature for disseminating seeds and spores are among the facts to be discussed under this head." Hooper (1906), p. 173.
"The knowledge of things, as they are in their own proper beings, their constitutions, properties, and operations, whereby I mean not only matter and body, but spirits also, which have their proper natures, constitutions, and operations, as well as bodies. This, in a little more enlarged sense of the word, I call ϕυσικἡ, or natural philosophy. The end of this is bare speculative truth ; and whatsoever can afford the mind of man any such, falls under this branch, whether it be God himself, angels, spirits, bodies, or any of their affections, as number and figure, &c.", Locke (1690), //An Essay Concerning Human Understanding//, book IV, chap. XXI, p. 549.
"Scienze Obbiettive" (Pamphilis 1829, p. 46). "Objective Sciences, those of the Not-Me" (Flint 1904, p. 172).
"The first subdivision of these sciences may be referred to the distinction we have already drawn between the //Exact Physical Sciences// and the //Descriptive Physical Sciences//,or as we will term them the //Precise// and the //Synoptic// Physical Sciences", Pearson (1892), chap. 10, p. 459.
"The Sciences which treat of Body or Matter.", Ramsay (1847), p. 1.
"The adjective Physical applied to any science and opposed to Formal, as in Astronomy and Optics, implies those speculations in which we consider not only the Laws of Phenomena but their Causes; and generally, as in those cases, their Mechanical Causes".
Whewell (1840), //Philosophy of Inductive Sciences//, vol. 2, p. 116.
Après la biologie, nous avons dans notre tableau la mécanique, la physique, la chimie, l'astronomie, que nous appelons ici d'un seul mot Physico-chimie. Les postulats et les lois fondamentales de la physique et de la chimie ont tous été établis, on peut le dire, par des expériences ou des observations faites sur la matière non vivante. [...] La physico-chimie ne fait appel qu'aux seules notions de nombre, d'espace, de temps et de matière. Guye (1919), p. 247.
ⓘ Physics is absent from Al-Ghazali's classification of the sciences. He explains this absence in the following passage:<br>
"Physics is useless (lā ḥāja ilayhā) and partly at odds with true religion and hence is ignorance rather than science", Al-Ghazālī, //Iḥyā′ 'Ulūm al-Dīn//, Book 1, bāb 2, I:40:20-41:10.
//Metaphysics// Ε.2, 1025b18-21: Physics is a natural science that “confines itself to one class of beings, i.e. to that sort of substance which has the principle of its movement and rest present in itself”.
"Physics deals with sensible substances which have in themselves a principle of motion and rest, and this means that it studies natural forms which have an integral relationship with appropriate kinds of sensible matter" (Cleary 1994, 38).
"The laws of //Physics// govern every phenomenon of nature in which there is any sensible change of place, being concerned alone in the greater part of these phenomena, and //regulating// the remainder which originate from chemical action, and from the action of life. The great physical truths, as comprehended in the present day by man, are reduced to four, and are referred to by the words //atom//, //attraction//, //repulsion// and //inertia//. [...] Solid bodies existing in conformity with these truths, exhibit all the phenomena of //Mechanics//; Liquids exhibit those of //Hydrostatics// and //Hydraulics//; Airs, those of //Pneumatics//; and so forth.", Arnott (1828), pp. ix–x.
"//Physick// is that which enquires of the //efficient cause//; and of the //Matter//; //Metaphysick//, that which enquires of the //Form// and //end//. //Physick// therefore comprehends Causes variable and incertain, and according to the nature of the subject moveable and changing, and attains not a fixt constancy of Causes. [...] We will divide //Physick// into three Knowledges: For Nature is either united and collected into one; or diffused and distributed. Nature is collected into one either in respect of the //common Seed and Principles of all things//; or in respect of the //entire, total Fabrick of the universe//. [...] The //Third Knowledge// which handles Nature //diffused//, or //scattered//, exhibits all the //variety of things//, and the lesser //Summs// or //Totals//. Wherefore from these contemplations it is plainly manifest, that there are three Knowledges touching //Natural Philosophy, of the Principles of things//; of the world; or //of the Fabrick of things of Nature multiplicious or sparsed//; which the last Part contains all the variety of things." Bacon (1623), Book III, p. 91.
"Physics deals with that which is in motion and not abstract (for it handles the forms of bodies involving matter, which forms are not able to be actuality separated from bodies; and these bodies are in motion, for when earth is carried downward and fire up, the form joined with matter has motion as well)", Boethius, //De Trinitate// II.55 (168.68).
"PHYSICS, or the doctrine of CAUSES; as Nature, Law, &c. Occasions or means; as Principle, Matter, Form, &c. Their composition, or constitution, in Element, Atom, Particle, Body. Chaos, World, Universe, Space, Vacuum, &c. Properties of body; as Extension, Solidity, Figure, Divisibility, &c. Powers thereof; as Attraction, Cohesion, Gravitation, Repulsion, Elasticity, Electricity, Magnetism, &c. Qualities; as Fluidity, Firmness, Ductility, Hardness, Volatility, Density, Polarity, Light, Heat, Cold, &c. Operations or effects thereof; as Motion, Rarefaction, Dilation, Condensation, Dissolution, Ebullition, Freezing, Evaporation, Fermentation, Digestion, Effervescence, &c. Vision, Seeing, Hearing, Feeling, Smelling, &c. Modifications or changes; as Alteration, Corruption, Putrefaction, Generation, Degeneration, Transmutation, &c. Systems or hypotheses hereof; Corpuscular, Epicurean, Aristotelian, Peripatetic, Cartesian, Newtonian, &c.—Occult and fictitious qualities, powers, and operations; Antiperistasis, Sympathy, Antipathy, Archaeus, &c. Magic, Witchcraft, Fascination, Virgula Divina, Ligature, Talisman, Cabbala, &c. Druid, Bard, Brachman, Gymnosophist, Magi, Rosicrucian, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Physica tratta del corpo naturale mobile, absolutamente é, simplicemente e, naturalmente considerato é, de le sue cause e, principij." Collenuccio (1535), p. 12.
"Physics, or consequences from qualities", //Leviathan// (1651), chap. 9, p. 52.
"The science of the specially-conditioned forms under which chemical substances occur. Thus the chemical substances H<sub>2</sub>O occurs, according to temperature, as ice, water, or steam; while carbon exists, even at the same temperature, under the three forms of charcoal, graphite, and diamond." Hooper (1906), p. 150.
ⓘ //Physics// is not included in Shield's disciplinary system. However, he explains this absence as follow:<br>
"The words physics, history, and philosophy, for example, have lost much of their original meaning, and become current in the most varied senses." Shields (1882), p. 9.
"Mechanics, Physics, Chemistry, etc., have for their object to generalize the laws of relation which different modes of Matter and Motion conform to, when severally disentangled from those actual phenomena in which they are mutually modified." Spencer (1864), p. 14.
Cf. //Speculum doctrinale//, book XV.
J. G. Sulzer (1758), p. 107.
"Le physiologiste devant connaître les fonctions de toutes les parties que l'anatomiste a étudiées dans l'individu, et de chacune de ces parties envisagées dans l'ensemble des espèces diverses d'animaux qui en sont pourvus, il faut qu'il existe une //physiologie comparée// correspondante à l'//anatomie comparée// [...] L'anatomie est pratiquée depuis des siècles et la physiologie expérimentale date de notre temps la première dissèque des cadavres, la seconde opère sur des êtres vivants, c'est-à-dire sur ce qui existe de plus complexe et de plus mystérieux pour l'observateur le plus exact, comme pour le philosophe le plus profond: l'anatomiste s'occupe exclusivement de l'être //mort// et le physiologiste de l'être //vivant// !" (Chevreul 1866, p. 557).
"Elle considère le jeu de toutes les parties de la machine humaine et des corps vivans: elle analyse les fonction et les forces vitales dans l'état de maladie et de santé; elle s'occupe à former le tableau des changements que la différence des climats, des aliments, des âges, des sexes, des tempéraments doit apporter dans les corps vivans et sensibles, ainsi que dans l'état de leurs facultés; pour en conclure l'influence générale et réciproque du physique sur le moral et du moral sur le physique." (Lancelin 1803, p. 133).
"Il faut distinguer, par rapport à tous les ordres de phénomènes, deux genres de sciences naturelles: les unes, abstraites, générales, ont pour objet la découverte des lois qui régissent les diverses classes de hpénomènes, en considérant tous les cas qu'on peut concevoir; les autres concrètes, particulières, descriptives, et qu'on désigne quelquefois sous le nom de sciences naturelles proprement dites, consistent dans l'application de ces lois à l'histoire effective des différens êtres existans. [..] Quelques exemples suffironts d'ailleurs pour rendre visible cette division, dont l'importance n'est pas encore convenablement appréciée. On pourra d'abord l'apercevoir très nettement en comparant, d'une part, la physiologie générale, et, d'une autre part, la zoologie et la botanique proprement dites. Ce sont évidemment, en effet, deux travaux d'un caractère fort distinct, que d'étudier, en général, les lois de la vie, ou de déterminer le mode d'existence de chaque corps vivant, en particulier." Comte (1830), //Cours de philosophie positive//, Tome 1, pp. 70-71.
"From two Greek words: one of which signifies //nature// or natural state ; the other, an //account//.", Bentham (1816), //Chrestomathia//, p. 47.
Mentioned in Freig (1575).
"The science of organic function." Hooper (1906), p. 173.
"La //Physique// [...] étudie les propriétés générales communes à tous les corps ou à un grand nombre d'entr'eux." De Candolle (1813), p. 2.
"Le caractère d'//abstraction// est dans la définition de la physique, car elle étudie, dit-on, les //propriétés générales de la matière//, et j'ajoute en particulier les //propriétés physiques// des espèces chimiques" (Chevreul, 1866, p. 547).
"Les sciences [qui] ont pour objet les qualités communes des Corps, et les phénomènes généraux de la nature, on peut leur conserver le nom de //phisique//." Condorcet (1793), p. 769.
"Les Cartésiens pensaient qu'en physique il s'agit uniquement des transmissions et des transformations du mouvement. Cette conception paraît dépassée ; mais la mécanique élargie, la mécanique énergétique ou l'énergétique comme on dit tout simplement, ne doit-elle pas aspirer à absorber la physique?", Naville (1901), p. 71.
"Nous avons pris par les sens la connaissance des individus réels: astres, éléments, météores, etc. Ainsi du reste de l'Histoire naturell. Nous avons pris en même temps la connaissance des individus abstraits, couleur, son, saveur, odeur, densité, rareté, chaleur, mollesse, fluidité, roideur, figure, distance, mouvement, repos, étendue, quantité, impénétrabilité; nous avons vu par la réflexion que de ces abstraits les sens convenoient à tous les individus corporels, comme étendue, mouvement, impénétrabilité, etc. Nous en avons fait l'objet de la Physique générale ou métaphysique des corps; et de ces même propriétés considérées dans chaque individu en particulier avec les variétés qui le distinguent connue la dureté, le ressort, la fluidité, etc, sont l'objet de la physique particulière." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"La Physique considère & regarde les qualités des choses.", Christofle de Savigny (1587), plate B.
"La //physique// [...] doit être partagée en deux sections distinctes, suivant qu'elle considère les phénomènes généraux de l'univers, ou, en particulier, ceux que présentent les corps terrestres. D'où la physique céleste, ou l'astronomie, soit géométrique, soit mécanique; et la physique terrestre. La nécessité de cette division est exactement semblable à celle de la précédente. Les phénomènes astronomiques étant les plus généraux, les plus simples, les plus abstraits de tous, c'est évidemment par leur étude que doit commencer la philosophie naturelle." Comte (1830), //Cours de philosophie positive//, Tome 1, p. 91.
"Science des rapports spéciaux d'effets", Bourdeau (1882), vol. II, p. 80.
"Tel est donc, pour moi, l'ordre définitif des diverses branches principales de la physique: barologie, thermologie, acoustique, optique et électrologie." Comte (1835), //Cours de philosophie positive//, Tome 2, p. 461.
"Science des modalités constantes", Bourdeau (1882), vol. II, p. 21.
"La //physique expérimentale// [...] renferme toutes les vérités qui résultent de l'observation immédiate des corps. Elle décrit leurs divers états, leur dureté, leur élasticité, leur pesanteur, tous les phénomènes dus à leur action mutuelle, et les instruments à l'aide desquels nous les constatons." Ampère (1834), Tome 1, p. 76.
"Je partagerai la physique générale en deux ordres de sciences suivant les divers points de vue sous lesquels on peut considérer les propriétés inorganiques des corps. Je la diviserai d'abord en //physique générale élémentaire// et en //physique mathématique//. Pour tracer une ligne de démarcation entre ces deux parties de la physique générale, je réunis dans la première tout ce que l'observation et l'expérience peuvent nous faire connaître, lorsque nous considérons les corps en eux-mêmes ; et dans la seconde, d'abord les lois générales qui résultent de la comparaison, soit des phénomènes que nous observons dans les différents corps, soit des changements qu'éprouvent ces phénomènes, lorsque les circonstances où se trouvent les corps viennent à varier" Ampère (1834), Tome 1, pp. vj–vij.
"La physique générale [...] n'étudie, comme les mathématiques, les propriétés des corps, que pour les connaître, indépendamment de toute application à nos besoins." Ampère (1834), Tome 1, p. 201.
"Science de l'unité des actions physiques", Bourdeau (1882), vol. II, p. 106.
"Science qui se propose d'assigner les lois du mouvement qui découlent des propriétés primitives de la matière sur le globe et dans l'espace céleste, et d'expliquer l'action réciproque et la marche de toutes les parties du grand corps de l'univers." (Lancelin 1803, p. 133).
"La physique médicale se divisera en deux sciences du second ordre. Je donnerai à la première le nom de Physique médicale proprement dite, parce que les moyens d'agir sur l'économie animale dont elle s'occupe produisent des effets qui ont lieu et s'observent en quelque sorte immédiatement, comme ceux que détermine l'action mutuelle des corps inorganiques soumis aux expériences de la physique générale; elle comprendra la pharmaceutique et la traumatologie. Pour la seconde, composée de la diététique et de la phrénygiétique, il fallait nécessairement faire un nouveau mot; j'ai adopté celui de Biotologie." Ampère (1834), Tome 1, p. 150.
"Science des modalités variables", Bourdeau (1882), vol. II, p. 46.
"Lois des phénomènes matériels possibles en vertu de la nature permanente des éléments matériels réels", Naville (1888), p. 29.
"PHYTOLOGY, or the history of PLANTS; their origin in the Seed, Fruit, &c. Their kinds; as Tree, Herb, &c. Extraordinary species; as Tea, Coffee, Paraguay, Vine, Ginseng, Cotton, Tobacco, &c. Coral, Mushroom, Truffle, Parasite, Mistleto, Moss, &c. Parts; as Root, Stone, Flower: Wood; as Guaiacum, Sassafras, Ebony, Aloes, &c. Leaves, Foliation, Roll, &c. Bark; as Quinquina, &c. also Pistil, Farina, Stamina, &c. Operations thereof; as Vegetation, Germination, Circulation, &c. Circumstances; as Perpendicularity, Parallelism, Fecundity, &c. Productions; as Honey, Wax, Balsam, Sugar, Manna, &c. Gum, Resin, Camphor, &c. Indigo, Opium, Galls, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Vergerio, Pietro Paolo (1402), //De ingenuis moribus ac liberalibus studiis//, Lavagna, 1474. English transl. "On noble character and liberal studies of youth", in Bruce A. Kimball, //The Liberal Arts Tradition: A Documentary History//, University Press of America, pp. 161–167.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Grendler, Paul F. (1989), //Schooling in Renaissance Italy: Literacy and Learning, 1300-1600//, Johns Hopkins University Press, p. 118.
* Guarino, Battista (2002), //Humanist Educational Treatises//, Harvard University Press, pp. 3–92.
* Kimball, Bruce A. (2010), //The Liberal Arts Tradition: A Documentary History//, University Press of America, pp. 159–160.
* Woodward, William Harrison (1897), "The treatise //De ingenuis moribus// by Petrus Paulus Vergerius", in //Vittorino da Feltre and other humanist educators; essays and versions. An introduction to the history of classical education//, Cambridge: University press, pp. 93–118.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Charron, Pierre (1601), //De la Sagesse//, Amsterdam: Elzevier, 1662.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kogel, Renée (1972), //Pierre Charron//, Genève: Librairie Droz.
* Kelley, D.R. & Popkin, R.H. (1991), //The Shapes of Knowledge from the Renaissance to the Enlightenment: From the Renaissance to Enlightenment//, Dordrecht: Springer, p. 73.
* Sabrié, J.B. (1913), //De L’humanisme Au Rationalisme: Pierre Charron//, Paris: Slatkine. → See p. 257 ff.
* Vleeschauwer, Herman Jean De (1958), "Autour de la classification psychologique des sciences: Juan Huarte de San Juan, Francis Bacon, Pierre Charron, D’Alembert", //Mousaion: South African Journal of Information Studies//, vol. 27.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Lancelin, Pierre-François (1801), //Introduction à l’analyse des sciences, ou de la génération, des fondements, et des instruments de nos connoissances//, Paris: Bossange, Masson et Besson.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Jullien, Marc-Antoine (1819), //Esquisse d’un essai sur la philosophie des sciences: contenant un nouveau projet d’une division générale des connaissances humaines//, Paris: Baudouin, p. 37.
"Numerical division in all its variety can be usefully applied to every field of conduct. It may be limited to the complexities of arithmetic itself, or extended to the subtleties of plane and solid geometry" //Laws// V, 747a.
"Science des éléments primaires de structure", Bourdeau (1882), vol. II, p. 323.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Cooper, John M., ed. (1997), //Plato: Complete Works//, Indianapolis: Hackett.<br>→ See especially: //Republic//, //Timaeus//, //Philebus//, //Sophist// (257C).
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Barbera, Andre (1981), "Republic 530C–531C: Another Look at Plato and the Pythagoreans", //The American Journal of Philology// 102(4), pp. 395–410.
* Flint, Robert (1904), //Philosophy as a Scientia Scientiarum and a History of Classifications of the Sciences//, New York: Arno Press, 1975 p. 68.
* Goldschmidt, Victor (1955), "La Ligne de la République et la classification des sciences", //Revue Internationale de Philosophie// 9(32-2), pp. 237–255.
* Hadot, Pierre (1979), "Les divisions des parties de la philosophie dans l’Antiquité", //Museum Helveticum// 36(4), pp. 201–223.
* Merlan, Philip (1953), "The Subdivisons of Theoretical Philosophy", in //From Platonism to Neoplatonism//, The Hague: M. Nijhoff, pp. 59–87.
* Tatarkiewicz, Władysław (1963), "Classification of Arts in Antiquity", //Journal of the History of Ideas// 24(2), pp. 231–240.
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
"Measuring how broad, a thing is, which is in the Measurers view: so it be situated on Land or Water: called Platometrie." John Dee (1570), //The Mathematicall Preface//.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Plotinus (~270), //The Enneads//, trans. G. Boys-Stones, L.P. Gerson (ed), New York: Cambridge University Press, 2018.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Ferwerda, R. (1990), "Plotinus and the Muses", //Hermes// 118(2), pp. 204–212.
* Tatarkiewicz, W. (1963), "Classification of Arts in Antiquity", //Journal of the History of Ideas// 24(2), pp. 231–240.
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
"From a Greek word, which means //air//.", Bentham (1816), //Chrestomathia//, pp. 26–27.
"PNEUMATICS, or the consideration of the AIR; its Weight, Density, Pressure, Elasticity, &c. Condensation, Rarefaction, Motion, Wind, &c. in Air-pump, Suction, Vacuum, &c. Measured by Barometer, Thermometer, Hygrometer, Manometer, &c. Anemometer, Windmill, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"Science des propriétés de l'air." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Which demonstrateth by close hollow Geometricall figures (Regular and Irregular) the straunge properties (in motion or stay) of the Water, Ayre, Smoke, and Fire, in their Continuitie, and as they are joyned to the Elementes next them." John Dee (1570), //The Mathematicall Preface//.
"Spirit-regarding or mind-regarding; viz. Pneumatology", Bentham (1816), Appendix IV, Section VIII, p. 178.
"//Pneumatology//, or //Pneumatics//, alias //Psychology//, or //Psychics//.", Jeremy Bentham (1816), //Chrestomathia//, p. 180.
"La Poésie est l'imitation de la belle nature exprimé par le discours mesuré. La Poésie a pour objet les individus imaginés à l'imitation des êtres historiques. [...] Nous n'entendons ici par Poésie que ce qui est //Fiction//. Comme il peut y avoir versification sans Poésie, et Poésie sans versification, nous avons crû devoir regarder la versification comme une qualité du style et la renvoyer à l'art oratoire." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"//Poesie// [...] //is likewise of Individuals, fancied to the similitude of those things which in true History are recorded//, yet so as often it exceeds measure; and those things which in Nature would never meet, nor come to pass, //Poesie// composeth and introduceth at pleasure, even as painting doth: which indeed is the work of the Imagination." Bacon (1623), Book II, p. 50.
"//Poesie is a kind of Learning in words restrained; in matter loose and licensed//; so that it is referred, as we said at first, to the Imagination; which useth to devise, and contrive, unequal and unlawful Matches and Divorces of things. [...] Under the name of //Poesie//, we treat only of //History Feigned at Pleasure//. The truest //Partition// of //Poesie//, and most appropriate, besides those Divisions common to it with History (for there are //feigned Chronicles//, //feigned Lives//, and //feigned Relations//) is this, //that it is either Narrative; or Representative, or Allusive//. //Narrative// is a meer imitation of History; that in a manner deceives us; but that often it extols matters above belief. //Dramatical or Representative//, is as it were a visible History; for it sets out the Image of things, as if they were Present; and History, as if they were Past. //Parabolical or Allusive// is History with the Type, which brings down the Images of the understanding to the Objects of Sense." Bacon (1623, Book II, 67).
"The poet being an imitator just like the painter or other maker of likenesses, he must necessarily in all instances represent things in one or other of three aspects, either as they were or are, or as they are said or thought to be or to have been, or as they ought to be", //Poetics// 1460b8.
"POETRY, including the consideration of VERSE; its Measure, Feet, Quantity, &c. as Hexameter, Alexandrine, Spondee, Iambic, &c. Rhyme, Stanza, &c. Compositions; as Epigram, Elegy, Song, Madrigal, Hymn, Ode, Pindaric, &c. Eclogue, Satyr, Georgic, &c. Anagram, Acrostic, Burlesque, Macaronic, Leonine, Troubadour, &c. Dramatic; as Tragedy, Comedy, Hilaro-tragedia, Farce, &c. Parts thereof; Act, Scene, Protasis, Epitasis, Catastrophe, &c. Circumstances; Prologue, Epilogue, Soliloquy, Chorus, &c. Laws, Unity, Action, &c. Epic; its Fable, Hero, Machines, &c. Characters, Manners, Sentiments, &c. Personification, Proposition, Invocation, Episode, &c. Iliad, Odyssee, Rhapsody, &c."
"La poetica, insegna restringere el parlare sotto mesura de uerso có le sue debite proportioe, e deletare se e, li auditori & eleuare lo ingegno proprio a compositione quale pascia insieme con volupta giouare." Collenuccio (1535), p. 11.
"Consequences from speech, in magnifying", //Leviathan// (1651), chap. 9, p. 53.
"Quality-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
"POLICY, or the consideration of SOCIETY, and GOVERNMENT: its origin; in Contract, &c. Constitutions and forms thereof; as, 1°. Monarchy, Despotism, &c. Powers thereof; King, Queen, Prince, Duke. Emperor, Sultan, Sophy, Caliph, Caesar, Czar, Ynca, Ethnarch, Tetrarch, Despot, and the like. Their Titles and Qualities; Majesty, Highness, Grace, Excellence, and the like. Their Regalia; Crown, Sceptre, Tiara, Fasces, &c. 2°. Aristocracy, its powers; as Archon, Dictator, Doge, Senate, Council, &c. 3°. Democracy; States-General, Stadtholder, Protector, &c. Their Succession, Elective, Hereditary, by Primogeniture, &c. Their transactions; as Peace, War, Treaty, Union, Croisade, &c. By Armies, Fleets, Embassies, Secretary, Plenipotentiary, Envoy, Legate, Nuntio, &c. Their Territories, Empire, Signory, &c. Their Estates, Nobles, Commons, Clergy. Census, Enumeration, Tribe, Quarter, &c. Province, Circle, County, City, Town, &c. Magistrature, Chancellor, Judge, Sheriff, Justice, Mayor, Alderman, Bailife, Constable. Interrex, Consul, Pretor, Censor, Visier. Tribune, Triumvir, Provost, Ephori, aedile, Prefect, Questor, Proconsul. Vice-roy, Lieutenant, Steward, Warden, Keeper. Jurisconsultus, Procurator, Advocate, Barrister, Prothonotary, Custos, Philazer, Chirographer, Usher, Cleric, &c. Their jurisdiction; Courts; as Areopagus, Comitia, &c. Parliament, Diet, Divan. Chamber, Assize, Privy-Council, &c. Chancery, King's-Bench, Exchequer, Admiralty, Verge, Sessions, Turn, County Court, Leet, Eyr, &c. Terms, Circuits, Commissions, Oyer. Convocation, Arches, Prerogative, Faculties, Delegates. Rota, Inquisition, &c. Their Revenues, Treasury, Fisc, Exchequer, Tally, Political Arithmetic. Duties, Customs, Gabel, Excise, &c. Coinage, Money, Interest, Usury, &c. Their Houshold, Chamber, Green-Cloth, Ward-robe, &c. Under Steward, Chamberlain, Comptroller, Cofferer, Aga, Oda, &c. Guards, Ordinance, &c. directed by Captain, Master, Equerry, &c. Militia, Navy, Post, Timariot, Arriere-ban, &c. Dignities; Dauphin, Elector, Palatine, Grave, Palsgrave. Thane, Earl, Count, Knight, Garter, Baronet, Bath, Teutonic, Malta, Elephant, &c. Gentleman, Yeoman, &c. Their Names, Sirnames, Titles, Precedence, &c. Factions, Patrician, Guelph, Tory, &c. Corporations, or lesser Communities, University, Academy, College, Society, Chapter, School, Hospital, Inn. Public buildings; Hall, Basilica, Guildhall, Prison, Tower, Arsenal, Library, Museum, Circus, & Solemn Ceremonies; as Triumph, Turnament, Carrousal, Quadril. Donative, Medal, Trophy, Monument, Funeral, Tomb, Catacomb, &c. " Ephraim Chambers, //Cyclopedia// (1728), Preface.
"State-regarding", Bentham (1816), Appendix IV, Section VIII, p. 178.
"La Politica, quale insegna el governo morale de l'homo, considerato come animale civile che in Republica pó governare, & há ad esser governato nella qual parte sé comprendono é, includeno le faculta de ragion civile, é, canonica tutte ordinate al ben publico é, perche in questa eta del quinto septennia lhuomo há piu esperientia de dottrina é, de vita, & e, mancho subietto a, le passione é, perturbatis ue del Animo, imperbo convenientemente questa quarta parte de philosophia chiamata ethica over morale á que sta cta sé riserva." Collenuccio (1535), p. 14.
Cf. //Speculum doctrinale//, book VII.
"Vient enfin la politique, qui a le double objet: 1. de régler de la manière la plus avantageuse les relations de chaque nation avec les autres, et de juger dans quelles circonstances cette nation peut se trouver forcée d'avoir recours aux armes pour défendre ses droits ; 2. de concourir au développement de tous les genres d'industrie et de tout ce qui peut contribuer à la félicité publique, de faire respecter les lois et régner l'ordre dans toutes les branches de l'administration, par le choix des hommes les plus propres à bien remplir les fonctions qui leur sont confiées." Ampère (1834), Tome 2, p. 148.
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
"Dependent on authority" (Flint 1904, 139).
"Πρακτικἡ, the skill of right applying our own powers and actions, for the attainment of things good and useful. The most considerable under this head, is ethics, which is the seeking out those rules and measures of human actions,
which lead to happiness, and the means to practise them. The end of this is not bare speculation, and the knowledge of truth ; but right, and a conduct suitable to it.", Locke (1690), //An Essay Concerning Human Understanding//, book IV, chap. XXI, p. 549.
"The end of theoretical knowledge is truth, while that of practical knowledge is action (for even if they consider how things are, practical men do not study what is eternal but what stands in some relation at some time)", //Metaphysics// 993b21.
Practical [science] is also divided into three parts. [...] One of these is the science of building up one's intercourse with all men. Necessary for this are Grammar, Poetics, Rhetoric, and the science of secular laws. [...] This latter science is called 'Political science'.
Second is the science of arranging the home and one's own family. [...] This science is called 'Familiar ordination'.
Third is the scienc by which a man perceives how to order his very own way of life according to the honesty of his soul, so that he may be uncorrupted and excellent in is manners. This science is called 'Ethical or Moral science'. Gundisalvo, //De divisione philosophiae//, trans. Grant (1974), p. 62.
"Practical wisdom is a kind of meta-calculative reasoning, concerned more with the universal and whether things are mutually implied, and if one should
hold back from acting either now or later, or whether something wholly
different would be better." Plotinus (~270), 1.3.6.
"The //Operative knowledge of Nature//, we will likewise divide into two Parts; and that from a kind of Necessity. For this //Division// is subordinate to the former Division of Speculative Knowledge; for Physick, and the Enquiry of Efficient and Material Causes, produces //Mechanick//: but Metaphysick, and the enquiry of Forms produces //Magick//." Bacon (1623), Book III, p. 106.
"In a practical Science, the knowledge is selected and arranged purely with reference to the object in view. The definition of a Practical Science is its End. This makes a great difference as respect choice of topics, between a Theoretical Science (Abstract or Concrete) and a Practical Science. In the first, the knowledge imparted pertains exclusively to one department of natural phenomena—Motion, Life, Mind, etc. In the second the knowledge is selected from one or more theoretical sciences, and set forth in the order suited to the end in view." Bain (1870), p. 29.
"Science des corrélations spéciales de fonctions", Bourdeau (1882), vol. II, p. 546.
"Science des lois des fonctions", Bourdeau (1882), vol. II, p. 577.
"Science des fonctions indirectes ou autonomes", Bourdeau (1882), vol. II, p. 499.
"The //Precise// and the //Synoptic Physical Sciences//, respectively, correspond very closely to the phenomena, of which we have constructed a conceptual model by aid of elementary corpuscules having ideal motions, and to the phenomena which have not yet been reduced to such a conceptual description." Pearson (1892), chap. 10, p. 464.
"//Primitive Philosophy// [...] is an Inquiry concerning the //Accessory Condition of Entities//, which we may call //Transcendents//; as //Multitude//, //Paucity//; //Similitude//; //Diversity//; //Possible//, and //Impossible//; //Entity//; //Non-entity//; and the like." Bacon (1623), Book III, p. 86.
"We distinguish //Primitive Phylosophy//, from //Metaphysick//, which heretofore hath been confounded and taken for the same thing. The one we have set down as a commune //Parent of all Sciences//; the other, as a portion of Natural Philosophy." Bacon (1623), Book III, p. 90.
"All arts, i.e. all productive forms of knowledge, are potentialities; they are principles of change in another thing or in the artist himself considered as other", //Metaphysics// 1046b4.
"Those of the crafts that produce sensible objects, such as architecture and carpentry, to the
extent that they make use of symmetries, would have their principles in the intelligible world and their thought processes there", Plotinus (~270), 5.9.11.
"J'ai adopté le nom de //psychologie// pour me conformer à l'usage presque universellement adopté aujourd'hui de désigner sous ce nom l'étude de la pensée, fondée sur cette observation intérieure que les philosophes ont appelée réflexion ou conscience." Ampère (1834), Tome 2, pp. 42–43.
"La Psychologie positive, loin d'être la « science de l'âme », doit être plutôt une Psychologie sans âme, c'est-à-dire qu'elle se borne à scruter les phénomènes et les lois de l'activité psychique, abstraction faite de toute hypothèse sur la nature du principe animé. Afin de mieux marquer sa place dans l'ensemble de la science des fonctions, nous l'appelons « Praxéologie spéciale. »", Bourdeau (1882), vol. II, p. 511.
La Psychologie expérimentale, dans son sens le plus large, devrait donc étudier simultanément, avec le phénomène psychique, tous les phénomènes physiologiques et physico-chimiques qui l'accompagnent. Guye (1919), p. 245.
"La psychologie étudie l'activité psychique de l'homme du point de vue historico-naturel (d'où sa connexion avec la physiologie de l'activité nerveuse supérieure comme partie des sciences biologiques, et donc avec les sciences de la nature) et du point de vue social." (Kedrov 1965, Tome 2, p. 492).
"J'appelle sciences psychologiques les sciences qui ont pour objet les lois de la vie psychique", Naville (1901), p. 90.
"L’omission de la psychologie dans mon tableau, ne doit pas être considérée comme une induction tendante à nier l’existence de l’âme. Mais les notions qui se rapportent à cette question me semblent appartenir à deux sciences tout-à-fait différentes, c'est-à-dire à la religion et à la physiologie. En effet, l'existence de l'âme considérée comme principe immatériel, indépendant de nos organes, est un dogme religieux, et, d'un autre côté, l'étude de toutes les facultés que nous exerçons à l'aide de nos organes appartient à la physiologie." Omalius d'Halloy (1834), p. 11.
"Lois des phénomènes psychiques possibles en vertu de la nature des âmes que nous fait connaître l'expérience, et lois de l'action réciproque des âmes et des corps", Naville (1888), p. 29.
"The most important part of all science is the knowledge which man has obtained of the laws governing the operations of his own Mind. This department stands eminently distinct from the others, on several accounts. Unlike that of //organic life//, which could not be understood until physics and chemistry had been previously investigated, this had made extraordinary advances in a very early age, when the others, as methodical sciences, had scarcely begun to exist. [...] The crowning science of Mind, although in certain respects independent of the science of Matter, is still closely allied to them.", Arnott (1828), pp. x– xi.
"Psychology, or the Science of Mind, is a unique department of natural phenomena. Its terminal position in the order of the Sciences is owing to two circumstances. In the first place, it is a subject of great complication, aggravated by an especial amount of corrupting bias. Hence the student does well to come prepared with a scientific discipline, such as is best furnished in the previously ennumerated sciences. Scondly, although the mind proper—the subjective consciousness—is a unique subject, yet a material organism is allied with it throughout, and therefore should be known as so allied." Bain (1870), p. 27.
Mentioned in Freig (1575). For more information about this early mention of "psychology" in a disciplinary map, see Luccio (2013).
"Causal psychology treats of consciousness, either as determined by physical conditions or as determining the bodily actions of men and other animals. [...] Formal psychology treats of the actual contents of the current of consciousness; of sensation and thought, as such, but not of their physical conditions; of motive feelings and conscious purposes, as such, but not of their physical effects." Hooper (1906), pp. 177 ; 180.
"//Mathematicks are either Pure or Mixt//; to //Pure Mathematicks//, those Sciences are referred, which handle Quantity altogether abstracted from Matter, and Physical Axioms. They are two, //Geometry//, and //Arithmetick//; the one handling Quantity continued, the other dissevered." Bacon (1623), Book III, p. 110.
"The Pure Sciences, then, represent pure acts of the mind, and those only; whether employed in contemplating the forms under which things in their first elements are necessarily viewed and treated by the mind; or in contemplating the substantial reality of those things.", Coleridge 1818, p. 35.
"C'est l'art du feu en général, et l'art des feux d'artifices en particulier." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"PYROTECHNY, or Artificial FIRE-works; including the consideration and use of Gun-powder, Match, Fusee, &c. Of Ordinance, Cannon, Gun, Mortar, &c. Carriage, Charge, Projection, Range, Point-blank, Recoil, &c. Petard, Carcass, Shot, Bomb, Granado, &c. Rocket, Star, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
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
{{||image1-map-image2}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Llull, Ramon (1295), //Arbor scientiae//, Lugduni, 1635.
* Llull, Ramon (1305), //Ars Magna//, Lugduni, 1517.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Artus, Walter W. (1990), "Two Science Listings in the Writing of Ramon Llull", in S. Knuuttila //et al//. (eds), //Knowledge and the sciences in medieval philosophy//, Helsinki: Luther-Agricola Society, pp. 3–11.
* Bonner, Anthony (2002), "The Structure of the Arbor scientiae", in //Arbor scientiae. Der Baum des Wissens von Ramon Llull//, Turnhout: Brepols Publishers, pp. 21–34.
* Bonner, Anthony (2007), //The Art and Logic of Ramon Llull: A User’s Guide//, BRILL.
* Domínguez Reboiras, F. //et al//. (2002), //Arbor scientiae. Der Baum des Wissens von Ramon Llull: Akten des Internationalen Kongresses aus Anlass des 40-jährigen Jubiläums des Raimundus-Lullus-Institutes der Universität Freiburg. 29. September - 2. Oktober 1996//, Turnhout: Brepols Publishers.
* Gardner, Martin (1958), //Logic machines and diagrams//, McGraw-Hill.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* La Grasserie, Raoul (1893), //De la classification objective et subjective des arts, de la littérature et des sciences//, Paris: Alcan.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 289.
"All rational cognition is either cognition from concepts or cognition from the construction of concepts; the former is called philosophical, the latter mathematical", Kant (1781), A837/B865, p. 693
"The //real// sciences, on the other hand, are conversant with the true nature and existence, either of the created universe around us; or of the guiding principles within us, in their various modifications and distinguishing movements; or, lastly, with the real nature and existence of the great Cause of all.", Coleridge (1818), p. 35.
J. G. Sulzer (1758), p. 189.
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
"La religion est la connaissance de la Divinité et celle du culte qui lui est dû. Elle se divise en naturelle et révélée." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Descartes, René (1644), //Les principes de la philosophie//, Paris: Henry le Gras et Edme Pepingué, 1651.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Ariew, Roger (1992), "Descartes and the Tree of Knowledge", //Synthese// 92(1), pp. 101–116.
* Ariew, Roger (1999), "Descartes and the late Scholastics on the order of the sciences", in C. Blackwell & S. Kusukawa (eds), //Philosophy in the Sixteenth and Seventeenth Centuries//, Ashgate, pp. 350–364.
* Gaukroger, Stephen (2002), //Descartes’ System of Natural Philosophy//, Cambridge: Cambridge University Press.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 74–78.
<!-- Select map -->
<table class="centertable borderless">
<tr><td align="center"><span class="darkgreyfont">//Issue related to the following map://</span><br><div class="selfield"><$select class="clickbutton buttonfield" tiddler="$:/temp/selmap"><$list filter="[has[pselect]][has[gcselect]][has[uploaded]]"><option value=<<currentTiddler>>><<currentTiddler>></option></$list></$select></div></td></tr>
</table>
<!-- Enter data -->
<form method="post" action="./Technical/Report_mistake.php" target="_self">
<table class="centertable borderless">
<input type="hidden" name="map_id" value={{$:/temp/selmap}}/>
<tr><td align="center" height="32"><span class="darkgreyfont">// Please explain the issue or suggest improvements here://</span></td></tr>
<tr><td align="center">
<textarea class="frmfield" name="report" rows="3" maxlength="1000" style="width:70vw; height:20vh; min-height:60px" required></textarea>
</td></tr><br>
<tr><td align="center"><$list filter="[title[$:/temp/selmap]!text[— Please select an item —]]"><button class="clickbutton tc-btn-invisible buttonfield"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list><$list filter="[title[$:/temp/selmap]text[— Please select an item —]]"><button class="tc-btn-invisible buttonfield nodragdrop lightgreyfont"><i class="fa fa-chevron-circle-right" style="padding-left:5px; padding-right:5px"></i>Send data </button></$list></td></tr>
</table>
</form>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Report_mistake&dt=Report_mistake" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Report_mistake"></iframe>
"//Dramatical//, or //Representative Poesie//, which brings the World upon the stage, is of excellent use, if it were not abused. For the Instructions, and Corruptions of the //Stage//, may be great; but the corruptions in this kind abound; the Discipline is altogether neglected in our times." Bacon (1623), Book II, p. 68.
"Science des actions de courant", Bourdeau (1882), p. 64.
"Rhetoric is concerned with the modes of persuasion", //Rhetoric// 1355a3.
"Passion-exciting; viz. Rhetoric", Bentham (1816), Appendix IV, Section VIII, p. 178.
Cf. Martianus Capella, //Satyricon//, Liber V.
"RHETORIC, or the means of PERSUASION; as Invention, Amplification, Topic, Place, Argument. Passions, Manners, &c. Disposition, Exordium, Narration, Confirmation, Peroration, &c. Elocution, Sublime, Style, Numbers, &c. Figures; as Exclamation, Pleonasm, Epiphonema, Apostrophe, Prosopopeia, Antithesis, &c. Tropes; as Metaphor, Allegory, Synecdoche, Sarcasm, Hyperbole, Catachresis, &c. Action, Gesture, Monotonia, &c. Compositions; as Oration, Declamation, Panegyric, &c. Parable, Essay, Dialogue, History, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"La Rhetorica insegna, bene e, ornatamente e, con elegantia parlare e, con efficatia persuadere." Collenuccio (1535), p. 11.
"Consequences from speech, in persuading", //Leviathan// (1651), chap. 9, p. 53.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Fludd, Robert (1619), //Utriusque cosmi maioris scilicet et minoris metaphysica, physica atque technica historia//, Openhemii: Johan-Theodori de Bry.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Breidbach, Olaf (2008), "World Orders and Corporal Worlds: Robert Fludd’s Tableau of Knowing and its Representation", in Helmar Schramm, Ludger Schwarte and Jan Lazardzig (eds), //Instruments in Art and Science: On the Architectonics of Cultural Boundaries in the 17th Century//, Berlin: Walter de Gruyter, pp. 38–61.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Kilwardby, Robert (1279), //De ortu scientiarum//, ed. A. G. Judy, Toronto: Pontifical Institute of Mediaeval Studies, 1976.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Alessio, Gian Carlo, (2001), "Sul De ortu scientiarum di Robert Kilwardby", in //La divisione della Filosofia e le sue Ragioni//, G. d'Onofrio (ed.), Salerno: Avagliano.
* Alverny, Marie-Thérèse D’ (1981), "Robert Kilwardby, O. P. De Ortu scientiarum", //Scriptorium// 35(1), pp. 147–150.
* Maierù, Alfonso (2012), "Robert Kilwardby on the division of the sciences", in Paul Thom & Henrik Lagerlund, //A Companion to the Philosophy of Robert Kilwardby//, BRILL, pp. 353–389.
* Sharp, Dorothea Elizabeth (1934), "The De ortu scientiarum of Robert Kilwardby (d. 1279)", //The New Scholasticism// 8(1), pp. 1–30.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bacon, Roger (~1260), //Communia naturalium//, in //Opera hactenus inedita// (Vol. I–XVI), ed. Robert Steele, Oxford, 1909–1940.
* Bacon, Roger, //Opus maius//, 3 Vols., ed. J.H. Bridges, Oxford and Edinburgh; reprint, Frankfurt: Minerva, 1964).
* Bacon, Roger, //Opera quaedam hactenus inedita// (incl. //Opus tertium//, //Opus minus//, //Compendium studii philosophiae//, //Epistola de secretis operibus Artis et Naturae//), ed. J.S. Brewer, London, 1859; reprint 1965, Nendeln: Kraus.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Hackett, Jeremiah (1997), "Roger Bacon on the Classification of the Sciences", in //Roger Bacon and the Sciences: Commemorative Essays//, BRILL, pp. 49–66.
* Richardson, Ernest Cushing (1901), //Classification, theoretical and practical//, New York: C. Scribner’s sons, p. 113.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
{{||map}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Bonaventure, St. (1250),// De Reductione Artium Ad Theologiam//, New York: The Franciscan Institute-Saint Bonaventure University, 1955.
* Bonaventure, St. (1259), //Itinerarium mentis in Deum//, New York: Franciscan Institute, 2002.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Benson, Joshua C. (2011), "Bonaventure’s De reduction artium ad theologiam and Its Early Reception as an Inaugural Sermon", //The American Catholic philosophical quarterly// 85(1), pp. 7–24.
* Benson, Joshua C. (2009), "Identifying the Literary Genre of the “De reductione artium ad theologiam”: Bonaventure’s Inaugural Lecture at Paris", //Franciscan Studies// 67, pp. 149–178.
* Di Maio, Andrea (2001), "La divisione Bonaventuriana della filosofia: lettura di //Collationes in Hexaëmeron// 3.2", in G. d’Onofrio (ed.), //La divisione della filosofia e le sue ragioni//, Salerno: Avagliano, pp. 157–184.
* Flint, Robert (1904),// Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 95.
* Hinwood, B. (1978), "The Division of Human Knowledge in the Writings of Saint Bonaventure", //Franciscan Studies// 38, pp. 220–259.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hollmann, Samuel Christian (1731), //Dissertatio philosophica de vera Philosophiae Notione//, Wittenberg.
* Hollmann, Samuel Christian (1733), //Id. partis posterioris//, Wittenberg.
* Hollmann, Samuel Christian (1747), //Prima philosophia, quae Metaphysica vulgo dicitur: Paullo uberior in universam philosophiam introductio//, Vandenhoeck.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Tonelli, Giorgio (1975), "The Problem of the Classification of the Sciences in Kant’s Time", //Rivista di Storia Della Filosofia// 30(3), pp. 243.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Coleridge, Samuel Taylor (1818), //General Introduction to the Encyclopaedia Metropolitana; Or, Preliminary Treatise on Method//, London, B. Fellowes.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 165.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol.1, pp. 177–178.
* Levere, Trevor H. (2002), //Poetry Realized in Nature: Samuel Taylor Coleridge and Early Nineteenth-Century Science//, Cambridge: Cambridge University Press.
* Lubbock, John William (1838), //Remarks on the classification of the different branches of human knowledge//, London: C. Knight and co, p. 15.
* Yeo, Richard (1991), "Reading Encyclopedias: Science and the Organization of Knowledge in British Dictionaries of Arts and Sciences, 1730-1850", //Isis// 82(1), pp. 24–49.
iVBORw0KGgoAAAANSUhEUgAAALQAAAC1CAYAAAD2kdWXAAAABmJLR0QA/wD/AP+gvaeTAAAACXBIWXMAABuvAAAbrwFeGpEcAAAAB3RJTUUH4wMNEDYSj00izAAAIABJREFUeNp0vEmsddmZpvWstfvm9Ofc/r/3/m384bAj7LQzbafTWRJVDGhShcQIBmmVmMAgCwlGTJAnIKVE0ZQENagBZA1AzJCghFTKxlm4DUfY0Tiav7/9uff05+x+r7X3ZnBCDIDawzXbS99e3/e+77O24F/x/KM///GPvLD9J2B8O0rK07ff/X327z1gs045e3PJKllxdO+Q09MTfMdkcnnO5x9/ymw2Jy8yos2KoiwwhKDXcbl/skdd1eRFAdLA9126nR79nX3CsEUSxyznS/JS0x30OT49ZvfomKAVYkhJXTfkeUmpNIHvYZmCOC5ZblIC38Y2DRAgDQPblpjSQKkKBLi2wXqTsFhGdNoBhm1yN1vT8iwGnTbLOGO5yrFtyYe/+oC9/R0ePHqA7zo8//wLfvP+b7BsmwdvPQFpk8YZtSqI1wsaGhzP5W58h+3YWJaJQDJfrPjdJ7/jB3/0fXb3h7TbPSbjW26vbwgCl1arRV4ouv0h/W6f2/GYs/M3HD043u5JEODYJpWuaCRcjhfs9vv0hwGGAfPZkuvzG26vrplMzmgaRavVp9XpM1/OKdKUfrfPzt4hJw8f0Ov2MISB41j85F/+Nc8++Yjv/+AH7B0d8OGvfsViPqHd32Hn4D4nDx8ShCGDYRfXscnTnLqpyLKCTz78hA9/+QtUHmM7Dk+/8Q0OTu/zxedvGHTavPuNxwyP9nj55oZKVXiOgylhtVpwM77l7uaG6fWYlmvz9W++i+G0+PL5GcOdPt//4bfpDHrc3Ey4ObvGMy1uJ3NefPEFH330K3KVnjW6/pCm+d//9m/+z7/4/6tb8/+98J/9p//hjwzL/vEmik9VBVUN7cEOo/0dpG1SSYG0DMJOSGfUIylL3pxdc3X2hpvLG+LNCkMI6rqmUCWmEKjKYR3lqEJRFIqGmuV8xWq+5Pb2FkNCUzcIBIZhUGRzbFvQGezg+gHQUFVQNZDlJbpscG2TNFPoUqNtA9exqMuKUikMw0FQU5SKphEIYZAXFUmUIw2DjtPCtkzOXt9yY8/YO9qjN2hR5CXD0YDlYklRVphmTVVpkmhNp93Bsy3KuqGqKparDZvlEj/wmC+WVLVGo/GFx73jEwZHh6yimIvXrwHFZpVweXZOUaRkuUOaZnT7Q1Rdczebk+UFeZ6SpQl1qdhka8oio6HC73WR0sBxXUzTYWe3y2A0oDEMNvGG8rqAWiGl5PTxQ1rrEa+/eIYQJq7r0SAptcK1BdfX1+RxgpAmSJvLixviaIMftnj49Gt0ensM+n2kaWBZJoVSqLph2O9iyhXxZslms8QyGwKnxXBvn9HuPotFSrJaEa8yOn3N3u6QOCvQhaLbCxkejegc7NEa7RD0+mwmE54/f4Ntewy7Iw72D8kzhbFOKLOSuq6J1zFNlnJycMDsdp+76d1pqcrTUqt/9+/9G3//x4Ne58f/6//8z/7iX1nQ//1/81/+49li+WeFKghdF8s00HlJt9vDD0OSUmOYYHs2Rwf7nJwcsVjGtPoho7zPdGyiliXStGioSZOIdtjC8zykMJCixjRA1xU0NXmuSPI5NDWqLJAITNMiaLU5OKmxbQuASjc0AuqmwTBNkrgk3uSosmB6e0sjKnr9LrZhUOY57W6IYUmapsb3fZLSYDVbEq3XWKag0w4YdlpMzTlnb25oGsHDJycoGgSSfreN69lkhSLNth9FFKeM72YMD/Y5fnRMaxrwPN4gaEjjDbbvIwybGgNdCUzT5v7xCb99/xd8vfN1pOlg+h5+r8Pu3h7r5YasVNhNQ1UrsjKhLDLqssSoaqrKwHRcWsOQVrdDrxZUZc1mneL7Lq2Wx2hnxEeqIC9zss2KVntAp9NjuH+MbGzyTUTVCJarDaVSNE3DfDonKzKCMMC2bcbjMULCyckJx8enVLVJXYMUoHWF0jVIg0rXnL8+49WL5whR0+n2+OZ3vsPByQlJnFMpjWUJ5suI+Sev8FomtimptMC3TFqOw/7uDq2wzfHpPS6ev+Bv/vlfIrXmez/YIfQd7i7vsEzBZDIhiWIWkxlFlvHg4Slfe/sJVa24ur5B0GCYxmmF+J/+o//4P/n2P/nv/ut/+P8p6H/6T/7RP/Zc789293dJsxilaioNg4FNy3e5G89YZBVaVwjLIE4yLs5uGI66fPPrD7gJDS6+/Iy7BqpGU+QpqiyxbZsw9LAdgWWa1JWNNExKrSiLlDzNefvtJyznM5LNBq0bikJTVQ22ZWCbklJpmhoqrXFcmywpibIMXaT89sP3WS1m7O7v4XsuVVngOhZB6BCGLn7gkmcl8/maLFfcuD6z/X1Gox1kmeDKnKs3r4mjiE6vSxrHHOwO6fg2S5UABn7Yxg09jh6cELQ7JOsNJhWVSplNZjiOz+7BPRrLwXFsLNdlPV9iNJrlYsHdzS0nT57wg7/7x1imTZ6UWOYdm3hF0PIJAp+lbXB9dcViuWHQTwi7A3r7fZ48uYd0LKI0p0xLqqKiqmtup2vyQmMaDlmiiaKUzXpNVSoO7g1IT444e/acoigoSs34coxpW7SGHabLGUc7e6zWa3Sj8TyXdqtFKwyRrkucpIBBpWoMBLbnMrmb8slvPyVNEnrdDvcfPORb3/4WncGQ1y8vkELQ6nV58OSY2aZgs5qTLFN6vQGibsiXG6QUhKGHH7pEYcCjx/eZT25J4hVlumGzXLFezEnjCCENeqM+shLoWmMYml7bYT4RRGmDaARCQqnKP/v3/vQf8L/8s//xH/4/Bf1P/4f/6kdpUv6ZZXh43ZC6BiEK4jJDCInSJZ1eQDD0cByL0Hd4+fKai6s7pAFHuz3agQ9CghBAQ1mWNA3UdU1d1QgpkUJgmBZSmiArAr+NEFDXgna7hyktqrqhbgzqqkbrCksKGkMSZQqla6SscQOHpFRksWYynTC9u0FphWWbpEmKKnJGwzY7ox6VVggpUGVFmm1HjuntJb12B6VKyrqmt3/KOorBtFmtlhzujUg2JZO7OWmeIyR0Ap+u55Mu17z5/EuiaE4Uz6l0gRm2CUOfsN9HGpLV3YTZ7SW+Z3Pv0SkX41t2Tk6QWDSVJI8zDMugM+hTa00yX2AKg9HeIYv5nPlqiTYkTsdjcjunlga2bbMz6iAllFVNqSoqXfHg4QM++sX7CCxMwyAMXHzfxRA1VCV5nhMnOQiLBydP0VSUaY7jOATdACe0mF+9QVIRRRFdz6EsSizDxLEMmloglOLs2TOuzl7jOpJWp8WjJ4/Z3R1RZAX7ww6reYjreXS6LQ6Odlks2kxuJoR+gCFhMr7j9voGxxWUeU6WFRzf28F3JY2uubm8xGuFpKrAsG3uPzjl5MEpN9d33IwviJMVti05PjkhThWL1QqdleQipirVn/3b/9a/8+H/8c//t78wAZKs+HGeKfo9E8OyKFaaSmukYWJZLqIxafkBVtCiFdikecm9ox1Gwza3d1M+//IVFjW60gjRYJkmhpR4roPn2FBXGNLGMCzqSrCYL0izmG63hUQyGc/wfJsGieO5BIEHjWI2mWI7Pq7n4HkGCMl8vsb2HALfY5ZnqKoCYTKbrRCWoKHBtQ083yUMPFZLRZ4XSMOiqsD1bYTRcH17hSoVUlqMjh7S6rZ48+Il47NXtGyHLGuIVUFVa7I45jZLUFnBer1mEy3ZbFbEaYRru9jWmquXn9Ht9rBch9ubMev5nPDRA777R9/jb/+vX7KMEtzbBapQqDzFCSws0+bu7A2T60s6/R2C7ogkyzF9FytwKaqaz17c4NgO3ZZPpWtsW1LVDQJwXYfRzoDecECjYwwhWS1WtPsxWZSTpxmz+Zh1lHL/4VP2D3d48cWXmA0I4PHjR4yvLlgLiW3bLGYLVFnjhz6BY+G6DkVR8vyzL/j1z39JtJxjezbdXo+Tk2NUqdis11iWSdu3Obi3R57kuFLS9V2WCPIoRoQ+Smsq4OpqzPmbM3qDLkfmAU2j2WxiDNOkbRo4tonvBuzu7dHUJZvNhIuzV5TZhk67x/7BDkWpeXP2muvLc1JV0lAj4MfAX5h//l/85z/K0vS00RBFG1odnyBwiDYFju0wHAxAuiwWEX5jsl5vEIbk/uEIz5B0fJtXZ1cs72YUcUada7SocVwLz7dpd0IsC0zTpFKaOMpYrzZM5xOKIuNgf48szdE6QxgWcVJQqBJhe1xe3bKJajq9Dp1+gGUYzGZLsEx2dnrkVU5a57T7bbIkQxoS33Po91o8eesRrm0yna24vp0zGvTxfRfLMOj12hRFQSOg1xsh6obF7QxqhdFY/OwnP2f/8IL9R/fQjSJJIt5cXaLqT1CVpq5rlC6pqgrPdRj2Q4adGlXesdko6jwnCCSbxR2ea2KomNs3r7h/dIjneZy9npArE8+xWS+XbKI1frdPXhaUSmG3OnjtPn7oc3DcpdVxmdyteXUxpUwyJA2iUXS7bYRjsHO8R5WvKIuM8c05B6f36fQH5FVNWVd0RwMMwyBbLLm7OkdVmodP3sKxbCY3txR5jpQGu3u73N7OGY469LohVV1xdXHG3/7NX3N5fUanFeAHAffv38fxfObTJVqXrOYrfMel39nqrNvxjGG/w/7uENMycQOHVr/NYLfP559sO/DDR/coVUVeVLhuw2h3j1o2CF3T6/gkmxkfffAl11eXbDYxQRDiuR0EDbVW9NptVr7HfDFBa4Vj+ad/+u//6Y9M27b/xAsk6AqtFWWRIQWslhFaNzimZHh0grAtVknBfDHn2+8+xrIsGuDoYBdVVrz4/Dnj21t0XdJxezRYFFmG1ooGA9FsC0HrGtt2GPaG9Do+7bZHkec4roswbHJVsVqnmIHGrg1m11PWHz+j1QvptttUSmFYNugSYRlUdcUmiZBSYBkOdVmymi5YLza0DvfZP9gFS2KaFkeHO8hmqwNMU6I0mLakrnIMy+Q7P/g+zR/BL372S25fvWT9mwmWJcmzGMOzaEoBQqAqhW+3kJhYlqBpatJk60h4rkWn1UXpmqIsiabnHA48vvj8C/5qEfHe97/P13/vG6yWG85fvGYVpyhVkUURumwo4pgyzZjezVlNF4wGfYwaOp6Ft9OhFm1My6Cpa6pSs1jFNJXEtkOKbEm0WZLnCdL1ka7PyekjHj55yPXVhJfPnjG7u6XdG/D46VPGF1dcv3lD6AuKPMcwBGVZUOQFZak4e/Oan/3tT7m9ucS0ahpZcXB0xOmDh9QIilJjIJneTXn69BFKVVhSMhi02WxShsMOpmWQrlOkrrARhL7P0x9+l739XW6uphzfO2U2nfHy5Rv6nRadTpezszcsZ2NmkymnDx7x+Mk7tPtDpndTxtdjNosVNeVXp3sENBRGyWoz/xPj7/+bf+fPVaW7SVpQFiVZnBBvUrJCM5ktuZ0uGBydcvzWQzJVsjcaEpgmshGkaYkqa4LQIcs2TO6u0apAANBgGQagODkasNf3UKrGdV0EDd1uiGM7XN7cYdguqoS6kfQGA/Z3D9g7OOT0rYfs3TvE8FwwbXQDL16eMZ3PMUXN4u6Wly9eYUoD07TYrGPKUkGtcQ2DIk9xXINOOyArMrJog85z0jTHtC12drp0ui5KZcRxTG+4y97pMfffeoCuG+L1jFplIARxkhElW02RZzlZmuF7Prt7Q/b2hqRJxt3tnOUqJU4UUZpzfnHNxeUtq3iD6fj0Dg7wWn2mN3McCTuHO1zfXJLEMU/efhvLdlhN7jDKnDzZoNFslis20zXpJqaIEnrtgOGwi+OaHIw67LRcXl1cIwwTYRpUWtPtdXFDn9uba1xDcnp6QpLE/OKXvyTZrPnWe9/i5OQ+s8Wcye05vY5DEPbQjYNuaoajLneXV7z/sw9wXA/TFlxdnDMY7vCv/b2/y9Onb1HphqpqUColWq+RwgQEaZqzXG1QmebqbAxCsIoS7u5mXF7cYDs2J8f7+I6N69r0ui3a3RaNENyNp2TxijhecHl1yc7+IT/6D/4BP/jB9zg+PaI7GvCN994hbHUYj+csl2tmkxlKKxzPJE5T37h/f/+/NW0Ly/JxXJdGl1RNhRu42KZkMOyyd3CA47WwDckg8NmsYpq6JssKTCkwJZy/OuPm4ooyz6mqiqap0JXenoRlQak0wnDp9rrouiLNMhzXYzAcoZUi20QIIQjCYDuTdTscHO7T7/WwbZvlesVitR13Atcji2M+/c1HxOs1tmmSFSV1VeM6Np2ez+nDfWpy1psVhjAoi4IiS7EtA103bJKM5WIDehvYWK7PzuEx08mceBMhRMNqMUOrHFMa5HlBnqUotRWWvufiuCZKJdiOQRD6iKZhOOyzs9tHNhXdrk+n22a6SDh68BQpbO4dH6C15stPXmAKQZGlyLpiZ9BDac1yuUCKGmGauGELrTTTs3NqpUi0RpgG0SYi2sQYwqBqGj7/3Zfs7Y44eXCf89fnqDRDNILx5R2B6yPrhmeff850cgui5rt/+H0aIfnw/V+Rp0sc28a2QkZ7B5RFzu3VNR+8/xv8MOT0wQkXb14jRc0PfvhDvv7e75EkBePxHNMxuBvf8PjJQx4+OWU07NLqtOgOOuwc9OgPuti+y+5OD8+28HyXp+88ZNDvIAX0ei2qumK5XJGmKXE8Y3J3TbRZs7t/wL2jY2zXI8ty5rM1ZVExHPTZ29+luzOgqAoW8zmikXQ6Xfww7JrTZUwlZty757M72GWxWJLHMTrLcRwT17GIoxh/nTAcjgCB69oYlonru9imiagVlmWAFEjLJHBtpFFTliW241JVNVmukYberlkmvu9hOwa1zohWS5SuMFTB/O6Wpt5hX0o826LtGrh7HUaDd1ivYsazJXlakCc5y8UCrXNsS2JmOTkNrmOytzNiuYhIkpi6adist8Xo+z6D3Ta+ZVKzRFmSWjRIJFWpiBdLxrMFg9GIvKw4evSEqxc1k+trirLcdh3bwfc86roB0eB7Lp7nUukKkKxWK9J0jWVaFEXF6YNjXL/N7sEx5+dTfvvLDzg+uc/R8R5ZEqPTmDRa8vrVM0a7BzRNhRI1x8eHDId7NLliQ8PpwxMKJG/Ob7h6fUXLdzk5PiRoOSxup6AKBsOn+P0e5+MbvDDknbcf4ro2k8mcdZRSFCW+56DLhsura6J4QTt06PZ67B8e0O+3OXv5kg/e/4DuaMSjrz3h9vqG8c0djx6d8s7X36XTabHZJAShS5bF2+5o21R1Q6EbGiFQVYVRG2RFTpqUzIuS+d2cwU4X0zCINymmbbJcxazma2Qj6ffbPP88pswLdg+OGQx32R2NuLy84bcffMx3fv/3MCyLLz79guVyTZYX9FsdTu+fsJkvaLV8srLA1KomzxRVqXAdk53RgDQISeIEIQUIE9swONzp0eq2EQ24tkld1cimQdQ1hjTodtr0+120KsjShCRPMA2J77pIYdDttfE8myRZU5YNWlU0VY1SGss2CUMf27ZBmFt3xLaR0qSqwZIC27Hwd3vsDNpoXbNap1TZhrurV9gm2KZP01TkaU68Seh2fNqtDkppVFlgWQ5ZrijychudC0FFRTswaDCJ05I0TXjw+BG7h/vYlkkUxUDNJk0w0wSjMDGkgW07ZFmGrhoMy8K2tkUtkCRJjJQGpa6xHI9nz85pdTxqXdHpdnj95ookT3jnva+xmEy4OE/IsgLbydisZuRpjBQN8/EN+WKDFFAUBVVdU1OjtKDdDvDaAUmjMKVDbRvM5kvesVy+/Qff4Wc/+RuePfuUpskJgjamZfP46VusV0sO9neZzVasN3OKJGZdVPhOi+VqzSr6jMvz1/hBwHe++x1a7YCf/+QFYRDy7T/4Lrv7+9QNSMMk8BwWkzFeECCFRV5USFlhWiZFXlJXgrrZHn6L2ZzGaJCWRVYoLMMgLxR13XBwtEOWxvz1X3+AKjXvffsP8PwOZVlhGA5alSzmC97/1a8REtbLiDgq8IMWrbbH4e4BlpRs1gsW0xmmrht0VRNtUu5uZoSdLq7jIZuGdZKgioIyTTCpsAToqsE0DSpqVFHS1CaGKWmAuqrJkpTFasE62TDo9Ql8n0G3S9ByEZRUdUqFwA1CBr0Bq01EVmo6gw5SSsqiQggoi4IszXFcD8fechoCgWMYWEiUY+KYkiJLqURDhUCXikZXrJdrDCq63TaubdLrdbAsm8vLa54/O8O1HcLARkpN4TtIs8ENu+zfO2R0sI/tuNiyoTQNdnd2OA8DmrpCSgNpmDQCXM/Fsi1cNyTaFKRxAaIBTAzDpqoUvu9zdX2L0iWmNeXew6ccL9Zk8YYqy7CEwLFtfD9EKc2bN2+oqobdvV2CINzyHmkKpWA2u2U2ndLp9rn34BHt4YA4SSnznLpSyLrCs21c06EVeOSrO6o6B9Fid2dAmpUEfsDv/cG3uZ0uUWVGtEmodYlWgk1S0GDQ6fQYHQ7Y3d/n5bPPWS/nfONb7/K1d9/FdT2SNCVLM3RZ4Ps+rhdQ1bBax7i2he83ZJuEVqeDbRvMp0sMw+DwaJ/uoINpGmilkVLQbgUURcYHH/6Wq6sx3/r979DpDXnz7Jzb6xuapsYLLJ68/TYff/wJy+UUyzT52jvvcXT6gCxLydYdEA3LxWKbFBZakZcFeVGQl4p73Q79fpu78ZjFagWWQ1ZqlpsUxysJfQ/TNinzbXInTINK1KRZxnQ64W5yR1HmNFWFa1soXdEfDTCNisU8wXJDHGmjqppWr0un36NQipMHJ9QNvHz+kvH4BssL2T99gGH0EYBotlJTaY1WFZ69HYeapiYrCrTSaK2RNBRFzjoS6LrC9Wxc36PTaaHLEavlivV6CaKF41roxsY1XEY7+xwf72PZDrJpKFQJWuEaAtc0aXSFViWCLfjk2BZVXbNaRKRmiu+5SAlFmVFXAUHoE0cJUkClGpqqot9vc3S4zycffsTk+gZhmPhBiCEb8iJBNy55ofDCkP2jQ/r9PtdnZzi2RZalpLFDuxOyvztkuDsiimJePH/J7dkllglXl5cYtkOyiVBlSRYlBG5ImcfcXI1pmpKjewckacJtnqNKjaCmqTW6LrGtLt3ePmG3z9nZOe//8n1EI3ny5C1s22cTZaRJShrHNJUiCAKkZRMnMdEqxTQNur2QNCswrQzDFKyXG/YPR+ztjUBCHGekWUG30ybNcn76L3/KT3/6M54+fRs/aPPZJ89YTKeUZY5jG5QKLMPkh3/8h/zlv/gXWIbkvfeesnt4zLMvX1NmCYa0sAwH3w0xLWkgGkEQ+DiOjVYlWimiJCNOCrQW9EaH+EFIHCX4toHSiul8g64ruj0TXZRMbqZEmxgE27ZsWdSqZrNeESdzTNkwX6zw/RaDYQehCtIkJvAD+r0+puGiVUGlFaUuqKnQ1KiqBgSWFNDUlGpr/7V8lyBwtydmnaNUiWkaDIdddkZd2u02juMQbWIuLq5Yzlc4tsWg1yIyGrIsxrDauI5H4AeYQuIIA9syMQWYEspC0QgDN2hh+z56ucY0Kpq6Jl5vSOOUWjQcnx7R67e3c3TSEKcpaZbT1A3L5YrBYIflYsHHH3zIoL/L4eEhy9WaVsvDsRvKXOM4BtLwaeqUMk+Z3d6ynMyZ3d6hVYZhm/iBh+e4rOZrNpuUsqrZrBKiaE3g2/T6bdabjLJsSHPNxfnlVzbmhKurO6Rh8sWnn3F7NSZeR5imiVIFhmnx9Ok7NKKL1iZBu80vfv5rLi/Peeedd7HdkMVqRRwlqFwjZE28WuD5Pjv7u4StANdzUUoTdAKc0KHIFBdnt5gCglaA0hVxlLJarbFsi1JpPv30U372s5+TZRm34xln539FKwx49OQ+y8WM26sLtLb59c/Oefeb71AVJa3hgM9+9yW3txNavT5O6JGVBQgoK415tL9LoWos06FQiuubWybTBYZp0Rt0EFWNJRtkU1HUNR9+9oI80dSVxkCzuzui025vRZ3h0O0OKPVWQEn7K26jLNGiocgLqqrm8HCP/b0jptMFq9WSJ2895vmXz5jejLEtA8uySNOcPEpJoxTLsbCMr4rNMKiAKMmZTJc0DWAaNAJs2yUMQvYPRgwGHbqdDnmm+ex3zzENQavtYFoKhM+eP2K9SRjfTAjDEI3Pxe0KYcX4jvWVT91QVSa21aEV9Fk5SxBQaEVVabxWwHA04PFb90k2a5q6xvNckjT5Km7eahDTsmgQbJZLOu0WrbbJp59+iWFsScCqqiizAq1LpDC5PLtgMZuyt7vHdDojzTPa7Q7SsDDdFl5XQy1oGkGr06Y/6FPXitcv31AWkt3hHiaK2WTMeHyHY1tEmwghLc5fv8G0XFaLNXVdoOuSBotB/x6TRcxgGFCphOuzS/rdHr//ve/S1IKP3/8dYafF8ckeoJFGF9cLMS2HsB2glaJuahpguYrJo5TFYsk733jKep3y/O4SXSoM2RAGARdvzvn1L36Bazs8fvQ2ZaWZL2ck0ZQvPlqyXC4pyhTRCJJNxPs/X33lRO1zO5lwcXHOyekRQkioamzHwQ18zCePTjEtj+VyTaNrOoPOVpwBYSVwHIHnaKa3l1RmgG2bPD69BzTM5ys2cUKuS7I8JctSpADHtMnyhNowqFRFmpQMBl3CsCBJEuIopt8fUFeauq5oKoVtW8R5RpPXGKZDUzWMb+esM4HvefT6Ib1eiOO4UBtcXt/wxZevmc1mSNFgSEHVKObLBTvrNrujPpvVmvUixjFN+t0ANxBsogm6inBsj73dAamqidOYA9fEMqGs4OpywfHRiHgdUzcSy3LIMwUNSFNSliWO42yDkzhiMZ0iaVivloAg8Fz6/Q6WZfLixWvSeE0mTMJ2jyRKKFUOgOu3+YPvfx/f9/nVz37JZ59+Ql3VtFst5oslWZZh2yau63ByfMLDR08Y7O3ieDa252IaJtFmw+sXn3F9c0mqM07vv0W2ScjSDY47p1AFhVYUjUJnGZv1FNt0CYMWhuOhC0l0e6FhAAAgAElEQVQabZjOF/hBC6PR/OQv/4o8S/ne976HLiviZMOTdx7gtgPyOKGIY4Y7A6Tl09SCqqq5u50hJUghefPlOZ99/Bknj0949uyMVquFkAaLZYJnCRazCR//9kPqquTR4wes1xmvXrwiitdIYDDsMzrYwTAMVosFeZYzvruh3elx9uUX27FQGHz+8afoShEEIU8ePyLJC8xBv0uDSRanSCHQuqSqK+JNjBCa0TAklDV3l68Al16nx0W8xg9CpOeyezAkS7Pt7NiUFIXCNCW2BaIpt9GmY2E0FYFrQyPIc00cJWhVbiPoSpPn6bbtSpNub8Bob5dOr03tesyTHOmY1E1Dpw1SCKpGo3ROnm/tONN20FqTFwVRtGY+cxENRJuU5SqhaSpGsgVaslyuMK2GIOgStlqUKuOjT36FMDze+71v03RDXjw7J4lTBjsdjo6GvNnpcjt+A3orDosixzJN9nZ36PY6ZFlC0AqQUqKVwnYE/Z7Pxbmk03fI4pKryzfEUcTJ6X0ePX6H5SpmOVkhhjX7eyM89/d5/uwlNBW+GVAUJbt7RzR1QxxlXI/v6A+GCBOiJMZ0XVzHw7FN4k1C2Oly/PAYU5pYLYvkg4QiWaKVJl2saOoG04DBqI+0Eqa3F0hK6qbhdx99TLfb5fbmkrOzN3zjW9/EsgNqw+bgwRF+4KOKkjJLtuGb7ZFkEYEf4DgC33fJioKsLEnymB/+63/I6aNjtGpQpSaPE+a3BZPZmiReEcURqkj53cefY5g+Ryf3UbXi7npMGPTYHRySFxnOrk20TCiLkiDwSNOY9fgGU5r4vo+wJGWZk6UxldaYrtuiyAtcz8a0zK+yco1lOzS1xWJeMJ9dk5cl7cCjSWcsNhm6MegOeoxGQxCSnZ7HH//RtyiynGgTsdksSbMU07KplUJWFp3QA2mS5Sl3dzcUWYZlmaRxQpkmtHyHqq7Is5T1es3OoaDbb6F0iWFLWoHH7WTbFdI0Yjqdo7SmYRuouE5ApTOUKlmtNluOutk6MHeTCUWRgATbaRNFJUl6QT0t0EIgrT7j6QXrv82wnS0ua9omWe5T1wVZvMJxTGzLwrRsFssFRV6jy4z1ck6lSmzHpt1uURY5Nzc35ElMqQrO3yxRGgzTRukMXZXcO3pEUV/y0Ue/Jgy2N11c16cVuCzmM+Iow7Qddnb3WMcRYbtDqRSffPI79vb22D84RAiYz2YkSYLnuNi1iWUYtHodnnz9a6ziFa8+/x22rQiCjDSJUFoxGO1jODGz6Q2WbXFy/zHSbPHFZ59y9vo53W6fkwf3efjW27hhgMSkLhvKLEeXBWG3jeW7NHnMOopRKscJbJqm4dXzc47vHfHN77xDnpXkTUG8irl4fYFWBY4DZ6+vyNIUx24RtAYc3TvCCVwWmxXGdEmaFUwnE5J4hevbNLKiljXL5RJhSMKgReD7mPYWaKu0YjK5pshSTFM2VBLaoUtV6e2i4dJphRjSIEkyVl+1P0vUrEWFVprJfMNsMafIMzzXxjYM/G6XSK6pVYHEZzRs4zo+qqpJ8pKg5X1FiwmyNKOuNJ7rcH11yWI2xfMclK5YR2vyouHo3imH9w7pBTbxcsEwsDGMijRNUXnBwcEOr186lGVClgqk9PAcg+GwR8sPmE3XIGsqKm4mY+7mDYNRF6/lkGYJs+UYrTP80Aej4OzNJ4xGb9HtjpCyQmnNZBKTJhuiKKbSNVpUmFa9dS+qitVmRV15GIZguV6xXC1wXIuqalhtclpBG1M6vDq7xPM8er0OcbTk1YvPibOMTbIgKU3CsI1fgZAS23GRtqAB0iwiy2IO93YJg5DxeMLl5RUIiWlb3IyvWK3WmIbEoKbRGl2W+I7L4d4Rt2/OKZIl7ZZHvF6TZZpuu4sUWz99MBxwdPI20nY5u3xF1VR0+z06wxGtfheVl1vwK2yoyhxpWATdPqZlo+uILCswhMc6WpAkGbWuODreZ3q3YDFbcjeeoivFcrVmvZiwmF2zWCy5//gJ3/neD/DDFmWu0I1mpBTt/pBktSSajVnHG4rKQ5Ulla4wpYGUBq7nYToulu0gGkmqKrIspyoLzGizQiCodE1WaHQFUm4hlTD0CEKbuvIpVUGWaVSZYjoOjh9Q1YJCVRRlgtYK13Eoi4IoyUiShHanhWnUNKKhMUw2cQo0eF4LQ5pfWVo588UE297C34Wq2SQp0WbJ6+efk2cRcVKwXC5ZXw1odbuoJGe9XDMIXU6OdpjN7hDkJEkKjY0w9hCGpiZjuYqYLTcsoxWGWaOIcRwQZklRxximpCgKyrJG52ve+9Yh/eEB3U6HxXyFEII0T4jTkuuLawyjptIOBuC4LkHob+dJGp6/esnZyyv8dsjj4wfs90aURUZZKK5u7kiShDQtCMKS9fgK0/awXJ80TRnttgj9FtNkhuP57PRGTKcTPv/0Y+RX3rvv+uSFQho2q3WE5zv4gUer0yJqGmoa8qLAVtuPUTaSMGiTbRa4lknobfOFs1dfYFgWlgGj4Q7t/ohllGCYFp7rcu/eMd1en6qGNCmpq4qyzJCNwm+1kLZDnmvqGkzHojYFk4tbXj8/48GjYy7enLPexNQ1lLmi2w+JLM3t+JzVakF/eMDx6WP29vdJsoKijHADF7+93YPriwuS1Yywu9VzhhBEqxjf3zIfDZI8L2mahrqqSJMIQxo4XohZ5BlSSLKyotCCVquL73lsNisMU2KaBoYJO3t9kmhDnuZovRUqhhRUZYrWW+Y4XoPv+bi2w3rdEEUZvtvCtU36vR6bzZKyLDCkoBWElKpkMZ+jlaLd3qKJTiNJc8V8vuT1qxdcXZyjlUIKTTLz6PWH1MLcXvupaw52etiywjQasjJlMh3z4tUzBoMOpSqIipioiMCskIZBoUriNMVyNI4jEY1JkUsss8vezn067T57u3t02i3KTCENg1a7RegH1FWF8ZXw0bWi1g2V3lqJVanIUkWaKryWRdBq0e93md4VGAYM+m2i2MIwDQxpQlMSxxG6rFFZyeTmhjxs0zTyKwbGpj8YcvbqBbbrcnu3wLG3LEqr18N2TJRgG8lXNaZpkhcKEAgpSZKMxXxFkWtsr02ntQtGwN34is8//4R7x0cIKppGI4Vis54xuRnT6XY5ODjG9wJc2yazTNIkI0tSOm0P13UxpCDOC6DBDU02yznjm3PWi1viTcjlWUmn10aVJU1T8vyzl9xN7rAcl69941uMdg4wDIez11dUVUVVbSnMViWgrolWK5IkxvdciiInLxX94RDHcdFVRV1XFGVKmuTQVOhCY1kWGGDqskQaBkppctUg0xhRV6iiYJLGDIYD4jjCdrZ8RhharOOU2WKO51qEgU8Y+ojGYLNJqEyBaUhaob/1J10b1zHxXQNTtomjiLLIyU2TUpVkRU6lwSpBJgUCk2STsZgvsWyLTjvAtRogp64KtLIxjBDXhc1mK2QDP8A2wZAm02bBfJGwjmNqqalqRV7kNNX2NrZpWdiuT6UKikpgSAPZuPh2m9D1iCZj7qqShWlwfX23ZZ4DF0fk7I3amJbA9RyybJsaBqFLUzWs1zGVhn6rz257gNkIlssZN1dj7t0b8vD+IfNFhOsHWKZLENjky+V2PJOCxWxKvI4IW92t7+44dHo9ovVqeznW7mCaLgcHO4wO9tg73KNB8tlHnzIeT/AdG5oa33WxTYMyS1kv5kSbFcenR3z9W+9xeXPLT3/yN1TJnLpWhKGNITWbxS2L20tUnvL2O+8QdAbkeUnFiihOSLIEihhZabSGRkKaFMRxSna9ZrOYMRmP6fU7HB8foYAkjRlfXnB9dclqteb+w8e88+436bT7eL5LlhWIxqCz22GdpCxnc2SlUbokXs1Joog8aVBFztXlmJOTEzr9FnfjW6aTO3StENTYlkXTQBpl1FphZqWi0/aQuqYpFav1jDq3aQUBszQHShxHstrEbKIMS9YMhn0sxyFLYtI0xrQkhmFhGJKqLmkagWGA6xqk0Qoqh9wVGIZLt90mihJm01ukKbEsQbvdBSGo6oY8jVkuFyRxTLvnb38NYNTkRU6pHNabhE7HRSlFlhdUtcQwBFVdYpmCvZ09DEuyjpaocovBJlGO7XhIsYXLLSGR0iDNCizHYK8/oB10qbViMbnh7MWX2Ob2nS2zodVy0UmKKzW6qpG1oN/2CNst+oM2qtSktkE73HanwHFI1gkLnTMeT3AdQbvXwnFMAq/NYO8e7f6Iu7s7lrMp4/EFcbTG9YxtgKMqyiKnqgoM0RD4DjuH++jK4v8m6s16LLvSM71nrT0PZ445IjOZE5NkVbGqJKvlbkjqltrdNmDDP6ABX/rGv0K/py8NA4YBt3tQQ65SSSyxSBYryWQyh5jPvOdhDb7YCfkibgIBBHDOXnt9w/s+r3Q8To+OuDg7QwiXbLPnPylNFFomkxGe71HmBdfv3nN99R7fc3j2/CnPXrzg4PQcx3f5f/6P/52yKDk5muFKy/L6ktXdNVIKZpMDfM8n32UIR6CUoi0zbN/Sd4p3lzdU5R7XdakbRbZfU+UF0WjG8cUD2rZju1/xw+vvWd8uSZIRn//yT3j+yU85PD4ekBQYMLBf7bgpt2yyHZvlCtM16A836GKxIB1P2Kzuef36Dff3t0gJ2hriNMUKS9fUYMxQlniWrm1xe2OwDDVXXVZYq/HHMeNxSG81WjdIzyEKInabirf3tywODvnpJ4+5vbnm6mbJep2RjEZoY+nq4Y0DYLRFdYqsbQk9SadKotDDWMV+v8P1A3zfI/RdWqWAYeQV+i7jUYrvgdINUjiE4QQhXG5u1wgnQODQNop9nuMGGs/TOA6MJsMix3UMseOhehcJuMIisKhW45jB2e2InHQy5eOPP6atoak1o1HMl//4O3xP4oc+1rTcXeX0taLuNI1WuO5wM1VVRxgG9KqlKHekaYLnBbju4FYv6xpHetze7emNQClLVXWceBGT+QI/TDlYLGiaivubJb4bsDiYs7xbst2scV1B3TQETc1iNqUqNW9ev2WaxoOpdTJ4GcMwJIhiDk5OwHW5fnfFq5eveP/mLY8eXTCdTXEcSRRFPH32hP/kBmzWSyZJhOtkrNcl2/t7HCRl1jBOE4Tn4wUujgQHTVUairIi22+QwhKMEjSS9rYnHk34oz/9b7DW8PKrL7m5fM1qdc94fMif/+Vf8fDpc7Tw8FwXpVrev3tHtt5QbLdstyvariXwAqR0cDzJyfk5T1+8IAgj/uN/+L8J4xgj4PbmnsXRCRePn1LXDZdv3tLkGfgOURQSRSnuerllv8uoqo687JjNpnjhCGUlSRpSVTVKS9pKEbqCNAy5v7nl8dmcJPCYTqasdiVda/B9F99nEJ84Pmk6QRiP5e0N2S6n6hQWy2QaM5uPcf2Arm5Z3i1xowRrGuqywg8DZoFPr9rhoZlNODs/o2s7sn1PXWsC30VISV5k1PuMILQoXRN4Pm3VoK3FcT2M1gSeg2p7rHQQnkOQxMxnE5LYYTI5ZpykbPuKti2J05AwDHB9l9HUJ9tXrPcZaJeLjx5Rdz2X76+RriK7uUcLjR9KttuCk5OIKPHAShwp6VqXKA6ZH06JogisICsa/vDt77m6vWM0maC6BoHkyeNnw5Lm7oZqn+OPJ7hehDWC9WqLqluaqsZ1Bd+/ekM6HfPLP/qcwHMYxTFag0WyXW+5vbplv9vR1g2qMxRZRZblGOEghGS+WPDj1Y/kecxoEtO2FcUu4+jkgiBJ8MOApjdEbkCU+GTZnv3VhjzPSNKEyXSGH0d4+4zXbc2jhxdEnuT+fsV6dcd6uSKZHPD5P/vnnD15Rjwa0fc9VnXsVzf8+PIbtps9B0cHzC7OWC83pH5EOhpz9vCc8XTMZD7j5dff8PbVj0ymC0aTCfc39wjHo9OCprVo7VA2PUVVEUUhfhDgCulxc7ehqkqCMELIoSmrm4bjoxkWiTWSbLfEIDlYHJHvV1y/eYOVkqYDb+iUmIwTpqOA7XaPMQLngwJMWAO652Q+JkpSwigADFLC9c2WomhIfI+mLjG2ww1DjqZzuq5js9viuzGmM1ilhxpNWaq2JU4THlx8xPdvXrJcrkC0WJ2hPzjArXEwWuB7DsYYtOqZLY5IRgmb3Y4g0AgGlZt0AsbThNV6xS9++TnK9Ly/+p44kpxenNApn6efvKBtO/Ki4vT0iLZpWC7viSKf2WSGKwVVnuNJBzf0mCUBVRIMmz1vmLEfnCwoW8m7t++oqoIw8EmTCEdYst2aXjcEUcR4OsGRHl13T9uVZMUex4kYLeYYI7m8vOPhoy3SCg7nc+5XS5bX91RJQ5kVtF3H/GjB2aOHZFXHflswmk9whctiMuUPbUeR15RVj/RD2r4niAICT/C3//k/E4QJH3/6CWqacn31lvvbGw4ODxlPJ9zdDQ/t6v4eqzS312/54dVLiioDq5guDjl/8jEvfvIpTa3ZLi9Z319zd/WepimI05RPP/uMdD4HP0Q4V0RCsrm/Y76YEgSSb774kV//17+lzgounjzF8SP+/C9/SjyfkNU1zb7Ed1xgIFg5rkQAzv/2v/67vw4Dh9vlHb2qCX0PB4kUDhjASpb393iex6OPPmK5XLFcbfjDq9e8fn/NzXJD3yvSUYrjucNqu6hpyoZ8s2K5XJOkMX7oUpUZxhryqqbMC/q2prOQl4MA//BwShy6RJ5gMZ9wen7IZB5TFRtWV9f0XY/nD4aB8SRlOpngItjt9lRlxXQ0RneWomjpO/CED3rYMPqewGj7YRtastnfEo98fv7Zc47mh1S1oqp66rr74KZx2OzuCT2PcTLB8QJGkylJFDGbTTk8mDM4zDShH2IQBIE3+B6NJa80nRY8fvKcpu3xA5fDowl1WaGM5ODilOOzQ4psz3q5JMtzml7heUMZJh1Bp1uqumI2nZEkMXfX94zSCU9fPKOoWq6vl7RtRasapBQcHh3z4MkjOttzt1oiQ5/JYk4ajRmNxpi+49f/9VfMDhZ8/+3XeNIymx8SJBOur2/QuqesSnZZxvHJAZKe28sfKPdrrPXolKTpDF3bsdtuSeOU2fzwgwhrOQB3wpjJwYKmqNittnjS8N03X/L1776k6VqCOGG/LpHG5+jghMCRzCdTVrcbyqriDy+/5ld/8zd8/bsvyfKMqq35i3/9r7h4+ICf/OQTkiQmX+9py5r9bkue7ei6mroZBGHudrNjMp7w8PyMV6/fUeUNBzPFwcEI6Ui265y86Hj2/BjdNxij+Vf/3Z/x3Xc/8MMPb9GqQ3UVfVeDDgg8l0Jrru/vmUzGZFXDm+WWTz5+TBLPyTqNkJIoiKi7mqodNL1Xt3esVrfMphN8Fza799SqRQifKPDxRw5x4uKHAbZVXF7dgB58i7LtGduAuTfj6cePudmuWG/XGN3TtIam1kjjEAUB9IpdniMdTb2q+eLvvsEyJk4W9B9KIj+M+MO33yJcwyRdsEjH+FnJ5u6Ox88f8/lHn/K7L3/PH16+wnfBWsFykzGZjnnxcTggHGIfIRwWh3OcwGG/2+I4kvkk5Tg54mqV8eb1Wx6cP+CnP/0FgTcstn79q79leX+L6zjUTUFdVBzMxrx58wpByPPpT5iNZsxmh/zmV79GqpDIc3m323F3e81kvuDqzXs2d7foXnGxOCZ67LFaLaEfRFCLozlCSpJRgHQ61pucXZYzmSy4OHtCkMQI6aJUzWjk4siU6XyCES5KGayyHB2eEoYu2hjevb6haQqOTs745T/7M1qhkULz+qtv+dv/8gNIn9F0zvHJMR89fogjfK7ervjHf/gS6WpiPyHb7Vntbtlu7ri/W5KOJ3z07AmPnzzl5PiU8XTKm/e3bLYrllc3OEKwOFhgbc/9jaXvGnzfxfmf/6d/89dCOCgFxkCS+ESxR7YvsQiqpqHtGvJdzv3dHW3X0rWKqqywCOI05eziAmuHa1BpQxS7TGcpR0cLxuMZTdMRhCFZVuJ5AaEf03aapu3ww4iu14wnMWenR6TJlNUm5/31O7JiSadzetVRVjVKK6Io4WBxzGw+RQiLsQqDIYwi4lGKwuKHHkIwuLL9AM9xENJi6HFdg+sIHOmjlEZrB8cd0TSGXvWMRhF5vqWsKqazOaenF6heU5c5jmNJkogwCthnGdv1FteTWGkH543r4nqDJSkOE+qmxRjN2ekRYRizX++o85K2Vbx7/57pZMqLzz4lDCMcGVBXNXc310xGY6w17HZbqrrm5OSQg8WUu+s7AjfEGIvrWrqm4Jvf/pYfv/uOuiw5OTlFOA4/vPqBbL9nPBnx4rOP+fjTj5Guw+39PVm2p9guyXe3RJHADz2225KbmzsOjw54cPGAk9MjTk6mKNXw+tUl798t8f2I2eKQR08e4fiCNz++Ih2lJGnE6x9e4QQeT56+II5GuO6g46l2GecfPeXhs49pmpYf//A9q7slSZIwO1pw/NEZ4cjn91/9A7//5gt2uzUWjR+EPH32MT//xc+psoqv//EbFosp6+WG26t7mrrDONCoHtcPMEg2mx1ZluEarbGmJwo8nj05x/fdQUwvXLpOo1TH2ckCYaEqa4zDIIQZhZydH5EkCZPpGG0Mq+UUzxGksQf0TMYpSvn0uiNNRrx7ewXaYIyirkoOF1MQDtbkWDOUA+kk5mHwkK5vefP+Jb1WxJHEakVdVXRdQddX1HWPsIo4Dnn0+An7fEffdUjpkFc5URIwmfkkaQDKcHW9ZL3N0AKk69J1ilZZ0BpPd2Asfd8xnfns8msmk0Nc16VrWoTtmE49EC73t0veX97R9Q1R5FFUNarXzGcTRqMRruMTBBFBHJBXJXVbc3l5SdspqjxHdx27+w1NZ5nFMe/fXzIZT5jPDlE7g7aaOi/ww5CTi0es7m7p6o7Wa0mDgKbMybZrlO4wxmK0Yne3ZHE0JxmFH36vCYOIxXzBdLag7RT79ZZsvYZe8/rND0hXYpGoXrPbrnGE5WA2Z354wGa9IVv3NE1DWxn6xrLbZUwXFfk2oyoaLp48Zr/e8P3vvqbLc04eP6I3PUWxZr0anCWj8Zzzhw8ZLeaoriffrHEcj+vbO5z7e4pqx+3dNavrKzzX5ejsDJCEQcSzp4+x1tIbSxSk3Fze0qsWTEs8ConGMV3bM04STo8O8IRheXuDu903qK7BGo0VMJ2PicOQvtdkWc6Pby+pTo85OVqgERR5hRe4pKMYx5GUVYFwDJ4jGCWSOAjQSpHvc0a+pCwHs+ooCZmMAo6PRgODQyiC0KPXDM3aOCZwh3X4KI04PDzgfntD12/pqpZQRARhAtalrnryrMEYQzpOyPOaumgoqj2LgzlWQRqMiVKLlTm90Tieg8ShKXosCissEo9gnHy47g15kXF1l9HZDk1HOgqYTBNsK6DVaAPFB+VbWe5o2sG+JKQPRqI6iysFXaO42d9zv1yzWMx4mxcYI9C6Jwh9knRCPB8RxxG60wghEY5F9Q1SQJZnRFZxMDrAcaBqagwgPYcwCUjHMbODI6QrWS+X7FYrsPDu1WvCyYzReARSojWs7zaE0Yima/jx9WuEMizvV0ShpTI9qsup8orAcSnzit1qQ9vkrG5vaRoFjkcQBEgsr16+5Mp/x3wxJQpdfri6Zr26JQxcTDcwAq2B6XTK8bPnNK0iL0qE4+H5AaPZAtU3KFNyfX3L5btLjDU8f/4xH3/6E5I44s2Pb1ivdrRNz0dPDjm/OOM//F9/w/X1NYenhxRVTb/dcSIOcFyPzf09RveMJiPatsY9Pz+lLHNU37LdFSyXBYFTEsYBCo0ymizLEGbAEmz2O07Pj4jjhP1mz2q7H/BNniBJQro4QRtD1yreX67Z5iWXd2ssgsDzqLsWL/QJo4SqajDWJc8bRlGEwEW1GovC91wmk5h9uUG4PZ4bc3p6RDo+Yb3KkY5LGIZYo7m9uaHIC8o8p60Vbd2SJilKOWz2W3rV0PWgtEbbAXYokXieg2MlXdOiTYegoSoMjh+zXVecnXrDrHtVUubZ4HYPUjxfYTKXru0wVuLgsd1W3N4VA5OvV1g7eCAv3yyxQuH5Pr2yuK7kwSOHsDNkqz1BOIwJhbTsNxusHj7nqigpPA/PHdb1rh9ijaHqWqy0TKcjmqYdoIanJ7TZnt16Q2Ispw8f0TYNwhmwEEWW8/K7P/Dmx1c8/OgjHjx9RnZ/Rd8X7NYb2qYj+LAPMCoHW+L4sJgcgeNzd3NNeVMQBDHOzGGzW7FZ3nP59kfariWdjEnHM4LOstvsEW5AOh0TGcni6AitNcZC13e8fvUdXZ2jtKbtNBfnD/k3//3/yMVHD/j2m2+Rrsd8sSDLK37z63/k7NEJ+JLNasfFgyOaMme32zGbjjg6PsCVEb2q8XTAmhVuWVYUeUmahgShj5EtaRIjsExGEf/yX/4R2+2eMq9pspa8LJlVH/xowmM8mjKfBByMXBwpWG5r6k6RxDFZUbErepQSWGWJpwm9tmgrmUzGXL67RkoYTycI3wPXw1pN1/V0bQca6qrF2oboSLAp3lG1PY5McKSmqgriKGYxn9I2LePJCKsH6GDf95A73K/2BJEiHQeMJg5dJ1G9BUAKTdbcsi0HfUUYOxjlIJSP50Zcv9typdc07XC9+16HsTn7LKcqK4wVeK6H1g1129P3w49W5p82p33fonSP49RIx0EpzX5fEMUBqlccHZ1w/uicKI4G+a4E13XAgjaGNJ1SlRlpMqJtO6qyYLO8JY0DmhZ6ZUknC5qqICsyojRC9D22URx+dMbDp4/49ndf8fbldzx7+jF/9m//ksXxIf/nv//3bG9/pKoF8oNmx9DhuoZOSarGECUB6XjCu/dvqMuSJ6eHJKOAm/eXXL17R9t1xPGYKJ0xOzxB94LR9JAs29OXiiSdoNqOXiv2uyWr2/dUxR438Dk7u+Do6Izx+JAsa/niV1+wXq0xnR7obQAAACAASURBVGA6nzOfznn53WuW64yHz5/Qd9/z8tvvyXcbDg4OGE9maCuIRhMSkXJ7+ZYiq3Fffv+aKAzIioKuq0mSENV3HM7ntJ3i5e9/QClI0wQQSOHiug59XeA5HocHc2aTCGEVTVkN814tAIdRmpCVDdf3d9RNRVkEjMcJRZ5TiZaizIjjhOl0RNvlrDYZWrWovqVvelTbkO17lpscL3Sp+oaDqWIUHvP2ao01DtPxCdIGTMZj8iJjeX9PkWX0fU8QRTjSHRpHoRDC4LiSpoGuNTiOIYzdQXOrBMZqwjjleP5gEN/v9qhOo41FKT1wk6XAmmGN70mJEBJjeoRUQ4KAlDiBg9GaXiuEIwn9ACHMYLXqWvb77IO7Q9BULVGU8OInnzEZz3DdEN8PkVLQ95qmrAnDmE4pjk9OSScjNve3tE3BaHpAEkcUu5zVZksUORw/vGByeMjtfU6xy/nyN3/Pt199Rez7vHj+DFoDXc8oHXHb9x/m3h7zxQFRGuMlU548u6AWr1jd76mahiiArqt5/+ZbulbTNB1SekzGhzx68pRkNkNbn1b3jBYzrC+pKsVoLMD0vP7u93zxm9+gleaXf/InTA8PGSVTnj57hjEut9crymaH0gKjDe9+fId5LHn80xfs7tcskoS7ScCydfCikMD32W23OHEHSO7evef++j1lWeD8L//uf/jryTQeaD0YRklImiRI4WCUHvbnShGEAUmaoI3FAWYjj8nIYzIKEcKhqHvqXmGEg8UjCENOTucs5inz+YyyKFjeL0FbQk8QB5LDxYSX37+m7TqSNCaII6Qj6NuSti3pTIuVGolD1xj2+xLPt+z3O6q65vjolOnkAN1rPEdSdz1390s61TOejBiNE6QnKOoMJVq8QOJ6Lr7ng7UfDh8EQUgcB0Sxz2R8yHx2jDLQ9Zq272k6Rdsq+l5hP6CBrRAopVFK0fWKui6p63ogRAnzAWkAnueQpgmO4yKEizEGLGAFWkOvDGVRUexzyrxgubxHqQ7Hc6jLkqapScYjrAXHHTAD795fcnN7S1nkxGlEU+Wst0vGB3Oeff4zgnjM6nrJ3dV7bi7fkowSzh5dsNtlg+dxn/Hu7SvaYoMww8P90ZPHPHnxCQ+ef44XTamajtkioa423Fy/YbtdUzeKyeKEw+NThHCZzA44e/SQZz/5DBkMUyPHdQmiCM+Fb373JV/99u95+fuvkdLh409+yvnpA9LRjGA0Q0gX33WIkxgvCVkuN9y8v6JrK8IkYTwek++2mK4hjCIWD865ub6lr3oWswMEktXdHe/f/Igr4eziHLctKmQQURQtRVnR1D1xZBilCX4gefTomLaeD1BAx+X0eM7y7o6q9nBcSVnv8IIUhEVIgXA8cHqMI0G6zKYTRqMxiQ9VUeC6IVpZtustxydnpKOU+/WaF5885+TkmDLfsXwvuWsNRdMwHY84PpoNlMs8Y1+0eFLhigDHHcqHzWpHGHh0bYvqNWjwpMtoFCPcinWhhqUKLro3VHWJRZOMAopCU9UtCIXTh7iOISsqqqqmyBuKoh5cMRYEcuBcI9Fa09Q1VVV9ALIrlBqQwn3vEUUh0hFYa6nrAciolEIpDYDSCtUPJKmu76jqgvA6QBtDFPqEvU/TVIM2vW5JZwmbu3uEEsReQmNL6qJifXvFbl/gOx7SSNqypdkv2W/vqcuM6XzG8ek589NTbi7fU2Q7mqZieXcFQjGdTwmCiMloxMnJQ+qyR+uaYnNDtr/h/bu3w1byo+f88k//BW3Xs7q+5sHjBclkzPHZESfnx0RJgus4jCdj7m+u+fa3v+Gb331D19SMxhMeP37Bo2cvEK5HMJowms7Qfc8uH/oO4QjiNAHHw5EW1bV0VY21lsvrOxzf4+TRGbPpjCavKesKDPQfWNeOw4Cmy2uwbcM2q2hrhYldlKkIA5f5Yo7verS2xXcE96slWvWkniHyHayVID1cx8WaQfBd1R3L9YowCVB9RRIEREHAbDxikoQfVFqG67stN6slneqQ2AEBYMAqg+8FTCcTbjZbdlXDydmIOA3o+4TdpiCMFG4iKIs9nQjY5znCSfB8j/l8QrbbYw10dUfX97jO0PhVTofzIbbCcQaHC0bjSo8kmWN6H9U73N1t2e0L8n2O0RbX85CORCtDXlQ4gqHJ6xVaK6wxCOni+QaUQGlD1dZgLcJarLUMr2WJEA5IcFyJVqB0j+41plTUTT1wrJX5UI83xHFE33Rs1iu06jk6nLOYjaiKPVo1WNMP+SrCotuW7c0dddlwf3dNFMccP3jIaDzHKoPF8utf/YowGVxEURQSJjFJNMIPAoosQ0aW63dvuX77ks32jtvbHVKmfPLpL5gvjrh8+54nj59y+vCC692e+02G/f41rrWYuuT19Xt+94+/5f2btwgp+fhnnzMaT9FWsM9yjk5PGMUhHpauU+C4aKOYT8acPXqAUobN8p44Tih3LU2hSNMZt8s7mj/8AL1CtS2rukR1HXVVAwovCECCKxyHyWTEyekJm/WWsihxxKB7busC1frcXC3BWIRSOFYxmcaM04CqNdT1kA3iey7g05QtVkNfK4p9TykUaaI5PRohjCXwfIzVxLGPlRLpTDk+OKDICt6pS6LQZzQ/QHoB43XNrurJs44wFnSNxfQOjegJ/Z62y4inB8wOpwMvz/cQ0gKGOIkRCKwG3/PQqqdvO4w76DqEFaAg8F3Scczh4oSmctjtSrJdzj4rMdriuIOgxyhN2wxWIOtAVxY0TT3AbaQDWtP3Q2qBdF0wBmvBWoMQAvmBkCqEBCyu4+A6Hn3fDY2kMQwQAEPdtLStxdpBsH/T3yGE5fzijF637LMGITW+62J6ReC5NLJBSMF0MkL1PcpoTs7P+PTnnxNHKa+//56vvviaKA7503/5F/zD3/5HHFNircT1fIR0uL5+Q1HVvP7uB/bZDj+IOVicI4WHNZpsvWcxG0Zp/iiGoqVqMl5//5LV5SX7/ZayaEE4nFw85flPn5MEAdZKNssVm7s7sBrdNQRugOvHxJMRUjhYo0nThE9+8RPK/BFt1bBbZjw5vyBIfU7qB6zu7ghdj7vbO27ev6FtW+quResea9UgTuq6jqpsGI8nzKdjXKCucspicGVPJjPCKMZ1HI7jOXm+p8xL8krhBAGO0ZRNTat9pLU4jsNklKK1JokTktGYIt/RK6A3VKYeOGhW42JYLCb0IkC4AVU1uHetJ3D8iOeffkYymXJ5/Yr2A5RGaI99uaFpe9p2jzYZB4cnuNJns8voOsVkfkgYhtTFBt33WKMRQmMtqF7jOR7CDlxnGUha1ZNlFbr1KIuWtlFIJMhBNafU8LAiGIijqqNXPUIMOnCspVdD5NDwNh+gOI4r8N0AISRa9xirMXooOawdGkzPk2htMcYihEVghoWJBaUMWtdARRQHZPuSt/0NaRowm41xpUtZlEgheHBxRKsljufh+gHJeMzp+TknJ8dkec719SVSODx78Rnn5+d8gaLvG1wpMUax2azZ7rYs75fUtSWJFzx68jFlXnD57i1lnvGzP/olB2cP2O4zfvjhB969ec3t+/dk6yXL+yXWCD77/Gf8iz//C6Tvs9uvuHzzFtcNkI5Dvt9SVSW7/Y7Ii1kcHCKkwfVdvv7iJUmScnx2wmKcYsYpcRSS7zLaqiN0PeIwxpWCk7MDVJ9TxyHGcam7mr4u6bsO15Eum3UGRuA6AtVr+s6wqmsm4xSEJJ0kqF4TRBECcKWPBsqyx5UuoR8iXI9RMsVxHJqqJM8yNpslUeKx2WwJXZ9JGtK2w2ZtMRnR1hWq7bjd7Tg6OcPanrvba+q8IIwSpgcLDmcTXB6wzVd4PlTVFcLpsY6gqDLC3QpnHGJ6QbHZo5qe44tHON4HopKJaEwOvoe0Q5qT67sgBK4vkW6I1R6bVYnpJU2r0NYOkBg7TCa0UcMDLAEjEECSJPDhgLRdhwUc18NxHLTpkWJI4ZJSovuBP4Jg4JDYDzF2UiDEEKYkhMZ1htvACkBItFForXFdF6xgtd5SliGWCUHoEQaDKMpxBFESkrgBy7t7sqwkDEJcz2N1v+Krr79ivV7xV//2rzg4PuTLv/t/kbrBWDtkRzYlm809RVYgbcCLTz6l6yUnR8e8KUv6puPw6IjHz58iw5jb1R3fffs1f/jtF1grmB0e8PTFMYeHp/zijz8nSVLyzZLX37wkns44e/gRXdeS5wVVvsGYltHZBWnist9vyPcZf/j99/hexMntPacHB6RJxP3qntevXw/4t9aSpAFCaPzIwQ8k0eEUbYBMURf9gDE4WozpRhrPcQjigDhOqcqay8srHC+k7XqSUYCqG9pa4DuCw6MxCLi62pBtC3Ak8WSCEZamrgY28jhivc24vbnn/eU1cZSSpodY0SNFheu4OHFI11iabM9V1zIahxwfxOSuJtsXlJuO2TTlYBQTeicUbUkQ+PhG4PgCayxNX5IVK8rcYHuB77nUZYYX+SRphCWl6Ne4vo+HpGlaND1BGBBFAdgRnYlpOkHb9LRtg9KCum7wvAHirUw3eCEdBxkGOL6HK8TAwUYDelDICfmhnBnANwg5zMO1xnUdXNcdyPtaY81QR0vpoNxhgiKlg5AOWhu0sUOsnjZYq4flhNEYozD3g4dzMZ8RBC7pOKLpakZhgNAtqitQXcN+u+X3X3/F6v6O5588Z3F8iO5LVlevEFZTZBVtlzGeJMM0S0vmB6fMj84oyorb22vuri7xXUmcxARhQNmUvP72G374/Tdg4c/+6l/z8//2jxmlEwRDRsoP3/7Au9e3zOanPP3ZC4IopSor8jynLPYUmw1b38UL5KByLAcOnue71E3Dartilxnu7+7p2o70YDpshh1JmW+plzlRFCGMJc8yiiIbNpQHR7jZfs90OiFJUpJ0xGg8pp9pVuvd8GbSQ4NijMJ1XHzfoVOKURJydJDiCE1WtpRFQd12oDXjJCKZxhx7B5T18KAa0ZOXObavUH3FvigZRRGTOOJ4MeNqtSGJBBfnM5jHbPYNddOgmppOS4xxcawk8ELaLQgpcKUgq0qMSZjMjjHd0KjusxynKZlNYjxXgBjY1KM4wHMEu1LT9xaRRJguoK+h76HvDaofph5Ka7AWbTR936KtJooirLD0fYORAqzAGIUjBb7vfXDPK8AdrnKrwXVxhMDzhoQvjAaXD3W1HJLCPA8TmKH0wNJbEMZihKQ3Fi0MSqkhlLTt0NoirMMoHeH50HUVi3SMKxXCFUxnAQD77Yayfkc6GTEdp7x++T11saVrO3TboruWtm3IM4Xnp6STQ9LpDMOwVLm/uaIsc2I/xAF01/Du1Uu+/M2vKfKMz//4T/jnf/nnnD14QByHrJYb3r15T9v3jA5O+fxPXhCMI5Z3WzzP5fGTp6im4+7ND9R1y3a7o+8hSSYcnz1gNptRVw37bEOHYXp+zOGHadbJuUtVFmx3S7KspCr6gTZrO4IoIgpDZvMZbqcMZdXjuJpWZTRti+dIpDVUbUcU+mRZTdf0hG6AFJZt2VHWGs8VjMYJcRqRN5q2MwRBgOe49L1AOg5BpPjsk4cDU8Eo6t7QWw8pHZb7Fk3I5PCUVdHRt4q8GLBg8XiOE0G2WSP7Hqk11bZAdT1ChcTeGGsHSpPnB4RBhPBdtHWpuo6yWuK3OY5rh2tfSywDmcmVkq5zqXIX0wtUL4AB1N1ri9IKx3FRZhitKW0RQqBUjyk7XCmRvo/WwyjO93xcx0HKwUwghUAgUH1HEAZIIQj8AIul71usMfiuO7At1DBSNNbS9z1N22GdD7W0HQ6t/dCUSikxBrQeJgR13RKGDrtNiUBycCQJQ5hOPUajiLYzdKYH0/D29StWyy0Pz09QncEowziNSNJgAGAKSxKHtHVNX9ccnZ+xHt8RRQG+47JZ3fOrv/kvfPP1VxTZlkeffMyjTz4jSVLapqNuWq5vV1xf3RIIn49//ownzy+oqhb00DSMx0M6Qxi4rO8u6aoGrRwIHC4efMRkmlIXFaPxmCDy8OMYx3V4++Mlke8gjGKxOGY8miEQFNkW3df4gYfRhmpf4D58dMF2nVFWJaBAh2TrNX01mF2149B2PXES40QxlerpFbT9EDcRBwFB6DILLX3b0reDkbSqOjrV03YlD88WTEMXxxowkrKBKI7Jq577rCedeZxfnNKUBWUvMG7AZDJj7Ef4foxSLW3fsttvSIKQR+ePGI1HCKFoux2OO7iMJTHSE+BaGp3RZTmCYStYVoa6aElCl0DGIALqXKCNGh4aC0p3dF0zuF0sWK0HJ4QErfsPTYeDH/qoTtF1LQiB9IcGz/0QZ+c6DhIwnju45RGEgT+svrWL6wiCYHCLN03zIb5ZoHpF3Qy2/abrQBqMdQe0AT0Yi5RDadP3mtvbJUbPGY0i7u/2lEWNHwgcF9JRRDpKmaXDW327vsUajSdrItlSG4VCIISP70mk52BVQ9MZgvNzurYYDM4YcAzfffd7vvjy77HG8vzjT3jw2U8Jkimd6imXyw8Uqx5hDEHscLRI2S031FWD1oau6zFWMJlPmR0ccPvuLVm+Z7E4IfA9tusVVTEErzZ1A2tN4LlE45A0HRP6gq4sOZgv8IMAZRXvXzfsVvngUHI9dAfu23fvkRZOD6c8ujhjPkn5/pVmv68pq46i7QgcibQdRb6jLjuKsiQZpyA8rONgpU/fDTWn6S2NNkjHwQpDVXfsswbTtiQB+FIisSgDi4NDirxgu17h+y4I6DuFpEVH1cDQyPZYBH6ckoxmCNdgjEQ1EIUx+2pJmHb4vovpQzCGUeqh8akbSVf3TCcTRrFPtq9xXB+rPfrOoesFUgqU6T8sT/rBhWItxmhcOdwy4NJ1gDED/4GhfhZSEHg+rnQRQuBKiesOhNThLewhXTlMM3z3w/LQYTRKScKYsizRSg99gefRd4ooGKxaRVUhMoHuFb22SCmGqYrrfsit6aj3HW3TcXFxRBi53N1lKNVhbIvjGOIkJI5ivCD6p4Owun3L2UJQRQHLQlO2gk6DUT2Wksk84ndf/YamboeDo3uqvKLtWvqu58HDRzx8cEGA5fr7bxmJocx8/fpHxtMxq/sVTTzmahzSa5jMBwdP3XT4QUDbdPS94fD0jCpN8BwXx9NcvnnJ/OAQL0go64rl3T35csXiYMr89AHXl1do1Qx9Bw5VXbFZrUFZlGrIuh1agyuMYpzEuLqj2iwRXc1kOmW8OKNsFa9fv2Oe+uT5nq5RaDtcs9kuJ05j2rbBFQ6S4YNuuo6safECj3EUcnZ8gECw2u9pIokwlmLfElgH3+8wtkNrw/pmiyMUQeyjhM/V+xV1U6MUuH5KrC29EvRa0dU1qnPY7xyUkLQm5/goZTafcnuVs95e4wQ1cegRee4HHFeC7+3ptKDMBXUjBmCM1vSqxWqD6hTCghBD7ex4Lp7rDgngYgirtNbQNjXGaHzfH6YJrjeM6ZwBhm6UwXNcfN9lv9sjpSCaz0BYXOkQBSHWWoSAyTgdYJNS0HU9rjtsFwWWsmoGRaAvadtBL27R/zTyc30Hi6GsKjrl4DguBodOOehGkRcFvt8yGg2lj7CGg9EhYRgQhwHh1OFqXfP2sqZte8qqxY9CVnc3Q5ApDmEQDFB0LNPxlL6u+fLv/w7Xc7GBx/3tOx6enyMlXL59h1aWV9++4ur2hvnxEc+fPyMdj3Fdg+4LqrxgMo549OBntF1PXTc4noN753B/c8l8eoTjByAsThQyOjrk5vqa7arEDQ3b9Q2q7Qi9YbFlzfD9+b5LPElwjw6mjOKQ7WbL26trJosDnn/8Kbd3W5reUFctu77CcyyR7+K6hsgfUTXDrDTfFzR5QRIlpJMRZTN0s/Eo4fxozjRN0UYRBZa2LlhvMu7ucuzdjtMH5yhVc/9uR3Z/wyiRjA9G+Ek4HJ5+iEz2AqjbPZOJR9c7OEFAkKbsMsP7u4KqqdD9hvDxFj8QGGupi57IdkMCrdQIZwgDbfaWKldYLZGeHcIu6xZlzLCxNMN6w5UuUrjDJpCBljTUyeA6EqvtUGIgEGbgE7uOhzZ6+HtHoJXG9zySNMT3HVTX4TguvjNAdrCGKIyZpjGOkLRuiy+HQ9vUDb7rME4TFICwQ5agkAhrkAhcxwGgrjuECNGqByzWWKyRONLBmCFzsu83w8jVEfR1TORHVFbz9uae1SrHaoGQlr43Aw7s4nRIve2GcaUjHCLfh76jrkvKukWHEZP5Ie2hpMxKyqJncXjEk08OePTsAitgs96x3+UU2Y6rN28oy4rZ0YKDxQJjJHlRk2c5XV/TVgV9o5mfnHBweICZTui7jsPjA148f8rrH96Q+IL16o7Nao10BsnyKBgPUyVjcL/63WuiKODRo3NOHjwkDnykrjFNxn6b0dQFdWmJkxBaRZrGjNIEx9NYBJEfsDbQqg6b58ShxzSNaK3F9cMPX0RPvitBD+Hri5HHbDqGWCDdKaubJW4Y8ODpKeP5hOV6j/Q1o+mYKEjAddjtMqxVuF5AnMxIwhQ/aLFSsloJqmxHsb0iTVMODwIubxzK3Aylg9PghAl9B0WmKMuOKIiHurhtsIDnCoweFh+z2RghHJqmQVuw5v8XGxkNEoHjuh9yGC3WGFwHPAd67MCicyX0CjfwmExSHOni+4PGo+072rYhTWKOZjMmaTxsIh2HSRIhpIMjXOqywWslHQNEpbXDZAQGZaD88P/rpkFrRRB4eK4H2uIKh8XigOl8wXq15u27twihWa0Kdqvi/2PqPXoszdL8vt+xr78mbpiMrKysrKo240lpCLoBAUIiQQiCPo0AbfR5BC1lQGkgacONhCHEmemZYXfPTHeZ9BnmxvWvPUaLc6uoRa4yb0ZkxnnP+zx/y8VqztN+y8N6gzGGxXyJ94HN047mZc183nDatwSbIwV0bUcME5nVuBA4hAk/GqZuYvO0YbW64j//Z/+ExWrJYrlkCp7TaaRpCu7ef+C4P7K8uOL5M8XiYs7l7TPqixX9GJJ96nDk3fevefjwnvb71yxnDSGmuDHKkt++f01/7ClrizWRsklNakopnAuMPqCUQn/91ecIKSirHEEgyy2ZnrgoBvrjiGpK8rqins1R2nA8dnz79h110fD8+S1SSWxZsn645+HjA+vgMblB5ZbN5onBZmQmbdwqCkxmMcoitGD9tOf5q1c8f/mCx4+vuVsfwJRcXj5HaYuSlmlw9EPH7eUVkcDd4ycyW6TXLpGbVc3F/CUxvsBox377SDee0DrQd4JpFPTDxNid2D4JdpuR6MCpNDcLAblRqe7CBW6vltR1w/54wEtJHCckpMSfs0hJKoVSESlJeLIEGQMieEyEXJvURUNS7LlxYowuNQNIAS7SZJYX1ytuViuUVByPLXVVnRNYHc5FdrukvZZWcTjssdaeUY4E4Z31qgQc3ZBqGbz3FFnBqy9fcXV7y+zimt+bz7j+5X/kV3/xHxgGx4iA7Z7dfo8SmkWT0kgnFxBBYlR6nUuhKQqD9xPbYYfNNMImY4PJLM5NPHz4wE++/Jp/9V/9F6ye3XBqe2ZNzX5/ou9Guv2J3/76t/zyF79gbLdcNSXu8pKZyvj89/4AU9dsd3sennZczBc8XN2w2265vr3AWMHbb99gM833v/g1mTLMFi+wZc0yy3j2/DlSVQilkUSkjOjPnq8IzvHpccvm0PPq1QuutaXtj2z2e15/OnF1c8WtlJTVjMP+yPLigpcvP8cNjnEaaeqK475gcoJmvuLm+RXODQkOKwoun71AqoyHj+8RRlMucoSC2O2wUvPVT75mv9tyd3+H0Efq2SUxaLa7I2PfEdyAEenBKmzJdrtGEJMJIAZ0blhdPCMEyXfv7jiOB4RwBDz7fcJ3ZYxst45hjGgB0zgQQiAzhtwYXD+wuloxm83p+5FcKoRW6GgRUp6jyvyP7F6MAT9N5NZQlTm5MUgEpshomoYiMyAEu+0e5xxlbqmyjFlZkS8VZWa4uVpRZIYYJFYblFHEmG78qrRcXCyQUiIUZEbjgiQgGcYRY3TCo5UitxnD0KfkKR/o+o6b22f8y3/zb9gNgaKuuLq55P3r77n78D2LusSPHaWK2Kokt5btoaUfJrSUCCRDO+JdgvcmPxIA56HvAxJLoSWf7j9SFDOGdsd4OFK8/BypNTJCmWcMbUd3aHn24hnfflfy9ru/Q/YNX1xeYmUAP5Lp1GxWSM3V5RVffPkF++MeqySKyMfXa/wY+cd/8i9omoK+azFPJV9+/TVXn79g341oLditH3j73Vv0Zv2EdxO79T4VMF7NCb7D1guCDmyOD2C3FEXOp7sH8KlM/rjbMU2eGByTc0yj4/b2mtXlgnpWcTypZNS8XDJ2e6bxbOtyAu8d82ZGXQ7cv3/LfDbj5z99xR/94c8ockVRZAx9KrV03vHd96/55d99y4vPP8f5yP36gaqWhDExZtqVRFkgyZi8BmFxwWEyhTIFY5vRd4KhUwm5kMkmZZShriqs1mR1es3mWcF9t8ZKS8BRNQ1CSoZpTERJSHOHtRllnlM3JUVpMUJgtWWxvECRUuWFlvix53JxSTPLAYW1BXluuFrNKTLL2I8IaygLA0TGsSc6gY6BWZFh1QW9G7iYz5g2J1wERArqIcQ0U+cGKUApzThNKKUp8pznt9fY3YHXr99zPO7IytSneDHL0MGlMviy4XE3McZIWRVIIdnt90lscqboffAEBM5HZEi9MIe2pc4yvvz8GeNpz7/70/8LrTS3X3/BODqe7h6Jw8RPfv41+9OGv/zzDBcCU4woq3j69JG//cu/4es/+iOOp4HQT2TSMG9qytLyi3//19y9vSPLar783Vf8wR/8jEzBn//53zBN39CeRp4+3BOEoI0BoRVXz5+hn19VuDBxfWFYlIrcf2QMEtedqEzkYt6Q2xzvI8R0A+zWR/yY/s3BbArE2AAAIABJREFUpZ6R46GnLEu6NnLzrOHy+nOeHrYoRkLsOR137PenpJuOkGUzVldX3H+6482HT6yuLrlA0h23GBlBaKTOUTrj8tkVxXLG1eqaMDre3b05C+snTkPPqlmyuLhkMVvikLTtjNE94sOJrFiyeTTsn/YQxVm26ZJ2t6lZzOZIkf6dMga00SnubHdH3ZQ0i4auH1B9JNc5IqTPlmVNU9fUdUGWG4y2zBdzgvMcdluKOqcbOn725WfcXF/jho5+6ogxMKsyqiIjBIm1BSazKKWRIjJmadGb1zVCCGye03UTx0PH9vie4B1WK6ZpRCiBVPJsYoVxdGl5NZY3b97wP/2P/wOCQF42HNoe6RyrxZzrlcVSorKMSRuO7sQsWsLo0EYxToFMG0JweBcYRocPgcVsTlYVPN49cjp1XK1mqOjIi4xPD5/40//tT/m9P/x9jC159dUr/vE//wdMPvLxF+9hECyaC+q6ACnwIvBwd8f0y19z+9lL5sua4+HAx++/w2jF7eWcZ1dLvvqdVzxtdhhtCdGRFQXPv3yFFoKf/eQrfIy8fneHUpF3m+/Qm/UaLwVXyxlubFkfDtjqEq1mHHZb+lNHU1Y8Wy3wQqK0YWh7TocdeQblzDBMAncIzC6WIAT/9//zC5arS16++ILdoaeZFSyvrrBlhdQSNzhkpnn+2Uuur59RVRU+wvrxgd/+/QOH7Y7b57d89dWKp82a33zza4QM4HpuLi65mV8QhKC8riiLjG4IPHxco6QhTBNu0oRQIXVMHd2DByGYnP9RzlnZnOv5BTfPrtFKoiUYY+j7jtvPLnl8+MiLl7cE5wnjxNXNFYVVGCnJi4zMmNQvYi1GKaq6JkYY+p58ecHQnqiznPnlAkmkKCrKMgmG6rIGoTBlQV4UKJWETN5NyFEBCq0zltepMu3p7pEP7z6xqko2XY/zE8ZmnNo+ieMjKCWT1DUA50wP73uCO3J5cUFTL1lVBZNVjGPHGCK5lDg3MbQ982pJK1t2uz2L+ZwiM8go6CbB4KdU9Xc4IqXE5DmXjUWbwOa4Z9KagObP/8O/5/2HT/x3//1/y+Vnt0k45BxlUfCTn/6UWVmyuqj553/yx9jMcve44cWrV1zeXNNPDi8Dh/bI5tMDp92O569ecTwcePrwifbpibv7Dzw8rJFS07Y9H9+8Zvu459e/+g0hOH7yO6/QQ7AYmzOKBi80vT+we+zpJ0mzvODKTew2j/zHv+spq4ZFU7O6WFAVS7xz3N+v+fRpjTSWPNdcXa5AQNv35BnMq5yxP5AbxWQEx7blcf1E3ZbkecFuezqbckckni9eXBE+S8EsCIgiUbQ+RrbbkcP2E+v1gbwsOByfiM4zuUheN/z6b37FcdchCocTR6wVCHKmIRDDmTBxgbrIWSzm3Dy7ZHWxIM8yUg2ip65K/Djx6uXnLKqa4/7A1WLG1fWSpqrIjEnLnUj6Zs66ZikkXdthtSHLDGo+Sw27MlJVFQpBCJ5qVmLzPImlshwlNOGs6kNkFEJgTify2lPW9ZnSPXB50fB8t0DKA0+HA9M5GzD61JsokBDG5FVUgqEfwI248Uh/ODCv1hiVYauazdMeMXV0XUde5cwKC2rC+4EitxRFzhgdMBFVoKwt2qiUJ+h6LpYVburBC1CSU9dRNw3Pnl1glef/+F/+Lf/iv/yXLJ49QxlD1RQsrpYQPbMmo3cTJrcsljPCOLC5f8DHgAgj03Dgm9/8iu3DI99+8x3rzY7Xb37LNLUobZFEyrJkNr/id37+B/xnf/wP+IM//n3+/C/+kr/6xV+g+yky+IHN00eaQkMY+fTpnnYMlPOc3/n6GX5Y0nnN/dMhtWS5EWEzbF1xIXNkPuPTx0/89je/werAclHx8M2av/7rX3J10ZBnmqKoCQjMuSd6t93T9d9h85zDdsfloiSzisPhQD8GpN6iLKAEVb1iGgZOx8AYHVOcwI3MmwUy5rTticU8o65vaZcT/fTE7rBPGmgKxtEzjSPBeVBJ5ba8WHB5ecli1mCMQQmAiJJw2p/46ssvMVqSCcmsKVhdzrE2w5xLRY3RKJE001Jr/ORQWpJZS54n9hAZESrpRJSAok6HWSqF1CZ1qQMxRlRMcJ8PkUJIKpHC2cdppJo1vPj8c9AW9e4TnqSzCT4w9CPqzL6O4ix6EjIdbAlGW6ZhYu9bbq5yvEvFP4TI1PcIMZAXJe0EowtUZZ0Ij6FlGge8dwlf9zAMI6feUE9p9leRpHW2OV99/QXOD3z37Rv+7M/+Hd9//1v+1X/93/CP/uSfIhEcNls+fnzL4wM83r9n7BP7KKJARIEpNE/bDfv9kfXDOrHXz5+z29xxOj0QRcQfBc1szurqltxWPNzf48LAsdvzd3/7a+7v3qPXd2uywrLbHlhrRVlYDu1A7yK2zqjyhnXrOZ16trsd3tWUeU0mDFEFtMl5dlNTlgUPDx/p+wNFBrdXDa/fP/JxDU1VYk6O1cWKvh9wUyTPStbrLbN5gxGSbfTkhQYhUUZz7I7s12vKpmK1eAZB0w8PWGsYxgNTcIgw4Vxk/bil9xMvv/w5Pvb4eMIohbEKJSrupj3TlCoscm0ocsNyPmc+n1Pk+XnkECiZqHCj0nKSlIMVVWWpm4zM5ulQK42QAhkjiCT5DCFSNRGjdSrURKCURhmNG0dskWIihFLJlygkkQBnIVMUAqRA+oBUGqkkAoGQitnlCmktqjAcuo7t4ZAkpiaJcnx0qWzIGrRSSeYKKCXQOkMUOVIIJqkIMiKzjLFvwZyd75mkbZPoqes6BCKF6PjI0DvGMdnJlExND5PzhCkSpaJZNCAFu+2G3X7L23dvefbsGcfThl/+4hf89Cdf4fue9njg7//+b3n79ntkTGORDw6jNHVVsVpVKBU49RPtYURISbZ54HTaMo4DTVOijWW+WNAs5xz3R/7qr/+KU3ciKzWH3Ybd0w5tNNRVhtSS42miG5KEsrQldVailMGjuX/cMQ4O0SiGyeG6gW70uMFTljmzpiLPb+mPe/BQFBkxQtc7ikqy326Zzjam9nSkLkvGvuXudODmcoWzks22o6wLlqsLooo8PK0Bz2fXqb74/mlDU1es7xP+ezgcaNsWH2EcB4JLs16MkvniCiMj+63ETx4ICDzWFDRNzWJeU2SpTk1LiRKpSkNJgTUWGQLRT0hRY40iz1OvjDYWJRScqXAhksYCkURMQsQfEQKdGZTSGGuSRU2mPyfF+bCK5B4XQiKVJBITqSLTrc6ZwEGnIPBuaJkvSspMczjfxNZohhjxzmGVIsvylOsnkxHB+2RNysqSSaQ6iaxq6IY26Va0IMgkaxXnhyM9EAIlUtpU9MkKJpUkeBgHjxEKbQvm8wVZoWnbE7vtAY0itzlFVfLmm2/4P//nf0tW1ew3a8ZxYL/fA1AUBcF5pslh8wxPTPp1oZPRok8lrQSFkoZhCEyh5/j+PR8e7jm1Jz6+/0Q/pn4eScCHgFbGU9Wa5dWCh/WJ/eZAPS+5qBt8nNiu75FREKYRawz1rEZqkRJzqgxVKIQIWB2ZNzOGskAKxRg8y8WR719/xLsBa9KsNfYDbXdiHAfyzFJYzWdXGdViwfu7LevtnqzM0FoSnGS931Oa1+S1YRiOxDInUyZphaeJPGuYX8zRsqA/HqmKEqVSqr2WkceHhx+XQaM1ZZFT11WSdZ5vZWIkJnY5HTYpUsCjkmgjsUZhtUp0OCJZpc63rEypkGevICnCIIh0g0uZxEnn30vpNjEhPWdSBATifH7TIU+3chqA0qE0RCZjyIqcuqko6wyzk8Q+1TE4n6rcglQgBEpKrJbJCT0O+DhispzeO0I8R1UUlqHv6caJKDtizCmzDCE1PoYfc/OESME3QgryPMfYHKnTzycvisSYmoyqFCwWI0pZQogUecZpu+X//bM/o2pmCKtRMnKxXKKUxFpL33VEn6h2bQ0uSIQwCJFCLr0LVFVDlIa3H9/THlvGydP1XbK0hWT5M0pycXGJVgZ9//EDSkVmF5GuGzi1HctFjikVD5+2vH6d2ojiNOAJ+HE448Mj0zAkYY2Kqc5i0mnTtoqyyPjq6884HU+M08jNzTV+inza7XB+xMXI5fU1twvDYpYRlCBEaE89Hz/ck1caoXu68Ynv3j6hjEcrwUGMhDAhreLq+oZZfYkyluPxyDSNKKGZxpDyM4SnH5IbXQiJNcm2lGXZ+ZY8C45+0HCIJCNVaETwiOjPYqUzxe19+jMCREjW+7NiKT0QyESTkz4jQ4QQiTIQSK4uQvp8VOnrn79A+mvO9Drnvw3iOT4BtE5S1LLImVUlRa4xJ0HvJ7TWeC9x48QgFaYqUrSs9oDBOei7gSgFkUhZZORyxWEraIc9fTcyThGhaiIp7CXGH54yQZT/6btSRmHLPBmDlTrLXVui95jMsjhrvLXRZIVNyQAKJtcTvcOqFAURfeodFNqk4vlhOguxBEEGolYMITCdTvTjxLHrOJ5OaTyLAW0kdVVgdEHTzLm+vEnprz5G1o87xlEhdEVmLG5w7PyJCY3TBe0YsKbgctlwebFCGAsy1fG2p5Zx7HFupMoz8jxjcVGyXNYYFfn5z79kHCdA8/S4xU2BfnDYXIOSTMIi8iWbpwNP6ycyq4l4njZbRndAZS5pr32KJhuHDd57JIbnt59xsbhhs9tzf//Ivn1i7CeMKSiLJNY5HnokSdapdNJTKKXObF9ayOT5p/UDpSyFwgcHIZEUMYCPac7VIiCEIZDmQKEUqavWJSQlnWaEikQlkgQkpHk5IiEoxFlRh0g3Mv+/GzrG9M3EdJ6JP9zVUaCEIDOasrBUuabMJN2hQxtD1IbODWe0RKCzlFXX1DldOzG5yOrmCqFgHEaULlleFahdTnt4ghDoXMfpNJKdFYTaaISbElspJC54nJvOI00at4Jz+OgI3pEXltlsxn5/YLfZM7mBYRzpup5xGGhPB4LzaJ34AHQyII/jiHUGpErSAltiJez3Ox7v75NhO3qUFMyqnOWioG4yQlCcWpDSpPoNJdFFs0AJRUSRaUl92RDdyOTharbk5oXl9LSjPXZcXN2SFQ0P6zVlZbi6ueB07Pn48cTheKKelRR1wakdCFOCymxZptez1Mwv5pg8Y7Pb0vUtrh+J84aAZXKCLCu4ul5QVakh9fBxjZ8GQoiceo81ltPuxCKvKFVi59w0MjrHw/qJ9dMHygJm8wZMdc6g7tOCJiVKJBe3EJwd2El1l3aUgABcDCgrUs6F92gfCVEQQ3LxCDxCRKQ8U8/BgxSpUtonpVuaXw3BpdkYHQkxEFxMMlQRzwZajTi/zkUMZ2MuRB85wy7nezH9kuf4g9xasiyjLA3HXiQ05azThnQL2yxjcdGgYiTGPcIlYZkQns0w4mPE5JaiqIlDj3M90zTipin59UQ8544kl7o8j1DBBaa+x5QJfxfRU2YapRQxBhSe3GgO4wlCz9QnJR9eEMZU4qmzjAh0bcvkJuq6QaqMtg2Mo8N7weQcj48bDocTmUl6mzoreL6a8/mLS6zWvPm4o+96ovd0pxMA+tQGytwQkRxPJ+wQKWwK6yvLGVWRo2aOcZjYbPf048TQnhj7wHI1o8wzFvMZbXeiLDOurpb85Z//iqf1kS+/fEkt0oGZlbMkyxxGbq6uGPqWqR85bPfsHjdJGKMk++0BfM5Fs+C02zBtewD23cg0dXRtx+L2AqsMp33L6HLqWcNnz29QsSMve9ppy6f1hr6zDFNOJOHESinS5gY+JF13FIIg0iGQJAfLFENq8ooTbpIodQ6ICRMiJsG+NGn2jd4TwnnulYLok7AoLX8eJwUS/WPrKdKfL3FJlBpFBkoRgoQfRu0YQCRnetJ2xPOtqDBSYaQmM5bMWqxRTCL19SmVEQJpwTUaazP85LB5AdOQ4FbhEmkiJdvthv5wYBxGDqcB59LPdNbMGEZPFCM5pIw+zo4cJZn6gT5OSOdST+DZGjZOHiLMZiuG0dPMM7747BptDIddy29/8577pwOjm5hcCryXSuKjoG0HQgz0fQq87PuOse24XjbcPlvQ9U9YKymyQH84MqBTh7yAICQyJr2NfvX5M4ZxwpqM4ANdu8dPnl3vGKImV4B3LC8bhLQYJbi+ugQc4+TTFq0FKgoe73b4Ee4fNgyD43A60vYHzBmXlcEztVuMtVgkV7crvn39geghhIkweJ7WHfefBJ+/fM5qdUvbDxyHE7NmhgqWq9mMxfIK5yJP247cHdFWsaozunlFHyamCdp+5HSIyFieb75Igo0TTBZ8xHvHJAWSFJwYhEASmbqeSMBoiY/ghUOopFsRQSFIOG6KF3CEEEEZxPmWInhiSFLUODoI5nzYI16eRw2ZAmeEl0ggCk/059lbpeCaVAOc9BREUFIlD6VO9RqZtdjM4oeQMqatJoaY3kIx1V0jJUWZEVuXkB6RPh/xCATD4PFoeh9Q2vDixS11U/Pp4xPOR4wxCZ0ahvQgSkCSEqmkxJhUU5GbHCkdh/2A0enBr6uay6sa79NBVUpDiLRtR1SKomnQWjONnvuHBxCRcZwY+o4YHM9Wcz6/XTJNPVELTCkYQk/sIrmuECIghUAbS1VVGGPQt1cNu+0R7yN5U3JUA09PTzR1Q1NlFMJxd9iQzxdYK4mTJ9eCoixou4mu98wXDbYo+fjuE/d3Tzx/fotzPUO349vv3xOD4qsvX/AHP3/O7/70hskJPn3aYmQa8FfPrylzw4c378lzTVUVCAXGlFxd3WD3j5RFxXDwqMKyWKzYPu1ohxYnHKf9mnHasz9t04GOmsWsIJOK40YyTsn+70NCOyDBVGGaUlaRSJojpEzzooy4bkAEhdFZ0h4HQQwpu06GCeEdmCS5JQaiCInZJLnFgw8Iy9mCFUEYQvRE54lolEljho8jfnI/zqlRBKKOZ4WfPI8znFlTUMZgc5PMq61hVtW4qcP5mC4FATEqhn5gHHPKKsPagkig7QbK0lJVhhgVNrskuMD6aUuIsFw01PWc4/6QqiuOHVleJGvcNBKDxxWKLGuwWtE0eVLKFSXXz28YJsfbN5/Y7nf4EJmmjPF0YBgjwwijh7YfcESWqxWLmytOxyMfvn/L0/oeFxzLZcGz24YiyyiNwcee3o2pYfjUIgjMZjnzUtFvIkUsKKsFWZHiifW79w+4sWd0kmL0ye/nI/1mR200pzDwtN9TRMFiIamrhnd3B5QOXCwqJAqi5PpqTplJ3r25pyhLymqOYMJkOe/fPqIEfPzwkf2hQKhU/Xb/+Ahe8va7d5R5yX6zIzJh1WVaUg4HpIBleUFTlxzEQDtG3nz3DlwgatCZoOuPCQISIpXQT4ra1qhcM6iR3o8pMMYlN4nifJj8hDvHIUQi3oNQIkF6VjCNA7KPCDKsOVPUY59GAe3xxiF1wq6RqXAohcgIogLBgPQSPwmkdUitE9kiVcq8wxNcTMiHkgglQXgEAaEMUp2fNgFSxEQA2QybW7IqQ+0MZdnQ9YE+CqZhSKOTVHTdiD4c0SaePZGOMstZVjmu35JVDcIWnPZHDrstLz674vOvXuKmwHrzRNf35wLVkG564o8RwFooYkiEVp5nNFEwvH1PjGmhjkysLmZ89eo5rt2mzMN2z/ZwgMxQ5QVZluGdp+06NpsnpIpcXpbc3NSsVg0Sxekw0I6B7e5AYTKcyJjalvV04NT3uJCScudVhVBw8gE9uB6DR3iPcA5jDUoZTvsj7z6tsUaBMEASgAcCfT/wtH4ijpeUZcnu2HPc75jPK66v5gztkUJXzJfP2O9HvvOf+Nnv/ZxVLdhutpx6h5CCECY+f/mcYy958/1HumFgubBEEXh82rN+eEAQqaqa7bYjSsmE52F9TykNk/C0XhOkQ0wabTVlXtFcLGiqiof1Du/7s0fvTFSQsOcz6YwPZ1eEi7hxZBKC6MuUHIonepd6wo1MarjgiSESAkQhyEx+HmlEGjPclFg/AsLJJEMVGokHf3a9xIQQoAQoEEoitEYonTBqIc60eNJGB35YGsFkGlNkKK2QQuPcSJHnyBgZ3JgiCWKa04fR8bjek5m0tBXWMnQDu6cD5uQQmU2NCoVG29QVPpvnvPzilskF+i793/yQPRKRCCHwIuLPqanZWeI7Hke0tskEPJtRFgW7Q89+O9KOkfX+wO50xEVBLiVde2K9WbPbHShyzctX1+SVoT853GgpqwzwVE2k72CzaTFocltglEErg1IVhc6pbXq7DqNEry5WDMctWnqqXDIME/3oqRdzqkyyflzT9x4hUhzVNKbAwnHw/PrvvsdajckMWWYJMXJ5uaDIBW078t03H1g/PLFarRi6gQ6YVQVlIdnvO/b7keOhp1k84+KiJzKBCBAjRkm0NrR9S78/UBcVLrQMsaV1R8pySZlluHMF82az4/bZiq9ffkZmK/puQsrTj9BciIIQEroQvMdPE16KM/zm0y+R8GjRgTIaKSMuiHRIHUglMdoSQ6KnhZZIlfLs0vycUJfgR4QIRDcSpEAoSYgS8AgM8kx/IwVS/sAgng9tjIl8QQDyvBSmRVFJSZEXZLYkBJkIrxDIrD4bbBU+pPwOqQQuRIia0qZA+sNhTyhyPAV9F8g1eCGRWUlE0rY9CBiG1PWitUoPfjQoFRmn8cdlDiLaZOkCtAIdDFpZolCAZHs4sDvsMMpy/7jj7n5LjEmgtd0+pfEtOKzWNIsL8rykKnKEH/FO4ca01GrVMV8mkZbrIrNZyeUio7CGbRvph569DIyT52nfo09Hz+Ho0EqSRc/kJtrOUduSeZ3RtznHdpdYp7Zl/dBRViXzZcPrdzuO3YnlokFrw/HYc/tZSb1a0r//yLTfIQS8fHmDFI6+a5k6R0DRVAXCwzT4tIlbjTE2hfcZy1VVUFeabgwgDX5yvH23xdSGKCFkmrxe0Pb9mb3raMoZy2oG0kDU5LZEie35lo3EYNPtOo24vmf0AZErrBUoqRE6gkuFQpMbUESQDhUjWqYQHa1tYs+UBJFyjLU8PwAmQxc50SUnTQzJiS2sRpxve9QPEtYUAya1RETS9yjSTSOiONPNZ/IFkolXiKQnMVlKaQoefMCLiHNTotuVwZoE6+kyY5wmdrsDInqCG7HWUi4aJjehrabwDhkjp1PPbtOTGUWYJrybCC6gtUlvgzOSLpT60R+Z4E9H348QkjxACsHD9pH94Zg6aEIkCsEUPIHI0HUMw5C6x8sCawwCTXeKTEOLVOf/ByaUEpxOkXpeMw4jk/Y0TUZpcwpredhu+PC0TpdDEAQv0MfeYasZfhx4fNrhp2THV9ExHCNVWfHZ8wKk5PFhzdgNCDxBBLQxNLOaq9UCKVMQohQidXyLOxbLmjyXXFw1WCXodm3q7nMRYwqurnImF+m6VPyI1iwv5xRWUprIclYxOlDGEr1HyMgYRsZe0PWRptZJ7YXm9qYm1yWb+5asrhBGpQTREPHR4bwnegs+IGOKJfAx4CeSC0SlGAYhkmYBIcB5vA9MfsKjESoVDXFGRUh5jninEM4TGZFKo7RBqNTGmw6tJsZADO58K8sfCRVBGjHiDxT6Dwo8Hwg/YNNSnrUoCq0SFS9EYBxHhnEgBME4DvTDiNZZcrEohbUmtVwdd4muVprTsWNwI8Zk+HZIXjzO+4o2HPdHwuQQPiTGUJHQGkKakH4ggkiuf2SyjomzX3QcA6OLOC8ZQ8SLyDSOqXXhcEQIwXzWpAa0yXM6tgzdSN3URDFQzMBmKU8wz0v8JOhaT9v3zMoMH3taF5iYOI0Do/PncMyk2tNVk6oYvvtmzX69JjMWmWku5nOihzzPuCpKDu3Abn/CDz25VSyXC4oiQSXXN0syY3i83/L+9VsOT1tOx57BjWjpiZNDyozNYUAJSZ3nnDpPf9hzPB4pyktC9BwOeySeITcMNmKtoe8cQ+8oq5Ivv/gJH+4emc/g6WFD9IIsz5FSYrXldDywOW6oFw2XNyu0TtlzbpoIMeJCCicnptGD6Jn8RAp08uelJkHFeW6QNifEZP2XxiKtTePFOYNOao0UBilUorWjSDnaIhXxINJIIwKozILKIKQIAnF+aII/U+VSnJ3l4UfaO8R4ns3PWLQMSAVFac+Z1z6FS07Qdj3jFIhR4q3HDSMtHi1G6sIzBkdVL+iPJ2pbcdi3RBdoaosfzzizMUiZ5AGRHTFCVdVM08BuvyN6n1R7k0dqnejtcoHNLNM4EYRBVwo7CRZ5Togz9rs9h2nHxWrJrK44bPcgIsYKtFaMQ4oqHgZBWSumKZEruZUYk4J5+g6G3uFyTe8mhJmYYo4LES1EghI5E2FDe2Lz+IhSgsVixulwpG8HdntDcIHSL1gsFsmI2Xb4ocfqgtVigRcRYzTzeU0MktVK4aeeGD3zZYXNLxjaIw8fH9AyZxw0s7lhubqgCZrtbotUhptnl4iPa+oiS2WdfY9zAzbLmTUFQ590FsTIvKqZJk9pSqJ3KfNMKZS2+LFgH47osaUobml8TRA/pH1GnJ8IccJ7R9+2GC2xmUXnOcqmmVlJA2hiVAhlUBFCiHinCA6CCmlB8pHoPCrXKH0GaKUk/uC9mwTanm9LEvsnoj9DfGnO/AHDjgJQaelM0o5EqkiR7uXokzYlyqTSy4uSpqmxWtH3A5OEKcA0eYLvz0ZXiJ2nrANRTrTHE1k2o2xqLm9WPDw8cffhjvYIRa5pmobjMLI7dCAE/blXXQpB34+cjj2Tn1jaDKkswxC4u3vg3ZuP2MxwOKbPZXnChJWULC+WLGZz6ryirEoOmy1vu4m2O3JY77FWcXVRUdSaUzcxjD1aGE7Hka0baA8js3pOllmqmWEKI32fmOHMeqJwSJMqSMY+Mg4OrbUBOSQpZa4Zuo6HhwNXyyVl2bB+2DMME4jE5mTGst8feNrsuX5+CW5g6jq8lxwOOw67DUornj9/TpmBaydOp46P7z/gVcO1AAAgAElEQVQk8Qkr2lxhtKLJNU8PA29evyHLZ8yXNbN5w9N6w3a356IpWZaGk/LsTy3dqcO7wE9f3fD+/Zp3b9+ByKiXSzKbs5wv6N2IyQ2nydN2PTImRs8T0xQoPD6OTFEgUJQ2p27mlEWW1jChknAfjx8nwuQgeFoXzkI5i9EJkw5BpP0tT4f0h0RRJRSuFwTXo43AmKRASzl54j8Fn4eEcHAeM0JIX1uqpGcJ4ixu8gluJHpEVBS5ZbVcUuY5fnR0RLzQtKf+7LxJNP5sVmEQEByVjjx++sDq8hmnzQ7tPa8+v2G73XA4tOR1TbOcsd0dmIZURW108lg+bTccu5ZmMWOYJr79/jsKmyGc4+a6Zog9RS5xPkWXVaXlzTdvsHi+/tlLYpDcfVgjheQP/+HvYxQcnna8/vZb9g8buk6Tz+c0ixn77Z5xDJTZjLqc0/cn+h+NAKBUTlYYqiYSdYfOBXEyPPYtp7ZDxxD57OaKh/Wah+0Twgp+8tVLqrJmeTFndXnDMJ6YguNhs6YfPMFr3r5+yzR0HI8JZ3z+2QsikcOhpywbPrxbs99smFzH4EeO7QHvAlmRUZQVTAdsXmJNQdsNyb2gIsPjkfXjhsf1jnltWS4qpPBYA7vDnqdNx/E0EYTls1dfYXREm0gUgWahePHyhskpJjfStvvUIKs0buiT6k6lRU1LizEZCHnWD6SoA2LEGo1RAhEEIYoUZNIficMIU0lZZWddw4QfHapVyHP+s5QSryXhbMgVWKJwKCkT/HlOMo1nIkdo9aOGQwRBlGk0+gHlQESkTqKdKAWIgJaSWV0zn81+PMBd3yWkQwBS8dXLFf/sT/4RMlP86m9+xTfff0vsdxyf1hAj608fqRqNzTWZCRzWD6hTyRTBj5GLZkaUHqM9l6sZZVVyOrUcT0dms4LSeowRSG3RuobQ4foTlxdLuu2On33xGVVjwU0cjz3bzUf6rmfo5xA1Uz9QzhrMomYaJ+IU6aYBqQ2Xl3OUzGj7IS3VIbDbn7i8WhD8no93H+BTRClLluVYGzGlw3YS/fDpgYf7gHMjgoixObNZiQgTfXei7ybyynKxmNPfjPRdpGsn1psH3o6nlGGRNTy7leSF4ur6ksXygqFP+b+7w4SxksVqQQwpBHy93jOvDWI6sZrX7A4tD/dbZrOc66s5KMXk4e7hyKxZ8OrVLVl34q9+9VsO25567plfXvE7v/+7nLZb3n3zS8bpieM+BTRau6QsC1YXz2hPisfHIzF0iABGJHIDpQhAPwwpIdNN6WYLKdk/z3JyYzEyCXCi84TRn1V5HmskRmiihClMiPP4pcwPGXPgpUJGCG2fUn2yHJ0Z4jl4UZBavBKUOKXRSMSztvqsxz7Ty0GlxVMYBVJRNQ3Pnt0wbxr2T08M3YASiiaz/N4Xn/Ov/8U/4R/+8R9R1BUvVjf8r/97x267IwbPYZxYvfqCu7ffENopoTwi8PDpic4r5rMFi1lBXkgGPzJ4x/G0YxgmZrMcY5Js1TZzJqHpOsf2cUOmQYSByigyJsIYEFPJxayi/vnPqaocIVPSkf+hBmN3ou8DUXkCI+3phBsjx+OecZioq4K265Oi0HtmixplPZvHE/3R4cqe7Krixcsbfv71JbppKobu+GMjkRaKvmsZ2yOonIhgvRm4fzAgUhbZoplRdjotTDJDKsnh8IRzGoXm/sMdm6cNqMDVVYO1mqvVNff3T3z4dJ8ixJocK+FiWVDVt7y7q6jrGXWVQ3wiPktpTk5GumEgIKjKJcr19F3Hab/juN0w9D1tHzgcBtTpSFUuKGzOVfOcMivJfMXHN5/Yb/dJ66z0+ZUOp7al7zuGdkhRsICW6VbMi5zKFBTWkJ1tV0ZEYvD44MmtIVcTShs8EyI4wqjJigxhPC4IlDEMXYcg4oTAjx43ZsjzoUakTJOubenbln7oz6SIhygwpiDPc/K6plzOyPMc4VOQY17m3NzecHl1xd3xhDUTWmg+f3bNn/zTP+Z3f+crYtcydS3PcsPv/+Rr9tPEUYBXluXzS2RhIASUGNB+z+xyZHeUFEWNVoJTe2K7684pqQNWe8LkaSdDXl0gyzk6y2A4UJeWy2WGTr5Z2rFn+7DhzetvMEZTlgsWF7dUi4ZhbCE4YnQ4t2N73GKKnLJoqKscnzmUgkEJPB1lI+hPHX0PfqOYRok1FXWp0uoSDX6KBDmgrZG0R48ghRGq4DjthjSg2xSEff+4ZpocOsvT0hIcRV6DyNA24qPneDwQvcKPkRgUdWnIMoWQER8MRWkQJqKMRmjDdtfhS0u26bFG8fz2hmqWkpn2hyNSCqoyo+87/vY3b1BS8eLZNU/yjn0HeWX58P1v8EFwcXFBWVkGd0QpiSQQjx2xD9RCsmpmfFCfQIDSGiEVg5s4nY4cD4cfJYjJQCIJU0BIgZUq4bZlSVNWlNZQGs04eqosx1mByXSqaSOihIGgETGFOfoQCH4k+Omsv+7xQaIKCxL6vmd32LLd7ei6ERfOtPf5ls6ynLKqaWY18/6a5fU1ZVWiz9Fk83nD8mKBeZucOPOq5KuXt7x6cY0/Hfjut9+y+/YNdVnw/OaS66sVT/f3SDnRtScQkrbrybVAKotSjtxq9ocT949P7HcHpAg8f77i65+8IrjAw/0Dm+0JpVK71TAmDXmZ1xgtybVmdAO7Y8v20DKMp2SsaCO9s8ydRzARwsh2v+PU75gtFX1o2e5apBAUmU2kTpkRRGByRy6uCsYhcjic0FJTlQU200kqayxaWIbRo+/v7/8/pt6j2ZLtTM97lk27zbFl771Ao9HdbJGhFqVQaKaZfhf/mSYKBhlUU0Q3iHYArkGZY7dNv5wGawPNUVVF1OTskztz5fe97/OglKJt6jwnTZHJeaSyGK14eHmknwbW61uE0Oz3J8Zxx7u3JVVTQgqkEKnrhvf368xjO3Z54xUy2d77yDL2/OLnb/jum3c8POz5/Pkrdal5eO7yi96f18SwcDydORw62rZl3a4ZppHd6xNhiZy14HDc8d1f/IKmrTgeDrRmxXq1Qco1p3lmHHvcceTh6TfokPBFCcljy7zNy1u5LL6cl6xTM1pjSgPJX+aonnmZCT6TR42xNFVFW1Q0dcGqKNkUNeu2pFmV2EJjpcToXFvyC4gkmJcJtGQcB4L3jLPn1E34FAgiMo4DXX9mmEdiysCY9bpmtVphK4s2lkig706kpFCqyNrkC/G00Db/X6OpY8n1as3NqibNA8/7F374u1/z5b/+mm/e3vH+//j3dM8P7B8f0bagOx+Y+4lz19G0DaUVnF+fGKeIE5bPD09M48jN1RolDdvtHZvNNe36ntXTC8pohmGkHwZEihggVeu8fEmGiOHm9i1JQFlViAsARxlNYTXOw/A0059nVtv2osWbM3Q+QG3XtKtr5kXinaeoa3ThCNEjoswoCJ3REaN3FKuSsrLoqZ/59tsP1GXJuetJImbBfAQhFQnB7Zs33N9/xKoCxCeGcWKYZ6qmoiwlLmuumZacZR36gdfxgDYFt/d3aAmH/YllmambmrIQaJkwRtINIyElvj49YV8lwUuu12tiSnTnHlNY3tzdENzC4/OBwSmQhn6YQMjs6U6eutkQTIUtt3TDV56f/pnpeUdq1yxGUxpzKdM6kLmRbbRFlenymIw4NyKCwCtFIDEvE/PsiAEOl1Z1XRU0hWVTNtxutlxdNbRtQa1tjpPVBVrnyOc4zjgU/TBy7gfOfcfucObQ9SzJk6TEKEFVaOqmYdVUXG/WbG83VE2FUtnbQgKPxrvsQdcqq+KUyaPWtmmJqacuLZLA1J8pFZSrFaqo8gzbRbRPLF1HFw746MkLNkOKNYqCslwh0oTQBby95dxlsMzD1yemKfD+m48YU7C9vkYbSXh+YewDgsDd/TXbtmYeZ2xRs5FFLgqkSEzpf9DaLUhZIISmbVsQE8u8cDwM9ONMuzIYA6ZY8BwZp8h6s+J8GmhXFc06cj6MLLOj0JboZ7phwRqwlUTXZUuKidPpzDR5irLEmprz0IFL1HXLzf0bitJSFYb37694enxGyUhVaqRSDMPA6Xhg6DrcuDAMMwFJEILFK6q2wIjI1y8vHLseqTTHw4Hj+cB2u8Enxw8/Hrhe3/Dhwwc2VzfM8wgiYlSi2ba0qxpT1WxuAk3d4qOjqlrKqqEbZuZDjyxLTFFxffuGvmkZvj4hloWmrKiM4TRNjNN4CQflur+KuWbkppmhH+n6EedSFmqKnD9efJ5/DtPEMPb0hWEsBuZ5ZJxbtuuGTVkT6wU/F2irCc6xzA6P4DjOnLozp+7E+dzRdQNzSNmm1ZZYU1LXFcYa/DzTve7x40i7almt19i6zmdyFUluyoo6k0lJTVNTNTXnYaQqS+7fvOPmmw+5tOEkxx+eUNGjhOL++pab45HD+RXvHMrmZU6BozQtze19DhWdztzIa7pxoBsGlnGiO+/4p9+ckFpTVTVllTG7xmrKoqKqS3xKBGkwxnLTNFhb4KaJ7nwihYXKKjbrEoRhWSJFbRj9QhIz3vfM80JV10wDuNkjRU8Mhhglyzwi2txor2pDGAd2zyeEVjTrGiSMw4Q21rDbHxm6M34J1O2GatXgXG4EB+/YPb1S2J7NusGKwLoyIBTLPF2q6PmlzfvIMgzM84wps8dv97TDii3NtqCpDPtO0PVnljAjgkWrxNQP9N2CoeBQH3n38R2rzS1Df+B0OrHfd/hksobZKmxZsqm2bNYrlBR8eXjleOxpykihPbrV1Os1ZdOimxXi6oazkJynCe99rjld4qPRLYRhYuoGuu7E6BfKukXoCi1FzkrpCddnY25YsuSHkM/N4qJ1EzGvw6WCMmUy6jSORGBeJpa5ZxnOLENHdIHgJMEleiVRZUR6cVngRIZTj3/Oi6aP37xne3+b1+3GEmZLKktEofNW/NJOKW3BdrPl21/8Ge///Bvwjnk30Gw36P5fOdc3t7egA/Nk8H6hVBKrPGkZWERDW9SMboeU0FQ1dZnz4NPk2B1H9ocjh/2eqrJsNxu8j0xG4+aJqqwp6wYdIibkEeg8zxhNPvNqqIpE0onz7BmGLtfNRELrRFlJnB8YBoeUhtWq4Oq6Zve8z5FbGVEoilahqogboBsFUhgEGVehC6tggaa2hCJhbC5Xrtua83RGCYt3EaME0SfWTUN1tWLwgWmacNOSfSokfAygJSIYYhKI6JnmgfNJ0BQNw3hCynxmFbaktIbu3BNSpLCWw/lIkpLV1QZr5SU8nzgPE4cfvlDXdea54fju4wdkTBlaGCZSmIguUm00Wluq7YZytcJUDc3tDZ2SvHTHfEHHhEyR5GeWsWceJoa+ZxgGRGlJ2jC7SN/NOJ+r/7mmlYEyS4oI4VEy/+xKa4yuWK815bpls2qYhhH3OBOWheQXpq5j7Efc7FmWxOJhDJ7ncUIeB9qXI1dXa97c3tBWhrnrefn6RByXzAuxklBYjFHEpoFUgIjE5BEhsWoqWitxu2fGR0NR1RSFoVm3CDRzEuz7EzEuKJkpqCJKCgU6Jbyb6SfP7D3H/ZGitGw2G6RQQKRaNdzVG5KQDMc9V5uW25sN+1N38aKfqKqauzdvWa/XnPuB2ef2iVUBKTSz8xyfX7FFBtYM3Y6yqCiLFX5VoeyZEEekchgDUnkWl0Wtqsi8D4Rg6s9Ya7j5cMXrq+P5+URwkfXKoE+nM1IEpIJm3bJqrxDojLSqagpb5TjpepOjfcvM9uoWM3vcvEMSsRI8F/ZFXWErgZs9zs0ImZjmAaXXlKUhnQb8nBhHz9R7tJE0q5rr2/whKGsY+45ORQojUUiUhK7r6c89TV1RW4PzHSEq1u0amda8vB4Yx0RRZtLS6fOJ3Q9fKa2lrmraecYag/ee4AIGUCmQoiOEbJySWjHNgWE+EQvLqes4nk5EElYrCqlyMEjlev/sI8McsDpQVxFdNty8+8j9mxvmcUBKyXG/Z3QOKQxalrlJHTzaKkyK7I9HxueOw+7INEzoZBgLiQwjenEc9wdOzy+sb1cEHUhpgeQg+UtGKEAIlEriT3u+/OqIeHzgzTffQJK0VUtIhnOMDClbycbLXDxXuCRuXJjHiRgFfXAXcLoAW6KMJV5MuP35QAoL2+2K682azaqmWRvmeWDs8yLr9dVgioK6sfgQaFYrxvORrveUbY0uDMuyZ5r3CNldkncb6nJFTJ5xmhHK0pSGRGAcF4zJL8JaGpqqgUnQdxPGeK5uCqIoOR0GjmePrq5XbNc1h/3AeXBM8xm/eAqpKSuLUhVGRNyYGKaJqe/R1RohFU3dYpQmxQWdPIWVJGURQuCsox8EJM84Tuz3Hdrk/hw+NzUWH7ldbbi6vuHqqkWbM1038vDwxGGn0UTGbuA8LiwpIaTh49sb/ud/9+c8vXwh+h4jK26uWtqqYHSe58cd0ynS9RNFWedwe4roGDDkR7r3gbLUVHWNiCFz5+oC5zPQe3IJWRVYqxHJM88TVhvWzYrCasIyoVLCqhzmCULmXLEpaVa3bG8+ZPLnvOSua2HRVcVxf+Tl9Yy2EVlbopbc390SkJRFwfXVhpvrNVaAxVPoDD5vViXt1Yp6vaJsN+iyICFyq17bnPzzHj8MLFJwjjuaVFM2DW1ZMirFOU4kW/L8h8/oS+5kWTy2qBCFIcyB7bZllJq+X/AuZv3Hds08TOyenjnt96ybkjd3t1hj0Aq0nFlVgqv1Faas+PR1z5fPX3j37o7VasX29pZ4EZGu1w2nw57T8Mx57MidZYfzB0SsqWRNig4fZ7TLxWNrGrzWlKZCIlk3Wyq94evDK/MwYgtP00pSknSnBf03//v/yt3NFd1p5r/8p7/jN3//DxiluNlcE6Ng9/rAEhxLvBQ5vUNIzWazRgnNZrUiyYzaigjmJS8ejDXYsiS5Ff1wYvIBjULIPO9sKksjC642KwiR6bwQZ5BRZAxU1yNTyGiAdIGMG8XbD7cUdU1l13SnI//09M/E4FjmrPZ9+PrEvxx+QC+eTWMRQZCcx8ZIKRQ+5dp/UVq0XFNWBc3iCC6SUp7uKGGzA9stfHt7y7LMGTuQBGFx+KnIWASTYSvaKIqqzGtYUyFViTKa9faaaRmomnzHWrUlTdMwDrlwqoxGVzW2aVhtVlzf3LC53qBkQiaPMQltFdJobF1iqxplcjgoeQnBUxqDUaCF4u3NhnacCaNnmTy2FhR1ST8lXnYDIUra9YqqtHTDQHS5sCq0xamSMVm600g/zkzjRFHvMEVBfz7Tn0/cX2+5vVpTlJYUIsPpCIy5hJAi7+42bDcbnl8nfvr+C2/f3SOlZppmNpst2lQU1YJ/VUyjoCxqqkpxHk7M4xmrm+zESZF5jLglYArB5v6K1bqmKTNAXwhNlJLX5yfO554oZoROrNYWvdud0EITfKKfBrp5oiwr7Lphf9rTnQ7ZaorIGVul6bruwiXOwR+pcsqqrLL7pCkrqvUK5xdkEDw/J2DB+Zid2OsaHxXzlBcYSua2RAKqokQRGcdAiBpVGLQt8ClijObz1x3zsKCF4LDfs9/vMUYwB9ifztRNQ0wahCIVBSKP1jEI1tYyX/gcZVEgC0VI5cVAEAhL/sJKkS+QsERC2bAsFYtzhCXiTSA1YAoDWrAseYKwWW1YrdbowpKkwJiSanXDZu4ZJ4MLGjdpWEsaPeDmBYSgFNAoqHWgVROVriiaCqWrP0U0tbUoa3InUdusnkgeZEIbRWU0b+5u+ctf/pLxxy90nx8QCnRrccKz6w4cp4klOK5ur/ORyYK+Kdjt9jy/9AyTIL0cGMeRxecOposT/XDEGsP9bcs37+4RMeJDTjBOg6LvM/dOXdrzd9c3rFeS9arly+dHuu5MSJ5hOFOvSpqbDdvlHXPoUXJGKEFVKXwaiXJGlI5wqZKdY8D4ROEqardA4djtnxGivGDKJMNphjRSmAzQ1P3Y89OnkXGY2O13mEJxe3/N62HH5y+fud60rNcNy7ywLIFhGlnCzORHpMgeu8aWdN2Rw2FPXdfgA925v8T8yjxPFZrufMyzyJRXyFVlL+MfSQwzUoLSgpQMQhlAZv92TFn+rhSn1wNufySJwPF8JrqYaZ8WZBxZhhnbXJGEYpFQaZsZdAkabSnLrPrV2mBtASniloU5zXgR8CSW4UgIDuE8RIFOuYEdjaEs60w+koIocjCqlCa7U4p8Eea8taBcX1FNZ4SCQMZ1GaWIZckyzfgLRDIFnwn/54BSAa3vKdoVpmwQymCtzvgFCUoV+MhlBZ+z05um4d37e25//pFOakI/Ia3B1BWDdzyeThzdjFOC87jQNiWv+xPLdMZHctovZVp/2xaMs2CaZ4KbGc8Htm/e8Pb2Cn1xjlutcUhW2y0h5slRW65Zbd+zubnj8csXtlclytzw6dMT5/2JeZnRVvH+40dCVPhUE71iXiaEyDloIT3WFgglSb5HG4U2JVMf6KWjNJ5eDISQFzLzNGGUQlHilwWpEtqUBRrJPMwZM1VWbDcN3blnmmeW1NBsrlHjiHGO/tzTdQOjW6jrmo8/+46bu2s+ffqMEZKb6xsOux3eRYqywSeHnx0ySaQwICJKCJS0SApklMzLRHRLzhN7nft3Iq/IjYwI5zAyYaVkmmecqUBbpKnxbkSGhPaC7WrL6BZETOhSs4REMIJCaaRVVIVFNhXaKJK4uMiVxcqcYYnR4wuLEwI/90QrkBc/OEKR0AiliCnmbV+KKAQGTWFyeD8sDu8W1KXRY8oSkUqMtTRtwzIthHlinhx+zqVjdVmhm8JSlBXlak1Rt5iyzkc0o7Py+ZLUEz7jvogJrTQ3tzes2gptyIiD9Zpqs0Fpy+Qju2GmXxK+sjw/P/LwZWYYOuZx4Pb6hvfvrwkh4QIYI+i6nmnKJNeqsHx4946bqxu6rmNcJqTzlGXJ9XYNwfPy0HN7s+Ht+29pr655fHwkTDNVpXlzf0tTrzgcj3z/++95enqhaWrmZQbihWXt6fuA1Ya6rHF+IoZApRVGlxS2QMkao7dIYXB+zFvclDI+V0SUlmgE+sefvlBgeHl8Yr87Ms0Lv/vt9xeST4ahzM6jCsP1/QYZrvnnf/wdx3NHXa8wusK5RFnX/Pkv/5yUBPvziW+++0DTNpyPB/7ub39DqSqUivlMKCV+yc1r6yMqKUJQjItHmUwy6ZcRaT1NWVNU+UUzpsgUHarIa2F8wotA09YIvyBNhcRhCkOz2eLmyLQESq2yQWuaEEV+iYoxt0GkMlS2oakDIU7gI6y3KKWIIsNa/OTwi79QcrNEJ8SU0b0hZsSY0jgXmIeJ0NRQqEtLu0SEBpMSdZsLAOFC1ow5g3T5hQhMWaGKGlOUyMJeigsmd+ZURieIEJEhXcCQOWzVtGuassX3MykJNh/fUViDGyfGcaGfHT4krC3YvbyQgufdh3cc93tESuwed1Rty/37e5qmZBpnlovKuCxL6rJE6jyedC6RQoQ0Z49jBJ8URVkzj0MOkrmESgYkbNcF11c3vL2/5cvXL3z6/JXXl2eqJkMz67JEKkthJVpDXa0Z0oC1isIq6rKhrDUpGKypiT7hZnHpNeacuzKaeVwYBodOqaJ3C7tu5Gm/Q2nJql2htELZTM7sxx5lDaUvKISmKBV2SBAjwzAjlGGeF/7+7/8ru6cnBBVXq2tOr88M/cTuZc+be4PVBlsY4hLx04gtE8M04EZHjLm3VhiNLHIfcBpH5smxudpSFpnUr2xJTJFh7JjdDEbRh8hmvaFat7huwJQFtqwxJtE97ZmEp63XhC0sMdeNcpNakJK8kD1LjF1jkvxXKmaKuH5i7Acm5/Au4C+8N+8CPkoCAWEMQitCSrjFE3wAFEln6y2h+dc2t0oZHhnEnwxTWSia8wmiKNG6QiqTW+Vaky4sPOIf6Y25rBBTzBhdF6lszXQ4UdY1xe0N55+eYFjopoWv+x1jqdg296zXDW/e3mYB6Njh5hEtDG/u7vju43ucn5lsxTGduNquqeuGELObUUqXwTMkEg4tdb5eNjVSB378/W9BaLp+JAXPzf0VxmZdc9s23F63XDUlv/mX7zkdOqomZsTaGGnbDbe3NXWpSSGbB4JPEDT3t++xqoEomJzj6vqaaR55fX1GGUFhLWM/4hPoZZqp64o37z5gbMHVVUMk8sPvf8xjO23Z7XvOx56npsycjjBTlQ2rtiW4kXkEFQVfP33hsH+lqdf803//FcYK2vWW4M+Mg6Zt7/Dece4GpvNIgWN7u2V/OtCdzmyvrqkKTbuqef/xI/0wMJ57mqph7M90z5+IfqIqG5TUnM8dIQBCcTIpv5D5LHhv2hIlDToqaCXKFNzc33Eee+IFr+V93lqFmFBSUxW51qQveFshErNPRBeycu3y8rPMCT87EJkfLa0hAc4vLH7Be0+MEZ0EebZlwPvcfRMg4uUuR+bVZeC5Iglz6XlnOOMfudFwQTtdstN/rGQF75nHmXnoUXhCmLD1Bqnymdg0JeNB0MWQBfUC3r19y2ZVEFzgL3/xS06nA8f9jsPzF27WiqQsS5JUbUMSmnO38Iu/+Cvef3zP97/9LZP7F7SBsrbg42WhsUJIxd2ba8Zp4TyceX55xVSWdl1hbEmKgXmaub+/pWhafvXrf+Lp6ZVlmFFaczwMuGmLLRRNW/Du/pa+W6iqNR/f/xlv33zg06dPfP70iXa9gi4LVcdjT0oLuoAoJfr+esXb9+9JCX74/necTq98fHvDTdvyL7/NVZvTy1dmNyNcoCxbrCpJMdNFgwuYVcIYlStBTUUIHX/46SdijNzd33B1UyNDDnQvi7rADQUWOBx2nKeeZrNFFRWPT698+vQHNuuWzXrLn/3yl7z78A3/8N9/zQ8/drjxTNu2TMMCCa5uWtpVwzJOiBjQusA7cOMCOlJWLVrnM7IRCh1hiJcWeIrEC4s5pcy7EypDYJQSiBCQWmGUIgwMvo4AACAASURBVAhJlJ4Q/OWCTSAFUUqiFPmuLjI10zt/QTyLjFRQPt+hRbywNvJoEx/yBa41mLzKzkzorNAT6YIHi5lcmrnNAefDn3qSWgmutmtMVWBXBWhNDIHmek2IkvnrV+xqQyTSnQbKsmXuO7TRNE3JevWWurR0/Zlu8jTrBistiw/MzmGU5XTcUxaaui6p64bD/pXj7oibFrrzeNF7NBdmuOP26obDbk9RCEp94SV6QVHkpJ22NX/9Fz9DCsHnr09IoxAITqeON2/u+fD2jl9+e4t3jm5pePfhO6rCZlB9VTBMC6fTGeEFwitiUriQOJ8H1P/1f/7Nf2hKQ4qex6cHfvzxB877E84H9scTN219oVUa+qnHqpQr41ISCbgwo7XIdwUh0DoR4oS1mlW7RRkoGs2//1/+HVXd0E8ObSzGGmKMmNpiy4a2WXPa7+m7c6bgzDOn057jcc8fvn7iV7/+DZ8+f0GohDQGF7PkfXW14pd/9ZdUbYtUkvV2zeIcYzcw9D1j33O13rK5uiLGhA8xA8ulwFhLYbKizWpDoQyFzn9XkNvdS8A7h1s8zjvmObBMOZgfSRnwLiTKGqq6pq0rmqamqCt0aSEJYnB5RZ1yCfZSUybG+D/AzS98aC68DqUuzXH5p7txjHlcFsLli+UWlBKsVyWllZRlCc5DcBhjeT0N/H//8lv+/vvv8cDP/+znxBjzC7yx3F+vubsqAE83JrZ37/mLv/5r7t685/H5hb7v+fZnP+Pdxw+I/OvF+Zzb3my3fPPdz/j0+ZGySjQ1TMPAMC34ADIJrEnc3Tc459BCsmpKnF/o+wGlJe8+vqNqV3z69JVxnNDa8Pb9G7795iOrypC8Yxgj3bjgwsT3v/89bnbM80x/OtFUBU1jaVaKqgFURPenHj87Hl/2/PTpM9M8MQ4jzbLgY+Rxv+PD7RX3b27Y99c8Pr/Sjw4hZtTSQ4Rp6LjZrqkqS2EUS5mpmIVtaFc1Ly8PxDgzLx3TtL/MUiM+JFp1T2Es/fmEYKZuCjY392it+PTpd/zDP/0jCIVUlrpdEVPkcB5Yrwp8CLy+vPDDv/wOqTT90LHdtExuYMEz+ZwUOwz33It3eJ8vAh9dJg6ErF7wLjCnGZsUTgiMuJwSpxk3TrhxJiyO4PPxxKeYEbNCIlRCkKcd1uaphjSWlATpclcVF81FikBIpOQzFkxkWXjygRhlhkLiiFKStCD4hAz5GULMMcyYcnEg+EAEpNEYH/DjjEsSERIqCYLWfHl95ceHr8x+oRYFz89P+Hnm+voGCJxOR2TKuZuqKTN1SSmqpspmMKlZlhkhIs4tvDw9QQxcXW2o2yb7ajYNzp1ZpgItNaVRHM6ZyX08dqy2BdaUaKsRUhKCQIoLMFJLrrYNd7fXfPrpc5aEFiXokv3oGQ4Hds8jqjqwum7YPe2oy4qiyCXhsrScT3uOfU9UnqIS6JeXI01T8fD1ERdm1uuaZfaXR9tEKQyVhUrOnMPE+TQQfGJVZylQiJ5xXpinBZEc/XgmkCgai2NgnvMP8be/+nu8i8wzeVESZ9pmy2qzJi6Rw9MJozIgvV23Gdjd3+W5q/O44CgqQ5gCIQoWlwcSIULf92ijOe6OnA57TKnxShC0wuvEaTjQ96ecaPP+TyAXvzicWRhDJOmAjKBURIkFrQzzPDONPfM0X44Zf6SXXiy6F1CMusBijMwgxuwFv7iDhERIDf5C94kBPzvc0BFCXixJpVD2kp9wAiEXnBIoIFzAiCnlc7X3ATfn3HlMkZRA1zWlUqikkEoiUTzudvzmh9/xh6cvDOMZZbMpapkn1k2TqUTzhfifFFM/MswPvD6/wy2OqtSIWPLl0090xz1X2xXHQ7YBK2PYbEd++ukTp9ORTVvhUYQo8S6iJMhSY8WKeVJQJKRV+KQxpaJqBVIFPn154MsfPnN/tcFKwbkfcGHhcDpwThO+P7LvFtQcOJyfiT5SlBlW6dzMOC+Mi6c/O5aQtRXqlx/v/oMPnm66cM2GmeBgmSZ0gpumYVUqZFhwLnDsZ6Qpubvd/gnCLYTIJE8/0Q8DLgSUTMTk8T4vK07n0+VuVWKKBqvtxXnSsN8dWKYebQzKGpSOSJn5c6um5u7uimWZcdPMOI4kFD4IJr9cmijZ4Dr0Oet8e3+PrapMx0+Q3EKpS0qb58HSagJcFjyR5EKeITuHSB4jIfrAMo8s85ilPCnhfcxaM+eIEbSxFEXe4lVVRV1UlNZitEEbgy4t2ujLkSEhyHxAv8xMxwPd6wvz+Ux0c75rXzyD6RIkiDERl5nglguCIeEun4P3ObIqUKyurrBlJiMpaTl1J/7Tr/5f/uNv/panYUc/53Fe29ZorZiGE9M0URQFfT/RnzpWq4ayyTPe56dHgvMszjP0A97NFxWzxRQVKMHD41cevn6lrgqKusxsbZm1EtLkeMF6vaY7dxwOB3wMLCHhQmK5gB53hxNPj3s2q5bb2w2nbuDcdby+PHPY7SEKRhcp6oa+P3HqzxyOJ859n7uYy8wwT0hpMLrEuYj6n37x/j+UVUHTtkgsQ+cu57ZAa0pK/UewiqUqW459x2rbsmpLgnNoYTEqG5X6scfFkDETMX/gIThCnLm9f8O7dz/HqBUyaqoL+ac/97w+PTPOM7rQWfTTH/Bzj1sGuv6A9xNl2bBeb/ExMU89ITouNy2apsYFzzTMpGSQMs9Om9IiU4YMhhCobZWVbVLiSfjgM7gxJESMEHL7QgmNczNuzm3wED0+RpYQM5D7wimpqvrC48v1qcpYrMoviFoJTGmQBtziCCFeRl/ZNb5ME/PYc9q/0h9PeOdzXFaECzYsM/+iyzUwITMZ6I9fKL8EpFRolV/uhHf4YWD/8sx/+bu/5f/+b/8PX6cnZGMAi9YVbV1foOch44Fj4nh4ZRrPSJNQWjAOPdM45UVSErRNjTWGoR/ycmi1YnYLLy97qqKiXTf4EJknj9aGetXkzztGylrz+esnfvr8Ey+vT5zOJ5YAs4dhShTlmmq1xQX4+vWBLw9PHPYnuq5HSoM2xaUQIi7VvhOvuz0xSW7evkFby+5wwoUIWiF1iS7bVb67NDXrNVhbsiwOHx3SOYyaMSYj/71fchrKzxyOkbGfqaqS92/fMPQVn79+ZfEjwTuWEapCUZaSpiiRsSDFAqsjQvRoBFMIuGWEOOMTuSQ6LczzyDToLKiPWdL+5uoepQrGaSLGicWNWN1gVMV6vaXvO3bzkVUrmceBEyEn/c5HfIxs1opFOp5fHlDaoJs6TyhCJIqIChFlFLOKnOIRUkCGcKk/RWYXmCbPMM9MzlPULUkKpjFvreLikJOHpUKmiBGBRUems8QvEzEGjC3Q1gAeqSTluqXvT+y+PnM8TGz6hfVNR71qMHWDtHnklVnW4pKwS/kcHvMMNywjD7/9glhmXl9f+fXvf+A///af+al7JBQJEaFu3rBp32CNRsrA0A0oUzAPjnlZIDp2+wNSFdiyYugnzsdnrm+3lKWkO3d05xMxTrw8P/CyO9I2FkGiHwT1Zk23dEynjt35zOdPf2Doe2yheHx8oDv3pJQwtmB7feTm9j1aFhRVibCKz0+v/PT9V6ZhuJQkdGZczwtd17M/7JnGIUdIteHcDZyOPatVQ3fq2R32uGVhvblCN5sN6fI4ENKgrAWfXd0yetpCIYzCuZnBRQYPop9QKrC4iFCOfuiZhpFl9pmeQ1aBFWWZQzalYbcb2T1/oSwspZQYrXFzTzd2RKVoVlfYwkCYKOuW4BNGW66v3tG2a4xS/OGHTwQUm82Gccjk/LLUnA4H9rsDUsA336y5ud3wu+8fefp6QKV4ceuVTDHwuH8iucCbd++pmjUxRpKQ5LWdRapI8Au4zMwm5eLCtOTJxuQDc0hEofK/+56xGxACrjdb3tzesIwt09FQ7kpUUfD09EC331Nqw+b2iu3NDUVdInXN1dtbFr/w/NMLw08DY7/i6nZNfeMpm3wUklGTtMmz85jP2lmApHjaHfjNf/6P7LsnHoYT3x86jkskiIJ5nInJYVYebQtMYfHzidH1KCNpVi1SXvPj97/HLYHV6hplbMaQaYELM+cuIEjc3G5ZXOT16ZnHxy88phGjLau772B1RapWLPNEfzxw6he6w0DR1AyLYl4U2miq9pqUFF8+fWGzvaYJkShgHCeM0QRbQMxHzZeXV9brvJA6n84URW7fF0WFc4HD/ohWIudkgkPKfF1oU5iMHwggU84GRCaGYcBPA9f1LedJ0PtAvd5w97Zi/7ojhazj8rNDetAysqpKlC7w3pGSp6rXtJsV3dzRj2c0C0nUOPTl2z2w6weEKrhpBaduxChoVytsmefa2pQsU8KR1+LnQ4dfJNbWmdGcJOfTkWkcqQtLP/RsfIMAFjfTti3XdzdMi+PUvxBUVhlPMWFSxmxFQp6kIGBcMFIgfSS6ieDmLKJMMjPpZLar9sPA+XDktN9zPp8IMXK9ueL47g032xW11VytW9bXG/7xv/2G589fMcD77z7yb/63v+Hd5jukNNQS3nyTqUoPP33idfdMFI6ZQOsDdRMpRA0iXVjRKkMllQGpOcwLf/vDT/z48hNn4fHGEKOhsgW1zYUGddE3l3XN2e2oa8k8HymtwhSSdrNiHEb2xxcGN7DZbGiain7oeH4amGdHu96SlOH52NPN+d3o5nYFFMxDFi35EDFVjWobNrbIariyYq9fMEZzfX+Xj4nnHqEi/XRidnksaq0hBo+b/IU/HjmfLmDNGDOxFZHBkGHk8emRaT6T8BitkULTnQ7oELIvV1w0vJuNoV03TOPAjy+v/PanZwwKXQq+aVZ8eHcHwbPb7S5v/wmjNLfripvrDapoOJ37DM22mmZVs942VIXM1iNdUhYrSqUQx1eUiQipGIaJw36PMYa7VHB/d09VrzMRSCusrbkrLErveX58QMkCZbMXsV2vWG02PD2+8PsffqTrwRaGui2oNyXCSl6f9jgP27trysLgJPgL+iuGmL3bMeKX3AIXcSEuw4XIL9FFhTIaJQoQmmka6OaRl/2B8/lMJNGPM/04cNXUrMqCn338QHCezXrLZnvD7Gaq7RrZrHCXowNBUJQNtx/e4ljYPT3QLwPpkIgxIsibSCUFGUQt/qQpllrhSHw9D0wYlsXhQn6S+CWyWpVoaVFS4ZeZ7pQ7fuqPaIVk0bZktarQJmNyu/ORae6x1hISdIPjdJiQrx3aFPgQKZotV03L9uoaI0vmfmAJFya2yNTYCCzDiFGKtmlI0ROXBSk0dWEQccHNC1YZirogFZKp0kRfZciONUQiwzRgfQYDdUNPP/ZZkhoTY3/OEHpjKQvJ+XRCp6TwFwUuIeW6DfkcUxQ2Z4NVotKa/csLbnas2obD/oAWkqRAaoXQKvMs5iNLCOhCMc0Tz8+v/NVffKQ0V+xPPUuEVSupy5q38zvGP3xCG0vXDQTvgMQ0jRRFQbtuMx8jeITUrFZXVPWa7jz+yUBqS8s333xgfXXFZnvD88uRP3x6pCgUd7dbrjZb9odTdkyLAnUacKXGW09b5jt5ipGQYA4BL7L1i9Aj0oISBm0qMDVJaaKQREBbQ7XdUE8LWEtI+aVymBfCsuAKw93VFW3dcHV7i60rolEU6xZRFAyTQ10c2ylkPO3tu7cIpRi7E0Im3DIyDSdMU1DYi3f7IrsXMhtpQ0okJXMU1sCYAj4ujNNACIlVu+X6ao0g8vT4lePxidVG0J07jscepQzz4BjHJUdFIjkT7TxF1XL77gPt7d2F+uTozx16MjTNimVZ6KeOaRj+JEvSSoJ3uQgSMsi+2q5IKVFVFW3TUFU22xoSbNcblNTE5JmnDKVJUTDPnn4aeN3tadsV43LRbYSM3+1OZ7puyMs8mbnZIgtWM29OiNzdkwmUNZhC8/Z6zVVbZIm4uly000Jbrbi7uaGpKs7DTFFVpAxTQGs4H7P77mq7pq4s3bTgl4h32ffdH86UVcu5W2ialvPpxDh1VKXOrmgfOB2PCJHoTplxtsw1ITQM3YSUWZ/m3cQ8GpZ54fX5lapZ8We/+BmPhcEtI99++w3XtzckvtAPE8Ow5PD4kii0zaq1SAaLX/6MJJLPVHtrFEqXKFORhMFd5sERj48JU9Zs7m+xTc08T4TFkeaF6Gam4HjevaDrArVqSKnEWos0hpgSLuQbB2RnopsXpFBsrm+yc1BkD03AE8JMEjUpBVLKF7S8mHBjiuhace7AR4PRhrU1nE5TBmdWOUDSjx27/SufP31BynB50cyiI1IiJklZNJRVRT9GhtFRecfVHUiZmKeFqrRsNmvGcWD3/EBxvhCOPCjAWs3bt28IMRCdp2zyBRyDy0UFXaCVwhiVl1FKU1cVKcK8jBTmmkIrDueRYViol4q6avjZz75hjhFjK9w8M/YdL69PPD++ZBC7VsQYc9tFiIgQOSRvRCKFCdGPNDFxc73BSI2bI1Vb5n5bs2YKOezTrFvaccIUJVVdcXt7wzjMaLujriputy0xOX78/JWHLw80ZUNRFCxTZp85H3n37j3duaMua9q2yfNaaZkWh3/eERef3S7zwvkQGPqREBacz6XXaZp4fHyhahqeng+kCP/m3/5blqkjxIlpmfnu5z9DaMnXL19YtRWkDI1Bqnw2vcx9lYLkcxCprGpMqRFJES8TGGTuRAafV9BSQFEWWcUmI0EJhFGoaDEpMhA4jiPrFKkqiy4NSoK12cKaSEQhCd4xzTPJOcpKUTYlSmZBUHA+KyHUxRN7kdsLkb+MKUWKuqJAUAqLlAIfFubhSCwdbdMQQuD1dcfzy0seyUUoCo3z4iL1zEjflARuzioNiSKGwMuXh0siULDdXrFatVy/fUO7qtEqQgIrDYVSKBF5/+1bXMx9RW2yRWCahjyXN5ZpmrMXpigQSdL386XUwYVFPTPPS34KasNqpdnvX2lWGR/cO4dW2fMt7rYZ6iOy9FNrje7nyDwvTMNIq6DBMXcDMkqsKvCL46apqKsCmQJ3bYsrWzoveH54wNrEZrvB1hZpFGVV8f79Pet1S/IL/RgvcciKctVwvV3h5hqU5nieMdby5t0byqJCa8G5G5CqoGlzZ9EvC8P5zLJ4IvDh24+4ZeZwzO2XuipwcWFlVsxTdlqf+h4lI7vXA9PnZ96/e4sWkuurWyqb2w0QiDHLI6OUOUUhU15lC7B1SRIB53IzJIqIFPpiPImoFBAhZb2FNrlsqos/iTGNEhgpaMuatqpZVSV1VVFUNVXV5Lx18Pgw4kUixnCB60iqyuacSVUQbUAZi1Q6B52iyeUHqXKwSijubj4g64mIZJlzg7suE1frgpvbG6Y5XyREQbNaMw0jt/d3nM4npmHMPvOULhmRmFs91mCMoa4qtNHUVc12e0VZlNxcX/Gzn39AS3h5eKEqDYrA88MXXr78hK3XoBu8j2hdUjc3TNNA3w0gYt4FiDw5825BCktKiX6MOdglFFLnxKNScD4ekLInBJcbKjKzokPwlFV+8pklZvHm4hXOCY77DmnhZlujbUZ0TaNncpFVo0nzwv/P1HvtWrKdaXZjuvCx7HZpjqEpsqu61GpA5kEECNCLCH3bj6UrCYIaDUESBEHqKhXJInl4TGZuu1z46XQx12HpjiAIMDN37Ig5///7xlBFifALJlqETxJKoTRZptFSYKeZ9WpFkVWMU8/iLDFKjDK8f/9wdaIkUn2et1wuX3h++kxuJN35DaEUTbtOxB27EIQHHEKlm6w2JcswUdYld/c53jmMkTw/vXI+XijLBuctb69vFLlES4OW8Pp8SDnfyeJKhzSRoixRWiJDIigJQOqI0iSnt05ukMk5gk94Wyk0URkUkkyn1nNEEEWBqiCXmrLMMWVaoGRSUSrN/XZNUxXkZYmpW3RmEDESdMDZyBBmpvHE0E8gK8o6YXe1yQg6IJVBSk2QOTp54NJyKHrm2bLMgdPxwmXomfsR5xx2WajLkvW2RRpB09Tc3uzxWvHph+9ZtTWLnVNkgbSd9N5jlGC73bBer9jv99ze3qYjwTRTFDlFnlPVdTr6CMndzQa/jCzTiDERHyNlqXk+9iidkRULWZans/o8pemTd9ixJys0dZk2q8tiQWh0kRGDTYi4kHR5TbMmy/MUfHMWu8zJnRoEdkmj26oylGWBXmaHUAWb3R2Vn+n7gXkY0Llg9gvP3Uw/S9alYCUC+vQC/ZmTDViXbpiIgFYKbz3ejpDnSJGC7MF5tJFsNmtKEzi8HemHwDj0+HHifDlh7YzKFO3mJllQx5lhHJBSkKuIUYEgA1JJ3l5fEUfDzbtbqio1GIwuiEJhfRrv5EaxjAMyava7GyY7cXo6czme8XZB55qiaTB5hrAiaY8RqZOmNSK4lNkQkhAj8zJil0imC6o8SXuMUuj/n/ew0IY6z9JmLTeJaKSSDrqSEjHOKQg3LSl2GgJCevrxxJcvn3h6fkujtTyHmJR2WpsrGD2NC3/WI6ewE4Ql8OWnJ77/y184TGf6sac7DXgfUMrgFku9btjtttzu92RFTiwMJtN8//t/Zurn5AUXqYG+v7lDS0VmSm52O77+6n2C+/QTZZlUzFXVUFb11YtiyUjYtIim3dxQrVtW2y38+MjlMhODY7Hp8may9MWNweJDZDoPbNcN203FNC8YLRB2YZksg59YrMKjqNuK27sd03Dh7TUVeRMBS3C5dLiwsF6tyPMabZeRxQnKsmGRhsfXC+fTTF3CZC02CAQFVVMxeEXoLSaX7B/ecVdv+fzpM/1wIi/WCGF4/PyCzBRF2TAOM5dzz/27u+vtd7hehBTPj8+4ceCbr3/F77//A7cPd7T1lrGfMVrQtm3aIsaZPNd4EZFiQq9KutEngpFdqIqG3/ztb/Ax8t2f/4LzASnBOosMAREdwi+I4HFuYZwlbbFlGFIDJUNfA/YRqQNGSYSUiLBcHxyNtQOn4wmJwTWWxeRoko5CCdBCkmnJlGtsly4yeZYRvMMvlktREhR4n8Dy7rpKn8eRaRzwAopNTbsqyIzBSJnc6FKng7PS18tgQu0GIgqYnePTp088vzxS7gybIiN6+1cPy2ZzS7vasiyBYBeGaUTkCZzeXy5oo9ivCprcsFqvefjwEe8j51NPaTTOTpwOA8pkbDfr9EtmNEoE+mnidO6osgTf6aaBGCWrfcvcj3y4bTjlBd0cr4aC5EpXWqR0ZT/w+OMXlnnN/maTgoeAcwM+Tkn4tASij0xDx9Dl/PjDY4qVy9TY0UYRoiZ4gV0c5/MFXdQ17txzfH1BZRnl+/eImzt++vOfOB16HtYl+/2OMs+ZfeTLcUbKmb/ZpLf20A/Y5chu09A0LY+f3+hezxTtTHcecfNCu2qIDt5eDnT9wDiMLFNPUeW021vW5xPRa7RSqd2sNDrPuXQdwc5sVltuH255ejvRd46724pu6Pj0+Y1fflPyi1/veDu90Q0db49vNFXNOA+4eWYezxhluJwu9PNIs92wv7thngN9N6DLBhlFEsNfh/dGpstWjAEtkvsvuMDLyxOf5keM0WS5QpFGRkVRkClFIQSVyijzkqpJfu3gHLIoyQpDCDAOI1PfoTPN2/MbHz5+zd//1/+Wdptjl47MCEwukfoaQBYijdMiCaEm0gHBR08/9xyHF3wcOR0SqamtS5p1DuH69wmB4+sLXz5/vtqnJG4ZuX9XUecaaS2F1Nzv1hS5JoqMr3/xDS/Przw/vlLVJTf7EjeNDMs5IWu1YR5CKk3kJWVmcbNn6Ae+/PQ9Sgj2m4JVvWaOlkBkmSamoSPLMmzUXM4d1jrGaeHwdkJrw3a/xurAdBZEY3G+Y71eUeSCy6XndB6SeStPGumb29u/Ig/evXuH0QK9297QNGteX56Zpp7L8ZEQDb/57X9GcIFPP/yO/+v339GuVrSrFa+HNz48PDDbyOvhxPF8wWhNjAXzvPCLX96zrnPGceHcRc7dzNB3jJcetwhynWHlQLlb83f/5u/559//gUJLlmnmx+9/QEjDbneHnQLdZcDZhW+/XbPevOf//L+/59/8/b/mF7/6yH/6x9+xv9U8vHtgmmbaOkNLw+Htgp1nRLJZYl1ksiMyV9SyQcjI0J8ZLjPKh4Q2kOaqABZ4IdIvFYYs80lzQoPRmjJf8Zc/fuHz45FibZLP0Ef260hpDBOSc5yJxyP6SdDUNfm64X27Yuk6bjZrbtd77DBRNw2b/+qGd794T3NTY/3MNNZEO2IyhTQGoRPpiyBRSv6VbS2RLCHw+vySAmIKpMgIUVHkJf255+X5QpZf2Oxqhv7CPB+xzlM1GdtVw+22Qsl0QSvzHF1AWZdcjiPf/eEv5GVO27SJ29zNeOFxdsSPhqxZsVpvMVlJ13WsSsHH3Q0//vTCubfsdhtWTU7Qku6xwyPItKStKrwPzNOCNiUfvlqxXtfUbRJFvb4dcXYhLzRaKzbbiqYtWLc1P/30zN/89msu547LpWMcJ4QQtG2FkOkiXpYZ4r/7b/7biNRkVcXxcqK/HKikpr/0RC25ef/APAz0farhbNo1db3C2gWTGZ4eXxi7jvvblrY23OxabrYrAI7HkafXkU9Pz0gfMCanXdUYFSiLkvNl5PPnn1jtb9CZZuwHltkThKYoC7yfGbqeKi8wWjH1nqrOUVlknD3NasNvf/sbYlhAgZ0tn374zOH4wuOXLwz9wGqdUVQCndVos8LZyPHlTFMVPNzfcbd/IFcFIqRssgieXElkcBRXsY8lYEUkREV/tjx/euH49EJwjuIqGAr2WqmK17dyhF2z4sOHd+z2e253N2x2a+r1Cl3VmCZZx3SjUXkkYFmmCTuOCJF2ASnxliSa2hikLnBBIKSmnyb+h//pf+R//o//gUN/wUWBFDneBcZ5IIqFqqm5e9hSVGkiMM8zXX+hLlf0rwNjP7O/rfjt333D7e2Oz5/OvLv7FU9PBwZvRq6APAAAIABJREFUyXJB5hx+mFmvCjbrEuskTtZgSly0VHlBWAbevnzHqk0tnWADWhVcrMCSUVUtmdZE4ZjtfGU7K6qy4HzqWexMVSYiv7OBLMsoq5zPn7/wh9//gc2mpW1rVuuaZXGcTx0xCnz0ZHlGVa2SRllrtMzMdY04kOcVRme4oafdSb58/on+2RN1zmr7QFFUGCEZpwUlBd25p8xy7r/ZsGo13g0swTHHyKaq6c4T3/3pB7LSpOr+HPDHtBAhev7tf/lfUO1XvD6/4iNUdct6kzMsnuPxCLi05CkqHh7ucMtCXiTvtDA5WdHy5ekRcPRdT7vaofOK9faGxQWW+Mihu1BFg14EWoa/2pW2u831WBCJ4iqV9x5JYHEe4z1eRbTOyFVOwmII9DqnrRvit18jbUA6h7SOPESqLKOu8qvpVZFnOXleUa3XrO9uaG63kBtccIjoUAZUJgligRiv8k2FEBEJhOCvc+e0nUyixKRB/vL4xD/+p3+k707sNw3jEnk5dsQYaVpN2RiUEozDhdPRJbOXge60YPBsNytWtcBax/llwI0jVVXy8G6LFRnGBsauZwkdm43B2oHPLzNV0VC1FVElL+L58so0TISYM3qFHzybds/pOOGkYJxHlFSYdsXN3TvyMudweKU7Hun6HqVgXTYgQlquZBVaJSyBlAqjc0JQCJGjdIYOkryoGJeJIq8o8oaqWrPf7dnvb9BKSVSm0c7jYkBkmlW9vxZIBTK4FFy5/ERe1ex295R1g7U9zXrFZr2i0IJ5OBOiQYiCcZGcTwf++IfvrgYjiY8RrQwRjfOC2Vl+eHykLAryImeebPL0ZTm5cmhShX+3b64yzMjHr+8ZpoFlXNBKcHp75MuPnxmHnnazZbGSZQlsty3/6m//Fu8sP/5wQVIgQmIoF1WNlDm7212CdC8LKmqMTM2QIJPsXimBk6mRYiQYpVHhih4QEZVlmFJTqoxKKcoIpdLUZY4psgRTVxk6yyi3K7JVTTBg7QB4jLkeKxSIKMEnUn9yFiWxZ7Icpca30D9vRz3daPmHf/wnnl/fKMqKQhcEO3KzKZhcCvf4RSAySZZpQgzYMCBDyn0fn3vufn3H7t2a58cXhs6zzLBe7xm6meHS009pcymVBiUQSIzS5FXNYgN2OmNyMDLghaKPitlJunkhigWhJdbO5LlBSsE09hxeoG5rwpI85toUaJ0QB3kmicEyjjPDMEGE1arit3/7K+xiubm9YZkthZZst4ovz4/44MlMljqgUvD28oT67W//1b+31qVipgQR0+bwfDqQ5yVSZzgXwC4pRL5YrPPYAEIb6qYlBLicO0IQaJ3TdTPfff8jT49PZFphraesavI8AyWvf4ic/nhmGSfu7m5YrTdp1OR8skF5j7MzmZZs1iuWORVVQ0gZ3+5ypju84e3COM1YJ1gWn2g62rBdtxiV3NSr9ZbNakPb1hityIxhu1mhhMRfjxpSpPk4pHTXz+0RKeW/uLkRafUdHPa6wPDLQvQ+YatygzQaFyOzTZq2EFN1a7Ypwy2VT9ZakyX1MYEQXCpCuCX9d0pdN3ekcJKSRCHxPrJYx5eXV/7j//a/89Onzxijmef0C660J4qAliI5zVWGMgXDPGLtiIoSrMHImv3+Bghs1xt2uxtM3rD4jMNx5Mfvf6TMDaumguvXO7kk/TWXrVmsJc8URZn0GFoLPIqAQsY0KZqmKTEEhSR6h7cz09Ax90MyCBhJXqhrny0yDWNaX6ufNdECLSRNnR78cZwRqBQzDiFBeJCpDOFnxqFDhxCZxgFqQZblTPPAcukZulPi2TlJnpc09QojJD5YRJxwi+LwZgleUpclJmuRwjEvgamfCS7w1VcfOL6emZ0nLzTyyrrIi5q5GxheD3THIzf3O9yyMA0Ly+KQSrHdtpxPFqMN797fczn3/PTjI9vdBjt7jq8dq6YiLzL6abn+8AMuWA6HN4Idub1Z8Ytf/orzpSdGzzQPZKZgt20JLmCjxxiDkCTnCKnHF65AQlR6mFUIV9VaRESBkqTb+DQyjJZTiLwoRX51uRitECGmi0pV0K5bms2aZrchazKiEunY4RM8JpKwBNalr6JRqRWe/lJpROXcFXTjYQ6ewc44PMtsMUpQVIbzMGBUpMg0w+QJQhGRiCCRVmFniV0E7+723NzsOJ/P3L174P7hnigUh1PP8/MreW4oM8EyDHjn2e3WWDvw/Q+PTOPCbn9DFIKqWbHe1HTHY+K1DDNC6jROc8kLHgDrHHWZ09YZ87Iw2hkfIjpA8BEtwXrH7CyFyWnbkn6ceHs9M10ufPXhgTw32MUxzZahs1RliVDJteP9jPfXfmWeZWRG4X1KuU1z2h4tdmGaR4Yp0Pc95uYBUeSECOVqg/eecVpSlb5tiQK6aaYuC/KV5iYTbDcts/uB21WDkXB6O7NYx2SP2HHhw9df8XJ+44cfn1GZxi4zwXnKsiBft+xvttR1wzwnEHY/jKw3W4a+J9gIwjBOM/NiqduavCyxzrEsC6ezTdu5IkebjMUuzFb8C9S8H3i439OsWvwV4UW8PkjE1AYPHicUTsa/Su9//t8oKdPb2HncsjAuE7ZbEHhyk1HlGUWes8oCOIWJJUV0zHYikylQI68JUqESugDkX/+/pYgErl+KoHB2YpoDxWpN3a6o2jXIjMk6ytwwjx3CWgqlyGTAFppsWyNlhusHsnJNWdd8/+MLRZ1hcoOPkXlJ5/S6Lq4vHYsWlnEceX15psgy7u4brNeUZUNVtwiZ6K1VVWMnx+nlgNKCTOrrvH/CW0dEpYraVYrriAilkNoQgydEwTSlr67WGXnZIIJkmkkoOjzqmnlZrWt2+y0/fXrhcDgSr6BM5xasHfEuaa210golDd6nUqSyiQq/zJ4YJGVmiFEAnkt/REnFMM2YPCe7StS324ZlHrGz5zL0IDSZbumtYXv/jg/vb7i8vTF0I4O1zKNFa8P9V1/Rf+/56acXpBJkWtC2KaGllGSzW1MUBT98/4nD65myrhmGAaKjrgoOpwvPxwPTtFCvIC8M96ttuni8HpNUc1mwLvXvbna3KGMQAk7nC58eH8mqnLKokqTSOQwaLQTu+mgv3iOdTlusCDKmB0AhMEYBCrIcIUoQqU2uZOri1WVBWxeUeYbSEOKMmwQqemShiTJx/ATmqj0zSbwTfdI4y/QJj9YxjxMyq2g3aw7DSK4MQihMqbi9acFe8FZhvWNwAa9LtC7TFyBAUde8f/+BgGYaB/785++52d8wzwvH45lPnx65dEdCsHT9wPFwZhhmQrvi9S3BG8tqzWazASmoy5Jl8syXgaFbiMzUdU2T59eXTEIzRB9AwjBMTLMgMwYpFZnWcA1mzbNFqoIiL8mkRMlA6C1Zptk0BUp6htMzpshZ5v7KV/EMY880jyh9XbQoifZX1q+QkjzTLIvAWptAhuK6GdI6tY6DR+WpWbBub4kIliWlpx7ublhlmk+PX+iXC9KsmZeAyRvmBfKyYX2zZQkR0Fjv+fL6whwiKjcI6zBS0lQVTV2BEESf1MFGmeT6iIJxGMgLxVcfv+Hp7Q0yxfPzKyqTSCnITEaRF7x7f0sMM6dLz+nosUviGLdlRVWXvLy+MM0TL28HymKkO/csw8S73R6lspTAkxCkwF3X2D/jDyQgZUgdPRGI3qcVstZIcW1WGENhMjKl09jxyrngZ7ZMWnkhjQYhcDYtIEIITNOCEOKagZCMU08Qkt3NHlOkRF2aoORoE8nzlNlOm0fPEiWmaJGiYIkzMs+wPhK85Ntf/DqVKU5H1usVPnqeX97oLhcWN9FdLpzOx+SubFasVi3ewWa9Z3+7J0TPPI1IGRnHkWHsMZVmGhdcgG5aUrP9Sn0KwaeczFWl9/NRSopUy5J5auL74InBk9eaXCv6waWydUy5lHnwWB9xZBijEzwiRPKioGlzqrLEOoGephmIKCUpyvS2Wq8DX3/8iuPxjfOlS1DA4NGZoigMeZ5RFposz3l9GXl6+gLe0ZQlTbsmtz27XU3fW56eD8x9Tt3WiKxFZQNx8Wgt+fL0QhRQlZrN7SqpcuPCNEliFJyOXeIFIwkijdfKomR3swItKIqMsqpQ6kjbrnh4uGG4TMxHx3bbsru9JURBd5mZgsdPE1VVMnSeGAJVXlGXLbvdDp2d+eff/b/kF8Gm2KR4qRD4CNZ5hHDkOkNcz7daCwzgpU5tbQKSgLw2gFSUGKlQWmHKkryoKIr8qqQXKGlQ2iAzgwsBb7kSTR3W+6s/JYFtlsWzu7+lqFokKl0UZXog2krx06cXpnHg/d0WKcEtE+oqbHKzRUeJ955hHjlPM+vNnm+2a+q2YJlnpFDkhWayE2XRsF7v0md+dW3aO0GWN2RZzjBdcN5hvcRHx7k/o82MylOTfuxnlPZobfBB4p1HmTRtyYp0iVtGi7XuCrYJKYpcr8mMQcQFax25zlmygAuBsR+QQnH3cEdRF3TdwvE0EqJFkl7CgpC2vcYkOs40WaJIn5L9PufDhwfGfxrx5zMhRsxVweBDYJpnpnlCiIiS6R/r9XDgeDoTEWQmZ1kiwntutjWDXfj+hx8wpiKEHJFLjJLIYqbVkSqTVE3F67mjnya6aaI798zTwm63p2pqfLQcTyPr1Td4By9Pr3TdyKUbIQq0Mkz9wuvrkc1mg3dwOS1MfSDYQJEbgvNMXdqYKQIiONxsU74kpuPFMC/kzAitUp7Cp7O0EB5tIlrqxJQzJv0d8hRajzJglE7eFZFeDkYrskpSN20Kz2c61ZRCRKoUOPI+sCwz3dAn7sfscMGiM8k0eYKXbHZ7mtUGKSWo9Obz1lEoyf1+y1HBqZ849EuSEgmTLqi5wSNQecH5fObp8ZGyXnEZJh7ublmtW/JCM88JoDlPCx/ef6SsG7r+QvBTsggoiTKRYTrz9nZgGCbyzCBEIODo+o52VaGUA2VxWG72G8Yh0V2JCcGmfUTJnz9QKbGYZ0mpURR5GleGSGZ0GlC8nBjmERGvI1V0MiOU6d+mH5Ykh43i2maZ0UKl8qd1DrUErPbkmWYcF6yLaJOn22qMV6JORVEUhAgvryeW2aK1pCDBC611vL0OvErJfrvh7mHP8PyYFhZjjzT6qsjNKU3BfavJsLxeesbFU9Yt3gXMElht9mijeDu8EIPj/m5PXmg+/fTE50+PKK24udnx1cevyEwGQpKZHLsEnp4O6c/tPIRECV2ix80OkEn24wPn7sLpTyOn04ngoWk27PY7unOf0AQIpFBEKdEh9Q21lJjMkJvE/SCmTqKRKUNsjMRkAmc9hcrTpSim1JxQOtlVZdreLaNltpZ+mBmGkeHKocirghgEq9WW7X731wfhZxips/bKPXG8//odh6FnXBYyLRDCgBXoMvVEmzrJex4fn3E2MrmBL49PrNc7vv7Ft8zOsvhHLpcXJgu5zjGFx14v/VLlCELSOF8hL95OCBwxTExTAuU0VYKQD/OIyTTzLBBCXXs5EQJILTEqI0ZFU9XUVdJunA+HdE4vIJSGrpuxNgmd6ram70fOpzNvL4e/DgD2Kk+NG5FGr+fjG1pqA+IaqpYG7yPPrwd8gKps0oVQpAnIPEfmeaFpVngH5/PAOAy0bU5Vrq5tEJH4cT6C1gzTwjR6pMqoK01eJDfh68sbnS5QYUdZFMSyoJALBI/JA7ubCr8EHr984nx85t3DDb/85j1FVfH0/Hol8ufE4HHzxKpN3m0RA29vRy79QLNac7vbYWTLvCxczgNSRISE13OPlxJnF7q3I/M8kwlB9JbtzZbFBi6nC8El9FfuXJoFK0umNUqrK5DHEexCJhVCXd/6i+N88YSQobRGhQh5UkoIHQkutVACgdfDiUs/E6VgGEe6vkvwHBfZ39xwc3tzZXkI5HU8K5UkkMAzi3NIIymqCmFSKs/NE8M0Uq8r8jJP0qEyXRK78cI8WwT6epz0aOX5+PUNm13LpVuYl+RGzLOMZRwgOLxPL4cYfVLWxcCqreiniFsCyzSnTqhUOG+ZhpEY6pQ9kREhPMElj7xAs2pyjAoM48hyCngbiQLmOY1MBYZ2tSbGmayQ2GXh8emRp6dX9vtbbu7uMXmFFBHnZ4RM9FhtsoJGGcpyIbrAbGdccGRFxjSPKYBDoF017PSOl5cXzteeX4KdpFuOkqC1R0nDdt2weE/EMY1nrO3Jc5UqNas1q1XL+fQ7Xr985vz6xt3DPfv7G2SWs4wjIswEu3A5nuguPaDJspLj24lm8RRFzu3tDXM3cjp2HPwzqzbj+alPN/PgaJqCzWZF1VSouJDlGmFSyLzrznTnDikVm00DZUGGguiuEPeJum2ZRstpOLMslsw6nI8YRFJjjCNFlmwARkrqPE/LqZjGeP00E7xFGYWdNE3rcQSQgWkYKKqKqARPr288vybh6OIW8IFVXbNdr7i73ZHliTClCpMq/aTj1c8tE6UMx8MlGaWqFm8DWg+YDMqiQGclSM3QD4zTwIePHzmdTozjRNdf+OmHP2KnN5p1TaTm9enEW1Q0Tclu1+KCYOw7dGbwziVE2DzRVKkpkuW3tH7N6+tnrB3QKgXQ5nlBiZpx7BEsZHmCtXsnyYsaoQqsA+thmCfapsZoyTRZFndFCEfPMIy404SUkqeXEz5GnF/ougtynMjyFEoyWkNZo8+XC0VdocqCaB1aetq8RmrJMAzpLbDMbLYb1usNwQe6vmcYR0xWYCiSDct73DKwRMG8OPpxvnLvAiZTtHXL6dRxOp7Jy4L19gYpCx6//MTUZbz4CXRJ1bQooZnmHp3n3N7fczodmWzk9TTw5++f0GXNvDisT/PLxc+cTx2dXVhc4tLVZYG3gXFyODsn1oMLnM4XzqcTwXradcF+u+LFH9FKUZU5zjkOhwO7/T1107LMjsWeGcYJ53x6E4dkNSiL8jrN0FhvcaEgC5Jhmjh3PTFI+qnjZtswOUs+JtPXNI2YasDJyPPxyJfXN8Zpoa5yvnr/jm+/+sB+d9WnEdM5USQWnwTyLEeiQGXcvnuXLmbLiDaaoNJ4TUmBmwPWpotwU+VsNy1VntN+fM80JnTb4+cfsNMXXp5gnjIOLyMCxcdvv8KYSN/19MPw16+Dc46mqdOmVaUigvdQ5IruksRAZVUjpcK5mRBmpAyEoFMvUnlitAzjct1+OiKe2cJiI/Mc8V4TAiglCMhE+Uew3d3SNBnGyAStXAZikGRaoFAEDxoXGM4XpDYoY8irhkylg1pd14zjhHeBZU4D8LKsiMAwTikwIxXJnhvQ1/xHFB7nPZfDJeVXC816tcMYQ9+lh7qqGtY3txzOF5yATWnSxex8xEePC4GqqqjWaf2e5wqdaZSRCJEueTFEJIK8kLydLgQN6909by9HTucRXZwReY4P8Pz0yuWcmHhNWXL7YU+4DvfTQmbBlBlvX94wJmO9iWRZlmDqbuHt7cA4DhR5gRSR0Qum6CmsYUTQa8lYFhit6caRc98hhKTIDUuYeOsuGJnO+Q4POgX0u35gGh2rdsXf/Oorvvn4jqauUiFCSKQ2iExfmf5JWFUUecKBoSlXW7yCaUnbzP5yTuJ3nSOzgqJIZVcpBE1V0x1PdMtEWRZkBsZxwE6W9apCVQZbR1btirvbFSEsTPPEPI0cj28IYL1a09TrpFueZ56fD3TnAyJ2OBwyaoSSzNMZoWo265qyLNEmjSD7oWeZAy6AkIF5mZBIvAnXjWm8TpUMWmiapkXJkmma2OmCLFNIFTmdjozDSFXVLMu1NRNA123DskzM40TfdZgibbiaskYXFTpEKqWYxpG+72ib9sr5DYzLnLpzWcZsHceTpWkr6rrEefAulRgPhyPTMGFUqrJP/YXLfEIXFU2zRunIarNHIHj6/Mgyjwgp6TqffrHa1TWY3rHdrXn68khZ1SiRxD11nYMW15m5JGV9As5b+i6JkE7HI28vL+R5xsPNnvcf37HY9GaPV4L/uesJQvH8cuLd+xRQyoyhrSvsPHM4Hum6y1WvJlmcZ9IaEwVGKbpxQknF7Bb6sSciaAtDN5zJigIlNSIKPAEb0g+vykq++for/ua3v+T9w45MKaILqdylVILLX70qCIEQIiUOtWAcFw6HAXnFYU3DyMtzmuK8+/iRd1+9Tz6YZWYYF06njsOxw0ZLiAubTZ2OTX7FZv1AXlbU5ch607LatJzOPVJwDRdNSAHZbo+ScDodrhOahWm2zOOCyAJZlRGiwHY965uGqszYbGsyUzFMHrukFOGyJFi7EAohUl80eIsVFil/xjSkSh1CXbkjkhBImZsgUVc/5jiO9JcDRNBRCnRZEoXA9wNj12OHmaX3mEpjihoVHXaxLPOC3kiGyZOa7yI1nE1id3w+HrlzkfU2/SCasma2E3VVpvp6FIyj5dKPiOC5b1sUDpxgmQPWOaI2rAoDwXLpe+apQ1AxzxGpQGclp35hch4ZJXa2RBxNu0IpTVg8goB1Nv0gT47zuUOLQFunvp5zyZXYtjXnU3IS6szgpkDZ7PjTP/yRf/ufS9TPD1CWs9ttUUry8vLKOE2pMTKMZNpQGENuDGKM15xN+oGFGHGzwgWHqZr0UHqPiIIyK9juNvzm17/g7/7uN+zuNlyB2yilkEYmdbDgyoa+aqURKGMo6oJpmfnhpye+/uaWabL85buf6IaeelWyu9vw4cMdL09vHKeFqARFnWPmCRk8SMcwdKybijIrgRwtK5paE6MkxBTcCiFS5CX77Q6tNNvdjhAjh7cDxuSsNxuadc3z4zPHy5HZSmYHQmicg24YyfKMWcHpMKbIuITFOmQQKJVSlc7H6+pfXo11Eb8sLNYiYmDVFESRXiQhRLQpKaqIdTNvr2+8PKYvq56GgaJpKOuWPK+ppoVlGnl7O1K6nCzPWNdr9rf3ZJlkni1DPyS2gjForVDKoE1BRKXgvZcQDJfLhb7vePj4wDCMjKNnmkcQkaLOGYYhjdUEvL4+Y50jyw06qxFRU6DJi4wyS+PAyUaejz3F9h1g6U9H7OS4DCMuCLIsSxHVJRC8ZRh6MmUgpgRXVRZ4FxmHkfO5Y1lK+mFmsQuFyYmqoh8cfW+xS0Bn+urRS7jc3c0enee8vr1yPB4Z+56RyJilBzr6K75LimvOWtCNHi0k2kZUTBe+/c2Ob7/+wC+++cCHjw9UVZ1GWkKjVECStoghpHGpjPw18SeuFb121WKM5NT1zPE9H775NeeuRx0kzbpGqMDT4yeevhySxH7dkrc5w6CZF4dzltMwUZqK3WaFVhnWgjaGfugZxolL1yGEom4qmuqBIi8RWnPpetabbYJq+kgMlvt3gqotGYaBcQ5oDafLwDhapMqpSoXOc/ALPy/zEJKsyKmKim7oIfpkSXABKRPcPcb0mPug05+lLem7GSE0WV4xjh3tqkkyUOvRbVETFp9khkFSXguewzCzXVfkSnHpz8w+cPP+hqqoUd3I0p/RJrUqhJI0bUNVFqxXLVmW0euBxTmyytA0DcoYpuWI856mbqhKwzzNRCUZh45+7LnZrVmXijoXuGh46wa8UNze3LEqDD9+/szx8EIQOTFKmvaO7F3J5x++I8SQEAIqYxh6dusd63WZvCDWcng7Mk42cfLyiNaOZbGsb+45TzN9bxn6ic8/JbDKNE3kukyIr6sbRUnFqkn/eE1d0fUdfdczDB3nSwc+pHsFIKREZ4YmM9zskyN7v91yc7dnt1+x26xYVQ15rkCCkjq9g30gXDuOUsirQOhftuU+eJCCzXZFW9XMNnI+nInrmo/f/Jpx/4qUE9Gd+PTpB46HmVV7xzIbnl/OuNmhdcbTywtKZEy1wy+Ozbbl88szx+OBcZ64vdtxeDuglaEsNFGkCGtuMuoyMfIyI5n8zOHlic264u4XX/Hj5yfOxwtNlXM6Hbm5uUWqNBjwQTJeFqxbiEEirgXXeU7HmRAUiLRZ1FpR12mRIqQnuIHbbU4InsM04n2gbRvW65bgZ45vbwznAd13HVpnhCBZ/MIwXpKLxAc+fPzIMp5xYcF1lh/+/B3NesN2d0sQGVPfIWKAErJK46REKsM0zrw8v2FtIM8NPgS01sSQoN5lmRJ+8zSxzDPEmD7bKiN6cPOC1AYjBZfuwpcnjXr3wGIlHx4+8nY4ggiczq+8HuHDt7/i2/cfOB1feHt5RGlNVdVkecX59MbpcMK5gIvp8ipUoBtOjMOFvL3DxZx+WXg9nJjGGRsCl6Fn09ZopXDeJ8FPCNdjlqYtawqTURUFQ18y9j3zvOC9A+/RSlHXJe/f3fH1x3v22xVNXVNVJXWVU2oNUuJjmi8jIsElz4ZWWQr9R4mIV2RSCESSTTbK1OrO8pLJweenF758eeTbX37F/t1Hxv6Z4M9stltM5nh56nj6/Hp1HybBzv39R7RMK/SialjvdxzHnuP5zFfffM3+boXzkaFzFEWTjgZCkWcZl3NiQK/WDc55js+f6c85pqh4d3ePX+Dt5YK1nmmYefFH7Awmy1gWR5YZymtaL6J5e0v1vqauEUpwvnQ461ivW8pSE3yg7wR//NMXtKkweUmdpRTez1vX7f4GJS/of/13v+H/+Yd/YphG8rxIa1XnWaaFv/zlkZubNSavceeBh7tbMmX48t33qKKg3d5ih5l5Bik945jqNgLBuZu4nM7sdi3DfKGu1yxLmn6czi+UPxtEjUHnOVVZkJU5yzLhJ0cUKdCfK8PYD/zu939MW8oyS5e+EIghsC0qptcD/8eXF+7fvafZfWS9m1i1JcF5XPTkVUlR5Cxz4iU751JeRGi6rqMfLOe3C5e3M1EILIEvj1+43+/Sosj/nCjiujm8VqKiwMicMrtOW9R8DZ5rqkKzXefcvd9xd7uhqSryLE/Ti5C8MwaBUGl7GCIoNDpLJd2YdmAIKZExcTxUSM6WYD2n1zPz7CDL8UFwPhzYny+0q3tMvub1y4VpTCWNbz5E7aCtAAAgAElEQVSky+GPPz3ivEiWWF2QFQXGJElQkA6daX7161+T5QbnA8siadYrTJHejEjB89uR47Gjrlqaek2WZaybhu9/+MTLy5G6qdluNxituBzP3N/eorRKm+c5pLKvc0ze4q1DypzgAiFTjNeX27JYlsXy/HSiqUvs3JFrgZBplZ9JiQszeHj37h1tXfD8+MLp0KP++3/37/59VhhOpwPTNF5dfRKhFeOSnCYiyxLrTWd46wkhkSLnacTkiXEnhGCaRyIR5y3nywWpFUWR0/eppRsi5HmGczNaKJTURHGVrCpFu1ojVfrHVCqFdpZ5QsmkPBuHjmHoQSiqqkFIxTiO+ODQwnO+stvyqgZl6IcRZ5MOYpxGoghUdUlEYp0hqpJpSaOp0/HINE1IIVjmEfzC/d0dmdZ4565DhtQeQZACRc7B9ZAhRUqTlUXBfrfh4eGGu5s9q7Zht9tQ1RVSpQuPUCRqk0wu65/fyEpK5M92zmtGOv0bJZxBBLQSHE5H/pf/8L/y3acnVFkSiHRdh1JgjETrHIRhuEy40dNWDUjBy+MrfXdICuKmoq5KpE6f/MfnR87dSFmUNE2LFJqyTHP287lnHKeENLjZ8/Bwx363o8iTbMqFgFAS5wJVWXL7sMYYyc3+FpPnCOHJs5yqathu12x3K4qqpCxztOHqpMmuv8iBLFeJy+GT+cstjqJu2Oy2KeylRTr2VRVlYdJI1yi6fkRLafj1r/6GEOCPf/wT3TCw2IUwjAihkCJJLJdlQRtFWVaUbYPUgmmcOR2+0F1eWG12mKICLZinAeeX5L/2C93lQp6VVFVNWRcICrSUOB+Y+iERKYucplkxTdcFhlFk1jHGeDUrCYRPUERhLVPXQwiURcFuv2PdlpwPb/Sz5fMPP5JXDbfv7pCF5vHHH1nGnlWTo1CorARpGCeYxvRl6afhr1YrIpwvPYfjkeLGkLJcyc+YzrIxMfGUYvH+ugZPof/MaOq2pF1VNFVNVRYYnSGucUdPQMYUYBciS0+3T+thxM+p0hRAklevbIjpJUME5z1/+sMf+fHTZ5yMGKXAOzKtrhMAj3OWvKopqhX9ywtd1yF0ch9WZc44HrFfJoK7I0bJbr+nrhskC95D3yXVyN39jr47M/Rn7OJQyYVHP454D5lRNG3NvFhu2zplXvB0lzMSQd0apmlhnj1ZlrEES/88oPS/1NuS210QHSgt8NamnzECnelUrFCaomzwYUaKgNEKt/hE+/cTl1PP8TQwTRO6HyashdubB9abHfOy8PnzJ373+98RCBR5liYFbmGeBnxMBU+V5TC7BIcRgren59S43q3RMmO12bFME/IaADaZoapKNpsVl67jdLyQFQU6zzFCsF43qX3gZqQSTHbGBYvJMoKPaXyj0qd4cZa2yHEhYhcoypKyadjtV7wej4TPr7RtS5xnLuNAtdmQFyV2HDgcJ0ypQeXM88zlPHK5dMzTjM7K9EDplEB8enpiXdWUWYEgPWT8fPAQCqU0WqehPqQzroxp3CdFRKpAXuYoKcF7El1MIKJESo1SGom48mTENcSTTjcxgBfpPwiVVsECOJ9O/x9V77mjV5Zm6T3bHfuZMCSTycysLNM9je6GBEkQNN1zHRJ0O3V1gqTGDGbUajdVWWlJBoNhPnfctvrxHrJGPxIgMshgMOKcvV+z1rP413/5I4+PJ7StKYiGIcUAJXO1v6ZuGjKFeHuNn0aR/mpDs+1o647jKXL34YF+s6FptlTOcbvvGKua7mqHVuCcoq0dqtTcXIuDv3bChY7pwjieycVy++oF0+SpnEVpeD4+cjyPXG23nM9nQijkbJj9KJr0AnVTYY2EjDJBKYq21cRSGKcByHRtj7OWOS5oBX4ZSWGUQixq2V6SMKXi44cDHx49b371DVYrQdUOl5G2a3j19UvevHmNpnD/eE9JYjxOi0FTU5Ti8PgEynJ984K6ktDMZT4SpwunDwu722v6m5fYcSROI3W3AQ3H85lUMk1br2OpLHECJRLSIokASdKUlK0oJqBMwawGVR9naidyRlc7LBZtEo9PQk1aZvGa7a9ueHl7zTBcmMfAeJ5A11zffk0simGZidOCdlDVmnLOoCWzJMYAypCAj48PvLy6xV7bNf9PHkittayCV1G/GGcTnxBHKfx5/GSU+nzS2tWjaI0WV7hWWG1FpqoETAiry0sVFPJ5S0yoIi6NP33/A3/840+MS8E2tWQYxixJXkoBmcpoCpptvyW/eEllQFdwOD7z8f6RlGeCD0zTmf3+mhw9y3BB46h0om4cOSfu3r/jdDqw3dR89eaKtt3RdltChmG8EMKCMoXKynLt+fmRp6cDpST2m46UIZWMNRDnwDIvsiBZJzZa6/VbpoV1Nw2CO9OK4TJhTJSPk6jGTN9V+BA5DxPHwzOEkTxafvrxnl/uJkLK2PP5yDJHYvScLzMxzhgr9P7f/Oo3bPueD+9/YTyfSUWx6feyHDkPPD0+sd9vqZqWdqsgB755c4s2in/543c03Z5tv2N7YyB6xvHM4hes0XR9w2a7oXZiX5/niemyUFctqWiWmIhBoU2NrRTzNNK4DqPEnT5MI2nlVmileXz3wOk8SsJoW5NzwVUVBS0c5hQ5TzPK1iKhnGeUzfgwrQ5jJJZibcSSUhwvE0/PghZr22Y9JTWqQM7SYbP+YOQBlZN4GicuTtHU8nXklZRplRwOWlucFhKrtZ+0CgiRVMl1q4qSUMx1Dphz4u7hgX/85//Ku6dHciV9Ts6ZFBIlFTb9hqaqyUliIZrbW26/eM00jvzw3R9kkjVGMpmu3bBMM5fTEzlEHh4PWGO5vQxM00C/71gWz4f7J3abHX/7t9dsb7aSsDBcGIcLWmfm6YIplqwUx/FAZMRVGh8WwiKpuyVlSjFUTct+u5FGL0V8TDTGMY4T59OZxY988fIKWzeUYvA+UCg8PhzZ968IizANQ0hMl0gYPNN54XAJaGs4nQZsipHz6ZnT+STZG8OJGAIf7j9Q1RajXtHUmr6v0C6xbRzl6oqYsjRcyyLBOzljnCVbx3634YvbWz58+MhwONFtr9lcvaTZ3aDSQJxOXE5nKJkv/+Jb7t6/5fh8Qhepy4fFU4rGOrEZmZWnlnMS6WQOjONIQXFzs6eqHKfziLUK72d8WIgZ9vsbtGspJhKiUOgLET8HlnmkahWLD0xjIPtMNiJI1yWTsQQfOZwu3F6NVFbUbnZ1EWmlsWtQfMniOM4pkUoSR86o2CyBcZqFQVFVItZaGyDrDOZTCfPpz2epoymJmBZyKfI9TZnzcOGP3/3Ad9//wjAHTC3SzJwl+9tVms2mEyeMMZIFPiW6fcPm9gX7w5nz44m62jPOZ26uduTiIWmWSTIiu73F1B68OCq7fs+bNxt5MbHcPxyIITFcLlitJEpkHrjMZ8YwEtVM0xtM5fAlcLyM9L24s/t2S99vqI2mqjRxSUzTmUvwHJ4P5FT48tUtb17smXzmvES0Lmy2HSF4dtcbpvHC/d0j43lhPI5cb3v6fU2qLJsg5mqbkuhPQ8hUtcNVmsXPhLjQdBqtC5W1bLc9vVL07Ybz5UBJEu9QisUqwxxmpiVzf68xqubm9tXKIFs4Pr6jZE/XywjQ9BmXRNh+/3DgMmWmRYr9kDMxF/Ta7KvVz9c2bjVEGqZxoSio6xpnRcK5v97QdTXPTwdZDrma8/lMQROLlQi6lQ2XsshEl4unpExVOfzkyTGhlF6F9JZYIsfxwuF8om0bettIR7BSjj4tow0ZnSBGiSRbgieFiLMyp3a2pmk1deOEK736CxVQiqzhVdGrpqSQYpFsw5IwqWacFn55957vvvuF+4czoVisqUiZdY2fubm9EZvbSk8NITIOEwXN1auOL15/xfR0Jo0z8xRoqo7g4XA4U7dwu3O0m44lnAjJgNqz2+25ud6TUuDx8cTz81m2qrVjs21wRkigl3gmqIFlHsmmoc7S7I7jLJmIuoIbQ20dc/SkHAhh4eHxkctpJIQFZytevXjFOEUpaQZPSJHLPHI8njAWnh+f+eXnO4KPhGnk8QHqriaZBCSaqcYK21fw/227oW40x8ORZQ7sr7ZUdUs2iaqZqetGPIe7I9O08PQc5LSymrpp0NFTYuT5+SDLknaLbTZs9hFi4OnuZ0zV0m07mu2X8lBFBVpL86QMroDTkZgT5RPsRRWsUZLnocUa38jBxuUy09SO3XZH6jLPTydS1tRth06Z02XgcpnJ2VC3BrWKzVP2JD9RtxKRIfklGa2VQF6UJqrC4BcO44XdsqWuHZWRicYn9ZvSRYil1kC2+JTIKFnkeMkI11oJsdRaCXE3mqzk75DU2AzrA8061UgrwWmeB+7vn/n+h595d3fPafFkV1MM5BTJMRGi5+bFF3IaKvCLlxfOilXGqkLXN7x48wUlZ2zTUMrMNEWOxwt69CTnKNUISXN5NvjZsN9eEcPCNArJNYaZ9+/fM08DNzctlYNxjpzjmW7fYCrL8Xik7TvmHIGWjx+OaGU4PV/4xTpJxM2BnD3TtOBn0XMYFfj57R1LiDTdhsskuvzzfOL9h/d8/PjI6Uk2szEnNEJjbXRFVVnmeeH+wxmrrF7ZvEIdKmgOxxPjtJCT7MuzK9TNTN/1tE3P7YuXbHdXPD0dGabzWuvIqK3raqZ54nQ8c3W1I+XEy1evIYyoEjkdB+ZjRBUlM0rbiFHSZJrKUGLEZvBZLFMhBcY5U9YfuF5HWn3bcToNBETRt8yB8/mCQrjK4+RJiNnWLyMUjXMC+CMmNAVVufWhGUkpYMRIJs2VVqgs7vTzMHAZRtq6RhtZ9bNOJSQZTjTL1lhwAn93ShqldYS8RhL/NyQm9LrKlrV6KaIsVKjPn9OHwOPTkR9//oW37z/weDoRAVMZaUTXRKiUZtpGOM1lrbmNtdRKkAjTOKC1pu07vvj2a65e3fBw95bLOGJqIV1dfpoYxsL1TYufYZqe0OYnrq+uqauGqq5ou56u24hKcTqhvOd0GFCVQdWyEFp8QNUBjMaqjHWBsMwcD0dK0jJvNgqtwVUNu9sNfddLDEjToKtefvYxsHjpkyhgTE2/q6ga2T/0XYtzFVkpclwwlxPki0w5pDlRpByIYbWyWEdOGq0aMEnoSdv9mpI08PLlhtdffs3j00fu399x9+GesKrYKmfYbju0gvlyIW+3aFvY3dygTUXwiTAcsXS8vf9I027Y7K9xVhGmM+SCTgmdFCEFTpeJ4C2ucly1GxY/0XUbcsokEsbC4fnCMIyyEm5aYVCHiHUVXdNKypfTxNHjtAhlhvHEsgRyCisPOpGyQpW05ntIjTyMM6fzmW3XSo5HEU6zHKh6NTJoVBYhkTGyQGo7IWJ+WtHmT+QlNJpCCkEebm1WVV0WpG8WYc7xMPLz2w+8vbvn4/HAeQmoqgEk0jnGxDRPGC0Ptw+RyjUYJyaCdT/DcL5IaaYNdVvTbnr6/Y6mb6l++YHp+x84nc7EuOC94Wp7jatbJp+plyKohaiwdce3v/0Lvsm/ZvRPnKcHlvwWpTPjMlFQkmt+Gdi9aqiawq2pyEGjosXolqqW0KZcCq52tG3NfnvFbrdBV46QM49Pz7gqUVTi/HSkb2XporQ0+lYbcagUcf/EuGC04vpmh7VGTpaqqiVZqcB2tycEQf5bW33GYIXgqVwtED9laDqJKdh1e2JUPB+fqVwl/A5TiZtAa/wycHo80TWSKT66RJwyIS48H57YlYxy4CvhS+iqRi8LelrQueBT4DwuWB+pmolMJkSPcZqyKrJc27N1HUoZfJDuWCEOi7pqaJpaOmul1nwUSykzy5z+f0w7bTI5racpimKk/j4PEvpYV38mASkkNMisJ3qpHMoZKrciIboGV9ef580lZaIPqDVbUKEo1qKtTErKClCJJXM4D7x995H37x95Pl04DAtZO7QVkmsuMv+ep4G+rwkxie1Ju88vW143rWVe6LsNZg0asq5QtR2/+5u/xdROYh7GgWmcGMcZ/0LTdZHLRSJKNpstzln2+y0vXr2isjVmDAzTmaubG3w8MZ1nsjLYuiJ5Yf9VfcP2pkdFTRjAqJq+6ygFjueBcbhwGQRy8+bLl3S7liUtHI8n6srRNoXT6YHd7oppPjAcPc42RK24nCIpik3LGKgqR9V12LppGKcRYyQJKsWEszVVVZFzElaCsui10cg5y9VohPTTNB1dt+U0DNRtxW63IQYR1B+O5zVHPDLOM11VoUqkpEDddHgfqLct1ham8xMThs3Vnrrv0V1NZSN6ulBSxodZQI1Ks8yeHDLtpsfWMrOuiua8iNjJBzF5KmMJi5dtWpFZKCmTVUBpxX6zYTAIundV1bE2fVoLX0ObCkpkWhYul4G2ajHGYN36AK5MPSEMGIx12GrlORtH0YYMxJBQaSGbQKqdEISMwShF0dKI5rVBPw0Db99/4P3HewlqH2YmXzBWXM6SWCX4g5QClWuZp5nnhye4LrKwqipSKuQia/vgA8kIHctajw0eth27/Q2/+d1fUFTk/d07UsiczgNPzye0gk0vLn9XWW6u9xxPj1hTmKYLx8uA7TWJQA7ifG83PcoaYvSMY4GmsFwSywk2TaF2BmMtKQYuw8A0ez58uOf58JFuW1NUoWC4ud7SdVlc7JT1oTV/zpVUUgloY0GJYXieF6wx68bKCMVxuIyMw4TRWgISVaZQME4s+oVMiDM+rOMhK0E7X339hs1Woss2fc9luPDh7iN/+u5PZCU64YiiKhpiZppHgQkmJKimqSEXKpUo80DAYOuerrnF1TWHp3u0SjR1zTTVYkeyDT7BeJjQyrIs8+o2zpTKrhoB+e8TOdQajXMapaVG9UEoRaJmS6uEUcn5rhRKydo5lsI4C4+kbiqMqeSkLTLjThSyThRrMUoRTSaaxMzMbI0wpK2V8E3NSmPS66o9k1dp6Ok88v7ukYcHca4/X848DRPJyimfPBQ0OQWWZaKunSCNXUVXt5Qsug5XNyI1aFtSzAQfMFYa3eADuWSG4UTft3z7l79D14qQIsNpZBgn5iWgFRg9y5ZWKVQuwuowWRgiqTAmT9YTlML1XhBuS55xrqUQOJ4WPv48YGPP9W9u6VvZ8Lra0OZGSFz6lqot2CYSQ0FlhY8jafD02w6lwFY15MgyFZkgOYFjjtOE95G6bgghYBUKY0QW6H0QUKCW66qpK1CFZZkIXk467z3rDSvh9eOMq5xIApsWpQypwO76ii9efYlCcTw+cXx6JPqZedUjpBBJJbOMC7lrcG2LMxU5BaFJhpnL8UTTb0RgfnuLHy9MPtPvboQENEXGaWGeF5w1GF1oakvKYJ2R1KWUBR+gCs4ZrFO0neAP5otn8ZKAVVZ8bcpSquSVlJopWKVJqjDGwBg8XRSAuDEaXYpsxJKUKjln8srpoBRKMowoVF2Ta8k1N8HKdMRJg6sQRd8wTnz4eM+Hh2cOw8jj6czHw4klK7ITLcgqjybFSPQLu11LVze8vrnl5auXnC4X0UP4QNIzJifIGadlelJXjvMwkoMYFFOMuKri5Rdf45fC3dv3/PzTT2jlJZxHy/ckBQkk7buWFAO1UUQiH85HbAubvsY6LQ+VhSkIWsAvBT8lic0wkHQmlYR2BVugshX99S1LuKDUhDKFaRw4D4WmdfR9xzgvZK8I6dM0KeHDwjSNnE4jWosxF2Uw/9v/+r//fhpHvA+ijV2jAU6nE5ttx4sXN8IwGwaur64kSq1k9vsdRmvO5wvPzweWcSLESPAz59MjyzxireVweKBratoKaguXYRALloKiCilnXKXFXdL16KohRCHVFx+YLydS9Nx88RpjO5SW+LiQMtPiiSkJhksJ2MVoLXl6Tbu6IjLKWNEplyKz68rgl5nFz3J9AdO8ULKWK2yddBSx/Mri2ogqpXGOrq5xlV0nFn/WYORS5GEuZX3pZW1vjZHwTGskadZWAvCxFq0VKX96mB95/+GB59OJu8dn3n48MviCqRqJmWNFBqfENI6k6Lm62dA3Na9uJNbDWklvTTGyTBPkLHIBZ4ghMk0zBti2PVaZz9xtjeX6+hWvv/wV2tj1QFBUjZXfExM3t3uur3tx1OhE1pElBZqupes6js8X5nmi6VuezydiVjhbc7O7om9aQl6Y8yx0JwODP3MZBxnb2YJ1iqKk2R2nURKDw0yJicPTxHCCvu2pKsUSIsPkSVlANHVXrYzupiLlzDheMNax312x3+14//a9wE208DSMNoIwOF+YphFrxI41TyPn04mlFpt8zokcF0qCp8dHpnngzRcvudp/wzBMmOaB6YdfOJ9OWFvjKo2rasI8cHy4w7Yb6n6Lcy21aSU1R2nmJ3HUYBJ+vpAV4uywkkm42d0QbWJZFryX5sv7Bdc5rDPMYaHkTK0t0UdCkvmwtVb+fcbgQ0LnvMo816OQdV6MIiXZNgbvSbHGWrMCYBTaCXwmJcnRVlqjrXwepRTaKIwz0sgaSCpiUeSYmebAx6cD9w9PPA0D756OvL17ZFgytuqkd1iXOJmyEjsXUoGm7tjs98zJo+YB19QoZzGxIheNqhymbWnqlqwmYhmpGkmD/e7fviPnQr/dwJoC1u92/Pu/+w88PX3k3fs/8f7uR6bpLZVxNFuJgVZmvcUL9NdblhC5jAt1U0tAqa5R0WINbNqGRnekwRCiJ+UMKqF0JmXZ6r58uWdaJLswJ/lYv6lFu7HAMoHFMnkPJuPqmo6CNnb1mUJhptl02A8fPzIvM95Hzk9PTNPMN19/QwHatqXvOqZhpqoauq7jdL5glKFyNTkrjJnZbLdsd1tKyRwezzhnuLq5oqoa3NMTptqImERt+cu/+oLd/pbT4cyfvvueyzRROQuxRSslzcLzE9dXt/S7a4xWZF0Yp5FwunA6HOi3jehijWYJgbqu1mG9uBdyhMUHcgaXgBgxCoqWmIR5HiiE9WSVGDlXVfiwrLJ61gZ0nRasvr6sCmPwDH6hjy1NdqxoTeH8ldWtTBGjq5GuXzuDdgbl7Lp0CesmtBCXzNPzmfunA0/nI+8fHvnh7QNzBF3VFJNJZV23m0+TEAmzrCojJUjMnI9HKndLygveD0yXAVtZ6u6WcZm5DIMkG1zfrE4VhW0qoveSXVNXVLVjWQ7UTcP2queN+TXOdSjV8ny8Z0kLQYnCcPSeKUtzHYJH15aqltHg4XSUPHVTk7ymNA6qxG7bsdlvWOaZ8+WMqzUbY3g63pMSgixeExrapqVzPXlJXIYFrRxvvr6idtI/aGVoO4N2GcyM9zOnYcY+Pz6IkH9eSFHhrCOGwOI9MQVxsGS5BhLiuk1ZLmKtpdpM0ZNTEmIn8HwY0frEzZWm31xxff0VXXvDGGa2ux27q1vevH7Nf/yH/8T/+Q//F42tWPKMqSu6viOGAiFz8s9YIy7J5+cTOSZy9KTsaKsaV1dUyXE6ykq2chXGKAJRcqCrGmcd8zygdcFWDcsUoViczaT1lNbrKSopCOpz/IT6tDmhiMbDaJacOU8LV32kpIxyZl2arDI5VYSCaR3WNgJlNHZlkxpy1qQCc87kcWEcRw6nkeP5wtv3H/j+3UcuoWDaFmWUYGYR/KxBrbjdGaUMV/stfW0Zz2eohYlNVWGcodlvKLnw9Cyj1KZpUEUUb8fLRFPXwrDT65/PiW4jzJGSEsZZcbvfvqLpNjwd3nOeP7KkhcFPPB/P+ByxlSbmTAiG+Qz7XcPNbsv5eeRqe8PTw8zh8Z7ddYtteoZxZl4mns9HqlZRdGJcAjkoKmUEaKkUy1w4Pg18fH+kcg3OJln2xUyOIlCKZJQrKC0z66pS2L/+m78mhsTPP73n7u4j+90L+WEYQwpih0kpy5VpzPpwB1gB07c311ztpdkZhoFSIn3fYK1s2YIPLItn8sI/NtqyzIHj8cI3v/oNP/78C7/+za/47g/fMZxHmronVwmVZENUSsYvgbR4Usq0vSi2jDMsyyIs61ykASwRhYxytC1oKyy5EoWbZqxhs6ugJKapQMxoIn71Nhb5C9fp3XosKpkRa6NFTFQKS8wEwNSWymli9BSEE6EwonnWkp6ak8LHhMkFm6RR9CETwoSPCR8Sp2ni7cdHfnz3xGVO6KbCGsOnqudTlS5KM8+yzDS15dWLW25urym5cH11jV6bV6yMD7VShEG2rb3pcHUt8/PKMufI7ZcvmacJbeTWeHh8RqGYlwNXN1fs9ltSTEyTYdO/xLiGEE8E/wHvn1iWGfSGumsxSQ4bnwJ1rlBZr/gGRVbSo5wvkenygX7jqForDhMn7p2So9T/tWYe4f3bgaeHCZU0rh6IIbDf3bDddNhW83w48vx4YPERkNjlq5sWu9tv2W2vcK5GGctut+V8PoljwDppkopc5W3T4OeZujYiJAoJ6+QkSynJbr1pKaXQNLXUi4DSkWUZ0NrIdCNlQhKQdds2pJghOyiW6AWConLCWGEwzMuM1hnXOHa7DV0n0RMliXRSaS01dQJywtiaupbTbfEzWheausKaQkozVmuIMI8JVMY5iUcuJcsoLq8RayK/l2iI5PFLpOSMUYVx9lhXsdu3hGUmhryyQeVFMLlQQiQWJahdHxhWvXQMUcydWjN6z4fHR959eOTik9S81n5+iBWaXGTCkEirzS2y3V1xdbNns92itWZ/dYU1lstlYA5BEs2slBU5ZZaY0CYRQlrn05mnhwdSSqQo8+mwDgaUKsQ4k0u/BiopnKmoNi9wzZcM40tC1Dw/vKPVNZu6JoXIZU5chow1GVWgMpopT6RYKFaTjUJZBwgAfpoDc1joNxZQ1K6ma68hZfq+xuktr7+4YVmOvP/pHb/+1Z5f/+YbLmPgh58Ug19Ql2mNSEbS0f7p//0nXr/+CpTm22+/4vb2hndv3/Gf/0tgmGdOp4usKZ2lbmRC0DQ1TduSclwVd6xvScX1zTVKQe0qQs5UdVl5SccAACAASURBVMV21/Pw+Mg0DjRNTc6R4XLhw909YYE//tsPPD890zTiPl/mWbaNTmrkw+FIXde8uLkhxMi8LCirabcbTCMO9cV7sg+EyVO3mqaSkzjGQNtWWGuhRKzKkDIle5yDJWRSlswTpeLnB0nowIqUI2GRBKu4eMEK5MRz3zAsL/jV9iU0tQSG8knOmUlJJiQZTQmJsSwotYjGuQjlqZTI+48f+eNP73i8TOCcmEhZ8QVKRmslrVrtVPDLLKrIusJ7/1kTviwLujE0bUcqM9OySK9T1RQtE5JxmiSmzolCMSwLMSUx/SaZ5rg1V3wZRh4WT8oKqzUpZGKMGGPZ9V/w21/XHK5fM41PaGZGBkzdElOibRrCnIhJs+339E7CS7MpuOserVt8EFTaPHoaY9hsOtrqiq65xhp4+aJHq4qucbz94XvOzSObVkH2HJ4OhBilRLKK3b5jnhZOzxfszz/9wvPzkc12x29++2u6rubbX38j88rTgfuPd0Sf0FoxjuPnb4C1RhRnSq6W4BPWVrRt83lCkJaAn0WiaYymkDgeTjw9HRnHkdPpwvl44Xh8WiHimrIUwbaqRPQyq67bmr7rCd7jF0+13axEo0o646wJkwC7S8lYY0UqWqA2VrC7yDLAWk0m0u0tStccDoqY02fUVlGIcEkpckosy8Q0XYjBU7Io8s5nxcem5t3jI3/57Vfc9ju8k6Y05UTKQoEKURwX8uuwQmI0zlWMi+ft/T3f//yBh9OAcpKMIJbG8mcWdEHGCUoC2lNM9JsGZwzn80DfbXEmoZVhWQJ122KcwUYxIpRQMFZjrCJGgT16P3+W3Yox1zCcD2il2fY7pmnAz4FuU2G0/J5SNDZZbI4oX9hWPbsv/x2zv/D08Ud8SLSbjFKFXddSimacPf1uR7EZp5Qcgt6xLErSZlWhtmIENroW2WyMdO2Oly++Ybvd45eZvt0Qw0RdWebzwHB4wujM7sZRTY7dfkPde4bRY0OKPDw+8dPPbxmHEymMbPoNWivevHlNU9fcHx/JOTOOC4uPzD4xT4EYoG5ajMr8/ON3zPPMb3/3a9perhRXZULOPJ8uLD7yfJgYpyOX84XhciJ7T4yRtnMorSk2EVIkF3k4pFaH3WaDqxzRB5zThBSplCHrzHi8SFCjMmy6Vph2JRBG8TNutx3WKsDIA6MLYZ6JIRK9zK0/WapyyhRTUHZdHCVP8DMpSjevlKSFLYvndDxx9+GZH98/8uv/+TV4zzAsqzygUNmKeZ6ZJpmjsirtMIrLNPL92zt+vLtn9hllK4xzGKVXyqg0mXIqA1mRVSHEmZgCZEdbO/quwRpDUzVU1qKsJQS5Rbp+jZOePXXlaFoBvFdVwzTO8j2jYI0ll8R2u5GeJEd0Zal0g60kz9w5y6SErt92spGbzhfquuG2v8ZmsLZlDEdCOePnRZJ3M9w9PFKQvirkQmtvub15iT2D4kKloGk6KfNswi8LzmhytmsWDzxPB/pXW5bTEVUKdV04ns9MKKxpMVWhqhTN3mH+l3//d79PKfL09EjwcsJN88zh+cA3X3/Fft/R9Y0gooYJ78Wd0vYdKXlyRkYulRPVnpZxlatbhsvA+TRzPI08Px85HCceD8+chwPBj+QUaOsatCxAjNbk4OWqL5kQIrv9nqapmKaR6+tbpnEgeM/5ODJegug6SmZ/ey2IhOCBhKJQ1xVKg59nyAW/LMRcUMYSY0FhxfE9jQQfBQRuhFqUc/5s2jVWc7XbY9dNaSkSIZazqOd+9c1rXn95i7WVWKdilM1aYQXJG1xdUXctQ/D80x++58e3jyxJYatKAoWMEcMrhbV8B/h84gP4ZUKT+frr17y8vVoVZjf8u7/6HSpLEP0yDuS4oCkYrWhqS7dp6fqWqq548eIFrnZc3exQVotpwsjNVFLmxcuXWOcIIZBSgLIga0VxtQcfiSGy2WwkKrsSTU/lNrSthJtu+pqffrljDhkfPM4ZmqYjBssXr3/Dr371W47HE7/8/I7z+ULfd4SsOJ1mtvsX7HavqNtetrnLkZ9/+Td+evsTRRtQluJq6t0WW1tGf8GHgXlN6DV///d///tl8bJ0qCtCyPzpT38iJs/+eivbF2N4cfuSTb/heDxyOosCS4NwiFPi48MDRWnafsM0LDw9PPPu3T2HgxDjh3HgMsrJHOdJuBRKc3o+Uju35vaJly4k+SFeXd9wc3uDWY2lu80WbS0hBA6H0yq0kdjkuIgQvaqcfG3akpMkKXVdK7t+H1DGUJRimmX7ucwzlXPMyyJbNOMAvdKjZlJOWGPRSpR7Kec15k48f+dhIPnIVy+/4HqzoWSIIZJLoSiNc46qqVG15ePxyD/+4Xv+8NNbDucRpYwIiVyF1XItfGoFy5qMXFJeo5Ij4zDw4vaKL169xGjFdrNlGEaqyrLfdey2PfurPde3NyLomj27K2Fg5FTQxq0S21ZUlDkTfGS72bHfX6ONYfaTmBKMFkc/mmUODKeJnGSB5IPwDZ2rqOqKqq4xymKMoWs3xKR4eBh4uH8ihIWSI1ElihEtyzIeGI4f6dqWN19/TWBh9jOb/oZN94JNf0Xb9CiV6dvC3d3P5FLY9w06Rhbv0ZXDp8gSLuQc8CUJUu1//B/+p9/HkKnrmpg8z0/P3L1/x/l8RK/LlcrVtF1Pv9lyeyMTkfPpQgGMs0BhmhfBtT6deHw6MMwTU/D4HNCVESC499RVtSZblVXJ92nOm8kxMgxnfAzChXh5y6Zv0VpxdXXFvMwM5xG/JIy1aKOwFkJcSDlR1zVar2R7Ld7DlBPKiAnV+1kSnBaPKsiW0FliigyDgL2NsWtpEQjrKM9ZiZZQqyqO1Y0dwgqpOQ9oZbja7XDruE0bMRo4Z1ly5Ie3H/iP//gv/PT+I4fzidPxmctwIsYFVzsxO2iJmpCXoUgWSy6UkgiLRD7s9wJr2fZbsft3DW1bk+aZvusYvehTtpsdpq4oTtE0DaUohmkU6qdSPD0+YF2FM4ZpGhnGiySSdQ1QRNSU5OVNKQn+d20sS870fbfaiossfErGh8AyR4xt2e9fYl23nugBZ8X77scJksQqhxS5LBPn8cQ4Dry4ecnN9Wuur2+o65oQZg6nDzw+vuXp8ES/2ZEohByY4sRxuhCzaMpBU2uN+Zu//uvfK2UYh5GHjw88PH7kdDoyzzNVVeO9F/B4t6VtGq5vrvn666/Y7LZMY2Ce5WH4+PDI+SyAxpTzqhuYKEDwE2kOEn7eVhgnJ858HmTLZI1IMtcBQ1ZIZNmmX6cTibaV/JbzaSCnDKtJM8aAtoq2bena7nOpYI2icTXzLDVw19YYK5u1sASUYs0OFFnsMIxM04zVFSUXSZ71IyiobE3ddFhboZXoL4TgI5mNl+HC4/OJkCLbvqPfdDhTg4JjmPjn737kH/7LP/PL+zsu5xPLNK8vxMI4j3i/rFtFSdCSAjpTSlxTs5KA51Ngv++5ud2iKIyXkdtXt7iqYrl4tDGkItf8p5fudD5zOpyIKVGvGpewLMzjQFXXa7mjUUbLxnjxDOcLKouITLQeYVUsSp/R1BKENIwDfvaYVQr7qUQyxmKN5cXta9q2F2iQNeQQ8eMkYUG7a+ZZLGbORDpn6Ootzm3o+p7ZX/h4/5bnp3dcXdUM40wu8hIE5ck6UoyUfs60OOVoK4f5i7/6i99XlaSrxhhwlV1pPXL1DcNAVTW0bb/GU3jquiWFzP2HB3788Rd+efsLl/ECRrN4GfynnCXvWa9lyRommVLATwNx9pyeDkBms9tKNFpKZOR0mqaJ4/EkskcjJ2nTdHjv5Wtc0QE+eGwt5tOUJdQmRi8xdGsI/Kbf4KwQ5wEhYSa502XmGsiF9ePikpn9QIziZNHWUNW1PMxKMvc2my3X17cYbSg5choHjqcTbdPwxatXWGf55cMd//f/88/8p3/6V96+v2NZZjLilcwrfDFnCdzxfpG0g7qS5pBV6JRl6jMMA6jEF69e0DSWkhKVqanbDSFGtrs9CQhZzAnh88M5cXg+kTJsNhtySjx8fEAZRVNJo0jRjPPE5XThfDwzTTPLLLPyT4slo/Wf48eNJDOK9Y71BYQUg2i8jcZo4Yxs+o797kpuA23ZVy3ffPE1NzevOJ0uXC5HtMp8+fINNzdveD6euQwn7u5+4O37PzL7E84qwfueBuYliNSAQmU0dVOjnZgoOuew8zihlKZtGjabTjp+FPHwTMmRZU48Px+4//CRw/OJjOIPf/iJy2ng7v6Bw/GZgqfb9Sgtxs201q5Kydy0axrRLcSFGD3LJCd21VRi5IxJELZ+YQ6BXAree/w68F9CIKbM1ZWi33bkFHGjjAPDYkgxSyyGhpKkIfTBE3Oi323EVTEvuBAxlQEKdZ3wfqauxDi7+Ig1duWBCJpBr5LSZZnkQXaNbFG1RWExpuarb3/DNJ74+PjIyQf+9fufub15QWU0/8c//Gf+648/8zwcMUZukYIiLiNKr4urpEkxMpwvPLtH6rqma7vVh6hIZf23xIW2k4xuUzXUxlLjcMbhuhqMlpW4kRi1+TIJWBIlaaubLc5WjNPIMgfZJNqaGBKX08A0jfjZk1PGWYfWBWNFhusXz+LjCoZJxDmKztxamqaRQ8sZ4hzIMWCMBCjN8wIK+naHqzr2m1fUJXG1a1AO2rpgbAFqus1rNrtrhjmvt0ugqIUljkzeYKx4P33QVKGmaS3brUytlmWUa93U2Lapid5zXjzOWTbb7doMKJq6wtiKVODh8YkYMiEkwlqYT8uM0uCcSDpzkC1cWTOcjVIsl4HiPV3frjPjgHWGFDz71URb5sA8TXjyGioptqq+32Cs5fB84vB4Yrt95vbVNduupq5kq7hpG0LKaKvRlWMa1llwAaP05wVCTomua6maSpo2mzAagdKgOZ9nqRWDJ0WpM5UyUs/mQvBe0ANKEWOSoFI01y9vUdaxTZp5GjlNke/e3pFj5E/v7pnJWFeJRaiumaaJHDNV3WBrxzSNUCSwfrgMHI8nlLFUxq75kFKb5pTZ7fbCF2xadl1P9JFlmdlsO9lKhih9hBLBVVfXXPct4+JFvqql5OraFrJiXqSfiDFR1w0pRSZky1s5S1g3utMsmvO2rVdutczIU5FAqW7XYytDKZVIf1H44NFOUMrDsFDbmu3uDc5CKgPj+MTiF1pnqNueTOTD/Vu6dk9VtSz+TEhHoofhvKCMY7vdcRlmssoUIIZCSUWorGkhqYL5+//wd7+PIZCzzGQlON6uCUcV/f4aZSqmRcjrfl6IeRGpJFGulpSZxknYZbnIyCcLNT8FL5/bSl1lrFwXuSS2mw1t2xIWiR5gBQoqZHEjwTZyLT49Hbi/u+d8PpOTOFdiiNjaYZw8eCvhVubLyspGs2slxOazNrjCrk1MzuW/uTILd3d3zPMMWn3Gj5V14eKcE0qo0lRVjbZG7GVeonxlA1hEN100Q8h4rXCtlBFxiYT1oZPlikwFckxr8yX2t5wzbhVVKQUpROZpBlX4+usv6ZqaxlXyEpQsQUZOTsp2I5RRZZToma3l6mpP1TbM04JfPMEvdG3NPC+M04V5nOi6mrqtV4qT6MqV0szzwuIDIcq6nvXAcVW9SmVF7NS0HVqzmn6NkGjnGW0MV/trchRxmzFmVXRrZu8ZpwmjhPY/+iPn4Ymu6xmGCx8+vmWeRl5cf0lMlqvtG7bbl4KAQHqgGBK5aMlUd4qYIxbgMp6JwbPb7vFhwdp1brhmZfgQJLK2qgirWKiqK6xSa/6HALe0gawi2grcetM0pORlshA8VeWobQ0hEJUh+kBdCS4rFXCmIax1a9M21JWEyPddx7yZZGoRvLCLkyeHBMWQtVqvN/VZ7K8/UYlWII2fZJmSYhS7V9QrwmChrhxVJaIilMYqvfbv+fPauRQZxzWNY3d1RSqF4Xjicj7hqppYRLRlnSHkIvR9lWmqmoAmNUni3OpK/l2qMM0jafVplvWFXMaB6XKmrWuUNWKsjQGjxfpf2YoSE4MfaLtOcGqbDpyVl2nxzJNYovwaNYwTwE5VOWKaadqGw/GMn0aarpUmXSUwUNUVORbmQdKvbCP0qsrW+GVgnibarlsf/PUmy4XGNjweL4QQV1lqxKhE4xxqu2EaRlJcyFnq8Rwrdruv0PqaafnA5fgzWUWm5ZF5ylJGFEPd7Hm9ueVm9zUYhzY187IQ0yzIsSXgdaTtDX7O2MVP5DghSt3M5D1OWULIoAzLsIj00laUkFahukUVcNqQi1z3TVNJ+EuU2aYzEix/Gc4owzom07K9Wk/AXJIkkPogIvOiWJaJvKLFJLCmBqfwux3dpqZrWm5e3BLDxPPhGVs1uLoizQu6WvXJCqpa2NPzPH92XceYuAwD2xXKrrXoFLq2ZZlGyf/Ti1BGyydyU/68fra1lVntspBKJpay6j3ke9C7lqwKPns0it12y/XVjvdv3/Hq6zccn55Z5om+azFWM76fSAiPIqdEDIGYItNwIW62KGrC+v+rTk5lQRqLLNVWNW1VgVLEFHl6eMAZi18WUs4y8/ULaEXT9zR9A1oTEp9r36ZviCmSVytaWLx4O41BVTW2aeh2W1Is3L27EELAWdkbDKhV6iA1SPKyQa3ahrppPi+6fPCi8lNrTozRuLrBlAqtWorW1PNMiCcxmuiKF1cvaZqOvtsxL1HGhyFTsqIyDRqwBlQDmIgPEuVhyYU3r17Q1JbLovARTGVp24oQIs5oYgwyeivgOktV1+QgNiNnHXVdS02VMykXSJocC9M8r6WGoaxXVqagtMa1FV1bc3480Xc1X/z2W+4+PHCeLvRtt17xhraVBkPGaIWu6/CLZ7xMDMNEVzSUvNbLoCqHW02BOUXImnkcKTmv4ZiRpvHUTU1vW3Ku2W23TPNE29YrPEZWdVohJqwilqx200HRDOczaP3Z6VIU7DdbvnrzJefLhR/f/wIabl/csL+64vHhYcXvOhQSW2GMOL+NNbi2YR5H0jmiskxbhsvABvN5e7vdbrAanC7s91tc3YKGZZx5/PhA328IfqHaOJqukxV8gWmeVxqUx8cDOQam88zrr15TcuJ4PBCWgPlkdogiV93c7Ok2PT4Gii5Mw0zKAnqMSWj/zhqcEe+mn4UM21SOlMU137YV3guIsa4aMIaUE5WqqbqWmCLLlHDmhptdzTA+MozPGK14eXNL3faEKC/4th15d/eB03DEGU1J4v5vOhlVno8jxirMf/ff/+3v99e3NP0O78UdXDl5800uvPjyltnPHI8HqsbhuopxGFClsN1t0Hp94GNkHEeGy4Wub9hfbRjGy6rUisLJUCIFddbQ7zay2pwWvv3Nb0kFDscj1lmurvcSfP/qlqZtCN5jrTCBrZJYNm2FFFTXjs22RwEhR2KKdG3HOEyMw7iGWK7a6Rhl3HZzRQjL54WO9+L+/umnnxlHj8LAp2yTFRvwaTRVUiblRN+2vHnzpYDbQ0RbhzWOGBIxSNkzjTPH44mUCvMwrvPnLFb9Uj5PClKMa+MqWN//r60z6bVsOdPyExErYrW7OU12t3VDYwqskilUZkAJZoyQ+Ae4/oB/wwUhFWJADQxiiktCSEyRqFEhBAJGFjIzyrK57urem5mn2XuvPjoG3zrHFmJLOcgcZJ48J1asr3nf501blktRFKzzgiLx6s0NL24ONFWJUpput6Npa9Z5YugHlNZUdU1Z11SVLEeGvufh/oHohU2yTF6a5PHCrmsEo/wwsI4zWhc4V5ESHK6PRAUYRYqeu7fvGIdJpkmbTHzoe86nM91uJwIy6yiNkX7G/CbsaF0jwUNVOpRKm75eCK05iTzBWtk0+mio3IGb42tub14wjCP/5/OfkuOIyhPaBAobCHEVIVn2QiAIKzF6pnER+qhSBTFpgZyEwOU8cIlnnHW80Bq/LBRFQV2XTPOI0glU4Hx+RGvZrC3LglZ6W4AIr83PK+fhQtvVVHUNGfr+wmma6XYdbVVxaPY8PDyw+AWrM01bs+saDoc92mge7k94v24B7ol+HDjsD5R1RZ8iy+pxSouhSkujNo0TGaFRam0gZ+qqwijF+f6RqnC4ytL3PdMoDVc/DpRlC1w2rK44V5TieUMYQkA5A1lu57bdEX3EmJkQE+8fTpvl3qKMJcXItExCgVLyeibL5CJEv70BEikkNAXOiYwgRs+yTvSDcKXbpub29iVXN0emy0DbGEHh9j3r4mmaBh8CRluGU0/YGvyYAserg7z6o+wPjDa8+ugj3n/1jnUKvHjzhrJpuAwXfFy5LBem9yNjPzKMI2zhl0sMWGs5Hg+YQjMvMv8fpxnfB2Z9wc8z2Sh0XZIiLIvneLzCFKvE/xUlPmbmcX5+aKcQ5IZfI4URNFxWFh9KCAXDuefX56/44OUVcVl5/fELJu0Zlpm6cWAyPohk4PF+xHz729/6rCyr54XKZZgYxwXrLJ9++inD45l5kgakKCwas9HalXjIZGAqIJrgcbZgd+jwfuXcn9FKUVYlN1cvKLTDbPk7+/2B8UGgj6ZyaGfIWVHYkhQC8zCRI8QQ0cbgo2wfXVlRlhXLstCfe+Ia0Eq+9jh5mqYjI7b7tm03fbaiaWqC95weHzdPnyauibp0WOdodx3v3t8Lgw2JiBDgOM9UpbKuuX3zCqU1y7RyOp1YFk9EyaJHGSrraJsKY6XJbIzm23/9W7x/+56c4Wvf+CYZ6C+PzPPIOItG2TkpP2Q+LQ+hX1YKU/Dy1Ss+fP2aafAcjtdobWRBkxLdfk93tRezRWHxYUUbze5qjyIznM+kEFA5Y5Tma1/7hC9//WuMdbTXB9YUSCrx/u4tv/7lr6ibkl/+/Od8+eUXKCXY25DFmFpWJSlLdF3XdoLlUhtcR2v8OqONpul2XN/esiyzAImMYVkX+v5CikEUlm3L7YsXxJS5vxcfYwxBTNbxKbjU0NR7lnVmDiuPjwPaOtn4PuVB5sQ6reQ105Qd5ju/+zuflVVJXdZMs2glUpBZZQ6Rrm2YppFLP6FwnB8nhvNI1zVYY5jHedOwljR1jVaadV0ZhgGjhBApHBcBoBSuoG07chQ3ye76yKnvOZ8vQhUtK7YkEy79yBo8h+NeUArjxO3tLTEGGd1VcgO70slMO3gxpRYCM9SmYJ09rixpmpq+7/F+RWnJy97tGg6HTmifKXE+n3j39t3W5IgmOub0rJVGaZlxrxtZXomp4eZ4jcrCm7u53vP1b36IKhRjv+CM48XtG+7uHjmdHri/f8fd/ZdM08C8LgJmt+UGMZRRXmEsKYrdyhSGq5trrDWs88rti1tWHzCqoKlrWZRMM6VzgmMYBzY2pAS8hwBK07Qt3kdO9/fsqpZE4vrlDcvsebi75/HuPeP5zN27O8ZeZA+uKjdvYSWhP22HNoZhGFkmj19WykL8iqW1lMagbYFyBcZAt5M9QggyulWKjSWYqKoaDfhF+B3jeGEaJ5KXPJYUA+viub55yf5wS9MeULpgWieSWol5JsQVhQSuPvMLv/O7f/WzXVtRlSX9ZeHxNKCSaJCbsiJmT4ie1cuK9GmdXNWOvEENlUo0laV0BdM8ipgdWWw0G//ucv/A2l8wVskrcF7o9nseBhn1lM7hl5VpGrc0J7P9UAIpBVCwrjLLbdtODpeXkiOmRFwXSiN1ZEiyOn/K9DCF2chEoiIzxpBzpKosMcvM1YfE+dzz5ZfvxJRayEYx5QT5qfxQkjGtzbZFzOz3O/7at/4KyzwxztOmGx+ZR3GTmMLw7v6OcR6Zp57+8ihlSSGHFgXWOqwTVMTTJECAO5HSOdqmpm07uq4VR02S76GrJNS+bTvOpwshCLpBaU1VyiQlgzShRcHu+sjD6ZGr4/X2NY5cHi/M40LwQZzqhaXb72nbRtIZSocyhsIWFEZtznMgJ2KMVG3N1e2VMFruTyStqdp2A2CKXibnbZSqBRRT17X8X7TifDmTY8Iau+GS5eLISjysSin2hysq11DVO1JUDMPMui5kAimHbb9RMC4LxboEdI4UKhF8YloihdFErcEW3L1/S04CNNQ6SDGuJdIsZ+l4IbOs60bNlEWARDSY541h8h5XKEqrKZ2ljyeGh5ElBBnUGy3IKTSFrXBVSVYLSYmNf5lXyDD1E7t2j0ow9gMxJ2zhGPqBXEgk3JKT8DySwugnJ3tgXQMpQekclSuo6gpdFCQvCreychvwPPI8xFZaYDUIoV+XsDvsng3A8zTx5z/5CfMyk0nM68xyktLLlRshc56ISRJUTWEoy0pwuTqgkRLDaLP9k+p5Js32te8PB9588AFhFXji8XAkIEGiu/2RHBLTZeLFmxtuX17zcH+mH0fJcykc7lDi14XTwwMEuCwz2VnePzwyngeUKajbhrI8iDnGilbEFkbc/jFtwUjSGGtjUFoTYmaZZ6GClgVFXREQ7p7KmfPpgaMyVJWYpudlkW/nRhghgyuM9EFVybpCmAN9fxEhlS1Fk1I6rKs47gt23Z7z5TWPly+Ypncsc79FjKxoVVBoU4EqxYnytBkyMC8L6dDR7HeUTvPzz3/Osnq67kBh7XZzZlYfiNGTU8RVmXkVCEpZlZRVxRo9xgrqSmt5qsd5IsTAvM6gLcs0bytTEdB03Q5n9ZZPolkX0RiUzhLXwNBfaNqWqnqauIiWO4XMvM4Y56hchVaKqrZoJZ5DY5SI1xePs46ilBjoWERcWVJW8tZ52hw+mWTzdsiekqhc6WANMtGIkfvHE/YpwB4lZYQ2fOPjj/mLX3zFsPa4QgsncANGx7Q50U3xvF17ylmJWZBi1hiuro68uL3GFZq23rPOC9M8EKKjLCu0gtP5TFXWFMbSdXvGyTPOJwqliIuncJLvff/ujg9efwgq07Qd58cTwzyhjKbdl5twylA6UdPlmMTCtTFGYgii1UlicF6nhZ07Mpx7ClvgulISAvpezr4WsZoCdN1gX7LIhAAADahJREFUXbnJHkRPnfOGZ3Min53nBWsd+/1BtD3DJLRWbejevGQcAq6sedG0FGXFr36VeXwYSXmmcJn9oaHQRn++RL42zIFhXMgbEsA5RwiRw/WB477j7ft3DG/vqNoGa93mRRNl2rrM+HVhPp1kNWwdVVXTbbNR7wO7fUdKnhAhDjOFNhKBEDLrtD7fAD54CdI0LUXhmeaFdfFUdSUby5zQhcJH0Z7ojeTvY2SdPcZZ2nIjexqFsY7EhltVBcPYs27Z0v35gq8qtNIEHzm0+62M2oJUNm+kSjLeM7YABXdv74RRZ+SX0oar/YHXL1/yeD7x+HjCGsPxcOSX+Zf0wxllJcyehKRkpSjOelNset4NtJ5F/ReCp21bXr95RVOLyrC0lt2u5XDYS3pWKTzlZr/DVQ0hBt69u2caV2FIb7kv6yri/rrumOaRurKY1dM4R9e1nC4XHh7O3L6+JobEdBmkVNDgg2fXdqQYeHt3jy1LbO1Y5wlyoqgsyzwznCUF1pYlty9eMC0zwzTjU0KHiF5X6VVCgd1kEE+ZNM45wVusK+WmvUdBCgmUott3PNzfk7KiKiF70Npxff0R/bByOX/xFMD5ufmbf+tv/EFI+XemceXx1BNjZNe1m6ZVc9zvWNaFRNz8aRWuEv+bzuBsQUoetiyU4FcyecsSMQz9hXHoaaqK3b7Dlo5lXkhe1uMhRrqupdjqXGM0+/2OqiwZp4llXbblhRZpJJqrm6M0nuPKOIr2126xziHI7Sa1qRh5h2HAbk4WNmK+tU7mv8FTNU7m3cHzv//8J89JAXmLJH6ScWqlMYUlhEjTtHzy9a+zBi8PfrejaVqmRTBjmcBX797xcDpx6c9cTj16e13L3ymBQM7Z5xtaATllibcLK1dXRz799FOOO+EIrt6z2+0oXSEPRKEZ+0HW20ZRt41gzkIQrbM2pOjx80IOmV3XUVYFN1e3XM5n2XYmmS2XtpbfB481wsHTWrG/PtC2LTllxnkmRPl3U4Y4e6q2QRcFb3/xFdO5p2pbyrKWZdiyErOYBdquobBGyAHWYpQ4h7TRHPYSv3w6nUBlxmFkGkaMlaSwGAJf/PoLcpIS14cFlaFqGpqd0P9reTj/zHz3979T58Q/DF7USyllun3Hbr+nfRq+X6Rx6y8jrhSI9zKLm8OHCNrQtR1Ga8HxjvOzc9kYwzjNIik1GowhJcPiA3XTcHV7zRpWcWV7j99002iN3kQ3OcH5dN5GU4Zd27FuP7TD8Sh4rGXZ4hwkKQst04kYpR6WsaN+7rCNhm63kzo3BdZpwa8LP/nZz5BY642qn/JzTZuV2poyaLsdrz78gGmYyGskZbj0I/OyEsmgZUk1DiPTOKKUAFWUUhLAuS1OlBZ8rwQaKZn+LBM5Z968ec3XP/1EZsGbcMvaQkKHCsM0DqQQ0BvRv65r5mVmmibhomiJpqirGlsY9ocd2hY83j+yTivlrtkOjRhslzlwfXVkGgdiDty8uMWVjpw9XVdvRmGkeUMTlhVXOnHT2wIfIlNYuYwjSimZcPjI1Iu2PEZRxy3zTFmW7PYt0yTrdGMd2oDJAoZf5oXxchEl5rhgC0tViWaeDWOcE+hCEfxICDPW6n9ufvSj//Xj7/7+730vJnUcZ88aVlTOkqPc1kIUCplxnEhEEckU5lkZlpGb1pUlicQ0zThn2e07vBc2hHlaeTrxLK6rxwdxQaS8PZHjxDQuMmLquq1h20ZYG9ETrXFlSWELLoOo7pq6Fs1HU1Facbcsm1i+sJZpnABF11aSA+jDZklKuKpgWRce7+6fb6zPf/FL8c5ps+URCi1f6j3L/niF1pYUE/M0E1a/hZoIZEbpjLVSQoQlctzfcnq4F0SYUc+6B21+K8pic4QrxGD71FR/8smH3NwcWcaRpm0oXEFTyyGMBE7nM6YotxiQgnVZmaaZ0/0j4zASYhJA+PbGKlzBOq9czgOvXn8gB9evlE2J2RY/7a4RREXOos9JGasLYkrc3z9wOZ1hDRigqbdDWAhzTxsZY4YUaNpyA/hsOeRFQc6wLl5KvCCz5hwSy7SwzDNhCTRNg6sdeZvDx3Vlf33gxctr6qoS2ppRKC1BpraQpn0cL5//u3/7H/+wADC2+sys/t+kFKjqUgb0Xpo75xzGZdZ5YEJjjJM6pyyfv7AQIg9392KxR1wEZVmxLgver1RVRUgJtMFqS06KNQRCyhAiddOxzBFTZGIOiG8F/LqKuVLJ69SsHrRh2vTN1hSs4wgpoLUh5CgLjVWiInQW8dFhJzagZZ7xm4TS1TWXXmKD1xDo2oYleCmbVNwY0fm5tlVbqPy6Boki9oGH+3uatmHXHQToaDSv37xkf9zzF7/6FcO55xJO2w0v4ZPPaLFNDZhS3DbsRhIUYiBn4TBro2V9X0lMHVpUhONwoawspXOcz2f2+wO2rOgvPYUxXL+43lJbHUYblnlBKfDeU1lL9iuoQH85UdaWti6ZorAFfVgpXIEJUnZYZ1jWlcfTiXdfvSOugaLr2B1atIqkuFJ1NavWnPxKVpm6rFA+kfAUzj0bmVP20j9ET1mVFLaVfEYy+MAyLuicMGWBtoJYrgvHri3pupJlmHFdS1lX+CQG5dUHStfSVLvPeDLL/6t/+a9/mFL6QYoCwktPCUzv34tqqxBaerkRd9Szm0PQAMPQ0/cX+n4gbCL5y2XYwm0EUZuSkIW6fUvdyi2rtBgwq9IJVV9J/bssC0oJnFukm0n0xKunKNQzr6MwMgKsS7fBaTblm9bbIcnUVSkHY15Zoyjk+mnhdOm5nC8C3TYFZVFQoKk3FrPaoimeslq0kVtrvFzwy7xpoWe0Unz86Yc4Z0khsowzl8ezuCuWnnl9JORF6uZN9/w0k33i1aWUn72DApRXHI8H9m2NNVp04c6x71qKYkvP8gmdNTkktNKczpLSWzYlL15e03VSYhktwJ8YxEScgqcrLSFM2NLQ7jpZHmlwzm2ZMJqqEsKrtoZpnXl4uCP4hbK28mfTQFwXjIKqsLy4veXNy5ccDwdaV9LVNSom4uxpy5bKVaIPAs6PjxIVUhRifO4vLPNMVYly8OH+gbdffkGIC2EdScvK+eFE0wjvRZzw0jRGHwmL/8Gf/Mm//6FkRm6fH//4f/7pxx9+88av4btKSTbH+XSiKSvqshJ/4TihtSQdlVUlY7tFbDamsE+j0+fxVteJl69papSWW986i7YFYctvsdZy6XtSSvhVXj3dvuPFq1usM8+glxwVfT9gK4c2WhIFCkNhNMQgVCNkeRJjwpbuOZebLIIfZy1lVeHKGltYnFIcmoq2cs8c57v7R+4f+03AL1ERKLYVv8L7rXa/vpGHKAnF9Hw+YZSwm8+nM9M0MU8X/t7f/wN+9tOfMk8LxeZJ1EZKjZSUJGNpiadIMTJNE0VR8OknH/LxR68praWuKz56/ZKcPOiM1ZbgE9EHmqamaTvu3t9RlbKCtkZkrg/3j8zzTNpSE5Q2hBBod3tJLNDSNM+zR236FClLPGVhQUkoz/37e+7e3eGcozvI2+j92zuMEhuXLhzWOAHZVBU5BKzVxJgoCok7qZrmt6QSmbIqNwnAwDzMQryyDpTmdD5zOp0oS0dVFGgMS0jb6l0MCEuQZZHW6gf/4o//+PtP51jzW5///F/+9Pshhu9l8ufn/iI/wHUlKcXh6kq0uvOMIJel2Woa2fqIu0SeMGU0rhKies6JeV5om5bKWtbZk7OirioKKyOc4P02qtFyOyN65mqjcMpbQzh1bM5hjaJ0JTEG4rKgsliq9FaPGm2w1qK03Kx1WdJWNfuu5fq442q/43A40HRCz2yOR2zbUDb187Qhb9tB/aTj3djPaIWtHKZ0LCHy5ZdvUSpz2DdYA8NwATI3Vzd84y/9ZZxzMl3Rv8lZfIpmyzFtYAS1WdTEVV3Xtczgg+RbW2NYxxFXGFE9OkOzb3n10SsKo+iamsrJHHycJNRSEG6G/fWBsqk435+YZ0/vPZdxIgZYF/EQZhLTNNFu8oUUE1obvPeyhfRBgJ5oYmIbhxZk4wgK7u4fuFwGseIhMJ+0iRiUMRLKRKLbtdy+uJG8lygXXLvb4ZwAH4dhYJqll8oh0TQdflnQa2A8PZLCSlEa0PnzDN/7o3/2R9//7TNc8P98/vv/+LMfAj/823/n7/6jw3H/D8qq+j3vw9eSSyiV6Pueq6akHy7suw5XlcQU8d4zzyNoTdO0ZDL9MLD0EjnQ7g/sdjsulwvzxW/B8jCtM3bTMKQk9bhfAyrK6tMohyKyLL2sqr1HZzYPXBQtrxY2iHUVEf2cyVeVFcYoQcYqEQAV20O3bO6LbASZYE2JcQlTSKrSb4FEnz95q5P9unD37iuy1hgrxNWqaTBlRTKeXEhdaKzjv/6n/0ZYojxcakP9bmQmSZBNMs7jNyzosiqJMfP4cKZyJWsVeHt/QtmKvl/RVrPbd5KL41emceB4ODKMPdMykbNinmaquuZw3FF3DU1dcx/es64CrHw4PdKUDTlnQpS19n5XS/LBLLk5db2ThZgx1E1D3VQSmFQYdE40dQUmi4xzHth1HVrHLc/GYl0Q0tYqjiejHVFHlsUT1iAXRJBjb13BMs3cv3/PMI4cjkeudjtqa5jnia5uMSZ/Tlh+hM//4R//k3/6Q/4/n/8Ll773BYQcQT8AAAAASUVORK5CYII=
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
<$button class="clickbutton tc-btn-invisible buttonfield" style="width:1.8em; height:1.8em" tooltip="Get information about this scholar" popup="$:/temp/popup_scholar_info">
<i class="fas fa-info-circle"></i></$button>
<$reveal type="popup" state="$:/temp/popup_scholar_info"><div style="position: relative">
<table class="centertable borderless infobg" style="min-width:200px; margin-left:-150px; margin-top:4px; margin-bottom:2px">
<tr><td><div class="cell center" style="background-color: #CCCCCE!important; white-space:nowrap"><b>{{!!title}}</b></div></td></tr>
<tr style="background-color: #E4E3E6!important"><td style="font-size: calc(8px + 0.6vw)"><div style="padding-top: calc(2px + 0.5vw); padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw); padding-bottom:calc(3px + 0.5vw)">//Dates of life//:<br>{{!!dates}}</div></td></tr>
<tr style="background-color: #E4E3E6!important"><td style="font-size: calc(8px + 0.6vw)"><div style="padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw); padding-bottom:calc(3px + 0.5vw)">//Country of birth//:<br>{{!!country}}</div></td></tr>
<$list filter='[title{!!title}has[aka]]'><tr style="background-color: #E4E3E6!important"><td style="font-size: calc(8px + 0.6vw)"><div style="padding-left: calc(2px + 0.5vw); padding-right: calc(2px + 0.5vw); padding-bottom:calc(3px + 0.5vw)">//Also known as//:<br>{{!!aka}}</div></td></tr></$list>
</table>
</div></$reveal>
J. G. Sulzer (1758), p. 55.
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
Source: Alexander Gottlieb Baumgarten (1768), //Sciagraphia encyclopaedica//.
Main source: Peter Abelard (~1124), //Logica Nostrorum petitioni sociorum//.
Main source: Aquinas (1252).
Main source: De Provence, Arnoul (~1250), "Divisio scientarum", in Claude Lafleur, //Quatre introductions à la philosophie au XIIIe siècle: textes critiques et étude historique//, Institut d’études médiévales, Université de Montréal, 1988, pp. 295–355.
"Having assumed, as the basis of our argument for the progression of humanity, the consecutive evolution of the sciences, and their logical dependence on each other, we have endeavored to present the sciences in a tabulated form, which, if correct, should present the logical order in which they must be classified, and the chronological order in which they must be evolved by the human race." Dove (1851), Appendix, p. 501.
Main source: Duns Scotus (1303). See especially "Distinction 34", p. 728.
"The registers of science are such books as contain the demonstrations of consequences of one affirmation to another; and are commonly called books of philosophy", //Leviathan//, chap. 9, p. 51."Science, that is, knowledge of consequences; which is called also Philosophy", //Leviathan// (1651), chap. 9, p. 52.
Main source: Hume, David (1748), //An Enquiry concerning Human Understanding//, edited by Tom L. Beauchamp, Oxford: Oxford University Press, 1999.
"I recognize two branches of science: Theoretical, whose purpose is simply and solely knowledge of God's truth; and Practical, for the uses of life." Peirce (1902), "A detailed classification of the sciences", §. 239
"Knowledge is a single thing, too, I suppose. But each part of it that has to do with something is marked off and has a name peculiar to itself. That’s why there are said to be many expertises and many kinds of knowledge." //Sophist// 257c.
Main source: Gimabattista Vico (1725), //La Scienza Nuova//, II.2, §.3.
Source: Commentary //Totius eloquentiae// on Priscian (~1130).
Source: Wundt (1889), //System der Philosophie//. English transl. G. T. Ladd (1890).
"La science de l'âme ou la notion d'une intelligence crée, finie et unie à un corps que nous aperçevons dans l'homme ou que nous supposons dans la brute. On entend par âme un principe doué de connoissance et de sentiment." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Qui conçoit." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Qui se borne aux sensations." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Sa distribution nous est donnée par celles de ses facultés principales, savoir: l'entendement et la volonté." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Les sciences politiques [...] peuvent avoir pour objet les principes des lois qui règlent la jouissance des droits personels de l'homme et auxquelles doivent être soumises les actions publiques ou privées qui ne peuvent être abandonnées à la volonté propre sans violer les droits d'autrui: et c'est alors la //science de la législation//." Condorcet (1793), p. 769.
"La science de la nature se distribue en physique et mathématique." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts".
"Les sciences politiques peuvent considérer: les principes d'après lesquels doivent être fixées les conditions du pacte social formé entre les membres d'une même nation, et les règles communes suivant lesquelles les hommes doivent exercer les droits politiques, et c'est alors la //science des constitutions//." Condorcet (1793), pp. 768–769.
"La notion d'une intelligence crée finie qui n'aurait pas de corps, donne la science des Esprits biens ou malfaisants, ou des anges et des démons." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"The general laws of Nature, divisible, as stated above, into four classes of, 1st Physics, often called Natural Philosophy; 2nd, of Chemistry; 3d, of Life, commonly called Physiology; and 4th, of Mind, may be said to form the pyramid of Science, of which Physics is the base, while the others constitute succeeding layers in the order now mentionned; the whole having certain mutual relations and dependencies well figured by the parts of a pyramid.", Arnott (1828), p. ix.
Source: Pamphilis, Giacinto de (1829), //Geno-grafia dello Scibile, considerato nella sua unità di utile e di fine//, transl. Flint (1904), p. 172.
Translation according to Mahdi (1975).
Main source: Al-Ghazālī, //Iḥyā′ 'Ulūm al-Dīn//, Book 1 ("Kitāb al-‘Ilm").
"Toutes les vérités que l'homme peut connaître se rapportent en définitive à deux objets plus généraux encore, le Monde matériel et la Pensée. Je m'occuperai d'abord des embranchements relatifs au premier de ces grands objets, pour les classer, les définir, et fixer par des caractères précis les limites qui les séparent les uns des autres. Quand j'aurai passé en revue tous ces embranchements, je les réunirai en un groupe d'un ordre supérieur, auquel je donnerai le nom de règne des //Sciences Cosmologiques//, de //κόσμοϛ//, //monde//, et //λόγος//, //discours//, //connaissance//. Je ferai ensuite un second travail tout semblable au premier, sur les embranchements des sciences relatives à la pensée humaine, aux sociétés que l'homme a formées sur la terre, aux institutions qui les régissent, etc., et j'obtiendrai ainsi un second groupe de vérités auquel je donnerai le nom de règne des //Sciences Noologiques//, de //Νόοϛ//, //pensée//." Ampère (1834), Tome 1, p. 28.
Main source: //Communia naturalium// (~1260).<br>
Hackett (1997), p. 57: "In the //Communia naturalium//, Bacon gives his account of the order and classification of the sciences under the following headings: a. //de ordine scientiae naturalis ad differentiae// (on the order of the natural sciences in regard to the differences of the sciences), b. //de numero et ordine scientiarum naturalium// (on the number and order of the natural sciences), c. //de modo procedenti in tractando de naturalibus// (on the method of proceeding in dealing with the natural sciences."
"The perfect form of knowledge is Science. The peculiarities of Science are these: I. It employs special means and appliances to render knowledge //true//. [...] II. Knowledge, in the form of Science, is made as //general// as possible. [...] III. A Science embraces a //distinct department// of the world, or groups together facts and generalities that are of a kindred sort. [...] IV. A science has a certain order or arrangement of topics, suitable to its ends in gathering, in verifying, and in communicating knowledge". Bain (1870), p. 24.
Main source: Bliss (1929).
Source: Bourdeau, Louis (1882), //Théorie des sciences. Plan de science intégrale//, vol. I, p. 50bis.
Source: Charron (1601), p. 97 ff.
Source: George Albert Cogswell (1899).
"There are, as we have before noticed, two sorts of relation, on the due observation of which all Method depends. The first is that, which the ideas or laws of the mind bear to each other; the second, that which they bear to the external world: on the former are built the Pure Sciences; on the latter those which we call Mixed and Applied.", Coleridge (1818), pp. 34–35.
Main source: Gessner (1549), esp. I.1.§8.
"Au point de vue philosophique, il ne peut y avoir qu'une seule science: 'La Science', qui doit comprendre l'explication de tous les phénomènes, quels qu'ils soient, auxquels notre 'moi' se trouve associé. Mais nous sommes si éloigné de pouvoir réaliser cette synthèse idéale – même si elle est réalisable – que nous avons, par raison d'impuissance, subdivisé l'ensemble de nos connaissances en un certain nombre de catégories arbitrairement établies. Si banale que soit cette vérité, il n'est pas inutile de la rappeler: c'est parce que nous ne possédons pas 'La Science' que nous avons 'les sciences'." Guye (1919), pp. 238–239.
Source: //Etymologiae// II.24.3-8.
Source: Janet, Paul (1897), //Principes de métaphysique et de psychologie//, C. Delagrave, p. 118.
"S'appuyant sur la définition de la dialectique où sont énumérés les trois grands domaines du monde objectif — la nature, la société et la pensée, — on peut se fixer pour objectif de trouver d'abord les corrélations entre les trois principales sections de la science qui étudient les lois particulières de chacun des trois domaines du monde objectif. [...] En se guidant sur ces considérations et en suivant les principes adoptés, on peut établir le fondement ou le "squelette" de la classification générale des sciences. Ce "squelette" se présente sous la forme d'un triangle dont les sommets sont occupés par les sciences naturelles, sociales et philosophiques. Ici, les lignes en caractères gras expriment les liens de premier niveau entre les sciences; ces liens forment les pierres angulaires de la classification des sciences tout entière. Les sciences qui n'entrent pas entièrement dans aucune des trois sections fondamentales du savoir scientifique sont placées aux points de jonction et d'interpénétration des principales sections. Il s'agit là des liens de deuxième niveau entre les sciences représentés dans le schéma par des lignes en pointillé. [...] L'ordre des sciences et leur interdépendance sont représentés comme //le reflet direct et immédiat de l'ordre historique d'apparition et de corrélation des degrés correspondants du développement du monde objectif lui-même//, ainsi que de la corrélation de ses lois les plus générales et les moins générales." (Kedrov 1965, Tome 2, p. 490).
Source: Robert Kilwardby (1279).
Source: Raoul de La Grasserie (1893)
Source: Labanca, Baldassare (1875), //Della dialettica libri quattro di Baldassare Labanca//, M. Cellini. English trans. in Flint (1904), pp. 247–248.
Source: Naville (1888), //De la classification des sciences: étude logique//.
"Les sciences se répartissent en trois groupes:
1) Les sciences du réel (science des êtres)
2) Les sciences des conditions nécessaires du possible (sciences des lois)
3) Les sciences de l'idéal (sciences régulatives)", Naville (1888), p. 5.
Source: Naville (1901), //Nouvelle classification des sciences : étude philosophique//.
Source: Nizzoli, Mario (1553), //De veris principiis et vera ratione philosophandi contra pseudo-philosophos//, Parme.
Source: Lorenz Oken (1804).
"Like Spencer, we may begin by distinguishing in the sciences two groups -the Abstract and the Concrete. The former group deals with the conceptual equivalents of the modes under which the perceptive faculty discriminates objects, the latter with the concepts by aid of which we describe the contents of perception." Pearson (1892), chap. 10, p. 454.
"La classification mathésiologique doit être essentiellement //objective//, les différences //subjectives// n'ayant à y intervenir que secondairement. Si nous nous demandons combien doivent y être établies de //divisions primaires//, nous pouvons donc déjà répondre: autant que nos connaissances ont d'objets principaux ; autant qu'il est de //groupes principaux// ou d'//ordres de vérité//." Saint-Hilaire (1854), vol. 1, pp. 238–239.
"Ces termes sont les sciences //mathématiques//, //physiques//, //biologiques// et //humanitaires// ou //sociales//, que relient, dans leurs sommités, les sciences //philosophiques//. Cet ordre [...] procède //des objets les plus simples aux plus composés//, et en outre des objets les plus généraux aux plus particuliers ; de ces êtres abstraits qui ne sont qu'étendus, à des êtres étendus encore, //et de plus matériels// ; de ceux-ci à des êtres matériels encore, //et de plus, vivants// ; de ces derniers enfin, à des êtres vivants encore, //et de plus, intelligents et moraux//. Ordre où, par cela même, on s'avance progressivement de vérités et de sciences dont le domaine est //infini//, puis //universel//, à des vérités et à des sciences de plus en plus //limitées//; par conséquent, et à tous égards, //des plus complètement indépendantes aux plus dépendantes//." Saint-Hilaire (1854), vol. 1, p. 245.
"La classification des sciences en deux séries parallèles peut se ramener, comme il est facile de le voir, à une construction très simple, et dont l'usage nous est à tous familier, la //table à double entrée//. [...] Dans la //table// mathésiologique, les sciences //objectivement// analogues se trouveront sur la même ligne //horizontale//, et celles qui se ressemblent //par leur but//, sur la même //verticale//: sorte de projection qui donnera, de leurs doubles rapports, une expression graphique aussi nette que facile." Saint-Hilaire (1854), vol. 1, p. 261.
Source: Schopenhauer (1819), vol. 2, chap. 12.
"The three classes of Sciences above separated, are not distinguishable at all by differences in their degrees of generality. They are all equally general; or rather they are all, considered as groups, universal. [...] Within each group there are truths of greater and less generality: there are general abstract truths, and special abstract truths; general abstract concrete truths, and special abstract-concrete truths; general concrete truths, and special concrete truths. But while within each class there are groups and, sub-groups and sub-sub-groups which differ in their degrees of generality, the classes themselves differ only in their degrees of abstractness" (Spencer 1864, p. 9)
Source: August Stadler (1896)
Source: Stanley, H.M. (1884), "On the Classification of the Sciences", //Mind// 9(34), pp. 265–274.
Source: S. G. Strumilin (1954).
Source: Thompson, Daniel Greenleaf (1884), //A System of Psychology//, vol. 1, pp. 76–77.
"On doit classer et étudier les sciences [...] en procédant du simple au composé, c'est-à-dire en procédant //synthétiquement//." Torombert (1821), pp. iii-iv.<br><br>
"Mon expérience propre m'a convaincu de [...] la nécessité de classer les sciences dans un ordre qui en fasse saisir plus facilement la génération, la liaison et l'ensemble, dans un ordre conforme à la nature et à nos moyens de perfectibilité." Torombert (1821), p. iv.
"The Classication of Sciences has its chief use in pointing out to us the extent of our powers of arriving at truth, and the analogies which may obtain between those certain and lucid portions of knowledge with which we are here concerned, and those other portions, of a very different interest and evidence, which we here purposely abstain to touch upon. The classification of human knowledge will, therefore, have a more peculiar importance when we can include in it the moral, political, and metaphysical, as well as the physical portions of our knowledge", Whewell (1840), //The Philosophy of the Inductive Sciences//, XI.IX.2, p. 113.
"The Classification thus obtained, depends neither upon the faculties of the mind to which the separate parts of our knowledge owe their origin, nor upon the objects which each science contemplates; but upon a more natural and fundamental element ;—namely, the //Ideas// which each science involves. The Ideas regulate and connect the facts, and are the foundations of the reasoning, in each science: and having in the present work more fully examined these Ideas, we are now prepared to state here the classification to which they lead", Whewell (1840), //The Philosophy of the Inductive Sciences//, XI.IX., p. 114.
Source: Whittaker (1903).
<br>
"Comte's linear series, provisionally conceived as in a straight line, must be bent into a circle." Whittaker (1903, p. 22).
"In the following classification which I shall give, I divide first into three classes with reference to the end in view; and in the sub-divisions I have followed the received divisions and names. Each class naturally divides itself into tow departments, differing in the first class both in the starting-point and in the Method. In the second class they differ in the starting point only; and in the third class the two departments differ chiefly in the object in view." Wilson (1856), p. 341.
"Les //sciences agricoles// sont aussi des sciences naturelles appliquées, car elles n'ont aucun caractère scientifique qui leur soit propre elles se composent de notions empruntées aux sciences naturelles pures et aux sciences mathématiques pures et appliquées." (Chevreul 1866, p. 565).
"Self-interest, as in the case of the bodies themselves, having prompted to careful classification, in the present day, as the result of countless observations and experiments made through the series of ages, we are enabled to say, that all the //motions//, or //changes//, or //phenomena// (words synonymous here) of the universe, are merely a repetition and mixture of a few simple manners, or kind of motion or change, which are as constant and regular in every case as where they produce the returns of day and night, and of the seasons. All these phenomena are referable to four classes, which we call //Physical//, //Chemical//, //Vital// and //Mental//. The simple expressions which describe them are denominated //General Truths// or //Laws of Nature//, and as a body of knowledge, they constitute what is called Science of Philosophy, in contradistinction of Natural History.", Arnott (1828), p. viii.
"Arnott enunciated an idea of a hierarchy of fundamental sciences closely resembling that of Comte" Flint (1904), p. 174.
"Les vérités //biologiques// ou relatives à la vie [...] ont pour objets ces êtres encore étendus et matériels, ces corps dits organisés et vivants, que distinguent, entre tous les autres, et d'une manière si tranchée, leur accroissement graduel à partir d'un moment initial, la mobilité continuelle de leur composition physique, leur durée limitée, et par conséquent la restitution, d'abord lente et partielle, puis, finalement, entière, de la substance qui a successivement constitué leur individualité." Saint-Hilaire (1854), vol. 1, p. 242.
"La recherche des rapports entre les faits", Bourdeau (1882), p. 22.
"Les sciences cosmologiques contiendront, dans leur premier sous-règne, toutes les vérités relatives à l'ensemble inorganique du monde ; et, dans le second, toutes celles qui se rapportent aux êtres organisés." Ampère (1834), Tome 1, pp. 28–29.
"Je donnerai aux sciences comprises dans le premier sous-règne le nom de //Sciences Cosmologiques proprement dites// ; elles renferment les sciences mathématiques et les sciences physiques." Ampère (1834), Tome 1, p. 235.
"Si les sciences de la nature du siècle dernier étaient un système de sciences isolées, au milieu de notre siècle, elles sont devenues un système de sciences qui s'imbriquent et se pénètrent les unes dans les autres." (Kedrov 1965, Tome 2, p. 496).
"Dans la série générale des sciences reflétant les degrés de développement de la matière, la connexion de deux sciences voisines est ainsi faite que //le point final// du développement de l'objet étudié par la science qui se trouve au-dessous, est en même temps //le point initial// du développement de l'objet étudié par la science qui se trouve au dessus." (Kedrov 1965, Tome 2, p. 499).
"L'épithète //dialegmatique//, que j'ai donné aux sciences qui ont pour objet l'étude des signes." Ampère (1834), Tome 1, p. xliij.
Main source: Destutt de Tracy (1797).
Main source: "Essai d'une distribution généalogique des sciences et des arts principaux", in Charles-Joseph Panckoucke (1780), //Table analytique et raisonnée des matières contenues dans les XXXIII volumes in-folio du Dictionnaire des sciences, des arts et des métiers//, Paris: Panckoucke.
"Les sciences ethnologiques [étudient] successivement les lieux qu'habitent les nations, et les races d'où elles tirent leur origine, les monuments qu'ont laissés les peuples qui nous ont précédés, l'histoire de leurs progrès et de leur décadence, et les religions qu'ils professent." Ampère (1834), Tome 1, p. 254.
"Les sciences expérimentales s'aident beaucoup du raisonnement et du témoignage des hommes ; mais elles ont ceci de particulier, que, dans chaque cas, tout individu qui en a la volonté, peut à la rigueur s'en passer ou du moins s'assurer, par le témoignage de ses propres sens, de la vérité des faits que le raisonnement ou le témoignage d'autrui lui ont fait connaître." De Candolle (1813), p. 2.
"Sciences qui ont pour objet spécial de nous faire connaître le globe que nous habitons." Ampère (1834), Tome 1, p. 90
"[Les sciences historiques] ont pour objet l'étude, la comparaison et l'explication des faits relatifs à l'existence passée ou actuelle des sociétés humaines." Ampère (1834), Tome 2, p. 95.
"J'ai trouvé que le caractère, d'après lequel on doit définir les sciences mathématiques, consiste en ce qu'elles n'empruntent à l'observation que des idées de grandeur et des mesures." Ampère (1834), Tome 1, p. 199.
"Les mathématiques sont le produit de l'//observation et du raisonnement//." (Chevreul 1866, pp. 528–529).
"Les //sciences médicales// [...] étudient les phénomènes produits par toutes les causes tant externes qu'internes qui peuvent modifier l'organisation animale." Ampère (1834), Tome 1, p. 190.
"Les //sciences médicales// dont le but est de guérir les maladies n'ont, comme les précédentes, aucun caractère essentiel; car elles empruntent, pour atteindre ce but, toutes les connaissances qui les constituent aux sciences naturelles pures et aux sciences mathématiques." (Chevreul 1866, pp. 566–567).
"On doit séparer, dans les sciences morales, l'éthique, composée d'observations //subjectives// sur les moeurs, les caractères, les passions des hommes, de la thélésiologie, où l'on remonte aux fondements //objectifs// des vérités morales" Ampère (1834), Tome 1, p. 253.
"Théories de la combinaison des moyens pour la réalisation harmonique de plusieurs biens" Naville (1901), p. 183.
"Des deux sous-embranchements dont se compose l'embranchement des sciences naturelles, l'un est relatif aux végétaux doués de la vie seulement, c'est-à-dire, de cet ensemble de phénomènes qui consistent à naître, croître, se reproduire et mourir; l'autre aux animaux qui jouissent en outre de la sensibilité, du mouvement spontané et des forces musculaires qui le produisent." Ampère (1834), Tome 1, p. 220.
"Les sciences physiques et naturelles, pures et appliquées, sont le produit de l'//observation, du raisonnement et de l'expérience//; et ces dernières sciences ressortissent à la //méthode// A POSTERIORI que je qualifie d'//expérimentale//."
(Chevreul 1866, pp. 529–530).
"Les //sciences naturelles// ayant, comme on vient de le dire, pour but de faire connaître les phénomènes généraux de la nature et les corps qui existent naturellement dans l’univers, se divisent en deux branches: les //sciences physiques// et l’//histoire naturelle//." Omalius d'Halloy (1834), p. 4.
"Le premier sous-règne des sciences noologiques aura pour objet l'étude de la pensée et des moyens par lesquels les hommes se comuniquent leurs idées, leurs sentiments, leurs passions ; tandis que le second s'occupera des sociétés humaines et des institutions qui les régissent." Ampère (1834), Tome 1, p. 29.
"Nous aurons, d'un côté, les //Sciences Noologiques proprement dites//, qui comprendront les sciences philosophiques et nootechniques, c'est-à-dire, tout ce qui concerne la pensée en elle-même et les moyens dont les hommes se servent pour la manifester, et pour modifier celle de leurs semblables." Ampère (1834), Tome 2, p. 169.
"La section qui traite des faits isolés", Bourdeau (1882), p. 22.
"Pour les sciences philosophiques, la division était toute tracée par celle qu'on fait ordinairement de ces sciences en psychologie, métaphysique et morale, la logique étant évidemment une partie de la psychologie." Ampère (1834), Tome 1, p. 235.
"On a toujours distingué les sciences mathématiques proprement dites, des sciences physico-mathématiques, quelle que soit l'analogie qu'elles présentent, soit relativement à la nature des principes dont elles partent, soit à celle des calculs par lesquels on en développe toutes les conséquences" Ampère (1834), Tome 1, p. 252.
"L'autre sous-règne se compose des sciences qui comprennent toutes les vérités relatives à la nature [...]; je les nommerai// Sciences Physiologiques//." Ampère (1834), Tome 1, p. 235.
"Les //sciences physiques// [...] ont pour objet les propriétés que présentent les corps indépendamment de la vie propre aux êtres organisés. Mais au lieu de se borner à celles de ces propriétés qui n'empruntent à l'observation que des idées de grandeur et des mesures, elles s'occupent de propriétés que nous ne pouvons reconnaître qu'en joignant l'expérience à l'observation, et dès lors, tandis que les sciences mathématiques embrassent l'ensemble de l'univers, les sciences physiques sont nécessairement bornées à une étude plus spéciale des corps que l'homme peut atteindre" Ampère (1834), Tome 1, p. 201.
"Les phénomènes généraux se manifestant, soit sans changer sensiblement la nature des corps, soit en changeant cette nature, soit par l’effet de la force connue sous le nom de vie, il y a lieu de diviser les sciences physiques en trois branches nommées respectivement //physique//, //chimie// et //physiologie//." Omalius d'Halloy (1834), p. 4.
"Les vérités physiques ne sont plus abstraites et purement intellectuelles, mais //réelles//, en prenant ce mot dans l'acception que lui donne Buffon; elles ne sont plus absolues, nécessaires, éternelles, mais subordonnées à l'existence de la matière et des corps." Saint-Hilaire (1854), vol. 1, p. 240.
"Le premier [sous-embranchement] comprend la physique générale et la technologie, sous le nom commun de// Sciences physiques proprement dites//." Ampère (1834), Tome 1, p. 101.
"Les //sciences politiques// [...] sont à l'égard de celles qui les précèdent dans le second règne, ce que les sciences médicales sont par rapport aux sciences cosmologiques." Ampère (1834), Tome2, p. 167.
"Les sciences rationnelles, telles que la logique, les mathématiques, sont tout entières produites par notre entendement, et peuvent exister indépendamment de l'existence ou de la connaissance de tous les êtres." De Candolle (1813), pp. 1–2.
"Sciences de l'idéal ou des règles de l'activité", p. 38.
"De l'autre côté, nous aurons les //Sciences sociales// ; nom qui convient à la réunion des sciences ethnologiques et politiques, où l'on étudie les sociétés humaines." Ampère (1834), Tome 2, p. 169.
"Le nom de //Sciences sociales// paraît convenir [aux] rapports de devoirs et d'intérêts de ces êtres considérés relativement à leurs semblables. [...] Si elle envisage ces rapports relativement aux individus, on peut conserver à cette partie le nom de //morale//. Si on les considère dans la masse totale d'une collection d'individus, on peut leur donner le nom de //sciences politiques//." Condorcet (1793), p. 768.
"Chaque science sociale particulière est avant tout une science historique. [...] L'histoire sociale peut être considérée selon deux coupes fondamentales: premièrement, en envisageant le développement de toute la société humaine dans l'interaction et l'interdépendance de tous ses aspects et, deuxièmement, en envisageant le développement de l'un ou de plusieurs de ses aspects détachés par une sorte d'abstraction scientifique, afin d'approfondir les lois du développement social." (Kedrov 1965, Tome 2, pp. 492–493).
"Les //sciences morales et politiques// peuvent se subdiviser en deux branches, selon qu’elles ont pour but de faire connaître des faits ou de prescrire des règles, d’où nous désignons ces
deux divisions par les épithètes de //narratives// et d’//impératives//." Omalius d'Halloy (1834), p. 7.
<br><br>
Denomination amended in Omalius d'Halloy (1838):
<br>
"Une autre modification introduite dans mon tableau consiste dans la dénomination de //sciences sociales//, substituée à celle de //sciences morales et politiques//, pour le groupe dont le but est de faire connaître l'état et les actes des sociétés humaines, et d'établir des règles propres à maintenir et à améliorer ces sociétés." Omalius d'Halloy (1838), p. 5.
"Les vérités //humanitaires// ou //sociales//, sont relatives, comme ces noms l'expriment, à nous-mêmes, à l'//humanité//; en d'autres termes, à l'homme considéré comme être intelligent, moral et social, et à ce point de vue non moins distinct de tous les autres êtres doués de vie, que ceux-ci de tous les autres corps." Saint-Hilaire (1854), vol. 1, p. 242.
"Les sciences testimoniales ou historiques reposent essentiellement, sur le témoignage des hommes dont le raisonnement pèse et discute la valeur." De Candolle (1813), p. 2.
"Sciences des limites universelles et des relations nécessaires des possibilités ou Sciences des lois", Naville (1901), p. 179.
"Il faut distinguer, par rapport à tous les ordres de phénomènes, deux genres de sciences naturelles: les unes //abstraites//, //générales//, ont pour objet la découverte des lois qui régissent les differentes classes de phenomenes, en considerant tous les cas qu'on peut concevoir; les autres //concrètes//, //particulières//, //descriptives//, et qu'on designe parfois sous le nom de sciences naturelles proprement dites,consistent dans l'application de ces lois à l'histoire effective des differents êtres existants. Les premieres sont donc fondamentales [...]; les autres [...] ne sont que secondaires", Comte (1830), //Cours de philosophie positive//, Tome I, p. 70.
"Philosophy is divided into the theoretical, the practical, the mechanical, and the logical. The //theoretical// is divided into theology, physics, and mathematics; mathematics is divided into arithmetic, music, geometry, and astronomy. The //practical// is divided into solitary, private, and public. The //mechanical// is divided into fabric making, armament, commerce, agriculture, hunting, medicine, and theatrics. Logic is divided into grammar and argument: argument is divided into demonstration, probable argument, and sophistic: probable argument is divided into dialectic and rhetoric", Hugh of St.-Victor (1130), //Didascalicon// III.1, p. 83.
Main source: Leibniz, Gottfried Wilhelm (1693), "Idea Leibnitiana bibliothecæ publicae secundum classes scientiarum ordinandae, fusior", In Joachim Friedrich Feller (ed), //Otium Hanoveranum, sive, Miscellanea//, Impensis Joann. Christiani Martini, 1718, pp. 128–136.
"Consequences from the light of the stars", //Leviathan// (1651), chap. 9, p. 52.
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
"SCULPTURE, or the framing of Statue, Figure, Ornament, &c. in Relievo, Creux, &c. as Carving, Pottery, Porcelain, &c. Engraving; Seal, Dye, &c. Etching, Cutting, Mezzo-tinto, &c. Foundery; of Bell, Letter, Ordinance, &c. Coining; Money, Medal, Medallion, &c. Pile, Legend, &c. Lapidary, Turning, Inlaying, Vaneering, Damasqueening, Enchasing, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"C'est l'art qui par le moyen du Dessein et de la matière solide, imite avec le ciseau des objets palpables de la nature." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
\define icase_paradigm() {{$:/temp/search_paradigm!!text}}(?i)
<!-- Classificatory paradigm selection -->
<table class="centertable borderless" style="position:relative">
<tr><td><span class="darkgreyfont center" style="font-size: calc(10px + 0.4vw)">//Classificatory paradigm:// </span></td><td><span class="darkgreyfont center" style="font-size: calc(10px + 0.4vw)">//Sort by://</span></td></tr>
<tr><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler='$:/temp/search_paradigm' ><option value=''>– All –</option><option value='Ontological'>Ontological</option><option value='Epistemological'>Epistemological</option><option value='Methodological'>Methodological</option><option value='Teleological'>Teleological</option></$select></div></td><td><div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/analysis_sortby'><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option><option value='country'>Sort by country</option></$select></div></td></tr>
</table>
<!-- List of maps matching the criteria -->
<table class="centertable" style="margin-top:25px">
<tr><th>Scholar</th><th>Map date</th><th>Paradigm(s)</th></tr>
<$wikify name="search-paradigm" text=<<icase_paradigm>> >
<$list filter="[has[paradigm]regexp:paradigm<search-paradigm>sort{$:/temp/analysis_sortby!!text}]">
<tr><td><$link to={{!!title}}>{{!!title}}</$link><$list filter="[title{!!title}!has[uploaded]]"> <font color="#cc0000">✗</font></$list></td><td class="center"><$calc>{{!!map_date}}</$calc></td><td><span class="center">{{!!paradigm}} <!-- Comments --><$list filter="[title{!!title}has[comments]limit[1]]"><$button class="clickbutton tc-btn-invisible" tooltip="View comments" popup="$:/temp/analysis_comment_popup"><$action-setfield $tiddler="$:/temp/comments_viewer" $field="text" $value={{!!comments}}/><i class="fas fa-info-circle"></i></$button></$list></span></td></tr>
</$list></$wikify>
</table>
<!-- Comments popup -->
<$reveal type="popup" state="$:/temp/analysis_comment_popup"><div style="position:fixed; background-color:#DBDADF; border: solid thin grey; max-width:500px; right:40px; top:10px; font-size: 10px; padding: 10px; max-height:300px; overflow-x:hidden; overflow-y:auto">{{$:/temp/comments_viewer!!text}}</div></$reveal>
\define icase_d() {{$:/temp/searchdiscipline!!text}}.*-(?i)
\define icase_e() \b{{$:/temp/searchdiscipline!!text}}(?i)
\define icase_s() - *{{$:/temp/searchscholar!!text}}(?i)
<!-- Search fields -->
<table class="centertable borderless">
<tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline://</span></td><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Scholar (last name)://</span></td></tr>
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width: 14.2em; display: flex; flex-direction: row; align-items: center"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$edit-text class="smallnoborderfield" style="width:1.2em;" tiddler="$:/temp/searchdiscipline" type="search" tag="input"/><$reveal state="$:/temp/searchdiscipline" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/searchdiscipline" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td><div class="rcfield darkgreyfont clickbutton" style="width: 14.2em; display: flex; flex-direction: row; align-items: center"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$edit-text class="smallnoborderfield" tiddler="$:/temp/searchscholar" type="search" tag="input"/><$reveal state="$:/temp/searchscholar" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td></tr>
<tr><td><span class="center" style="padding-left: 5px; padding-right: 5px; margin-top:-4px; margin-bottom:1px; font-size: calc(10px + 0.4vw)" title="Include kindred disciplines in search results (for instance, 'uranography' in a search for 'astronomy'."><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/temp/searchdiscipline" field="include_kindred_disciplines" checked="yes" unchecked="no"> Include kindred disciplines </$checkbox></span></td><td></td></tr>
</table>
<!-- Sort selection -->
<table class="centertable borderless">
<tr><td><div class="selfield"><$select class="clickbutton buttonfield" tiddler='$:/temp/search_sortby' ><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr>
</table>
<!-- List of definitions -->
<$wikify name="search-discipline" text=<<icase_d>> >
<$wikify name="search-discipline-eng" text=<<icase_e>> >
<$wikify name="search-scholar" text=<<icase_s>> >
<div class="justify" style="overflow: auto; width:100%; height:100%; min-height:100px; max-height:500px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;">
<$list filter="[has[map_id]has[uploaded]sort{$:/temp/search_sortby!!text}!has[desambiguate]]">
<$set name="last_name_var" value={{!!last_name}}>
<$list filter="[title[$:/temp/searchdiscipline]include_kindred_disciplines[yes]]"><!-- Include kindred disciplines -->
<$list filter="[regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]regexp:title<search-discipline>regexp:title<search-scholar>!exclude_search[yes]][regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]regexp:translation_eng<search-discipline-eng>regexp:title<search-scholar>!exclude_search[yes]][regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]regexp:kindred_disciplines<search-discipline-eng>regexp:title<search-scholar>!exclude_search[yes]]+[!regexp:title[.*SyntaxError.*]]">
<!-- display result if not empty --->
<div style="margin-left: 30px; margin-right: 30px; font-size: calc(10px + 0.4vw)">
<$reveal state="$:/temp/searchdiscipline" type="match" text="">
<$reveal state="$:/temp/searchscholar" type="nomatch" text="">
!! <$link to={{!!title}}>{{!!title}}</$link>
{{!!text}}<br><br>
</$reveal>
</$reveal>
<$reveal state="$:/temp/searchdiscipline" type="nomatch" text="">
!! <$link to={{!!title}}>{{!!title}}</$link>
{{!!text}}<br><br>
</$reveal>
</div>
<!--------------------------------------->
</$list>
</$list>
<$list filter="[title[$:/temp/searchdiscipline]!include_kindred_disciplines[yes]]"><!-- Does not include kindred disciplines -->
<$list filter="[regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]regexp:title<search-discipline>regexp:title<search-scholar>!exclude_search[yes]][regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]regexp:translation_eng<search-discipline-eng>regexp:title<search-scholar>!exclude_search[yes]]+[!regexp:title[.*SyntaxError.*]]">
<!-- display result if not empty --->
<div style="margin-left: 30px; margin-right: 30px; font-size: calc(10px + 0.4vw)">
<$reveal state="$:/temp/searchdiscipline" type="match" text="">
<$reveal state="$:/temp/searchscholar" type="nomatch" text="">
!! <$link to={{!!title}}>{{!!title}}</$link>
{{!!text}}<br><br>
</$reveal>
</$reveal>
<$reveal state="$:/temp/searchdiscipline" type="nomatch" text="">
!! <$link to={{!!title}}>{{!!title}}</$link>
{{!!text}}<br><br>
</$reveal>
</div>
<!--------------------------------------->
</$list>
</$list>
</$set>
</$list>
</div>
</$wikify></$wikify></$wikify>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Search_tool&dt=Search_tool" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Search_tool"></iframe>
/9j/4AAQSkZJRgABAQEASABIAAD//gATQ3JlYXRlZCB3aXRoIEdJTVD/2wBDAAIBAQEBAQIBAQECAgICAgQDAgICAgUEBAMEBgUGBgYFBgYGBwkIBgcJBwYGCAsICQoKCgoKBggLDAsKDAkKCgr/2wBDAQICAgICAgUDAwUKBwYHCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgoKCgr/wgARCAA1AYEDAREAAhEBAxEB/8QAHAABAAIDAQEBAAAAAAAAAAAAAAMEAQcIBQYC/8QAFAEBAAAAAAAAAAAAAAAAAAAAAP/aAAwDAQACEAMQAAAB7gIzIAAAAAAAAAAAAAAAAAAAAAMEp+yuXDzT5I++MgAAAAAAAAAAAAAAAAAAAAplggLZyObNPHOgwAAAAAAAAAAAAAAAAAAAAVCcgLZyQb1NSHToAAAAAAAAAAAAAAAAAAAAKhOQFs+YNfmuTpQ9kAAAAAAAAAAAAAAAAAAAAqE5WLoAAAAAAAAAAAAAAAAAAAAAAKRZMFcyAAAAAAAAAAAAAAAAAAAAADBYMn//xAAjEAABAwQCAgMBAAAAAAAAAAAAAxQkAQQFBgIHRFASEyEx/9oACAEBAAEFAuanFPj8rqpMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJhMJh8rqhw58VOJy/bsymXxeEtbLtHQchcf31fH8ujzCysrzujesx0Xp93j+kdkyfLn6rzDzDTctw6m3nMdo6Ticf0ZwrlNj9V5h5hs2n6/t1tZdE6PaXG+aNmNBzWvX99lMJ6nzBSv1reuSr9ixWlK0apUGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGyY2TGqVSlKUof/EABQRAQAAAAAAAAAAAAAAAAAAAID/2gAIAQMBAT8BK/8A/8QAFBEBAAAAAAAAAAAAAAAAAAAAgP/aAAgBAgEBPwEr/wD/xAA2EAAABQMCAwQIBQUAAAAAAAAAAQID0TIzkwQRBRASBjFzsRMhIiNQUVJhQnGBguEUQZGiwf/aAAgBAQAGPwLqUPZYT+5z+BZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5TgWW8pwLLeU4FlvKcCy3lOBZbynAst5Tge1p0/tc/gdSeTZH9Kj8p5HreLa9rTtF+N1Ww/pdP2lZ6zPYvSIUgv8AKiIhuXwtwi+lJ+cckeGrzTy1Luv1q2+G6OhKD7kb7JIvufeZhTXCUO6XUEn3bvpjURn9yP8A4NZ2K4ws1L0HrZ6j3NJErpUn9D2+Fr8NPmrkjw1eaeWv4F2hQpvS6jYkv9O/qIz6F/lsZhWuTx3T6lXT7tjTOEpSj+Xq7v1HFu1GpfaJ14jL0JLLq9tfUo9u/bu+Fr8NPmrkjw1eaeRafjehJzotuJPZaPyME+6es1BEdp58un/UiMF217FmpLHpOpTbabBn/bb6DGm4hxLQHpX3WiU4wf4T+FL8NPmrkh5XdsaTP5b7R8PW8nu2JJH89t55bGQ9RrL7E6ohW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKkVuZlSK3MypFbmZUitzMqRW5mVIrczKke11n9lOGY2Ll//8QAJBAAAwABAgYCAwAAAAAAAAAAAAERIRAxQVBRYXGBkaGxwfD/2gAIAQEAAT8hsnjEkst9EbpNdJP6YozRmjNGaM0ZozRmjNGaM0ZozRmjNGaM0ZozRmjNGaM0ZozRmjNGaM0ZozRmjNGaM0ZozRmjNGaM0ZozRndsrzP7QunjGmsp9HonCSV81Pw2jSwSFG+i6vssiasyCz/qUTSUqez5WnDTd8uP00ehllk7tZTwpvsH2M1djwZtePQQ0ps3TD0ZHlracrWhj0MZEFAyJxg3dBzZ+GKYiuAuj7Yhn6kmQzcK0l2d7crWhj0MaPK+Ej+NuxWnT+Qnc6la1DLpvtTht0Y1eI+f1XePKseeVLQxeGfEAfzHvl6c8f2IP5j1oxLNNZTFjKeCQvSfLZEiRIkSJEiRIkSJEiRIkSJEiRIkSJEiRIkSJEiRIkSJEiRIkSJEh4zJ4oz9NiEiJbJaf//aAAwDAQACAAMAAAAQkAAAAAAAAAAAAAAAAAAAAAAgEEEAAAAAAAAAAAAAAAAAAAAAgAkAAAAAAAAAAAAAAAAAAAAAAAEEAAAAAAAAAAAAAAAAAAAAAAAAgAAAAAAAAAAAAAAAAAAAAAEAAAAAAAAAAAAAAAAAAAAAAAgEAAAAAAAAAAAAAAAAAAAAAAkH/8QAFBEBAAAAAAAAAAAAAAAAAAAAgP/aAAgBAwEBPxAr/wD/xAAUEQEAAAAAAAAAAAAAAAAAAACA/9oACAECAQE/ECv/AP/EACIQAQEAAQIGAwEAAAAAAAAAAAERMQAhEEFQUWGRcYGhIP/aAAgBAQABPxC0ERUKwBur21k1YSPyF/Xpt27du3bt27du3bt27du3bt27du3bt27du3bt27du3bt27du3bt27gR5F+kL+mrwoJAC2QdxO3AAFHHkRvoffAgzW9YpdrxgKmxo7gqRGAKK45tHQgoNE79LAFBY5s769H8WGRLDUkAasRxYCKPjE4YYW53TJiLvWdULp38UmIB06xYjgggJILIgqRNrSiEyb9xHc5g5uy4Umw2BAOZRgqp06xYpig41zkg7K60FUNTCIhveg3jxnzuqdQqsIKMYiFHtRiPylHKO4EOwDYPTLDcxXYSSdiFdx09K4mYog7lK8uCAHAKI5E0BNfREBA8B00cOHDhw4cOHDhw4cOHDhw4cOHDhw4cOHDhw4cOHDhw4cOHDhw4cOAtv6NJE+TQBwACAGAOH/2Q==
<table class="centertable borderless">
<tr valign="top"><td class="hpic"><$link to="Ramon Llull">{{Ramon_Llull.jpg}}</$link></td><td class="hpic"><$link to="Gregor Reisch">{{Gregor_Reisch.jpg}}</$link></td><td class="hpic"><$link to="Petrus Ramus (Pierre de La Ramée)">{{Petrus_Ramus.jpg}}</$link></td><td class="hpic"><$link to="Christofle de Savigny">{{Christofle_Savigny.jpg}}</$link></td></tr>
<tr valign="bottom"><td><$link to="Ramon Llull"><span class="dthumb" id="hmed">Ramon Llull</span></$link></td><td><$link to="Gregor Reisch"><span class="dthumb" id="hmed">Gregor Reisch</span></$link></td><td><$link to="Petrus Ramus (Pierre de La Ramée)"><span class="dthumb" id="hmed">Petrus Ramus</span></$link></td><td><$link to="Christofle de Savigny"><span class="dthumb" id="hmed">Christofle de Savigny</span></$link></td></tr>
<tr valign="top"><td class="hpic"><$link to="Francis Bacon">{{Francis_Bacon.jpg}}</$link></td><td class="hpic"><$link to="John Dee">{{John_Dee.jpg}}</$link></td><td class="hpic"><$link to="Denis Diderot">{{Diderot.jpg}}</$link></td><td class="hpic"><$link to="André-Marie Ampère">{{Ampère.jpg}}</$link></td></tr>
<tr valign="bottom"><td><$link to="Francis Bacon"><span class="dthumb" id="hmed">Francis Bacon</span></$link></td><td><$link to="John Dee"><span class="dthumb" id="hmed">John Dee</span></$link></td><td><$link to="Denis Diderot"><span class="dthumb" id="hmed">Denis Diderot</span></$link></td><td><$link to="André-Marie Ampère"><span class="dthumb" id="hmed">André-Marie Ampère</span></$link></td></tr>
<tr valign="top"><td class="hpic"><$link to="Michel-Eugène Chevreul">{{Chevreul.jpg}}</$link></td><td class="hpic"><$link to="Auguste Comte">{{Comte.jpg}}</$link></td><td class="hpic"><$link to="Jeremy Bentham">{{Jeremy_Bentham.jpg}}</$link></td><td class="hpic"><$link to="Jean Piaget">{{Piaget.jpg}}</$link></td></tr>
<tr valign="bottom"><td><$link to="Michel-Eugène Chevreul"><span class="dthumb" id="hmed">Michel-Eugène Chevreul</span></$link></td><td><$link to="Auguste Comte"><span class="dthumb" id="hmed">Auguste Comte</span></$link></td><td><$link to="Jeremy Bentham"><span class="dthumb" id="hmed">Jeremy Bentham</span></$link></td><td><$link to="Jean Piaget"><span class="dthumb" id="hmed">Jean Piaget</span></$link></td></tr>
</table>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=http%3A%2F%2Fatlas-disciplines.unige.ch%2F%23Discover&dt=Discover" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Discover"></iframe>
"The third branch may be called Σημϵιοντικἡ, or the doctrine of signs, the most useful whereof being words, it is aptly enough termed also, Δογικἡ, logic; the business whereof is to consider the nature of signs the mind makes use of for the understanding of things, or conveying its knowledge to others. For since the things the mind contemplates are none of them, be
sides itself, present to the understanding, it is necessary that some thing else, as a sign or representation of the thing it considers, should be present to it : and these are ideas. And because the scene of ideas that makes one man's thoughts, cannot be laid open to the immediate view of another, nor laid up any where but in the memory, a no very sure repository ; therefore, to communicate our thoughts to one another, as well as record them for our own use,
signs of our ideas are also necessary. Those which men have found most convenient, and therefore generally make use of, are articulate sounds. The consideration then of ideas and words, as the great instruments of knowledge, makes no despicable part of their con
templation, who would take a view of human knowledge in the whole extent of it. And, perhaps, if they were distinctly weighed, and duly considered, they would afford us another sort of logic and critic, than what we have been hitherto acquainted with.", Locke (1690), //An Essay Concerning Human Understanding//, book IV, chap. XXI, p. 550.
"Logic is divided into grammar and argument: argument is divided into demonstration, probable argument, and sophistic: probable argument is divided into dialectic and rhetoric", Hugh of St.-Victor (1130), //Didascalicon// III.1, p. 83.
\define ucase_d() {{$:/DefaultValues/timeline!!text}}(?i)
\define icase_st() (\n|^){{$:/DefaultValues/timeline}}(?i)
<!-- Public settings -->
<!-- Preferred language (disabled)
@@.borderless.centertable
|{{$:/plugins/tongerner/toolbar/buttons/language}}|
@@
-->
<!-- Download button (disabled)
|<$reveal state="$:/temp/passwordstorage" type="nomatch" text="********"><div class="clickbutton"><$button message="tm-save-wiki" param={{$:/config/SaveWikiButton/Template}} tooltip="Bundle the entire website in a single .html file (for offline use)" aria-label={{$:/language/Buttons/SaveWiki/Caption}} class=<<tv-config-toolbar-class>>><i class="fa fa-download"></i> Download entire website</$button></div></$reveal>|
-->
<!-- Password zone -->
@@.center
<$reveal state="$:/temp/passwordstorage" type="match" text="********"><div class="msg-notification"><br><i class="fa fa-unlock-alt"></i> Advanced settings unlocked!<br><br><$list filter='[title[Maps_displayed]text[map_id]][title[$:/core/ui/TopBar/menu]tag[$:/tags/TopRightBar]][title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]][title[top-left]caption[┌]]+[limit[1]]'>
<div style="color:#867F90">Password: <$edit-text tiddler="$:/temp/passwordstorage" type="disabled" tag="input" class="rcfield unselectable"/></div>
</$list><$list filter='[title[Maps_displayed]!text[map_id]]'><$list filter='[title[$:/core/ui/TopBar/menu]!tag[$:/tags/TopRightBar]]'><$list filter='[title[$:/core/ui/Buttons/more-tiddler-actions]!tag[$:/tags/ViewToolbar]]'><$list filter='[title[top-left]!caption[┌]]'>
Password: <$edit-text tiddler="$:/temp/passwordstorage" type="search" tag="input" class="rcfield"/><br>
</$list></$list></$list></$list><br></div></$reveal>
<$reveal state="$:/temp/passwordstorage" type="nomatch" text="********"><div class="msg-warning"><br><i class="fa fa-lock"></i> Advanced settings locked!<br><br>Password: <$edit-text tiddler="$:/temp/passwordstorage" type="search" tag="input" class="rcfield"/><br><br></div></$reveal>
@@
<$reveal state="$:/temp/passwordstorage" type="match" text="********">
<!-- Checkboxes toolbar -->
<table class="centertable borderless">
<tr><td><!-- Enable editing tools --><$list filter='[title[$:/core/ui/Buttons/more-tiddler-actions]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/ViewToolbar" actions='<$action-setfield $tiddler="$:/config/SaverFilter" $field="text" $value={{$:/temp/SaverFilterOn!!text}}/>' uncheckactions='<$action-setfield $tiddler="$:/config/SaverFilter" $field="text" $value={{$:/temp/SaverFilterOff!!text}}/>'> Enable editing tools </$checkbox></$list><$button class="tc-btn-invisible tc-tiddlylink" popup="$:/ShortcutPopupState"><i class="fas fa-pen-square"></i><br></$button></td><td></td><td><!-- Show 'sidebar' button --><$list filter='[title[$:/core/ui/TopBar/menu]]'><$checkbox class="tc-btn-invisible" tag="$:/tags/TopRightBar"> Show 'sidebar' button </$checkbox></$list><$link to="$:/core/ui/TopBar/menu"><i class="fa fa-angle-double-left"></i></$link></td></tr>
<tr><td><$list filter='[title[Maps_displayed]]'><$checkbox class="tc-btn-invisible" field="text" checked="map_id" unchecked="uploaded"> Display unfinished datasheets </$checkbox></$list></td><td></td><td><$reveal type="match" state="top-left" text="┌"><$button class="tc-btn-invisible"><input type="checkbox" checked/><$action-setfield $tiddler="top-left" $field="caption" $value=" " text=" "}/><$action-setfield $tiddler="bottom-right" $field="caption" $value=" " text=" "}/> Show markers on maps </$button></$reveal><$reveal type="match" state="top-left" text=" "><$button class="tc-btn-invisible"><input type="checkbox"/><$action-setfield $tiddler="top-left" $field="caption" $value="┌" text="┌"}/><$action-setfield $tiddler="bottom-right" $field="caption" $value="┘" text="┘"}/> Show markers on maps </$button></$reveal></td></tr>
<tr><td><$list filter='[title[coll-toolbar]]'><$checkbox class="tc-btn-invisible" field="enabled" checked="no" unchecked="yes"> Disable collaborative tools </$checkbox></$list></td><td></td><td><$list filter='[title[$:/temp/maptips]]'><$checkbox class="tc-btn-invisible" field="enabled" checked="no" unchecked="yes"> Hide tips below maps </$checkbox></$list></td><td>
</td></tr>
</table>
<!-- Toolbar shortcuts popup -->
<$reveal type="popup" state="$:/ShortcutPopupState"><div class="tc-drop-down">
$:/plugins/tongerner/toolbar/buttons/save-wiki
$:/plugins/tongerner/toolbar/buttons/new-tiddler
$:/plugins/tongerner/toolbar/buttons/import
$:/plugins/tongerner/toolbar/buttons/mapseditor
$:/plugins/tongerner/toolbar/buttons/exptools
$:/plugins/tongerner/toolbar/buttons/analytics
$:/plugins/tongerner/toolbar/buttons/advanced-search
$:/plugins/tongerner/toolbar/buttons/rsettings
$:/plugins/tongerner/toolbar/buttons/language
$:/plugins/tongerner/toolbar/buttons/separator1
$:/plugins/tongerner/toolbar/buttons/separator2
$:/core/ui/Buttons/edit
$:/core/ui/Buttons/print-tiddler
$:/core/ui/Buttons/more-tiddler-actions
$:/core/ui/EditorToolbar/Time
$:/language/Buttons/Close/Hint
</div></$reveal>
<!-- Save for upload -->
@@.center
<$wikify name="ucase_value" text=<<ucase_d>> >
<$list filter='[title[Maps_displayed]!text[map_id]]'><$list filter='[title[$:/core/ui/TopBar/menu]!tag[$:/tags/TopRightBar]]'><$list filter='[title[$:/core/ui/Buttons/more-tiddler-actions]!tag[$:/tags/ViewToolbar]]'><$list filter='[title[top-left]!caption[┌]]'><$list filter='[title[$:/Footer]tag[$:/tags/PageTemplate]]'><br><div style="color:#7E4C30; text-align:center; padding-bottom:6px"><i>The website is ready to be uploaded!</i></div> <$button class="tc-btn-invisible clickbutton buttonfield" tooltip="Lock access to settings & save website"><$action-setfield $tiddler="$:/temp/passwordstorage" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/advancedsearch" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchdiscipline" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchdiscipline" $field="include_kindred_disciplines" $value="no"/><$action-setfield $tiddler="$:/temp/map1" $field="text" $value={{$:/DefaultValues/comparator!!scholar1}}/><$action-setfield $tiddler="$:/temp/map2" $field="text" $value={{$:/DefaultValues/comparator!!scholar2}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="text" $value={{$:/DefaultValues/timeline}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/DefaultValues/timeline}}/><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<ucase_value>>/><$action-setfield $tiddler="$:/plugins/felixhayashi/tiddlymap/misc/defaultViewHolder" $field="text" $value="Blank"/><$action-setfield $tiddler="$:/temp/sortby" $field="text" $value="map_id"/><$action-setfield $tiddler="$:/temp/search_sortby" $field="text" $value="map_id"/><$action-setfield $tiddler="$:/temp/images_sortby" $field="text" $value="last_name"/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/searchimgindex" $field="displayed" $value=""/><$action-setfield $tiddler="$:/temp/searchimgtype" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value="— Please select an item —"/><$list filter="[has[map_id]]"><$action-deletefield $tiddler=<<current>> $field="caption"/><$action-setfield $tiddler="$:/DefaultValues/tlabels" $field="text" $value="yes"/></$list><$list filter="[prefix[$:/temp/stats_line]]"><$action-setfield $tiddler=<<current>> $field="start" $value={{!!start_preset}}/><$action-setfield $tiddler=<<current>> $field="end" $value={{!!end_preset}}/></$list><$action-setfield $tiddler="$:/temp/stats_nb_lines" $field="text" $value="12"/><$action-setfield $tiddler="$:/temp/limit_disc_nb" $field="text" $value={{$:/DefaultValues/statistics!!limit_disc_nb}}/><$action-setfield $tiddler="$:/temp/statdisc" $field="exact_match" $value="(\n|^)"/><$action-setfield $tiddler="$:/temp/statdisc" $field="text" $value={{$:/DefaultValues/timeline}}/><$wikify name="statdisc" text=<<icase_st>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/DefaultValues/timeline}}/></$wikify><$action-setfield $tiddler="$:/temp/statdisc" $field="exclude_specialized" $value="no"/><$action-setfield $tiddler="$:/temp/timeline_nb_disc" $field="text" $value={{$:/DefaultValues/timeline!!timeline_nb_disc}}/><$list filter="[has[number_disciplines]]"><$action-deletefield $tiddler=<<current>> $field="color"/></$list><$list filter="[has[number_disciplines]lessthan:number_disciplines{$:/DefaultValues/timeline!!timeline_nb_disc}]"><$action-setfield $tiddler=<<current>> $field="color" $value="#9A1006"/></$list><$action-setfield $tiddler="$:/DefaultValues/tcolors" $field="text" $value="yes"/><$action-setfield $tiddler="$:/temp/analytics_view" $field="text" $value="connect"/><$action-setfield $tiddler="$:/temp/analytics_view" $field="summary" $value="all"/><$action-setfield $tiddler="$:/temp/copy_message" $field="text" $value="Copied to clipboard"/><$action-sendmessage $message="tm-close-tiddler" $param="Settings"/><$action-sendmessage $message="tm-save-wiki" $param={{$:/config/SaveWikiButton/Template}}/><i class="fa fa-download" style="padding-left:5px; padding-right:5px"></i>Lock settings & save </$button><br></$list></$list></$list></$list></$list><br>
</$wikify>
@@
<!-- Warnings if not uploadable -->
<$list filter='[title[Maps_displayed]text[map_id]][title[$:/core/ui/TopBar/menu]tag[$:/tags/TopRightBar]][title[$:/core/ui/Buttons/more-tiddler-actions]tag[$:/tags/ViewToolbar]][title[top-left]caption[┌]][title[$:/Footer]!tag[$:/tags/PageTemplate]]+[limit[1]]'><div style="color:#867F90; text-align:center"><i>The website is not ready to be uploaded!</i> <$button class="tc-btn-invisible" popup="$:/temp/uploadable_popup"><i class="fas fa-question-circle"></i></$button></div><!-- Old popup <$hover popup="$:/temp/uploadable_popup">?</$hover> --><br></$list>
<$reveal type="popup" state="$:/temp/uploadable_popup">
<table class="centertable borderless infobg"><td style="line-height:1em; color:#867F90; padding: 12px">Before uploading the website, any of these above options must be unckecked:<br><i><br>・ Enable editing tools<br>・ Show 'sidebar' button<br>・ Display unfinished datasheets<br>・ Show markers on maps</i></td></table>
</$reveal>
<!-- Warning if footer is disabled -->
<$list filter="[title[$:/core/ui/Buttons/more-tiddler-actions]!tag[$:/tags/ViewToolbar]]">
<$list filter='[title[$:/Footer]!tag[$:/tags/PageTemplate]]'><div class="center" style="color:#920906">⚠ The footer is hidden: 'Settings' button unavailable!</div><br></$list></$list>
<!-- Icons zone -->
<table class="centertable borderless">
<tr align="center"><td></td><td><$link to="Parameters"><i class="fas fa-tachometer-alt"></i><br>''Parameters''</$link></td><td></td><td><$link to="Experimental tools"><i class="fas fa-flask"></i><br><b>Experimental tools</b></$link></td><td></td><td><$link to="$:/ControlPanel"><i class="fa fa-cog"></i> <br>Control Panel</$link></td></tr><br>
<tr align="center"><td></td><td><$link to="Maps editor"><i class="fa fa-sitemap"></i><br><b>Maps editor</b></$link></td><td></td><td><$link to="$:/global/Stylesheet"><i class="fa fa-code"></i><br>''Stylesheet''</$link></td><td></td><td><$link to="$:/AdvancedSearch"><i class="fa fa-search"></i><br>Advanced Search</$link></td></tr>
</table>
<!-- View analytics -->
<br><center><$button class="clickbutton tc-btn-invisible" tooltip="View Google Analytics reports"><$action-navigate $to="Analytics"/><$action-setfield $tiddler="$:/temp/analytics_view" $field="text" $value="connect"/><$action-setfield $tiddler="$:/temp/analytics_view" $field="summary" $value="all"/> <i class="fas fa-chart-line"></i> View analytics </$button></center>
<!-- Last updated -->
<p class="greyfont center">———————————————</p>
<p class="darkgreyfont" style="text-align:center; font-size: calc(10px + 0.4vw)">
<$list filter="[!is[system]!sort[modified]limit[1]]"><i>Last updated: <$view field="modified" format="date" template="DD mmm YYYY, 0hh:0mm"/></i></$list></p>
</$reveal>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Settings&dt=Settings" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Settings"></iframe>
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
"En sociologie, comme en biologie, l'exploration scientifique emploie concurrement les trois modes fondamentaux que j'ai distingués, dès le second volume de ce Traité, dans l'art général d'observer: c'est-à-dire, l'observation pure; l'expérimentation proprement dite; et enfin la méthode comparative." Comte (1839), //Cours de philosophie positive//, Tome 4, p. 412.
"Lois spéciales des possibilités biologiques et psychologiques qui ont pour condition l'organisation des sociétés.", Naville (1888), p. 29.
"The science of human communities —potentially, of //the// human community— regarded in all of their, or of its, relations. This science presupposes whatever can be known through ego-anthropology applied to the individual units of society, while it further seeks to systematise those relations in which a number of individuals can simultaneously share; viewing every relation in its balanced aspect, as centred equally in any two, or any number of pairs of, individuals." Hooper (1906), pp. 139–140.
"Numerical division in all its variety can be usefully applied to every field of conduct. It may be limited to the complexities of arithmetic itself, or extended to the subtleties of plane and solid geometry" //Laws// V, 747a.
"Science des fonctions directes ou automatiques", Bourdeau (1882), vol. II, p. 467.
"Body-regarding; viz. Somatology or Somatics", Bentham (1816), Appendix IV, Section VIII, p. 178.
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
"There are three speculative divisions:
i) Physics deals with that which is in motion and not abstract (for it handles the forms of bodies involving matter, which forms are not able to be actuality separated from bodies; and these bodies are in motion, for when earth is carried downward and fire up, the form joined with matter has motion as well);
ii) Mathematics deals with that which is not in motion and not abstract (for this ponders forms of bodies without matter, and thus without motion; but these forms, since they are in matter, cannot [actually] be separated from bodies);
iii) Theology deals with the abstract, which lacks motion and is separable (for the substance of God lacks both matter and motion)", Boethius, //De Trinitate// II.55 (168.68).
"That part of //Natural Philosophy// which is //Speculative and Theorical//, we think convenient to divide into //Physick// special, and //Metaphysick//." Bacon (1623), Book III, p. 89.
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
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Strumilin, S. G. (1954), "Science and development of productive forces" [//Наука и развитие производительных сил//], //Questions of Philosophy// [//Вопросы философии//] 3, pp. 46–61.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 2, p. 482.
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
"Science des effets de la cohésion", Bourdeau (1882), vol. II, p. 24.
"STATICS, or the doctrine of MOTION: its laws; Velocity, Momentum, &c. Causes; as Gravity, Percussion, Communication, &c. Modifications; as Composition, Acceleration, Retardation, Reflection, Refraction, &c. Kinds; as Ascent, Descent, Central, Centripetal, &c. Oscillation, Undulation, Projection, &c. Powers, or applications thereof; in Lever, Screw, &c. Pendulum, Projectile, &c. Operations directed hereby; as Gunnery, the Mechanical Arts, &c. enumerated hereafter." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The science of forces in equilibrium." Hooper (1906), p. 170.
"Which demonstrateth the causes of heavines and lightnes of all thinges: and of the motions and properties to heavines and lightnes belonging." John Dee (1570), //The Mathematicall Preface//.
"Science de l'équilibre", Bourdeau (1882), vol. I, p. 359.
"La statique a pour objet les lois de l'équilibre des corps." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
\define icase_st() {{$:/temp/statdisc!!exact_match}}{{$:/temp/statdisc!!text}}(?i)
<!-- Search field -->
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="statdisc" text=<<icase_st>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/></$wikify><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/statdisc" type="search" tag="input"/><$reveal state="$:/temp/statdisc" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/statdisc" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="statdisc" text=<<icase_st>> ><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update the statistics"><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/></$button></$wikify></td></tr>
<tr><td><!-- Exact match --><span class="center" style="padding-left: 5px; padding-right: 5px; font-size: calc(10px + 0.4vw)" title="If checked, substrings matches are included in the statistics (e.g. 'biochemistry' counts as an occurrence of 'chemistry')."><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/temp/statdisc" field="exact_match" checked="" unchecked="(\n|^)" actions='<$wikify name="statdisc" text=<<icase_st>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/></$wikify>' uncheckactions='<$wikify name="statdisc" text=<<icase_st>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/></$wikify>'> Include substrings matches </$checkbox></span></td></tr>
</table>
<!-- Stats table -->
<table class="centertable" style="margin-top:-10px">
<tr height="30px" style="border-top: hidden; border-left: hidden; border-right: hidden"><td align="center" colspan="4" style="font-size: large; padding-bottom:6px">Statistics for '{{$:/temp/statdisc!!displayed}}'</td></tr>
<tr height="30px"><th>Period <$button class="clickbutton tc-btn-invisible" tooltip="Set intervals to half-centuries, 1400-2000"><$list filter="[prefix[$:/temp/stats_line]]"><$action-setfield $tiddler=<<current>> $field="start" $value={{!!start_model1}}/><$action-setfield $tiddler=<<current>> $field="end" $value={{!!end_model1}}/></$list><$action-setfield $tiddler="$:/temp/stats_nb_lines" $field="text" $value="12"/><$action-sendmessage $message="tm-notify" $param="$:/stat_model1_msg"/> <i class="fas fa-list" style="transform: scale(0.82)"></i> </$button><$button class="clickbutton tc-btn-invisible" tooltip="Reset intervals to default values"><$list filter="[prefix[$:/temp/stats_line]]"><$action-setfield $tiddler=<<current>> $field="start" $value={{!!start_preset}}/><$action-setfield $tiddler=<<current>> $field="end" $value={{!!end_preset}}/></$list><$action-setfield $tiddler="$:/temp/stats_nb_lines" $field="text" $value="12"/><$action-sendmessage $message="tm-notify" $param="$:/stat_reset_msg"/> <i class="fas fa-sync-alt" style="transform: scale(0.8)"></i> </$button></th><th>Available maps</th><th>Occurrences</th><th>Percentage</th></tr>
<$list filter="[prefix[$:/temp/stats_line]limit{$:/temp/stats_nb_lines}]">
<tr align="center"><td><span style="font-size: calc(10px + 0.4vw)"><$edit-text class="rcfield numbereditfield flatfield" tiddler=<<current>> field="start" type="input" tag="input"/></span><span style="font-size: calc(10px + 0.4vw)">//–//<$edit-text class="rcfield numbereditfield flatfield" style="width:3.5em; line-height: 1.6em" tiddler=<<current>> field="end" type="input" tag="input"/></span></td><td><$count filter="[greatereqthan:map_date{!!start}lessthan:map_date{!!end}has[uploaded]!specialized_map{$:/temp/statdisc!!exclude_specialized}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></td><td><$count filter="[greatereqthan:map_date{!!start}lessthan:map_date{!!end}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[uploaded]!specialized_map{$:/temp/statdisc!!exclude_specialized}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/></td><td>
<$calc>round(<$count filter="[greatereqthan:map_date{!!start}lessthan:map_date{!!end}regexp:list_disciplines{$:/temp/statdisc!!ucase}has[uploaded]!specialized_map{$:/temp/statdisc!!exclude_specialized}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/> / <$count filter="[greatereqthan:map_date{!!start}lessthan:map_date{!!end}has[uploaded]!specialized_map{$:/temp/statdisc!!exclude_specialized}greaterthan:number_disciplines{$:/temp/limit_disc_nb}]"/>*100)</$calc><$list filter="[greatereqthan:map_date{!!start}lessthan:map_date{!!end}has[uploaded]!specialized_map{$:/temp/statdisc!!exclude_specialized}greaterthan:number_disciplines{$:/temp/limit_disc_nb}limit[1]]">%</$list></td></tr>
</$list>
<!-- Last row (total stats) -->{{$:/temp/stats_lastrow}}
</table>
<!-- Limit number of disciplines -->
<table class="centertable borderless">
<tr align="center"><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)">//Only maps with more than<span style="border-bottom:3.5px solid #656565"><$edit-text class="minicenterfield flatfield" tiddler="$:/temp/limit_disc_nb" type="input" tag="input"/></span>disciplines <br id="hbig">are factored in//.</span></tr>
</table>
<!-- Exclude specialized maps -->
<span class="center" style="margin-top:-5px; padding-left: 5px; padding-right: 5px; font-size: calc(10px + 0.4vw)" title="If checked, specialized maps (such as Dee's chart of mathematical disciplines) are excluded from stats."><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/temp/statdisc" field="exclude_specialized" checked="yes" unchecked="no"> Exclude specialized maps </$checkbox></span>
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Statistics&dt=Statistics" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Statistics"></iframe>
"//Statistics//: such as that which concerns population, the manner and proportions, in which the matter of //wealth//, the matter of //power//, and the matter of //dignity//, are distributed." Jeremy Bentham (1816), //Chrestomathia//, p. 36.
"Specialising arithmetical science: the record and comparison of definite numbers of objects, events, or degrees of energy, as occurring at particular times in particular places." Hooper (1906), p. 165.
\define icase_t() {{$:/temp/tdisc!!text}}(?i)
<$list filter="[title[$:/temp/tdisc]!displayed[]]">
<table class="centertable">
<tr height="30px"><th align="center" colspan="4">Statistics for '{{$:/temp/tdisc1!!displayed}}' and '{{$:/temp/tdisc2!!displayed}}'</th></tr>
<tr height="30px"><th>Period</th><th>Available maps</th><th>Occurrences</th><th>Percentage</th></tr>
<$list filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]limit[1]]"><tr align="center"><td>-500 to 0</td><td><$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]]"/></td><td><$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000000]lessthan:map_date[000500]limit[1]]"><tr align="center"><td>0 to 500</td><td><$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]]"/></td><td><$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000499]lessthan:map_date[001000]limit[1]]"><tr align="center"><td>500 to 1000</td><td><$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]]"/></td><td><$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[000500]lessthan:map_date[001000]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000999]lessthan:map_date[001100]limit[1]]"><tr align="center"><td>1000 to 1100</td><td><$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]]"/></td><td><$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001099]lessthan:map_date[001200]limit[1]]"><tr align="center"><td>1100 to 1200</td><td><$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]]"/></td><td><$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001199]lessthan:map_date[001300]limit[1]]"><tr align="center"><td>1200 to 1300</td><td><$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]]"/></td><td><$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001299]lessthan:map_date[001400]limit[1]]"><tr align="center"><td>1300 to 1400</td><td><$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]]"/></td><td><$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001399]lessthan:map_date[001500]limit[1]]"><tr align="center"><td>1400 to 1500</td><td><$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]]"/></td><td><$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001499]lessthan:map_date[001600]limit[1]]"><tr align="center"><td>1500 to 1600</td><td><$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]]"/></td><td><$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001599]lessthan:map_date[001700]limit[1]]"><tr align="center"><td>1600 to 1700</td><td><$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]]"/></td><td><$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001699]lessthan:map_date[001750]limit[1]]"><tr align="center"><td>1700 to 1750</td><td><$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]]"/></td><td><$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001749]lessthan:map_date[001800]limit[1]]"><tr align="center"><td>1750 to 1800</td><td><$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]]"/></td><td><$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001799]lessthan:map_date[001850]limit[1]]"><tr align="center"><td>1800 to 1850</td><td><$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]]"/></td><td><$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001849]lessthan:map_date[001900]limit[1]]"><tr align="center"><td>1850 to 1900</td><td><$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]]"/></td><td><$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001899]lessthan:map_date[001950]limit[1]]"><tr align="center"><td>1900 to 1950</td><td><$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]]"/></td><td><$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001949]lessthan:map_date[002000]limit[1]]"><tr align="center"><td>1950 to 2000</td><td><$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]]"/></td><td><$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]regexp:list_disciplines{$:/temp/tdisc1!!ucase}regexp:list_disciplines{$:/temp/tdisc2!!ucase}]"/> / <$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]]"/>*100)</$calc>%</td></tr></$list>
</table>
</$list>
<table class="centertable borderless">
Total number of maps: <$count filter="[has[list_disciplines]]"/>
</table>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=http%3A%2F%2Fatlas-disciplines.unige.ch%2F%23Statistics_compare&dt=Statistics_compare" /></span>
\define icase_t() {{$:/temp/statdisc!!text}}(?i)
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="statdisc" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/></$wikify><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/statdisc" type="search" tag="input"/><$reveal state="$:/temp/statdisc" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/statdisc" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="statdisc" text=<<icase_t>> ><$button class="clickbutton tc-btn-invisible buttonfield"><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/statdisc!!text}}/></$button></$wikify></td></tr>
</table>
<$list filter="[title[$:/temp/statdisc]!displayed[]]">
<table class="centertable">
<tr height="30px"><th align="center" colspan="4">Statistics for '{{$:/temp/statdisc!!displayed}}' (<$count filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/statdisc!!ucase}has[map_date]]"/> hits)</th></tr>
<tr height="30px"><th>Period</th><th>Available maps</th><th>Occurrences</th><th>Percentage</th></tr>
<$list filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]limit[1]]"><tr align="center"><td>-500 to 0</td><td><$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]]"/></td><td><$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[-000501]lessthan:map_date[-000000]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000000]lessthan:map_date[000500]limit[1]]"><tr align="center"><td>0 to 500</td><td><$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]]"/></td><td><$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[000000]lessthan:map_date[000500]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000500]lessthan:map_date[001000]limit[1]]"><tr align="center"><td>500 to 1000</td><td><$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]]"/></td><td><$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[000499]lessthan:map_date[001000]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[000999]lessthan:map_date[001100]limit[1]]"><tr align="center"><td>1000 to 1100</td><td><$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]]"/></td><td><$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[000999]lessthan:map_date[001100]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001099]lessthan:map_date[001200]limit[1]]"><tr align="center"><td>1100 to 1200</td><td><$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]]"/></td><td><$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001099]lessthan:map_date[001200]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001199]lessthan:map_date[001300]limit[1]]"><tr align="center"><td>1200 to 1300</td><td><$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]]"/></td><td><$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001199]lessthan:map_date[001300]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001299]lessthan:map_date[001400]limit[1]]"><tr align="center"><td>1300 to 1400</td><td><$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]]"/></td><td><$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001299]lessthan:map_date[001400]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001399]lessthan:map_date[001500]limit[1]]"><tr align="center"><td>1400 to 1500</td><td><$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]]"/></td><td><$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001399]lessthan:map_date[001500]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001499]lessthan:map_date[001600]limit[1]]"><tr align="center"><td>1500 to 1600</td><td><$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]]"/></td><td><$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001499]lessthan:map_date[001600]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001599]lessthan:map_date[001700]limit[1]]"><tr align="center"><td>1600 to 1700</td><td><$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]]"/></td><td><$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001599]lessthan:map_date[001700]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001699]lessthan:map_date[001750]limit[1]]"><tr align="center"><td>1700 to 1750</td><td><$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]]"/></td><td><$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001699]lessthan:map_date[001750]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001749]lessthan:map_date[001800]limit[1]]"><tr align="center"><td>1750 to 1800</td><td><$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]]"/></td><td><$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001749]lessthan:map_date[001800]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001799]lessthan:map_date[001850]limit[1]]"><tr align="center"><td>1800 to 1850</td><td><$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]]"/></td><td><$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001799]lessthan:map_date[001850]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001849]lessthan:map_date[001900]limit[1]]"><tr align="center"><td>1850 to 1900</td><td><$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]]"/></td><td><$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001849]lessthan:map_date[001900]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001899]lessthan:map_date[001950]limit[1]]"><tr align="center"><td>1900 to 1950</td><td><$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]]"/></td><td><$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001899]lessthan:map_date[001950]]"/>*100)</$calc>%</td></tr></$list>
<$list filter="[greaterthan:map_date[001949]lessthan:map_date[002000]limit[1]]"><tr align="center"><td>1950 to 2000</td><td><$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]]"/></td><td><$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/></td><td><$calc>round(<$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]regexp:list_disciplines{$:/temp/statdisc!!ucase}]"/> / <$count filter="[greaterthan:map_date[001949]lessthan:map_date[002000]]"/>*100)</$calc>%</td></tr></$list>
</table>
</$list>
<table class="centertable borderless">
Total number of maps: <$count filter="[has[list_disciplines]]"/>
</table>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=http%3A%2F%2Fatlas-disciplines.unige.ch%2F%23Old_Statistics&dt=Old_Statistics" /></span>
"Nombres et relations numériques dans les êtres et les phénomènes effectifs", Naville (1888), p. 28.
"Le mot //statistique// est une dénomination nouvelle sur l’acception de laquelle on est loin d’être d’accord ; les uns, qui semblent faire dériver ce mot d’//état//, pris comme synonyme de tableau, ne voient dans la statistique que des espèces de tableaux destinés à présenter quelques particularités relatives à un pays ou à ses habitants; d’autres, au contraire, y voient la description de tout ou de partie d’un //État//, en prenant le mot dans le sens d’association politique, et ils étendent cette description à tous les rapports sous lesquels on peut envisager la contrée qui forme l’État ou la fraction d’État dont on s’occupe, ainsi que les hommes qui l’habitent. Or, sans vouloir contester que la statistique doive faire usage de considérations qui appartiennent à d’autres branches de nos connaissances, je pense que l’on peut restreindre l’//objet// principal de cette science à des considérations politiques et éthnographiques." Omalius d'Halloy (1834), p. 8.
"Des éléments en général", Christofle de Savigny (1587), plate B.
"Measuring all Solids: As, Timber, Stone, Vessels, &c. John Dee (1570), //The Mathematicall Preface//.
Cf. //Prior Analytics//, 78b38.
"En comparant les diverses valeurs que prend une des propriétés que présentent les corps, lorsqu'on fait varier successivement les circonstances dont cette propriété peut dépendre, on détermine les lois des phénomènes, et de ces lois exprimées en formules, on déduit ensuite, à l'aide du calcul, toutes les vérités qui en dépendent. De là une autre branche de la physique générale, que j'ai cru devoir nommer //stéréonomie//." Ampère (1834), Tome 1, p. 76.
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
Source: Tönnies, J. H. (1753), //Conspectus Encyclopaedie, litterarum naturalem ordinem exponens//, pp. 42 ff. English transl. by Giorgio Tonelli (1975).
Source: Theodor Zwinger (1586).
"Questa ottaua parte descrive de quelli corpi naturali misti animati, che hanno le operatione de lanima sensitiva, quale sonno uedere, dormire, memorare, é simil cose é, tratta la historia de tutti li animali brutti, & de ogni altra spetie vivente danima sensitiva." Collenuccio (1535), p. 13.
"De Vegetabilibus & Plantis, tratta de quelli corpi naturali misti animati, má che hanno solamente le operatione de lanima vegetativa come sonno arbori, herbe & plante." Collenuccio (1535), p. 13.
"De Anima, considera é, tratta generalmente del corpo naturale misto animato é, de la substantia é, potentie dessa anima." Collenuccio (1535), p. 13.
"Scienze Subbiettive", (Pamphilis 1829, p. 46). "Subjective Sciences, those of the Me" (Flint 1904, p. 172).
Your comment has been submitted!
<$button><$action-sendmessage $message="tm-close-tiddler" $param="Submitted"/><$action-navigate $to="Interactive Historical Atlas of the Disciplines"/>OK</$button>
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
Cf. Pearson (1892), chap. 10, p. 460.
"Le système des sciences présente une structure d'ordre nécessairement cyclique, et irréductible à toute forme linéaire. Si l'on distingue quatre grands ensembles de sciences, I: les sciences logico-mathématiques, II: les sciences physiques, III: les sciences biologiques et IV: les sciences psycho-sociologiques, l'ordre serait ainsi I → II → III → IV → I, avec possibilité d'interactions internes (IV ⇄ II et I ⇄ III)." Piaget (1967), p. 1172.
"Un tel cercle n'a rien de vicieux puisqu'il ne se ferme jamais et qu'à le parcourir on augmente à chaque tour le niveau des connaissances: le processus est celui d'une montée en spirale ou, si l'on préfère, d'une marche dialectique." Piaget (1967), p. 1223.
\define concatenate() {{$:/temp/tdef_searchindex!!text}}(?i)
<!-- Search box and sort options -->
<table class="centertable borderless">
<tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Filter the list by scholar's name or country"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i> <$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdef_searchindex" type="search" tag="input"/><$reveal state="$:/temp/tdef_searchindex" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdef_searchindex" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></div></td><td colspan="3"></td><td>
<div class="right selfield" style="padding-left:0.5vw; line-height: 1.6em" title="Sorting criterion"><$select class="clickbutton buttonfield" tiddler='$:/temp/td_sortby'><option value='last_name'>Sort alphabetically</option><option value='map_id'>Sort chronologically</option></$select></div></td></tr>
</table><br>
<!-- Table of definitions -->
<div class="justify" style="overflow: auto; width:100%; height:100%; min-height:100px; max-height:500px; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#F0F0F1;line-height:1.2em; padding-top:30px; margin-top:-30px">
<$wikify name="dsearch-entry" text=<<concatenate>> >
<$list filter="[has[last_name]regexp:title<dsearch-entry>sort{$:/temp/td_sortby!!text}!has[desambiguate]][has[last_name]regexp:country<dsearch-entry>sort{$:/temp/td_sortby!!text}!has[desambiguate]]">
<$set name="last_name_var" value={{!!last_name}}><$set name="title_var" value={{!!title}}>
<!-- Scholars title --><$list filter="[regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]limit[1]]+[insertbefore[New]allbefore[New]]">
<div class="center" style="font-size: calc(14px + 0.5vw); line-height: 1em; margin-top:-25px"><$link to=<<title_var>> ><<last_name_var>></$link><$list filter="[title<title_var>!has[uploaded]]"> <font color="#cc0000">✗</font></$list></div>
<table class="centertable" style="font-size: calc(9px + 0.5vw); width:98%">
<!-- Heading of table if some defs exist --><$list filter="[regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]limit[1]]">
<tr height="30px"><th><div class="center">//Title//</div></th><th width="180px"><div class="center">//Definition//</div></th><th width="160px"><div class="center">//English translation//</div></th><th width="60px"><div class="center">//Kindred disciplines//</div></th><th width="160px"><div class="center">//Search visibility//</div></th></tr></$list>
<!-- defs table --><$list filter="[regexp:title<last_name_var>regexp[.*- .*]!regexp[.*/.*]!has[map_id]]">
<tr height="30px"><td><$link to={{!!title}}><div style="max-height:1.6em; overflow-y:scroll; border:none">{{!!title}}</div></$link></td><td><$edit-text class="longeditfield flatfield" style="max-height:1em; line-height: 1.1em; overflow-y:scroll; border:none; font-size: calc(9px + 0.5vw)" tiddler=<<current>> field="text" tag=input/></td><td><$edit-text class="editfield flatfield" style="font-size: calc(9px + 0.5vw)" tiddler=<<current>> field="translation_eng"/></td><td><$edit-text class="editfield flatfield" style="font-size: calc(9px + 0.5vw)" tiddler=<<current>> field="kindred_disciplines"/></td><td><div class="center"><$checkbox class="tc-btn-invisible clickbutton" tiddler=<<current>> field="exclude_search" checked="yes" unchecked="no" uncheckactions='<$action-deletefield $tiddler=<<current>> $field="exclude_search"/>'> Exclude </$checkbox></div></td></tr>
</$list>
</table>
<br></$list>
</$set></$set>
</$list>
</$wikify>
</div>
<div style="line-height:1.2em">
<$list filter="[has[uploaded]sort[last_name]]">
<div style="overflow: auto; width:100%; height:100%; border-style: solid; border-width: thin; border-color: #cfcfcf; background-color:#EDEDED;">
<$link to={{!!title}}> {{!!last_name}}, {{!!first_name}}</$link>
<!-- List of disciplines --><div class="greyfont" style="padding-bottom:3px"> //List of disciplines//:</div>
<center><div class="listfield" style="max-height:5.5em; max-width:100%; overflow-y:scroll; border:none"><$edit-text class="borderless editbox_list" tiddler={{!!title}} field="list_disciplines" tag="textarea"/></div></center>
</div><br>
</$list></div>
"Les sciences //techniques// [...] étudient l'utilisation pratique par l'homme des lois de la nature inorganique dans la production sociale." (Kedrov 1965, Tome 2, p. 491).
"Le caractère de jonction des sciences techniques apparaît ici avec éclat: d'une part, elles sont déterminées par //ce qui// est utilisé en elles dans les intérêtes de la pratique humaine (vient alors au premier plan la forme du mouvement de la matière utilisée dans la technique) ; d'autre part, elles sont déterminées, dans une non moindre mesure, par ce //pour quoi//, c'est-à-dire avec quels objectifs pratiques sont utilisées les forces et les substances de la nature." (Kedrov 1965, Tome 2, p. 501).
"La technologie a pour but de découvrir l'utilité que nous pouvons retirer des corps, et les moyens que nous devons employer pour les approprier à nos besoins: ce sont là autant de //problèmes// qui constituent le point de vue cryptoristique des sciences physiques" Ampère (1834), Tome 1, p. 102.
//Technologia// is about the properties, order and divisions of disciplines. Cf. Saviani (2009), p. 221.
"The science which treats of objects artificially shaped or constructed from raw materials by the purposive action of animals and men, or by those physical and chemical processes which are set going and controlled by human priated ; of air and water as affected agency. //Abstract technology// is the science of the processes artificially employed to produce objects; especially, therefore, of the human industrial arts. //Concrete technology// is the science of the produced objects themselves." Hooper (1906), p. 134.
"Une science du but, une science de la fin à laquelle tout aspire, nous devient nécessaire; notre ontologie, notre théocosmologie réclament, comme un indispensable complément, une //téléologie//. La téléologie peut donc être //totale// ou //partielle//. Nous pouvons, en effet, nous donner autant de téléologies particulières que nous reconnaîtrons de classes d'êtres tendant à leur fin propre, une téléologie de l'animal, une téléologie de l'homme, ou constituer une téléologie commune à toutes ces classes d'êtres, une téléologie de l'univers." Charma (1859), p. 20.
"Which geveth certaine order to make straunge workes, of the sense to be perceived: and of men greatly to be wondred at." John Dee (1570), //The Mathematicall Preface//.
"La thélésiologie, science du premier ordre, tient, parmi les sciences noologiques, la même place que l'uranologie parmi les sciences cosmologiques. [...] De même qu'on peut ainsi regarder l'uranologie comme la mécanique objective, on doit considérer la thélésiologie comme l'éthique objective, en ce qu'elle déduit, de rapports éternels, indépendamment des sentiments, des passions et de tout ce qu'il y a de phénoménique en nous, la distinction du bien et du mal." Ampère (1834), Tome 2, p. 47.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Zwinger, Theodor (1586), //Theatrum humanae vitae//, Basileæ: Eusebium Episcopi.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Cavallini, Concetta & Méniel, Bruno (2015), "Les savoirs et le modèle théâtral à la Renaissance", //Cahiers de recherches médiévales et humanistes / Journal of medieval and humanistic studies// 29, pp. 265–270.
* Blair, Ann (2013), "Revisiting Renaissance Encyclopaedism", in Jason König & Greg Woolf, //Encyclopaedism from Antiquity to the Renaissance//, Cambridge: Cambridge University Press, pp. 377–397.
"La doctrine qui montre et apprend la vie sainte, spirituelle & divine [...] a été dénommée la sainte & sacrée Théologie", Christofle de Savigny (1587), plate B.
J. G. Sulzer (1758), p. 212.
"La théologie naturelle est la connaoissance que nous avons de Dieu et de ses attributs par les seules lumières de la raison et de la nature." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
La théologie révélée, surnaturelle ou théologie proprement dite, est une science qui se fonde sur les principes révélés tiré des conclusions tant sur Dieu, sa nature, ses attributs etc, que sur toutes les autres choses qui peuvent avoir rapport à Dieu." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Theology deals with the abstract, which lacks motion and is separable (for the substance of God lacks both matter and motion)", Boethius, //De Trinitate// II.55 (168.68).
"THEOLOGY, or the consideration of GOD: his nature and Attributes; as Eternity, Ubiquity, &c. His Unity, Trinity, &c. Persons, Hypostasis, &c. Our duty to him, discovered by Inspiration, Revelation, Prophecy, &c. by the Messiah, Evangelists, Apostles, &c. In the Bible; Pentateuch, Hagiographa, Psalter, Gospel, Apocalypse, &c. Canon, Deuterocanonical, Apocrypha, &c. Circumstances thereof; Style, Allegory, Type, Parable, Mystical, &c. Text, Version, Septuagint, Vulgate, &c. Paraphrase, Targum, &c. Points, Quotations, &c. Matter thereof; Declarations, of Incarnation, Passion, Crucifixion, Miracles, &c. Injunctions; as Worship, Prayer, Sacrifice, &c. Sacraments; as Eucharist, Baptism, &c. Promises; as Grace, Justification, &c. Decrees; as Predestination, Election, Reprobation, &c. Breaches on our part; Sin, Fall, Imputation, &c. Remedies thereof, by Penitence, Confession, &c. Rewards and punishments allotted thereto; Heaven, Hell, Resurrection, Immortality, &c. His Ministers; Angels, Devil, &c. His Church; either Triumphant; as Saints, Martyrs, Confessors, Fathers, Doctors, &c. or Militant, &c. Its Offices; Creed, Liturgy, Decalogue, Doxology, Trisagion, &c. Discipline, and rites; as Absolution, Anathema, Excommunication, &c. Catechumen, Confirmation, Genuflexion, &c. Its Hierarchy; as Bishop, Priest, Deacon, &c. Patriarch, Archbishop, Primate, Dean, Canon, Prebend, Archdeacon, Chantors, &c. Their ensigns; Miter, Crosier, Pallium, &c. Their Ordination, Consecration, Collation, Imposition, &c. Benefices, Revenues, Tithes, &c. Places set apart; as Church, Chapel, Oratory, &c. Cathedral, Parochial, Cardinal, &c. Choir, Nave, Altar, Font, &c. Diocese, Province, &c. Assemblies; as Synod, Council, Convocation, Consistory, Chapter, Presbytery, &c. Feasts, Fasts, Lent, Vigils, &c. Easter, Epiphany, Pentecost, Annunciation, Purification, Presentation, &c. — Particular Systems, or professions thereof; viz. Reformed, or Protestant; as the Church of England, Lutheranism, Calvinism, &c. Romish, or Latin; its Mass, Breviary, Legend, &c. Transubstantiation, Extreme Unction, Supererogation, Penance, &c. Hierarchy; Pope, Cardinal, &c. Secular, Regular, Monk, Religious, Abbot, Prior, &c. Order, Congregation, Monastery, General, &c. Jesuit, Carthusian, Carmelite, Augustin, Dominican, &c. Third order; Coenobite, Anachoret, Hermit, Recluse, Monastery, Cell, Rule, Vow, Reform, Noviciate, &c. Image, Relics, Saint, Virgin, Rosary, &c. Canonization, Beatification, &c. Indulgence, Jubilee, Exorcism, &c. — Greek; its Anthologion, Prothesis, Particles, &c. Maronite, Jacobite, Thomaean, &c. Armenian, Cophti, Solitary, &c.—Sects, and Heresies; as Manichees, Gnostics, Arians, &c. Ebionites, Nestorians, Millenaries, Quartodecimans, &c. Montanists, Socinians, Arminians, &c. Presbyterians, Anabaptists, Independants, Quakers, &c. Quietists, Servatists, Pre-adamites, &c. Deist, Atheist, Spinosism, &c—Jewish; its Talmud, Tradition, &c. Temple, Tabernacle, Sanctuary, Ark, &c. Pontiff, Levite, Tribe, &c. Ephod, Theraphim, Circumcision, Sabbath, Sanhedrin, &c. Rabbin, Doctor, Cabbala, Massora, &c. Pharisee, Sadduces, Essean, Caraite, &c. Nazarite, Therapeuta, &c. Samaritan, Dosithean, Hellenist, &c. Passover, Scenopegia, Gehenna, &c.—Mahometan; their Alcoran, Mufti, Dervis, Mosque, Mussulman, &c.—Heathen; their Idolatry, Theogony, &c. their Gods; Penates, Lares, Lemures, &c. Satyrs, Sylvans, Nymphs, Tritons, &c. Demi-God, Hero, Fortune, Destiny, Daemon, Genius, &c. Apotheosis, Sacrifice, &c. Feast, Lustration, &c. as Eleusinia, Saturnalia, Cerealia, &c. Ministers thereof; Rex, Pontifex, Flamen, Vestal, Corybantes, &c. Games; Olympic, Isthmia, &c. Divination, Oracle, Pythian, Sibyl, &c. Augur, Auspex, &c. Temple, Fane, Pagod, &c. Sects; as Banians, Brachmans, Sabaeans, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The union of both in their application to God, the Supreme Reality" Coleridge (1818), p. 44.
"La Theologia, e, scientia infusaé, riuelata suprema é, nobilissima, & é ultimo fine àm che sonno tutte lé altre preditte scientie ordinate, há per fondamento li doi santi testamenti ." Collenuccio (1535), p. 15.
Cf. //Speculum doctrinale//, book XVII.
"Sciences des conditions nécessaires du possible ou sciences des lois.", Naville (1888), p. 29.
"There are three branches of study, one of things which are incapable of motion, the second of things in motion, but indestructible, the third of destructible things" (//Physics//, 198a30-31).
"Evidently, then, there are three kinds of theoretical sciences—natural science, mathematics, theology" (//Metaphysics// Ε.1, 1026a18-23).
"The first part of this division is called 'physical science' or 'natural science', which is the first and the lowest ; the second is called 'mathematical science' or 'disciplinal', and is the middle; the third is called 'theology' or 'the first science' or 'first philosophy' or 'metaphysics'." Gundisalvo, //De divisione philosophiae//, trans. Grant (1974), p. 61.
"For intellection in the intelligible world is scientific understanding or theoretical wisdom", Plotinus (~270) 1.2.7.
"In a theoretical science we obtain, in the most succinct and intelligible shape, the entire body of existing information relating to one group of kindred phenomena." Bain (1870), p. 29.
"Science de la chaleur", Bourdeau (1882), vol. II, p. 53.
"La thermologie physique se décompose rationnellement, suivant les phénomènes qu'elle envisage, en deux parties bien distinctes, quoique étroitement liées l'une à l'autre. Dans la première, on étudie les lois de l'action thhermologique proprement dite; c'est-à-dire de l'influence mutuelle des corps pour faire varier leurs températures respectives, sans s'occuper des altérations qui en résulteront à d'autres égards. La seconde partie consiste, au contraire, dans l'étude de ces altérations, c'est-à-dire, des modifications ou même des changemens que la constitution physique des corps peut éprouver par suite de leurs variations de température, en s'arrêtant au dgré où ces effets commenceraient à porter sur la composition moléculaire, et appartiendraient dès lors au domaine de la chimie." Comte (1835), //Cours de philosophie positive//, Tome 2, p. 512.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Aquinas, Thomas (1252), //In Boethium de Trinitate//, English transl. A. Maurer, //The Division and Methods of the Sciences: Questions V and VI of His Commentary on the De Trinitate of Boethius//, Pontifical Institute of Mediaeval Studies, 1986.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Conway, Pierre & Ashley, Benedict (1959), "The Liberal Arts in St. Thomas Aquinas", //The Thomist: A Speculative Quarterly Review// 22(4), pp. 460–532.
* Daum, Anthony (2019), "St. Thomas Aquinas and Fr. Réginald Garrigou-Lagrange on Wonder and the Division of the Sciences", //Studia Gilsoniana// 8(2), pp. 249–276.
* Nascimento, Carlos A. (1974), "Le statut épistémologique des sciences intermédiaires selon Saint-Thomas d’Aquin", //Cahiers d’études médiévales//, vol. 2, pp. 47–65.
* Nemetz, Anthony A. (1956), "Logic and the division of the sciences in Aristotle and St. Thomas Aquinas", //The Modern Schoolman// 33(2), pp. 91–109.
* Porro, Pasquale (2001), "Il posto della metafisica Nella Divisione delle scienze speculative di Tommaso D’Aquino (Super Boetium De Trinitate, qq. V-VI)", in G. D’Onofrio (ed.), //La divisione della filosofia e le sue ragioni//, Salerno, Avagliano, pp. 185–249.
* Kanne, Marvin E. (1979), "Saint Thomas Aquinas’ Division of the Sciences", //Transactions of the Nebraska Academy of Sciences and Affiliated Societies//, vol. 319, pp. 145–148.
* Schmidt, Robert W. (2012), "Classification of sciences", in //The Domain of Logic According to Saint Thomas Aquinas//, Springer, pp. 16–31.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Hobbes, Thomas (1651), //Leviathan//, in E. Curley (ed.), //Leviathan, with selected variants from the Latin edition of 1668//, Indianapolis: Hackett, 1994.
* Molesworth, William (ed.), //Thomae Hobbes Malmesburiensis Opera Philosophica Quae Latine Scripsit Omnia// (5. vols.), Londres, 1839‑1845. Reimpr. Nabu Press, 2010.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Biener, Zvi (2016), "Hobbes on the Order of Sciences: A Partial Defense of the Mathematization Thesis", //The Southern Journal of Philosophy// 54(3), pp. 312–332.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 118.
* Giudice, Franco (2016), "Optics in Hobbes’s Natural Philosophy", //Hobbes Studies// 29(1), pp. 86–102.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 71–74.
* Totok, Wilhelm (1981), "The ordering of knowledge and the knowledge of ordering between Renaissance and Enlightenment", //International Classification// 8(1), pp. 2–9. Cf. chap. 5b.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Whittaker, Thomas (1903), "A Compendious Classification of the Sciences", //Mind// 12(45), pp. 21–34.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Fedorov, Alexandr A. (2019), "The place of psychology in Whittaker’s circular classification of the sciences", //Theory & Psychology// <a style="text-decoration: none;" href="https://doi.org/10.1177/0959354319884637">29(6)</a>, pp. 820–832.
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
"Art de gouverner le cœur", Charma (1859), p. 13.
<!-- Legend -->
<span style="position:relative; left: 4px; top: 1em; line-height: 0.8; background-color: #f2f2f2; z-index:8"> <span style="color:#4e9a06">•</span><span> List  </span> <span style="color:#b361bf">•</span><span> Tree  </span> <span style="color:#f57900">•</span><span> Table  </span> <span style="color:#c4a000">•</span><span> Network  </span> <span style="color:#3465a4">•</span><span> Circle  </span> </span>
<!-- Timeline -->
<div style="line-height:1.2em; margin-top:-30px">
<$visjstimeline filter="[has[diag_type]regexp:title[:/temp/imgdatabase/]]" startDateField="date" boxing="auto" format="YYYYYY" navpad/>
</div>
<!-- Counter of images -->
<div style="text-align:center">Total number of images charted: <$calc><$count filter="[has[diag_type]regexp:title[:/temp/imgdatabase/]]"/></$calc></div>
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Diagrammatic_timeline&dt=Diagrammatic_timeline" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Diagrammatic_timeline"></iframe>
\define icase_t() (\n|^){{$:/temp/tdisc!!text}}(?i)
\define icase_st() (\n|^){{$:/temp/tdisc!!text}}(?i)
<!-- Search box, view 1 -->
<$reveal type="match" state="$:/state/TReveal1" text="state1">
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<tdisc>>/></$wikify><$action-setfield $tiddler="$:/state/TReveal1" text="state2"/><$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/temp/tdisc!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc" type="search" tag="input"/><$reveal state="$:/temp/tdisc" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="tdisc" text=<<icase_t>> ><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update the timeline"><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<tdisc>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state2"/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/temp/tdisc!!text}}/></$button></$wikify></td></tr>
<tr><td align="center" style="color: #adadad; font-size: calc(9px + 0.4vw)"><$list filter="[title[$:/temp/tdisc]displayed[]]"><$count filter="[has[list_disciplines]]"/> maps indexed</$list></td></tr>
</table>
<!-- Display labels, view 1 -->
<$reveal type="nomatch" state="$:/temp/tdisc!!displayed" text="">
<table class="borderless" style="position:relative; top:-0.3em; z-index: 8">
<tr align="left"> <span id="hmed" style="background-color: #f2f2f2; padding-left: 5px; padding-right: 5px"><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/DefaultValues/tlabels" field="text" checked="yes" unchecked="no" actions='<$list filter="[has[map_id]]"><$action-deletefield $tiddler=<<current>> $field="caption"/></$list>' uncheckactions='<$list filter="[has[map_id]]"><$action-setfield $tiddler=<<current>> $field="caption" $value=""/></$list>'> Labels </$checkbox></span></tr>
</table></$reveal>
<!-- Timeline, view 1 -->
<$list filter="[title[$:/temp/tdisc]!displayed[]]">
<span class="center" style="font-weight: bold; background-color: rgba(242, 242, 242, 0.3); z-index: 5; font-size: calc(12px + 0.4vw); position:relative; top:-2.9em"><span style="background-color: #f2f2f2; padding-left:5px; padding-right: 5px">Results for '{{$:/temp/tdisc!!displayed}}' <span id="hsmall">in the database</span> <span id="hminus">(<$count filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc!!ucase}has[map_date]]"/> hit<!-- Plural s --><$list filter="[regexp:list_disciplines{$:/temp/tdisc!!ucase}insertbefore[New]move:-1[New]allbefore[New]limit[1]]">s</$list>)</span></span></span>
<span style="position:relative; top:-4.8em; line-height: 1.3em">
<$visjstimeline filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc!!ucase}has[map_date]has[uploaded]]" startDateField="map_date" boxing="auto" format="YYYYYY" navpad/>
</span></$list>
</$reveal>
<!-- Search box, view 2 -->
<$reveal type="match" state="$:/state/TReveal1" text="state2">
<table class="centertable borderless" style="position:relative; top:-1em"><tr><td><span class="darkgreyfont" style="font-size: calc(10px + 0.4vw)"> //Discipline://</span></td></tr><tr><td><div class="rcfield darkgreyfont clickbutton" style="width:14.6em; line-height: 1.6em" title="Enter the name (or part of the name) of a discipline"><i class="fa fa-search" style="padding-left: 0.4em; padding-right: 0.4em"></i><$keyboard key="enter" actions='<$wikify name="tdisc" text=<<icase_t>> ><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<tdisc>>/></$wikify><$action-setfield $tiddler="$:/state/TReveal1" text="state1"/><$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/temp/tdisc!!text}}/>'><$edit-text class="noborderfield searchfield" tiddler="$:/temp/tdisc" type="search" tag="input"/><$reveal state="$:/temp/tdisc" type="nomatch" text=""><$button class="tc-btn-invisible erasebutton"><$action-setfield $tiddler="$:/temp/tdisc" $field="text" $value=""/><i class="fa fa-times-circle"></i></$button></$reveal></$keyboard></div></td><td><$wikify name="tdisc" text=<<icase_t>> ><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Click here to update the timeline"><$action-setfield $tiddler="$:/temp/tdisc" $field="ucase" $value=<<tdisc>>/><$action-setfield $tiddler="$:/state/TReveal1" text="state1"/> <i class="fas fa-arrow-right"></i> <$action-setfield $tiddler="$:/temp/tdisc" $field="displayed" $value={{$:/temp/tdisc!!text}}/></$button></$wikify></td></tr>
<tr><td align="center" style="color: #adadad; font-size: calc(9px + 0.3vw)"><$list filter="[title[$:/temp/tdisc]displayed[]]"><$count filter="[has[list_disciplines]]"/> maps indexed</$list></td></tr>
</table>
<!-- Display labels, view 2 -->
<$reveal type="nomatch" state="$:/temp/tdisc!!displayed" text="">
<table class="borderless" style="position:relative; top: -0.3em; z-index: 8">
<tr align="left"> <span id="hmed" style="background-color: #f2f2f2; padding-left: 5px; padding-right: 5px"><$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/DefaultValues/tlabels" field="text" checked="yes" unchecked="no" actions='<$list filter="[has[map_id]]"><$action-deletefield $tiddler=<<current>> $field="caption"/></$list>' uncheckactions='<$list filter="[has[map_id]]"><$action-setfield $tiddler=<<current>> $field="caption" $value=""/></$list>'> Labels </$checkbox></span></tr>
</table></$reveal>
<!-- Timeline, view 2 -->
<$list filter="[title[$:/temp/tdisc]!displayed[]]">
<span class="center" style="font-weight: bold; background-color: rgba(242, 242, 242, 0.3); z-index: 5; font-size: calc(12px + 0.4vw); position:relative; top:-2.9em"><span style="background-color: #f2f2f2; padding-left:5px; padding-right: 5px">Results for '{{$:/temp/tdisc!!displayed}}' <span id="hsmall">in the database</span> <span id="hminus">(<$count filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc!!ucase}has[map_date]has[uploaded]]"/> hit<!-- Plural s --><$list filter="[regexp:list_disciplines{$:/temp/tdisc!!ucase}insertbefore[New]move:-1[New]allbefore[New]limit[1]]">s</$list>)</span></span></span>
<span style="position:relative; top:-4.8em; line-height: 1.3em">
<$visjstimeline filter="[has[list_disciplines]regexp:list_disciplines{$:/temp/tdisc!!ucase}has[map_date]]" startDateField="map_date" boxing="auto" format="YYYYYY" navpad/>
</span></$list>
</$reveal>
<!-- Set colors -->
<$list filter="[title[$:/temp/tdisc]!displayed[]]">
<span class="borderless" style="line-height:1.2em; position:relative; top:-5.5em">
<$checkbox class="tc-btn-invisible clickbutton" tiddler="$:/DefaultValues/tcolors" field="text" checked="yes" unchecked="no" actions='<$list filter="[has[number_disciplines]lessthan:number_disciplines{$:/temp/timeline_nb_disc}]"><$action-setfield $tiddler=<<current>> $field="color" $value="#9A1006"/></$list>' uncheckactions='<$list filter="[has[number_disciplines]]"><$action-deletefield $tiddler=<<current>> $field="color"/></$list>'> Mark in red maps with less than <span style="border-bottom:3.5px solid #656565"><$keyboard key="enter" actions='<$action-setfield $tiddler="$:/DefaultValues/tcolors" $field="text" $value="yes"/><$list filter="[has[number_disciplines]]"><$action-deletefield $tiddler=<<current>> $field="color"/></$list><$list filter="[has[number_disciplines]lessthan:number_disciplines{$:/temp/timeline_nb_disc}]"><$action-setfield $tiddler=<<current>> $field="color" $value="#9A1006"/></$list>'><$edit-text class="minicenterfield flatfield" tiddler="$:/temp/timeline_nb_disc" type="input" tag="input"/></$keyboard></span> disciplines </$checkbox></span>
</$list>
<!-- Stats button -->
<$reveal type="nomatch" state="$:/temp/tdisc!!displayed" text="">
<span class="righto borderless" style="line-height:1.2em; position:relative; margin-top:-0.2em"><$button class="clickbutton tc-btn-invisible buttonfield" tooltip="Advanced statistics"><$action-setfield $tiddler="$:/temp/statdisc" $field="text" $value={{$:/temp/tdisc!!text}}/><$wikify name="statdisc" text=<<icase_st>> ><$action-setfield $tiddler="$:/temp/statdisc" $field="ucase" $value=<<statdisc>>/></$wikify><$action-setfield $tiddler="$:/temp/statdisc" $field="displayed" $value={{$:/temp/tdisc!!text}}/><$action-setfield $tiddler="$:/temp/statdisc" $field="exact_match" $value="(\n|^)"/><$list filter="[prefix[$:/temp/stats_line]]"><$action-setfield $tiddler=<<current>> $field="start" $value={{!!start_preset}}/><$action-setfield $tiddler=<<current>> $field="end" $value={{!!end_preset}}/></$list><$action-setfield $tiddler="$:/temp/stats_nb_lines" $field="text" $value="12"/><$action-setfield $tiddler="$:/temp/limit_disc_nb" $field="text" $value={{$:/DefaultValues/statistics!!limit_disc_nb}}/><$action-setfield $tiddler="$:/temp/statdisc" $field="exclude_specialized" $value="no"/><$action-navigate $to="Statistics"/> <i class="fas fa-chart-pie"></i> Statistics </$button></span><div class="noselect" style="margin-top:-6.5em"> </div>
</$reveal>
<!-- Other options for visjstimeline:
customTime="000135" set the position of vertical line.
boxing="auto" if set to 'auto', auto-adjust the height of the height of the content.
startDateField A field on each tiddler that defines the start date of a tiddler in the timeline.
endDateField A field on each tiddler that defines the end date of a tiddler in the timeline.
persistent Makes the x-axis persistent, range between 'timeline.start' and 'timeline.end' (fields to be created in timeline's tiddler)
Documentation: http://kixam.github.io/TW5-visjsTimeline
-->
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Timeline&dt=Timeline" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Timeline"></iframe>
<!-- Timeline -->
<$visjstimeline filter="[has[start_t]has[end_t]]" startDateField="start_t" endDateField="end_t" format="YYYY" navpad/>
<br>
<!-- Message zone -->
<table class="centertable borderless infobg">
<tr><td><div style="color:#920906;"> <i class="fas fa-exclamation-triangle"></i> This tool is still under construction! </div></td></tr>
</table>
<!-- Documentation: http://kixam.github.io/TW5-visjsTimeline -->
<!-- Google analytics -->
<span style="opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=http%3A%2F%2Fatlas-disciplines.unige.ch%2F%23Timeline&dt=Timeline" /></span>
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Campanella, Tommaso (1623), //Philosophia realis//, Paris: D. Houssaye, 1637.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 99.
* Haugen, Kristine L. (2016), "Campanella and the Disciplines from Obscurity to Concealment", in //For the Sake of Learning: Essays in Honor of Anthony Grafton// (2 vols.), A. Blair et A.-S. Goeing (eds.), Leiden: Brill, pp. 602–620.
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
"The knowledge of the divisions and remarkable spots, partly natural, partly factitious, observable on the earth's surface", Jeremy Bentham (1816), //Chrestomathia//, p. 35.
"Après la description d'un lieu vient la déétermination de sa situation. Cette situation dépend de trois éléments: longitude, latitude, élévation au dessus du niveau de la mer. [...] Les travaux déjà exécutés à ce sujet et ceux qui ne le sont pas encore, appartiennent à une science du troisième ordre, à laquelle je donnerai le nom de //toporistique//." Ampère (1834), Tome 2, p. 85.
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
"TRADES and MANUFACTURES; as Printing, Paper-making, Book-binding, &c. Gilding, Japanning, Glass-making, Grinding, &c. Plumbery, Forging, Hammering, &c. Weaving, Bleaching, Whitening, &c. Fulling, Dying, Pressing, Sheering, Calendring, Tabbying, Freezing, &c. Woollen, Silk, Linum Incombustibile, &c. Cloth, Serge, Taffety, Stocking, &c. Velvet, Tapestry, Hat, &c. Tanning, Currying, Tawing, &c. Shamoising, Shagreen, Morocco, &c. Making Parchment, Glue, Gun-powder, Smalt, Soap, Starch, &c. Candle, Taper, Torch, &c. Steel, Button, Pin, Needle, Pipe, Fan, Peruke, &c." Ephraim Chambers, //Cyclopedia// (1728), Preface.
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
"Which demonstrateth the properties of all Circular motions: Simple and Compound." John Dee (1570), //The Mathematicall Preface//.
"The science of nutrition." Hooper (1906), p. 173.
Source: Paludanus (1636), p. 100.
"Science des plans de structure", Bourdeau (1882), vol. II, p. 350.
<?xml version="1.0" encoding="UTF-8"?>
<!-- Generator: Adobe Illustrator 17.0.2, SVG Export Plug-In . SVG Version: 6.00 Build 0) -->
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Calque_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" viewBox="0 0 163.7 47.2" enable-background="new 0 0 163.7 47.2" xml:space="preserve">
<g>
<g>
<path fill="#FFFFFF" d="M10.4,11.1l1,1.3l0.7-0.6l-1.7-2.1l-0.6,0.5c0.2,0.4-0.1,0.8-0.5,1.1l0.3,0.4L10.4,11.1z"/>
<path fill="#FFFFFF" d="M13.1,9.9c0.1-0.2,0.2-0.3,0.4-0.4c0.3-0.2,0.6-0.1,0.7,0.1c0.1,0.2,0,0.5-0.3,0.7c-0.2,0.1-0.6,0.2-0.7,0 l-0.8,0.4c0.3,0.6,1,0.5,1.7,0.1c0.8-0.4,1.2-1,0.9-1.6c-0.3-0.5-0.8-0.6-1.5-0.2C13.2,9,13,9.2,12.9,9.4l0,0l-0.1-0.5l1.4-0.7 L14,7.7L12,8.7l0.4,1.5L13.1,9.9z"/>
<path fill="#FFFFFF" d="M30.3,9c-0.2-0.1-0.5-0.2-0.7-0.1l0,0l0.3-0.4l1.4,0.7l0.3-0.5l-2.1-1l-1,1.2l0.7,0.4 c0.2-0.1,0.4,0,0.6,0.1c0.3,0.2,0.4,0.4,0.3,0.7c-0.1,0.2-0.4,0.3-0.7,0.1C29.2,10,29,9.8,29.1,9.6l-0.8-0.4 c-0.2,0.6,0.2,1.1,0.9,1.4C30,11,30.7,11,31,10.4C31.2,9.9,31,9.4,30.3,9z"/>
<path fill="#FFFFFF" d="M33.6,10.1C33,9.6,32.2,9.5,31.9,10c-0.3,0.4-0.2,1,0.4,1.5c0.2,0.2,0.6,0.3,0.8,0.3l0,0 c-0.2,0.3-0.6,0.4-1,0.1c-0.1-0.1-0.2-0.2-0.2-0.2c0-0.1-0.1-0.2,0-0.3l-0.7-0.5c-0.2,0.5,0,1,0.6,1.4c0.9,0.7,1.7,0.4,2.2-0.2 C34.4,11.5,34.4,10.7,33.6,10.1z M33.5,11.2c-0.1,0.2-0.5,0.2-0.8,0c-0.3-0.2-0.3-0.5-0.2-0.7c0.1-0.2,0.5-0.2,0.7,0 C33.6,10.8,33.6,11,33.5,11.2z"/>
<path fill="#FFFFFF" d="M3.6,21.2c0.5,0.2,0.8-0.3,1.1-0.8c0.3-0.5,0.5-1,0.7-0.9c0.2,0.1,0.1,0.3,0.1,0.5 c-0.1,0.3-0.3,0.6-0.5,0.5l-0.3,0.9C5.3,21.6,5.8,21,6,20.3c0.2-0.7,0.3-1.5-0.3-1.7c-0.5-0.2-0.8,0.3-1.1,0.8 c-0.3,0.5-0.5,1-0.7,0.9c-0.2-0.1-0.2-0.4-0.1-0.7c0.1-0.4,0.3-0.6,0.6-0.5l0.3-0.9c-0.7-0.2-1.2,0.4-1.5,1.2 C3,20.1,2.9,21,3.6,21.2z"/>
<path fill="#FFFFFF" d="M3.3,25.9l0.1-1c-0.3-0.1-0.5-0.3-0.5-0.8c0-0.6,0.5-0.8,0.9-0.8c0.4,0,0.8,0.3,0.8,0.9 c0,0.4-0.2,0.7-0.5,0.7l-0.1,1c0.7,0,1.1-0.8,1.2-1.6c0.1-1.1-0.5-1.9-1.3-2c-0.8-0.1-1.5,0.6-1.6,1.8C2.2,25,2.6,25.8,3.3,25.9z"/>
<polygon fill="#FFFFFF" points="5.5,29 4.5,29.2 4.2,27.9 5.2,27.7 5.1,26.8 2.3,27.3 2.5,28.2 3.6,28 3.8,29.3 2.7,29.5 2.9,30.4 5.6,30 "/>
<path fill="#FFFFFF" d="M6.6,32.3c-0.5-1.1-1.3-1.4-2.1-1.1c-0.7,0.3-1,1.2-0.6,2.3c0.5,1.1,1.3,1.4,2.1,1.1 C6.8,34.3,7.1,33.4,6.6,32.3z M5.6,33.7c-0.4,0.2-0.9,0.1-1.1-0.5c-0.3-0.6,0-1,0.4-1.1C5.3,32,5.8,32,6,32.6 C6.3,33.2,6,33.5,5.6,33.7z"/>
<polygon fill="#FFFFFF" points="8.3,35.4 7.7,34.6 5.5,36.3 7.2,38.5 7.7,38.1 6.6,36.7 "/>
<path fill="#FFFFFF" d="M7.7,39.1l0.8,0.7L9,39.5l1,0.9l-0.1,0.5l0.8,0.7l0.7-3l-0.8-0.6L7.7,39.1z M10.1,39.8l-0.6-0.6l1-0.5l0,0 L10.1,39.8z"/>
<path fill="#FFFFFF" d="M18.3,42.4c0.4,0.1,0.7,0.3,0.6,0.5l1,0.2c0.1-0.7-0.7-1.2-1.5-1.3c-1.1-0.2-2,0.2-2.1,1 c-0.2,0.8,0.4,1.6,1.5,1.8c0.3,0.1,0.8,0.1,1.1-0.1l0,0.3l0.6,0.1l0.3-1.5l-1.5-0.3l-0.1,0.5l0.7,0.1c-0.1,0.2-0.5,0.3-0.9,0.2 c-0.6-0.1-0.8-0.6-0.7-1C17.4,42.7,17.7,42.3,18.3,42.4z"/>
<polygon fill="#FFFFFF" points="22.3,44.4 22.3,43.9 24.1,43.8 24.1,43.2 22.3,43.3 22.3,42.8 24.3,42.7 24.2,42.1 21.2,42.3 21.4,45.1 24.4,44.9 24.4,44.3 "/>
<polygon fill="#FFFFFF" points="27.5,41.3 28,42.9 28,42.9 26.2,41.7 25.3,42 26.1,44.6 27,44.3 26.5,42.7 26.5,42.7 28.2,44 29.2,43.7 28.4,41 "/>
<polygon fill="#FFFFFF" points="31.3,41.8 31.1,41.4 32.6,40.4 32.3,39.9 30.8,40.9 30.5,40.5 32.2,39.4 31.9,38.9 29.4,40.5 30.8,42.9 33.4,41.3 33.1,40.8 "/>
<polygon fill="#FFFFFF" points="34.3,36.8 35.2,38.7 35.2,38.7 33.2,37.8 32.5,38.5 35.3,39.7 36.1,38.9 35,36 "/>
<polygon fill="#FFFFFF" points="37.8,35.7 37.3,35.4 38.3,33.8 37.8,33.6 36.8,35.1 36.4,34.9 37.5,33.2 37,32.9 35.4,35.4 37.8,36.9 39.4,34.3 38.8,33.9 "/>
<polygon fill="#FFFFFF" points="38.1,29.7 39.7,30.2 39.7,30.2 37.7,30.9 37.4,31.9 40.1,32.7 40.3,31.8 38.7,31.3 38.7,31.3 40.7,30.5 41,29.6 38.3,28.8 "/>
<path fill="#FFFFFF" d="M40.5,24.7c-0.5,0-0.7,0.5-0.8,1.1c-0.1,0.5-0.2,1.1-0.4,1.1c-0.2,0-0.2-0.3-0.2-0.5 c0-0.3,0.1-0.6,0.4-0.6l0-1c-0.6,0-0.9,0.7-1,1.5c0,0.7,0.2,1.5,0.8,1.6c0.5,0,0.7-0.5,0.8-1.1c0.1-0.5,0.2-1.1,0.5-1.1 c0.2,0,0.3,0.3,0.3,0.6c0,0.4-0.1,0.7-0.5,0.7l0,1c0.7,0,1-0.7,1.1-1.6C41.4,25.5,41.2,24.7,40.5,24.7z"/>
<rect x="38.4" y="22.4" transform="matrix(-0.9898 0.1427 -0.1427 -0.9898 82.4371 39.8587)" fill="#FFFFFF" width="2.8" height="1"/>
<path fill="#FFFFFF" d="M38.9,21.2c0.5-0.2,0.5-0.8,0.4-1.3c-0.1-0.5-0.2-1.1,0-1.1c0.2-0.1,0.4,0.2,0.5,0.5 c0.1,0.4,0.1,0.7-0.2,0.8L40,21c0.7-0.2,0.7-1,0.4-1.9c-0.3-0.8-0.7-1.5-1.4-1.2c-0.5,0.2-0.5,0.7-0.4,1.3c0.1,0.6,0.2,1.1,0,1.1 c-0.2,0.1-0.3-0.2-0.4-0.4c-0.1-0.3-0.1-0.6,0.1-0.7L38,18.4c-0.6,0.2-0.6,1-0.4,1.7C37.9,20.7,38.3,21.4,38.9,21.2z"/>
<circle fill="#FFFFFF" cx="13.6" cy="41.9" r="0.7"/>
<circle fill="#FFFFFF" cx="7.1" cy="14.7" r="0.7"/>
<circle fill="#FFFFFF" cx="36.4" cy="14.7" r="0.7"/>
<polygon fill="#FFFFFF" points="23.4,7 22.9,7.9 24.2,7.9 24.2,7.5 23.7,7.5 24,7 23.7,6.6 24.2,6.6 24.2,6.2 22.9,6.2 "/>
<polygon fill="#FFFFFF" points="20.6,7.5 20.3,7.5 20.3,6.6 20.6,6.6 20.6,6.2 19.5,6.2 19.5,6.6 19.8,6.6 19.8,7.5 19.5,7.5 19.5,7.9 20.6,7.9 "/>
<polygon fill="#FFFFFF" points="22.1,6.8 21.4,6.8 21.4,6.2 20.9,6.2 20.9,7.9 21.4,7.9 21.4,7.2 22.1,7.2 22.1,7.9 22.6,7.9 22.6,6.2 22.1,6.2 "/>
<path fill="#FFFFFF" d="M21.6,5.2c0-0.1,0.1-0.2,0.2-0.2C21.9,5,22,5.1,22,5.2l0,0.6h0.9V5.3h-0.6l0-0.1c0-0.4-0.2-0.6-0.6-0.6 c-0.3,0-0.5,0.2-0.6,0.5c0,0.1,0,0.1,0,0.2v0.1h-0.6l0,0.5h0.9L21.6,5.2z"/>
<circle fill="#FFFFFF" cx="21.8" cy="8.6" r="0.3"/>
<path fill="#FFFFFF" d="M26.4,4.3l0.4-0.5l-2.6,0.4l0.4-2.6l-2,1.7l-0.9-2.5l-0.9,2.5l-2-1.7l0.4,2.6l-2.6-0.4L17,4.3 C7.3,6.4,0,15.1,0,25.5c0,12,9.8,21.7,21.7,21.7s21.7-9.8,21.7-21.7C43.5,15.1,36.2,6.4,26.4,4.3z M21.8,3.7c1.6,0,3,1.3,3,3 c0,1.6-1.3,3-3,3c-1.6,0-3-1.3-3-3C18.8,5.1,20.1,3.7,21.8,3.7z M24.5,10.5c3.2,0.6,6.1,2.2,8.3,4.5h-1.4v1h-1.3v-1h-1.7v1.4h-1 c0,0,0-2.2,0-2.2c0-0.5-0.4-0.9-0.9-0.9c-0.5,0-0.9,0.4-0.9,0.9c0,0,0,11.6,0,11.6l-1.8,1.6v0.9c-0.8,0.1-1.4,0.6-1.8,1.3V11.9 l0.6-1.7l2,1.6L24.5,10.5z M25.4,35.5c-0.4,0.2-0.8,0.6-0.8,1.1c0,0.7,0.5,1.2,1.2,1.2h1.5c0.7,0,1.2-0.5,1.2-1.2 c0-0.5-0.3-0.9-0.8-1.1c2-0.7,3.5-2.6,3.5-4.9c0-1.2-0.9-2.1-2-2.3v-0.9l-1.8-1.6v-3.4h1v1.4h1.7v-1.1h1.3v1.1h1.7V20h-1.7V21 h-1.3V20h-1.4v-1.2h1.4v-0.9h1.3v0.9h1.7v-3.5c2.4,2.7,3.9,6.2,3.9,10.1c0,8.3-6.7,15.1-14.9,15.3v-8.6 C22.6,33.7,23.8,35,25.4,35.5z M23.1,30.6c0-0.6,0.4-1,0.9-1.1c0,0.7,0.6,1.2,1.3,1.2h2.5c0.7,0,1.2-0.5,1.3-1.2 c0.5,0.1,0.9,0.6,0.9,1.1c0,2.1-1.5,3.4-3.5,3.9C24.5,34.1,23.1,32.8,23.1,30.6z M11.5,19.3c-0.1,0.1-0.1,0.2-0.1,0.4 c0,0.1,0,0.2,0.1,0.3l-4,0c0.2-0.4,0.4-0.9,0.6-1.3L11.5,19.3z M9,17c0.4-0.7,0.9-1.3,1.5-1.9c0.3,1,0.9,1.8,1.7,2.5 c-0.1,0.1-0.2,0.2-0.3,0.3c0,0.1,0,0.2,0,0.2L9,17z M7.6,22.1c0,0,1.9-0.4,3.9-0.7c0,0.1,0,0.2,0,0.3c0,0.1,0.1,0.1,0.1,0.2 l-3.9,1.5c-0.5,0.3-0.7,1-0.4,1.5c0.2,0.2,0.4,0.4,0.7,0.5c0.3,0.1,0.6,0,0.8-0.1l3.4-2.1c0,0.1,0.1,0.2,0.1,0.3 c0.1,0.1,0.1,0.1,0.2,0.1l-3.1,2.8c-0.4,0.5-0.3,1.2,0.2,1.5c0.5,0.4,1.2,0.3,1.5-0.2l2.5-3.2c0.1,0.1,0.1,0.2,0.2,0.2 c0.1,0,0.1,0,0.2,0c0,0,0,0,0,0l-1.7,3.4c-0.2,0.6,0.1,1.2,0.7,1.3c0.6,0.2,1.1-0.2,1.3-0.7l1-3.4c0.4,0.1,0.9,0.2,1.3,0.2 c0.4,0,0.6,0,1-0.1c0,0.6,0,1.2,0,1.3c-0.1,0.1-3.1,3.4-3.1,3.4c-0.5,0.4-0.7,0.5-1.1,0.1l-0.1-0.1l-1,0.7l0.1,0.1 c0.4,0.4,0.7,0.6,1.2,0.7c-0.7,0.3-1.2,0.6-1.3,0.7c-0.1-0.1-0.6-0.6-0.9-0.9c0-0.7-0.6-1.2-1.3-1.2c-0.7,0-1.3,0.6-1.3,1.3v0.1 l0.5,0.5v-0.4c0-0.1,0-0.2,0.1-0.2c0.1-0.1,0.3-0.1,0.5,0c0,0,0.4,0.4,0.6,0.7l0,0l0.1,0.1c0.1,0.1,0.1,0.1,0.1,0.1l0,0l0.3,0.3 l-0.4,0c-0.2-0.2-0.6-0.3-0.9-0.3c-0.3,0-0.7,0.2-0.9,0.4c-0.2,0.2-0.3,0.4-0.3,0.7c-1.4-2.3-2.3-5.1-2.3-8c0-1.2,0.1-2.4,0.4-3.6 C7.1,22.1,7.3,22.2,7.6,22.1z M9.4,34.2c0-0.1,0-0.2,0.1-0.3c0.1-0.1,0.2-0.1,0.3-0.1l0.9,0c-0.5,0.1-0.9,0.4-1.1,0.8 C9.5,34.4,9.4,34.2,9.4,34.2C9.4,34.2,9.4,34.2,9.4,34.2z M10.6,35.5c0-0.1,0.1-0.2,0.1-0.2l2-1.1l0.5,0.6l0,0l0.1,0.1l0.1,0.1 l0,0l0.7,0.6c0.1,0.1,0.1,0.3,0,0.5c-0.1,0.1-0.1,0.1-0.2,0.1h-0.4l0.5,0.5h0.1c0.3,0,0.7-0.1,0.9-0.4c0.2-0.2,0.4-0.6,0.4-0.9 c0-0.7-0.5-1.2-1.2-1.3c-0.2-0.2-0.4-0.4-0.5-0.5c0.3-0.2,5.7-3.6,6.2-3.9c-0.2,1.9-1.8,4.1-3.3,5.4c-0.7,0.7-1,1.5-1,2.2 c0,0.6,0.2,1.1,0.5,1.4c0.3,0.3,0.7,0.4,1,0.2l0.2-0.1l-0.2-0.2c-0.3-0.3-0.4-0.7-0.4-1.1c0-0.7,0.2-1.1,0.5-1.4 c0.1,0.1,0.2,0.2,0.4,0.4c-0.1,0.4-0.2,0.8-0.2,1.2c0,0.8,0.3,1.5,0.7,1.9c0.1,0.1,1.1,0.9,1.5,0.8l0.3-0.1l-0.3-0.2 c-0.1-0.1-0.6-0.6-0.8-1c-0.1-0.3-0.2-0.6-0.2-0.9c0-0.5,0.2-1.2,0.7-1.7c0,0,1.1-2.7,1.7-4c0,0,0,0.3,0,0.3c0,0.3,0,0.6,0,0.9 c0,1.5-0.1,2.9-0.8,3.6C20,37.7,19.2,38,19.2,38l0.2,0.2c0,0,0.3,0.5,0.9,0.5c0.1,0,0.2-0.1,0.3-0.1c0,1.2,0.3,1.7,0.7,2.1 c-4.1-0.1-7.7-1.8-10.4-4.5l-0.3-0.5C10.6,35.7,10.5,35.7,10.6,35.5C10.5,35.6,10.6,35.5,10.6,35.5z M18.7,13.8l0.4-0.6h-1.5 l0.2-0.6c0-0.3-0.3-0.6-0.6-0.6c-0.1,0-0.1,0-0.2,0c0-0.1,0-0.1,0-0.2c0-0.2-0.2-0.4-0.4-0.4s-0.4,0.2-0.4,0.4c0,0,0,0.1,0,0.2 c-0.1,0-0.1,0-0.2,0c-0.3,0-0.6,0.3-0.6,0.6l0.2,0.6h-0.4c-0.3,0-0.5,0.2-0.6,0.5c-0.1,0-0.2,0-0.3,0c-0.5,0-0.9,0.4-0.9,0.9 c0,0.2,0,0.3,0.1,0.4c0.2-0.3,0.5-0.5,0.8-0.5c0.3,0,0.6,0.2,0.8,0.4c0,0,0,0.1,0.1,0.1c0,0.1,0.1,0.2,0.1,0.3h-1.9 c-0.5,0-0.9-0.4-0.9-0.9v0.2v0.2v0.2c0,0.5,0.4,0.9,0.9,0.9h1.8c-0.2,0.3-0.5,0.5-0.8,0.5c0,0,0,0-0.1,0c0.2,0.2,0.4,0.4,0.8,0.4 c0.4,0,0.7-0.3,0.9-0.6h2.5c0.5,0,0.9,0.4,0.9,0.9c0,0.2,0,0.6-0.2,0.8L19,18.3l-1.6,2.5c-0.3,0.4-0.9,0.5-1.3,0.2 c-0.4-0.3-0.5-0.9-0.2-1.3c0.4-0.2,0.5-0.6,0.4-1.1c-0.1-0.5-0.6-0.9-1.1-0.9l-0.3,0c-2,0-3.5-1.3-3.7-3.3c2.1-2,4.8-3.4,7.8-4 l-0.2,1.4l2-1.6l0.7,1.8v2.7L18.7,13.8z M21.7,45.9c-11.3,0-20.5-9.2-20.5-20.5c0-10,7.2-18.3,16.6-20.1l0.3,0.4l-2.5,0.9l2.5,0.9 l-1.7,2l2.6-0.4l-0.1,0.5c-7.5,1.3-13.3,7.8-13.3,15.7c0,8.8,7.1,15.9,15.9,15.9s15.9-7.1,15.9-15.9c0-7.9-5.7-14.4-13.2-15.7 l-0.1-0.5l2.5,0.4l-1.6-2.1l2.4-0.9l-2.4-1l0.3-0.3C35,7.2,42.2,15.5,42.2,25.5C42.2,36.8,33,45.9,21.7,45.9z"/>
</g>
<g>
<path fill="#FFFFFF" d="M57.1,21.3c-4.7,0-5.8-2.7-5.8-5.7V5.3h2.9v10.1c0,2,0.6,3.4,3.1,3.4c2.2,0,3.1-1,3.1-3.7V5.3h2.9v9.6 C63.3,19.2,61,21.3,57.1,21.3z"/>
<path fill="#FFFFFF" d="M74.8,21c0,0-5.6-10.3-6.1-11.3c0.1,1.4,0.1,3.8,0.1,5.7V21h-2.8V5.3h3.7c0,0,5.5,10,6.1,11.2 c-0.1-1.6-0.2-4.4-0.2-6.3V5.3h2.8V21H74.8z"/>
<path fill="#FFFFFF" d="M81.9,21V5.3h2.9V21H81.9z"/>
<path fill="#FFFFFF" d="M95.1,21h-3.3L86.6,5.3h3.1l2.9,9.2c0.3,0.9,0.6,1.9,0.9,3.1h0c0.2-1.1,0.5-1.9,0.9-3.3l2.9-9h2.9L95.1,21 z"/>
<path fill="#FFFFFF" d="M102.3,21V5.3h8.2v2.5h-5.3v3.9h5v2.5h-5v4.3h5.3V21H102.3z"/>
<path fill="#FFFFFF" d="M120.7,21l-4.2-6.5h-0.1V21h-2.9V5.3c1.2-0.1,2.6-0.1,4.3-0.1c3.3,0,5.4,1.2,5.4,4.4c0,2.3-1.5,4-3.8,4.4 c0.4,0.6,0.8,1.2,1.2,1.7l3.6,5.3H120.7z M117.6,7.7c-0.4,0-0.8,0-1.1,0.1v4.5c0.2,0,0.6,0,1,0c1.7,0,2.8-0.9,2.8-2.4 C120.3,8.4,119.4,7.7,117.6,7.7z"/>
<path fill="#FFFFFF" d="M129.2,21.3c-1.1,0-2.1-0.1-3.1-0.4l0.2-2.8c0.8,0.3,2,0.6,3.1,0.6c1.5,0,2.6-0.6,2.6-2.1 c0-3-6.3-1.6-6.3-6.8c0-2.8,2.1-4.7,5.7-4.7c0.8,0,1.8,0.1,2.7,0.3L134,8c-0.8-0.3-1.8-0.4-2.7-0.4c-1.7,0-2.5,0.8-2.5,1.9 c0,2.8,6.3,1.8,6.3,6.7C135.1,19.1,132.8,21.3,129.2,21.3z"/>
<path fill="#FFFFFF" d="M137.4,21V5.3h2.9V21H137.4z"/>
<path fill="#FFFFFF" d="M149,7.9V21h-2.9V7.9h-3.9V5.3h10.6v2.6H149z"/>
<path fill="#FFFFFF" d="M155.1,21V5.3h8.5v2.5h-5.5v3.9h5.2v2.5h-5.2v4.3h5.5V21H155.1z M158.3,4.3l-1-1.7l4.1-2.6l1.2,2 L158.3,4.3z"/>
<path fill="#FFFFFF" d="M55.8,46.2c-1.6,0-3.1-0.1-4.4-0.1V30.4c1.2,0,3.1-0.1,5.1-0.1c4.9,0,8,2.2,8,7.7 C64.4,43.4,60.9,46.2,55.8,46.2z M56.2,32.8c-0.7,0-1.4,0-1.8,0.1v10.6c0.4,0.1,1,0.1,1.7,0.1c3,0,5.1-1.7,5.1-5.4 C61.2,34.8,59.8,32.8,56.2,32.8z"/>
<path fill="#FFFFFF" d="M66.5,46.1V30.4H75v2.5h-5.5v3.9h5.2v2.5h-5.2v4.3H75v2.6H66.5z"/>
<path fill="#FFFFFF" d="M96.7,33.6c-1.3-0.5-2.7-0.8-4.2-0.8c-3.1,0-5.3,2-5.3,5.5c0,3.3,1.8,5.3,5,5.3c0.7,0,1.4-0.1,2-0.3v-5.5 h3v7.5c-1.6,0.6-3.5,1-5.4,1c-5,0-7.9-2.9-7.9-7.7c0-4.9,3.4-8.5,8.8-8.5c1.6,0,3.1,0.3,4.4,0.7L96.7,33.6z"/>
<path fill="#FFFFFF" d="M100.5,46.1V30.4h8.5v2.5h-5.5v3.9h5.2v2.5h-5.2v4.3h5.5v2.6H100.5z"/>
<path fill="#FFFFFF" d="M121.3,46.1c0,0-5.9-10.3-6.4-11.3c0.1,1.4,0.1,3.8,0.1,5.7v5.6h-2.9V30.4h3.9c0,0,5.8,10,6.4,11.2 c-0.1-1.6-0.2-4.4-0.2-6.3v-4.9h2.9v15.7H121.3z"/>
<path fill="#FFFFFF" d="M128.3,46.1V30.4h8.5v2.5h-5.5v3.9h5.2v2.5h-5.2v4.3h5.5v2.6H128.3z M133.5,29.4l-4.3-2.2l1.3-2.1l4.1,2.6 L133.5,29.4z"/>
<path fill="#FFFFFF" d="M147.7,46.1h-3.5l-5.5-15.7h3.3l3.1,9.2c0.3,0.9,0.7,1.9,0.9,3.1h0c0.2-1.1,0.5-1.9,0.9-3.3l3.1-9h3.1 L147.7,46.1z"/>
<path fill="#FFFFFF" d="M155.2,46.1V30.4h8.5v2.5h-5.5v3.9h5.2v2.5h-5.2v4.3h5.5v2.6H155.2z"/>
</g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
<g>
</g>
</svg>
Source: Hefter, Carl Christian (1806), //Philosophische Darstellung eines Systems aller Wissenschaften, oder einer allgemeinen Wissenschaftslehre//, p. 277.
"L'//Uranographie// [...] s'occupe de tout ce que le spectacle du ciel offre à l'observation immédiate. Elle décrit ces groupes d'étoiles qu'on a nommées //constellations//, et le mouvement diurne commun à tous les astres." Ampère (1834), Tome 1, p. 57.
"Description du ciel et du Globe Celeste." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Formes et relations de forme dans les êtres et les phénomènes effectifs", Naville (1888), p. 28.
"L'//Uranologie// présente deux parties, dont la première ne suppose que des connaissances élémentaires en mathématiques, et doit entrer dans l'instruction commune; tandis que la seconde qui, pour être bien comprise, en exige de plus approfondies, doit être réservée pour l'enseignement supérieur. Elles comprennent, l'une l'uranographie et l'héliostatique, sous le nom d'Uranologie élémentaire, et l'autre l'astronomie et la mécanique céleste, sous celui d'Uranognosie, qui indique une connaissance plus approfondie de l'objet dont il est ici question." Ampère (1834), Tome 1, p. 60.
"Dans la mécanique, les mouvements ne sont considérés que comme possibles; car l'espace où se meuvent les corps étant, de sa nature, immobile, ce n'est qu'autant qu'il y existe des corps qu'il y a effectivement lieu à des mouvements. C'est ce qui distingue la mécanique de l'uranologie, où il est question des mouvements //effectifs//, soit apparents, soit réels, des globes semés dans l'espace." Ampère (1834), Tome 1, p. 70.
"L'Uranologie est la science du ciel." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Offrant la description et l'histoire des astres" (Lancelin 1803, p. 133).
"Pursuits of utility, we daily find, are capable of being reduced to Method. Thus //Political Economy//, and //Agriculture//, and //Commerce//, and //Manufactures//, are now considered scientifically; or as the more prevalent expression is, philosophically." Coleridge (1818), p. 40.
<!-- Using the index of disciplinary maps -->
<table class="borderless nodragdrop">
<tr><td><p style="font-size:120%">//''Using the index of disciplinary maps''//</p><p style="text-align:justify">Disciplinary maps are listed on homepage, in a table including four columns. The first column contains the names of all available scholars. The most influential classifications of the sciences are marked with a ★ in the second column. Dates of life and countries of the scholars are displayed in the third and fourth columns.<br><p class="centero" style="width:65vw; max-width:300px; pointer-events: none" id="hbig">[img[Index_maps.jpg]]</p><br>The list can be filtered by scholar's name or country using the search box: <span style="vertical-align:-12%">[img height=24 [Search-box.jpg]]</span>. The number of elements filtered is displayed under the search box. To display all elements, clear the search box by clicking on the <i class="fa fa-times-circle" style="color:#595959;"></i> icon on the right.<br><br>Furthermore, the list can be sorted alphabetically, chronologically or by country with the sort selector: <span style="vertical-align:-12%">[img height=25 [Sort-selector.jpg]]</span>.</p></td><td id="hsmall"><p style="width:32vw; padding-left: 2.2vw; width:21em; pointer-events: none">[img[Index_maps.jpg]]</p></td></tr>
</table>
<!-- Browsing disciplinary maps -->
<table class="borderless nodragdrop">
<tr><td><p style="font-size:120%">//''Browsing disciplinary maps''//</p><p style="text-align:justify">Maps can be accessed by clicking on the name of any scholar listed in the index. Each datasheet includes a map, a reference list and, for some of them, one or many pictures drawn from the primary sources.<br><p class="centero" style="width:65vw; max-width:350px; pointer-events: none" id="hbig">[img[Map-view.jpg]]</p><br>To enlarge a map, click on the "toggle full-screen" button <span style="vertical-align:-10%">[img[fullscreen.png]]</span> that appears when hovering the map. The content of the map can be zoomed in or out either with the mouse scroll or by clicking on the zoom buttons <span style="vertical-align:-10%">[img[zoom-buttons.png]]</span> in the bottom-right corner. Center and adjust the content with the "fit whole graph" button <span style="vertical-align:-10%">[img[fittoscreen.png]]</span>.</p></td><td id="hsmall"><p style="width:32.2vw; padding-top:10px; padding-left: 2.2vw; width:25em; pointer-events: none">[img[Map-view.jpg]]</p></td></tr>
</table>
<table class="borderless nodragdrop">
<tr><td id="hsmall"><p style="width:11em; padding-right:2vw">[img[Map-bubble.jpg]]</p></td><td><p style="text-align:justify">Many disciplines listed on the maps come with a definition drawn from historical sources. To display a disciplinary definition, hover the light blue disc <span style="vertical-align:-10%">[img[blue-disc.png]]</span> attached to the name of a discipline: a (scrollable) bubble with the definition should pop up.<br><p class="centero" style="width:45vw; max-width:150px; pointer-events: none" id="hbig">[img[Map-bubble.jpg]]<br></p><br>Images displayed on a datasheet can be enlarged by clicking on it. Click again to close the view. To close the disciplinary datasheet of an author, click on the cross <span style="vertical-align:-15%">[img[close-cross.png]]</span> on the top right corner. Several datasheets can be opened simultaneously.</p></td></tr>
</table>
<!-- Advanced tools -->
<table class="borderless nodragdrop" style="margin-bottom:-10px">
<tr><td><p style="font-size:120%">//''Advanced tools''//</p><p style="text-align:justify">The content of the //Interactive Historical Atlas of the Disciplines// can be explored further by means of several advanced tools, accessible on the homepage through the toolbar <span style="vertical-align:-25%">[img height=25 [toolbar.jpg]]</span> located on the right of the search box.</p></td></tr>
</table>
<!-- Maps comparator -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fa fa-columns" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Maps comparator//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px;" class="nodragdrop">This tool may be convenient to display two maps side by side. Select any two scholars in the list and compare their disciplinary systems. Of course, the usual tools (zoom, scroll, fit to screen) are also available in this context.</p>
<!-- Timeline -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-chart-bar" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Timeline//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px;" class="nodragdrop">The timeline provides a visual view of the scattering of disciplines across the centuries. Enter the name of any discipline in the search field (e.g. 'optics') and press 'enter' or click on the arrow button next to the box. All the maps mentioning this discipline will be spotted on the chart below. The disciplinary maps of the scholars listed on the timeline can be easily accessed by clicking on their names.<br>Disciplines originally named in foreign languages are also translated into English in the underlying database. So that a search for 'optics', for instance, will also reach French maps including 'optique', German maps mentioning 'Optik', and so on. Most spelling variants, such as 'chymie', 'chimie', 'chimistry' are also matched through a correspondence table. However, deeper variants, such as 'mineralogy' versus 'history of minerals', are not considered equivalent by the software. As a result, it may be relevant in some cases to enter only parts of the name of a discipline, such as 'mineral', in order all relevant entries to be displayed. Furthermore, it is useful to know that the search field is case insensitive. Additionally, the 'Statistics' button under the timeline provides some quantitative data about the evolution in time of the selected discipline.</p>
<!-- Browse iconographic database -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-camera" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Browse iconographic database//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">Use this tool to look for images in the database. Enter the name of a scholar or a country in the search field (e.g. 'optics') and press 'enter' or click on the arrow button next to the box to filter results from the iconographic database. Results can be sorted alphabetically or chronologically. Additionally, images can be filtered by diagrammatic types (tree, table, circle, network, etc).</p>
<!-- Advanced filters -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-filter" style="color:#595959; transform: scale(0.94); padding-left:0.3em; padding-right:0.3em"></i></span> //Advanced filters//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">This tool can be useful to identify disciplinary maps meeting specific criteria. For instance, it is possible to get a list of all the maps mentionning "history" but not "chronology" between 1850 and 1900 in England. Check the box "include substrings" to extend the search of a discipline to substrings of longer words: for instance, "chemistry" in "biochemistry" (by default, only exact matches are returned).</p>
<!-- Search for definitions -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fa fa-binoculars" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Search for definitions of disciplines//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">Use this tool to browse through the definitions of disciplines displayed on the maps. The two search boxes "Disciplines" and "Scholars" can be used in combination, to display a list of definitions that can be ordered according to the sort criterion chosen in the selector located under the boxes. For instance, this tool can be used to display a chronological list of the successive (re)definitions of the field "mathematics" over time. Or, it can be used to display all the disciplinary definitions of a scholar such as "Comte" in a single list.<br>Check the box "include kindred disciplines" to extend the search results to similar disciplines bearing an old or alternative name (in order to display, for instance, "uranography" in the search results for "astronomy").</p>
<!-- Datasheet's toolbar -->
<table class="borderless nodragdrop" style="margin-bottom:-10px">
<tr><td><p style="font-size:120%">//''Datasheet's toolbar''//</p><p style="text-align:justify">On each datasheet, under the lower right corner of the map, a small toolbar gives access to several features, described in more detail in the following section.</p></td></tr>
</table>
<!-- Print -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-print" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Print this entry//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">Use this tool to print the content of the current datasheet in a printable format (compact with white background, without images and other unprintable elements). A small window will open with a preview of the page, including a 'print' button at the bottom.</p>
<!-- Informations about this scholar
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-info-circle" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Get informations about this scholar//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">This button provides additional information about the scholar of the current datasheet, such as: dates of life, country of origin and variants of the name.</p> -->
<!-- Link to this datasheet -->
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i class="fas fa-link" style="color:#595959; padding-left:0.3em; padding-right:0.3em"></i></span> //Copy a link to this entry//:</td></tr>
</table>
<p style="text-align:justify; margin-left: 35px" class="nodragdrop">This button copies into the clipboard a direct link (url) to the current datasheet. Such a feature is particularly useful for reference and bookmarking purposes.</p>
<!-- Collaborative tools (displayed only if collaborative tools are enabled) -->
<$reveal type="match" state="coll-toolbar!!enabled" text="yes">
<table class="borderless nodragdrop">
<tr><td><span class="buttonfield"><i style="transform:translate(0px, 2px)" class="fas fa-edit" style="color:#595959; padding-left:0.3em; padding-right:0.3em;transform:translate(1.2px, 0px)"></i></span> //Collaborative tools//:</td></tr>
</table>
<table class="borderless nodragdrop" style="margin-top:-16px">
<tr><td><p style="text-align:justify; margin-left: 29px">The last button on the right gives access to the collaborative tools. A small menu allows the user to add a missing disciplinary definition to a map, to suggest an additional bibliographic entry, or to report a mistake. All data sent are processed by the editorial team within a few days.</p></td><td id="hsmall"><p style="width:16vw; min-width:260px; padding-left: 1.5vw; pointer-events: none; position:relative; top:-0.1em">[img[Collaborative_toolbar.png]]</p></td></tr>
</table>
<p class="centero" style="width:55vw; max-width:200px; pointer-events: none; margin-top:-20px" id="hbig">[img[Collaborative_toolbar.png]]</p>
</$reveal>
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Help&dt=Help" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Help"></iframe>
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
iVBORw0KGgoAAAANSUhEUgAAArYAAAI5CAYAAABU5XnhAAAABmJLR0QA/wD/AP+gvaeTAAAACXBIWXMAABP+AAAT/gEHlDmEAAAAB3RJTUUH4wMBEC86ay6clgAAIABJREFUeNrs3Xl0U9XaBvDnJGmTNm2TtLRYytwWCggyI5OgIAUFBQoiFxn0UgZBQXAAEURQBPwUiwgoXGRUBhGEKiBlBhkEAVFklKmMLW06pm2G/f3BTS6laZuETmmf31pdC3KGnOycJE/e7LO3JIQQICIiIiJyczI2AREREREx2BIRERERMdgSERERETHYEhEREREx2BIRERERgy0REREREYMtERERERGDLRERERERgy0RERERMdgSERERETHYEhEREREx2BIRERERMdgSEREREYMtERERERGDLRERERERgy0REREREYMtERERETHYEhEREREx2BIRERERMdgSERERETHYEhERERFZKdgERFSWGAwGrFy5Elu3bsXx48eRkJCA7Oxs6HQ61KtXD0888QRefPFF1K9fn41FRES5SEIIwWYgorJg/fr1GD16NG7dulXgejVr1sTFixchk/FHJyIi+h9WbImoTJg7dy7Gjh0LIQSCgoIwevRoREZGolq1ahBC4OrVqzhy5Ah+/vln9OzZk6GWiIjyYMWWiErd/v370bFjR5jNZnTu3Bnr16+Hn58fG4aIiJzCkgcRlboJEybAbDajdu3a2LBhg0uhdujQoZAkCdu3b4cQAp999hnCw8Mhk8nw9NNP51r377//xtixY9GgQQOo1WqoVCrUrl0b0dHRuHz5cp59x8fHQ5IkNG/eHACwdetWdOjQAb6+vvDz80OrVq2wbNky2/qrV6/GE088AY1GA29vbzRt2hRLliyxe9wrV66EJEl48803IYTA3Llz0bBhQ3h5eaFSpUp45pln8OuvvwIAsrOz8fHHH9uWa7VadOnSBfv377e77+zsbCxfvhzdunVDUFAQPDw8oNPp0L59e3zzzTcFHs+kSZMAAFu2bEGLFi2gVCrh7e0No9HIE5aIyi5BRFSK/vjjDwFAABDffPONy/v597//LQCIpUuXinHjxtn2CUAMHjzYtt6pU6dyLfP39xc+Pj62/1eqVElcvnw5176vXbsmAAgfHx+xdOlSIUmSUCgUwt/fP9e+PvvsM/H6668LAEIul4uAgIBcy2fMmJHnuFesWCEAiGeffVa88sorAoDw8vISfn5+tu0UCoXYs2ePaNWqlQAglEql0Gq1eZY/KCoqyraOUqkUgYGBQiaT2W5766238j2eIUOGiA0bNuRav0aNGjxhiahMY7AlolIVExNjC4JpaWkPHWw7d+4sZDKZmDBhgrhw4YJITEwUd+7cybXuyJEjxYIFC3LdfuDAAVsQHTVqlN1gaw2R7733nu1Yr1y5Ipo2bWpbBkCMGDFCJCUlCSGEuHPnjujUqZMtGKenp9sNkgqFQnh7e4s1a9YIk8kkhBBiz549QqfT2ZYrFArx1VdfiezsbCGEECdOnBDVq1cXAMSTTz6Zp0327t0roqOjxYEDB2z7TEtLE9HR0bZ93rx50+7xNGnSRAQEBIjnnntOHDt2TCQlJYn4+HiesETEYEtElJ/hw4cLAKJ+/foPtR9rsAUgpk2b5tI+Pv74YwFANGrUKN9g27Vr1zzb7dy507a8WbNmeZafO3fOtvzByqo1SAIQM2fOzLPtlClTbMvHjRuXZ/nXX38tAAhPT0+Rk5Pj0OM0GAxCo9EIAOKHH37I93iefvppYTabeZISkdtgH1siKlV3794FAAQGBtpdPmLECEiSlOdv6dKldtf39fXF+PHjXTqWevXqAQASExPzXeeFF17Ic1uzZs0KXB4eHg5fX18AwI0bN1zed79+/fJdnpOTY2vLwqhUKtSqVavQx/r+++9z9Akicisc7ouIygRJkopkPy1btoS3t3eh6129ehV79+7FlStXkJGRAYvFgosXLwIAzGZzvtvZmxjCz88PcrkcZrMZderUsbudv78/0tLSkJ2dbXe5l5eXLWw+uJ2VvX3fv9zevk0mE/bt24c//vgDd+/eRU5ODgDYxgrO77EqlUo8/vjjPDGJiMGWiMhROp0OQP6Vwzlz5mDmzJm2/9etWxd37tzJd39BQUEF3l9aWhqGDh2KdevWQbgw2qFWqy1wuY+PT4HL87tPjUZT6H07u+9NmzZhxIgRuHnzptOP09/fH3K5nCcoEbkV/sZERKWqbt26AICzZ8/arThah7Wy/hVW2S3sp/P+/ftj7dq18PPzw+eff45//vkHOTk5EEJg3bp1hR5vcYW9ot7v4cOH0bt3b9y8eRPdunXDrl27oNfrYbFYIIRAgwYNHqodiYjKIlZsiahUtW3bFgBgNBrx888/o1evXsV2X+fOncNPP/0EAFi6dCl69uyZa3l5GqM1JiYGZrMZTZo0QWxsbJ6gyvFoiag84ldyIipVrVq1Qnh4OABg+vTpBfZvfVinT5+2/fvJJ5/Ms/zSpUvlpl2tj7VDhw52Q218fDxPPiJisCUiKkqSJOG9994DABw/fhyDBg2yXeBU1Dw9PW3/NhgMuZYZDAYsWrSo3LSr9bE++DgBYPHixcjMzOTJR0QMtkRERW3QoEF48cUXAQDffvst6tevj5iYGJw4cQK3bt2CXq/HjRs3sH37drtBzVFNmza1VS+nTZsGk8kEALh58yYGDRrk0kVWZVWLFi0AAGvWrMFff/0F4N4ICBs3bsTEiROhVCp54hERgy0RUXFYuXIlxo4dC7lcjosXL2Ls2LFo0qQJgoODodPpEBISgi5duiA1NdXl+3jkkUcQHR0NAFiwYAECAgIQHByMKlWq4Oeff8ZPP/1UbkYCeOONN+Dj4wO9Xo9GjRqhWrVqUKvV6NWrF55++mmMGTOGJx0RMdgSERUHuVyOOXPm4OTJk3jjjTfQqFEj6HQ6yOVyeHt7o2rVqmjbti1Gjx6N9evXo2/fvi7dz7x58zBt2jTUrl0bBoMBZrMZvXv3xpEjR9CpUyc8+uij5aI9w8LCsHfvXnTt2hXe3t64e/cuwsLC8Mknn2DNmjVo3bo1TzoiKnck4cpAjkREREREZQwrtkRERETEYEtERERExGBLRERERMRgS0RERETEYEtEREREDLZERERERAy2REREREQMtkREREREDLZEREREVO4p2AREVBIsFgvOnz/PhiiH5HI5wsLC2BBEVOo4pS4RlYi0tDS0aNGi/L6ZShIAwMPDw/Zvo9EIIQTK+9tsYmIifv31V9SpU4cnOhEx2BIRlSfTpk3DvHnzMG/ePPTp0wcyWfnt9WWxWCBJEoQQ5fpxEpF74LsQEVER+/PPP5GQkIBhw4bh+PHj5ftDRCaDJEkMtUTEYEtEVB5duHABwL1q5pkzZ9ggREQMtkRE7ikrKwsAkJGRgUuXLrFBiIgYbImI3NP9Fdvy3hWBiIjBloionMrOzobFYrH9Pz4+no1CRMRgS0Tkfu7evQulUmn7/+3bt9koREQMtkRE7hlsFYr/zX2TlJTERiEiYrAlInLPYHv/0FdpaWngcOFERAy2RERuJykpKVcfW29vb9y8eZMNQ0TEYEtE5F7u3r0Lk8lk+7+Hhwdu3brFhiEiYrAlInIvaWlpyMnJ+d+brEyGu3fvsmGIiBhsiYjcy4MVW5PJxGBLRMRgS0TkfhITE3P932QycWQEIiIGWyIi9/NgiM3OzkZycjIbhoiIwZaIyL08GGItFgvu3LnDhiEiYrAlInIvqampeW7jqAhERAy2RETlItgmJCSwYYiIGGyJiNxLenp6ntt48RgREYMtEZHbycjIyHObXq9nwxARMdgSEbkXg8GQ5zZ73ROIiIjBloioTNNoNHlu8/HxYcMQEZUASQgh2AxERA/PYDDAz88v18xjVhaLBZIksZGIiIoRK7ZEREUkIyMDCoUiz+2enp5IS0tjAxERMdgSEbl3sPXw8GA/WyIiBlsiIveRmZkJmSzv26pcLmfFloiIwZaIyH1kZGTY7Ucrk8lYsSUiYrAlInL/YAuAFVsiIgZbIiL3Crb2BpoRQrBiS0TEYEtE5D4yMzPtBluz2cyKLRERgy0RkfvIyMiAxWLJc7vJZGLFloiIwZaIyL2CrdlsznO70WhkxZaIiMGWiMh9ZGZm2p11zGw2Q6/Xs4GIiBhsiYjcQ3p6OoxGo91liYmJbCAiIgZbIiL3kN9QX8C9SRqIiIjBlojILVy/fj3fZZcvX2YDEREx2BIRuYeMjIx8l6Wnp7OBiIgYbImIGGyJiIjBloioxBgMhnyXZWZmsoGIiBhsiYjcQ0EV24JCLxERMdgSEZUpWVlZDLZERAy2RETur6Dwmp2dzQYiImKwJSJyDwWF15ycHDYQERGDLRGReyioK4IQIt9ZyYiIiMGWiKhMKahi6+HhUeDFZURExGDrkM8//xw+Pj6YPn16mT3GRx99FJIkYd68eblu379/PwICAtC1a9ciuZ+VK1dCkiRUrVq1TLZDUlISIiIiULt2bdy8eZOvUHIrBXU3UCgUDLZERO4QbGNjYyFJUoHzpJemTZs2ISMjA99//32x39fAgQMhSRLeeuutItnfzp07kZSUhG3btiE5Obncn5B//vknzp49i0uXLuHQoUMlcp89evSAJEmYNm0a3xGo2IKtTCZjsKUyISwsDJIkYfHixRXmMbNowmBbrgwdOhQNGjTA6NGji/V+1q5di5UrV6JKlSqYMmVKkeyzV69eaNq0KYYNGwadTuf2z4UQAtWrV8/3uWjWrBkiIyPx5JNPomPHjiVyTHPnzoVKpcK0adNw7NgxviuQy0wmE4MtlYj7C0rWP09PTwQGBqJNmzaYPHkyrl+/zob6r9IomhCDbbH517/+hT///BPR0dHFdh85OTkYP348AGDGjBnw9fUtkv02bNgQx44dw1dffVUunov9+/fj2rVr+S5Xq9XYunUrdu7cWWJBvlatWhg/fjzMZjPGjBnDdwVy+UtbQcFWkiQGWyoWcrkccrkcRqMRiYmJOHjwID788EPUrVsXW7duLRPHuHjxYkiShMTExFK5/9IomhCDrVtbu3Yt4uPjERgYiP79+7NB8vngX7JkSZk8tpEjR0Iul+PAgQPYtWsXnyxyWlZWFhQKRYHrMNhScTCZTDCZTDCbzYiPj8fSpUtRpUoVZGRkYMCAAdDr9aV+jN99912p3n9pFE2oAgbbmzdvYty4cahbty68vLyg0+nQqVMnrFmzpsDt/vzzT/zrX/9ClSpVoFAo8vwcI0kSIiIibOsPHToUkiThpZdesru/9PR0zJw5Ey1btoSfnx/UajUiIiLQr18/HD582KHHYr3oa/DgwfD09LS7zvnz5zFgwABUrlwZXl5eaNCgAT788ENkZWVBqVTa3aagi72ys7OxfPlydOvWDUFBQfDw8IBOp0P79u3xzTffuBw+ly1bhrZt28LX1xc+Pj54/PHH8dVXX8FsNue73ZUrV/D6668jIiLC9lw2btwYo0aNwo0bN7Bjxw40atQIS5cuBQB8+eWXtufq/jAQHx9vu/3ChQt27+vXX3/Fiy++iKpVq0KpVCI4OBht27bFBx98kOsYExISMH78eDRu3Bg6nQ4qlQqhoaF4+eWXsXPnTgghbOuGhITgmWeeAQAsXLiQ7wzktOzsbAQGBua73FpRIyq2D3SZDCEhIRg8eDBiY2MB3Otb+vPPP5fqcV28eBF79uzhE0QlQxSBzZs3CwDCmd3t379faLVaAUBIkiQCAgKEl5eXbT8vvPCCMBqNebY7cOCAbb06deqInj17ioiICNt2Hh4eIiwsTLzyyiu2bf79738LAGLAgAF59nft2rVc2z/4t27dukIfy7lz52zrnzx50u46R44cEb6+vrb1AgIChEqlEgBEixYtRKtWrQQA8cUXX+TabsWKFQKACAkJybPPqKgo2/6USqUIDAwUMpnMdttbb72VZ5uC9mcymcQLL7xg297b21t4enra/v/ss8/afU527Ngh/Pz87LafXC4Xt27dEnPnzhWRkZGicuXKAoCoXr26iIyMFJGRkeKZZ57J9XxYtz1//nye+5o9e7aQJMnufTVo0MC2XkJCgqhRo4ZtmU6nExqNxvb/iIiIPPtevXq1ACBUKpXIzMwURM64ceOG8Pb2zve9xNfXV6xcuZINRUXCkc/dqlWrCgBi+vTpuW4PDQ0VAMSSJUvEpUuXxMCBA0XlypWFh4eHqF69uhg5cqS4fft2vvu1WCxi6dKlok2bNsLHx0eo1WrRqlUrsXDhQmEymWzrZWVliVdffVUolUq7r4kHj0sIIdatWyciIyNFQECAUCqVonbt2uK1114T8fHxdo/F+pn27rvvCiGE+Pnnn0Xz5s2Fp6en8PLyEjk5OQ59tljzRb9+/URISIjw9PQUjzzyiGjTpo2YOnVqrsdFZVupBNvExERbwImKihLXr18XQghhNpvFzz//LIKCggQAMWXKlDzbNm3aVAAQQ4YMEWaz2bZddHS0ACD69u2bZ5uCgm379u0FABEUFCRWrVolkpKShMFgEMeOHRMfffSRQwFnyZIlAoDQaDTCYrHkWW40GkXdunUFANG4cWNx9uxZ2+2rVq3KFeidCbZ79+4V0dHR4sCBA7YXXVpamq0tFAqFuHnzpsP7mzVrlgAgatSoIXbt2iUsFoswGo3i+++/Fz4+PgKAmDFjRq5t7ty5IwICAgQA0bx5c7F7926RmZkp9Hq92LlzZ57HYw3jo0aNstuWBb35xMXF2ULtgAEDxF9//SWys7PFjRs3xPfffy82bNhgW3fy5Mm2AHv/fi5duiQ+/vhj8d133+W57/j4eNt9b9myhe8O5JRLly7ZXif2/tRqtVi0aBEbikos2Fq/zH/yySd2g+306dNtBSadTpcrgIaGhoqUlJSHKoAkJSWJyMhI0bZtW9vyp556ylbU+Pbbb3Ptt3///rb1VCqVqFSpku09X6PRiL179+YbbIcMGSI2bNiQq7hTo0aNIi+aEIOtXR988IEAIMLCwmzfpuztz9vbW9y9e9du8Pjnn39ybXPlyhVb9Tc9Pd2hYLtz507b/n799VeXH//QoUMFABEZGWl3+Y8//mirXp47dy7P8k8++cSlYJsfg8Fge0P74YcfHNqfwWAQ/v7+AoDYs2dPnn1OnTpVABCVK1fOFd6nTJliu12v1xd6bA8TbJ944gkBQHTt2rXQ++nbt68AICZNmuTUc1m9enUBQHzwwQd8dyCn/P333/n+cmH9oI6JiWFDUYkE219//dW2/MiRI3aDrVwuF0888USuYsvSpUtt4XDWrFlFUgA5deqU7VgSEhLsHu+HH34oAAg/Pz+xfv16W7Hm9u3bYsiQIQKACAwMzFNJtn6mNWnSRAQEBIjnnntOHDt2TCQlJeWq8hZV0YTKvlLpY/vDDz8AAAYMGAAPD488y5999llUrlwZmZmZ2Lx5s+32GzduALh3dXGNGjVybVO1alVIkgQhBC5evOjQcWzatAkA0LJlS7Ru3drlx3Pq1CkAwGOPPWZ3ufWq1NatWyM8PDzP8oEDBxZp+6pUKtSqVQsAHL4CddeuXUhKSsIjjzyCJ554Is/yp556CgBw+/ZtnDt3Lk8bDh48GBqNptjOGb1ej3379gEAXn/99ULXt/ZJjo2NdeqCncaNGwNArsdI5Ggf24LG8jaZTDAYDGwoKjYWiwXXr1/H4sWL0bNnTwD3RgVq0aKF3fV9fX3x448/ok6dOgDuTSIyePBg27Y7d+7MtX5WVhZmzZoFAFi+fDk6duxou04iKioKb775JgAgJiYm1zUMhUlPT8fs2bNt2/bu3RtyuRwAEBQUhCVLlqBFixZISEjAnDlz7O7j+PHjaNq0KTZs2ICmTZtCp9MhJCTEofufNm0ahBDo2rUrVq5cifr168PT0xPBwcGIioqytQe5SV/zkr5Dk8mEP//8M1eIeJAkSbaQeOTIEdvtQUFB1n7BeQJbYmKi7YXk5+fn0LGcOHECAPJ90TvKeiz5XThifbxNmza1u7xy5cqoVKmSy+25a9cuxMTEYMqUKZgwYQImTJiAW7duAUCBF3zd7+jRowCA5ORkhIWF5fm7/8I7674tFost1D9sGxbm5MmTtufXkfsaO3YstFotTp48iUcffRTLli0rcLpTK+vzkJCQwHcHcjrYFvZaZbCl4qBQKKBQKCCXy1G1alVER0fj7t27eO211wq8kLhHjx7QarV5bm/ZsiUA5BkH19UCSGHi4uKQmpoKlUqFfv362c0EQ4YMAQCsXr063/28//77kMmcizXOFk3IDV4PJX2Her3eFrYKCnPWZXfu3LHdVqNGDTRq1Ah//PEHvvjii1xT5H755ZcAgLp166JmzZoOHcvdu3dzBWZXJSUlAQD8/f0LXF7Q4/X393d6fL9NmzZhxIgRRTKLirWds7OzC614W6/svv+5fNg2dPS5kslkDn0JqF69Ovbu3YvBgwfj+PHjGDJkCCZMmIAxY8bgtddeg1qtzvd5uP/+iByVlZVV6OyLqampbCgqcvYKGL/++qstoOanQYMGdm8PCAiwndMFFUDy+2ywFkDq1q3r0PEfP37c9vnt5eVld50mTZoAAC5fvoyEhIQ8hSSlUonHH3+82IsmVPaVeMX2/jf+gn6qsC578INi/vz5UCqV+PDDD9GuXTsMHz4cHTp0wLRp06BUKm0B15k3g4edCtj64s/vBZnfY7mf9WcXRx0+fBi9e/fGzZs30a1bN+zatQt6vR4WiwVCiHzfsApr7yeeeAL/7Xud71/nzp3zvJkW93TKrjxX1sktNmzYgLZt2+LWrVuYOHEiGjdujNOnT9vdxtvbGwBYWSOnZWdnF/rza1paGhuKipz1vTkrK8vWTe8///lPodvZq9ba+1zIrwDy4N/Vq1fthlxHCxeOFLvuP44HixLOfo66UjQhBts8dDqdrV9tQT/3Wpc9+K2sbdu2iI2NhUwmw6+//opFixbhr7/+Qs+ePXHw4EF06tTJ4WOxVucediYU65tDflU+62DQycnJ+e7D2QG0Y2JiYDab0aRJE8TGxqJjx47QaDS24OfseJnWF7QzUzDqdDrb/RX3bDLW58psNhfYjva+SPXs2RP79+9HXFwcatasiQsXLiAqKsruLFGFVd+JCvuCW5D09HQ2FBUba9HHGmytXcWKqqDiSgHE0fdpe0E6v5Btr8DhbBeEhymaEINtnpPP2tf0999/t7uOxWLByZMnAdybBu/Bb1fR0dEIDg7GpUuXYLFYkJiYiA0bNth+qnBUo0aNAADHjh0rktCVX1C3Vk/ze5NJSUmx9Vt1lLXi2KFDhzwvaKPRiPj4eKf2Z31O/vnnH4e7NigUCtSrV8+pNnTkDayg5+phnq9OnTph+/btAIAzZ87Y+ljb+/Zu/SmOyFGOVGwZbKm4DRgwAI899hjMZjPGjRtXpPt2pQDiCGtXNkeKXQAKnAilpIomxGCbi7Vz+KpVq5CTk5Nn+ebNm5GQkABPT0/06NEj17KVK1fi8uXLeOWVV/KMjOCs5557DgBw8OBBuyHHUdYr8K9cuWJ3edeuXQEAe/bssfuGsG7dOqeDnnV2M3s/mS9evBiZmZlOhz5/f38IIfDpp5863YbLli1zaPQBHx+fXAHSUYGBgbb+U/Pnz3f5uapWrZptpjN7FVvrc1ilShW+O5DTwdZisRS4DqfUpeImSZJt5IK4uLhcIws9LFcKIPcXNPIralj7tp47dy7f14i1EFatWrUiDbZFUTShch5sExMT8/2zntDR0dGoVasWLl++jL59++LatWu2E37r1q2Ijo4GAIwePTrPCWwNbLt378a5c+eg1+uh1+uRlpbmdDiMjIxE69atYbFY0KNHD/z444/IzMyEwWDAmTNn8MUXX2Dv3r2F7scauA4cOGB3effu3REWFoacnBz07dsX//zzj+0b4qZNm2xDpDjD+kawZs0a/PXXX7b9bdy4ERMnTsx3it78qNVqvP/++wCATz/9FO+88w6uXr0KIQQyMzPx22+/YfLkyXjjjTdybTdmzBjodDrEx8ejW7du+O2332AymaDX63Hs2DFMmjQpVzcFa4V3+/bttpBvNBoLDQQAbMe3YcMGDB8+3Faxv3XrFnbs2GFbDgCDBg3C6tWrbV0LgHuV8XHjxsFkMsHHxyfXG5o1mFjf2PIbuo0oP1lZWYWex85+4SRyRWRkpG2EgjfffLPIpnJ2tQBiLWjkV9R48sknERQUhOzsbKxatSrPciGEbTr2qKioIm2roiqaUBlS1ANFF/R3/8DMJ0+eFI888ohtUoVKlSoJtVptW7d79+4iKyvL7uw+Op3O7v6VSqXo0qWL+O233xyaoME6sUNYWFi+x7xixYpCH//27dtt6+c3DeGhQ4dyzUpUqVIl2/SbnTt3Fn369HFqgobz58/b9ieTyUTVqlVts8b06dNHvP322wKAWLBggVMTPrz++usFPof9+vXLs822bdtyPXcP/l27ds227tWrV22P28PDQ1SrVk14enrazo3CZoexDuJt7y80NNS2XkhIiO3cCgoKEsHBwUIul9tu+89//pNn3/v377ftyzpgOZGjvvzyS9s02fn9NWzYkA1FJTJBw2+//WabdGDOnDl2J2jIbya8RYsW5XlPtYqJibHd79tvvy2uXLkiLBaLyMjIEEeOHBHvvfeeGDt2bK5tTCaT7fPq7bfftt1+/2f8vHnzBADh4+Mj1qxZY5u97M6dO+Lll1+2zT529epVlyYxKuizZcuWLbZlw4YNE//8848wm83i5s2bIi4uzu4sqFR2lVqwFeLe1LpvvfWWqFOnjlAqlUKr1YqOHTuKZcuW2Z2a1mKxiEWLFol69eoVeD9qtTrXDF8FBVshhNDr9WLq1KniscceE97e3kKhUIhq1aqJYcOGiStXrhT6+DMyMmwzfdkLTFZnzpwR/fr1E4GBgUKlUol69eqJjz76SOTk5Ihp06Y5PfPY77//Lrp27Sp8fHyEl5eXaNCggfjkk0+E2WwWGzZscCnYCiHEL7/8Inr27Cly1SIEAAAgAElEQVQqV64s5HK5UKvVomHDhmL8+PH5Br7z58+LYcOGidq1awtPT0+hUqlE/fr1xfvvvy8MBkOudXft2iXatm0r/Pz8hJeXl2jZsqVIS0tzKNhat+/Zs6d45JFHhEKhEL6+vqJt27Zi2bJlucJ23759RdWqVYWnp6fw9vYW4eHhok+fPmLfvn129ztx4kTbNLxEzvr0009zTS1q7y88PJwNRSUSbIUQol+/frbpcu+fxfNhgq2rBZDRo0fblgcGBoqAgAAxevToXJ/vr776aq6Z+gIDA22zoHl7e4tt27bl2W9RBFtniiZUQYJtSbEG1N69e4szZ87YptyzfvM7fPiwrfr6/vvvl+ixjR07VgAQrVu35lnlhnJycmy/INibRpKoMDNmzMg1T729v2rVqrGhqMSC7YULF4SHh4cAkCtEPmywdaUAYjAYxOuvvy5q1KghFAqFCA4OFgsXLrT7uCIjI4W/v79QKpWiVq1aYuTIkeLy5ct2j6Oogq2jRRMq+yQhnOyYWkouXbqE2rVrQ6vV4vbt27aLp+z1w5w2bRpeeuklrFixosSO7+LFi6hTp45tNq5HH32U/VzcyPr169GnTx9oNBpcuXKlWKcHpvLJ+t5TWH8+e2NwEhFR0ZC5y4FaL7jy9fXNN9QC92YlAUr+qvbQ0FD8+9//BgC8++67PLPciMlkwpQpUwAAkydPZqgllzgy4oEj0zoTEVEFCLYRERGQyWS4du0avv766zwjIKSnp2PmzJlYsWIF5HI5BgwYUOLHOGvWLNSsWRObN29GbGwszy43ERMTg9OnT6N169YYM2YMG4Rc4siIB/aGNyQioqKjcJcDDQkJwcSJE/HRRx9h+PDhmDZtGurUqQOZTIaEhAScOXMGOTk58PT0xIIFC/IM5VQSdDodtm7dioMHD0KlUvHschO1atXCN998g8jISNsYt0TOcqRiW1TDLhERkX1u08fW6scff8SiRYvw+++/28ZH1Wg0CA8PR8eOHW1j5BIRlaQhQ4Zg2bJlBa6j0WiQlJTk8vSfRERUzoItEVFZ9Pzzz2PTpk0FruPh4YHk5GSo1Wo2GBFRMWDZgIioCGRlZRW6jlwud2g9IiJisCUiKjWOjHjAYEtEVLwcvlLGYrHg/PnzbDGq0ORyOcLCwtgQlIcjgVUmkzHYEhGVhWCbkZGB559/ni1GFdqFCxdQuXJl6HQ6VK5cGSEhIahRowZCQ0MRERGB0NBQBAYGsqEqIEeG8pIkicGWiKgsBFtfX1+cOXOGLUYV2sKFC3Hx4kVcvXoV169fx6FDh7B161ZkZGQgKysL/52mGkqlElWrVkWHDh3w4osv4qmnnoJcLmcDMtgy2JJdRqMRly9fxtmzZ3HhwgVcvnwZ165dQ7du3dC+fXs2UBnEX/DKJo6KQFSEsrKycOPGDfz1119YsWIFtm7diuzsbBiNRtSuXRv9+/dHly5d+EFVDtWpU6fQ7lparRaxsbFo27YtG6wC2rNnDzZv3ow9e/bg9u3bSE9Ph8FgQE5ODiwWCyRJgoeHB7y8vODn5wetVgu9Xg9vb282Xhnk5+eHI0eOsCEYbIkqlrNnz2LTpk34+uuv8c8//0CpVCIrKwtNmzZF79690blzZ7Rs2ZIN5eZq1qyJK1euFBpsv//+e3Tq1IkNVs5ZLBbs2bMH27dvx+rVq3Hp0iV4eHhArVajXbt2CA4ORvXq1REWFoY6deogPDwcvr6+bDgiBlsi95GRkYHY2FisWrUK27Ztg1KphMViQUhICLp164a33noLISEhbCg3VKVKFdy8ebPAdTQaDVatWoVnn32WDVbOmEwmW5DdsGEDzp8/D6VSiezsbDRs2BDDhw/HM888g5o1a7KxiBhsicqnI0eO4O2338aJEyeQkZEBpVKJ1q1b47333kOHDh3YQG4kKCgICQkJBa7j5+eHJUuWICoqig1WTsydOxerVq3C0aNHbV9UfXx80KdPH/Tu3RtdunRhIxGVIAWbgKj0tGzZErt378aJEycwbtw4/P7779ixYweOHj0KjUaDSZMmITo6mg3lBoxGY6HrCCF48Vg58Ndff2HWrFlYsWIFVCoVFAoFwsPD8eqrr+LZZ59FaGgoG4molHCCBqIyoHHjxti5cyf27t2Lp556ChaLBVevXsW4cePg6emJN954o9Cfual0mUymQtexWCwMtm5s9erVeOyxx9CmTRusXLkSWq0WderUwbp163DmzBm8/vrrDLVEDLZEZNWoUSPExcXhwIED6Ny5M2QyGUwmE7788kuEhYUhMjIS+/fvZ0O5abA1m80wGAxsLDdy+/ZtvPPOO/Dx8cHw4cPxxx9/QJIktG7dGrGxsTh58iS6du3KhiJisCWi/Dz66KP45ZdfcPDgQXTp0gVeXl4wGAz45Zdf0Lt3b7Rv354VXDcNtqzYuocdO3agc+fOqFWrFubMmYPMzEwIIdClSxfs3r0bBw4c4LBtRAy2ROSM+vXrY+vWrTh8+DBatWoFX19fJCQk4MCBAwgLC8OECRPYSGWE2Wx2KPwy2JZtcXFxaNOmDfr06YMdO3YgJycHHh4eiIqKwu+//45t27ahcePGbCgiBlsiclVERAQOHjyISZMmwcfHB0IIZGZmYu7cudDpdIiNjWUjlSKj0QhJkgpdz/q8Udlz48YNPPXUU+jbty8OHjwIvV4PjUaDMWPG4OLFi1i3bh1nmSJisCWiovTOO+/g1KlTqFGjBry9vWEwGKDX6zFw4EB06NABt2/fZiOVgpycHIenTM7IyGCDlTFjx45FeHg4du/eDb1eD5VKhUqVKmH79u349NNP8cgjj7CRiBhsiag41KxZE5cvX0Z0dDQ0Gg0AQK/XY9++fahVqxbeffddNlIJy87OZrB1Q9988w28vLywcOFCWx9ajUaDIUOGICEhAS1atGAjETHYElFJ+Pzzz7F9+3b4+flBqVRCCAGDwYCYmBj4+/vjp59+YiOVkJycHMhkjr2dMtiWvqNHjyIiIgJjx45FVlYWsrOzoVKpEBAQgG3btmHBggVsJCIGWyIqaS1atEBKSgp69eoFrVYLAMjMzERycjJeeuklPPnkk8jJyWFDlUCwdaSPrfX5odKRkZGBXr16oXPnzjh79ixSU1MBAFqtFoMGDUJiYiJatWrFhiJisCWi0vTdd99hxYoVkMlktp/E9Xo99uzZg8qVK2PXrl1spGIOtkqlkg1Rhn3wwQfQ6XTYvHkzUlJSAABKpRI6nQ4///wzvvrqKzYSEYMtEZUV3bt3h9lsRu/evaFWqwHcuwpfr9cjKioK7733HhupmGRnZzs0ji0AJCUlscFKUHJyMiIiIjB79mwYjUbbsGxarRYDBw5EUlISWrduzYYiYrAlorJo7dq16NGjB/z8/HJ9uM+dOxft2rVjAxUDR4f7AsBxbEvQmjVrEBwcjLNnz9q6gMhkMtSrVw+xsbFYtGgRG4mIwZaIyrrvvvsOI0eOhL+/v+22tLQ0HD58GGq1GmfPnmUjFSFn+jFnZ2ezwUrA4MGDMWLEiFztrVQqUadOHZw+fZqzhhEx2BKRO5k5cyY++ugj6HQ6220mkwmZmZlo2bIl+xQWIWcqtgy2xSsxMRHVq1fH2rVrodfrbbf7+vqiU6dO+Pvvv9lIRAy2ROSORowYgW+//TZXtwQASE1NxYQJE9CvXz82UhFgxbZs+Pbbb1GtWjVcu3YtV5cPnU6HoUOHcgg8IgZbInJ3Xbt2xaFDh+Dp6Znrdr1ej02bNqF69eq2YY/INUajEUIIh9elojdgwACMGjUqTx9mnU6H2bNn47PPPmMjETHYElF5UK9ePSQmJkKlUuX6yTwrKwvXrl1DYGAgq1kPgRXb0nPr1i2EhIRg/fr1uboeAICXlxc2bdqEoUOHsqGIGGyJqDzx9fWFwWBAlSpVoFAo8gSzgQMHYtq0aWwoF7BiWzqWL1+O0NBQ3LhxI9cXBkmSoFKpcPnyZY4EQsRgS0TlWXx8PB577DF4e3vnuj05ORmff/45q1suyMnJcTjYOjreLRVsxIgRGDNmTJ6Z3Dw9PVGrVi0YDAYEBQWxoYgYbImovDt69Chat24NX1/fPOF27dq16Nu3LxvJCazYlqzHH38cy5cvz9P1wMfHB+3bt8fFixfZSEQMtkRUkcTFxaFx48Z5LipLS0vDli1b8PTTT7ORHMSKbckJDQ3FsWPHYDAYct0eHByMp59+GnFxcWwkIgZbIqqI9u7di9DQUMjl8ly3Z2Rk4MCBA2jVqhUbyQFGoxEWi8WhdSVJYrh1kb+/Py5fvpyn/TQaDfr27YsffviBjUTEYEtEFdnp06dRqVKlPBMMGAwGnDhxAvXq1WMjFSInJ8fhYCuXyzkygpOSk5OhUCiQnJycp519fX3Ru3dvxMTEsKGIiMGWiO4NmaRUKu0GtgsXLqBatWpspAI4U7GVy+V5xlql/P39998IDg6G2WzOs0ytViMyMhJLlixhQxERgy0R/U9KSkqeLgnAvT6hN27cgL+/PxspH85UbGUyGSu2Dtq5cydatWplt71UKhXatWuHdevWsaGIiMGWiHLz9PTE5cuXoVar8yyzWCxITk6Gl5cXG8oOo9Fot6LIYOu6lStXok+fPkhLS7N7rjZr1gxbt25lQxERgy0R2Ve1alXs2bMHWq3W7vKsrCxIksQpeO20i8Nvugy2hZo9ezbGjBmD5OTkPMsUCgUiIiKwf/9+NhQRMdgSUcGaNWuGFStWFNj1IDAwEHfv3mVj/ZczQVWSJPaxLcDYsWPx8ccfIykpye6Xgpo1a+LkyZNsKCJisCUix3Tv3h0zZ87MN9zm5OSgYcOGrNz+14NjqhYWbFmxta9fv3745ptv8ky8YG23ypUr4/z582woImKwJSLnREdHY8yYMfl2S7h58yb8/f2Rk5NT4dvK2TZgsM1r0qRJ2Lx5c75fljQaDW7cuMGGIqICKdgERJSfKVOmwGAwYP78+XYDh9lsRkBAgN0LfCoSZ7sWsCtCbv369cPmzZvzrXyrVCq7/W2JiB7Eii0RFejjjz9GnTp17A4FBgCZmZmoWbNmhW4jZyqwQghWbO8zevRobNmyJd9QW7VqVae6ehARgy0RUYF+++23fIOtxWLBjRs38PjjjzPYMtg65YMPPsCqVavyrfj7+fnhl19+YUMREYMtERWto0ePQqPR2F1mNBpx6tQp9OnTp0K2jTN9bBls7/nyyy8RExNj90IxANDpdPj88885pTMRMdgSUdFr2LAhPvjgg3zDbWZmJrZv34433nijwrWNTqdzeF1JkiCTVey33rVr12LKlCn59pv19vZGVFQUXn75Zb7wiIjBloiKx5gxY9CxY0colUq7y1NTU7Fs2TJ8/vnnFapdrl696vC6RqMx3yplRbB7926MGDHC7ji11uAfHh6ORYsW8QVHRAy2RFS8Nm7cWGCFMjk5GdOnT8eGDRsqTJsYjUaH17VYLBW2K8Kff/6J559/vsARDpRKJU6cOMEXGhEx2BJRyQUUlUqV7/KkpCS88sorOHbsGIPtA8xmc4Uc+/fWrVto2bJlgZN6+Pj44NSpU3yBERGDLRGVnICAAHz77bcFVm71ej3at2+PO3fuMNhW8GBrNBoREhJS4LBdOp0OCxYsQFhYGF9gRMRgS0Qlq1evXhgwYADUanW+6xgMBgQHBzPY3kcIUeEmaPD19YXFYsl3uVqtxosvvoiXXnqJLywiYrAlotLxxRdfIDQ0tMCr/C0WCypVqlSu28FkMjm1fkWacKBSpUoF9imWyWSIiIjA/Pnz+YIiIgZbIipdJ0+ehCRJBa6j1+vx5JNPlts2cKZiC9wbGq0iqF27dqFT4apUKhw9epQvJCJisCWisuHQoUPQarX5LjebzTh69CjGjRtXLh+/sxXbitAVoUuXLrh27VqBXRB8fHzw119/8QVERAy2RFR2NG/eHO+++26+kzcAQHp6OpYuXYqffvqJwbacB9t33nkHhw4dKrBddDodFi9ejJo1a/IFREQMtkRUtrz11lto3rx5gf1tk5OTERUVhZSUlAodbMtzH9sff/wRixYtQlpaWr7r+Pj4YMiQIejXrx9fOERUpCQhhGAzEFFRMBqNUKlUBf78DAAhISGIj48vN49bo9EUOD7rg7p27YotW7aUu+f/1q1bCA0NLbQPcf369dkFgYiKBSu2RFRkPDw8sHTp0gK7JABAYmIioqKiys3jNpvNTq1fXmcei4iIKDTUqlQq7Nu3jy8WImKwJaKyb+DAgWjWrBnkcnmBwW7nzp3lZognBlugTZs2yMjIKHAdjUaDuXPnwt/fny8UImKwJSL3EBcXV2jY0+v1mDhxIk6fPs1g6+bGjh2LU6dOFdjXWC6Xo1mzZoiOjuYLhIgYbInIfUiShO+++67AIcAAIDU1Fc2aNWOwdWPr1q3D8uXLkZ6eXui6O3bs4IuDiBhsicj9vPjii2jZsmWBXRKAexectWrVyq0fa2EXy9l7zOXBlStX8PLLLxc6CYNWq8WPP/7IFwURMdgSkfvatm1boaHPbDbj9OnTePvtt93yMRqNxgKHOLMnJyenXDy/DRo0KLRfrVKpRPfu3fHss8/yBUFEDLZE5N7WrVtXaJeE9PR0LF68GFu3bnXLYFtYVbo8BtsWLVo4NB6vWq3GihUr+EIgIgZbInJ/UVFRaNeuHRQKRYHrJScn47nnnnOor2ZZC7bOVmzdvSvCq6++ir///rvQarxGo0FcXBxfBETEYEtE5cfmzZsdDnwNGjRwq8eWk5PjdLB1dqaysmTFihVYvXp1oV0Q1Go1RowYgSZNmvAFQEQMtkRUvqxfv77QLgkAcOfOHYwcOdJtHpfRaIQkSU5v447Onz+PUaNGFXqxGABUqVIFM2fO5IlPRAy2RFT+PPfcc+jYsSM8PDwKXC8rKwurV692m6voXemK4K4V2+eeew5paWmFruft7Y29e/fypCciBlsiKr82bNjgUHVTr9ejd+/ebhNsna3YumOw7datGy5cuFDoen5+fpg1axYeeeQRnvBExGBLROXbxo0bHeqSIEkSOnfuXC6DrbMTOpS2JUuW4ODBg4UGcplMhkaNGmH06NE80YmIwZaIyr9u3bqhf//+hXZJMJvNOHr0KJYuXVrugq0QwulJHUpLcnIyXn31VaSkpBS6rsViwb59+3iSExGDLRFVHDExMQ5VLVNSUjBs2LBCr8Av7WDrLLlc7jbT6rZp08ahYw0ICMDGjRt5chMRgy0RVSweHh6YPXs2fHx8Cl3XZDKhY8eO5S7YusMkDRMnTsS1a9cKXU+SJNStWxfPP/88T24iYrAloopn/PjxDvW1FULg3LlzmDNnTpl8HBaLBZ6enk5to9FoyvyQX7///jsWLFjgULVcpVLhhx9+4ElNRAy2RFRxffvttw6F29TUVLz33nu4ceNGmXsMWVlZTofUjIyMMt8V4cknn3SoX61arcb48eNRuXJlntBExGBLRBVX+/bt0bZtW8jl8kLXzczMxFNPPVXmHoPJZIIQwqltJEkq010R+vTpg6ysLIfWVavVmD59Ok9mImKwJSL69ttvHQq2AHD9+nVMmTKlTB2/K10KynKwXbduHXbs2OHQ8Wm1Wqxdu5YnMREx2BIRAfcG9J80aRLUanWh66anpyMmJgZnzpwpM8fvymQLkiSVyT622dnZ6N+/P/R6faHryuVydOjQAR06dOBJTEQMtkREVlOmTIG3t7dD66ampqJTp05l5tiNRmO56YrQpk0bh8fXtVgsWL9+PU9eImKwJSJ60IoVKxy6kAy4N+Xua6+9xmBbhGbMmIFz58459Fh8fX0xb948h7uQEBEx2BJRhRIZGYkmTZo4NItXZmYmVq5cicOHD5f6cbty8RiAMhVsz5w5g5kzZyI9Pd2h9atUqYJXX32VJy0RMdgSEeVn9erVUKlUDq2r1+sRGRlZ6sfsSsW2rAXbDh06IC0tzaF1/fz8OGYtETHYEhEVJigoCK+99hq8vLwcWj8rKwsvvfRSqR6zKxVbIUSZuXjs5Zdfdmi8WgBQKpXo378/6tevz5OViBhsiYgKM2vWLHh4eDi0bnZ2NrZt24b9+/eX2vEajUaHL7i6X1mo2P7yyy/46aefHJ4sQiaTYeHChTxJiYjBlojIUcuWLYNGo3Fo3cTERPTp06fUjtXVim1ZCLY9e/ZEQkKCQ+tqtVosX76cJycRMdgSETkbuOrVq+fQhWTAvfFtp06dWirH6krFtiwE2yFDhsBsNju0riRJePTRR0v1CwQREYMtEbmt1atXOzRpAwBkZGRg9uzZDlcfy0KwLc0+tkeOHMHGjRsdDtcqlYpj1hIRgy0Rkatq1KiB6Ohopy4ki4qKKvHjNJlMDlc+rSwWS6lWbHv16uXwBWPe3t54++23ERQUxJOSiBhsiYhc9dlnnzkcAIUQOHXqFDZu3Fiix+hKQC3NYDt58mSHpsy1atCgQal18yAiYrAlonLlo48+crhLgl6vL/Hhv9wp2MbHx2POnDnIzMx0aH2NRoPJkyfzJCQiBlsioqLwzjvvOHwRGQCYzWaMHj26xI7P0aGyykKw7d69OzIyMhxev1atWujRowdPQiJisCUiKiqfffYZfH19HVo3KysLy5cvx7lz58pssDWbzSV+8djChQtx6dIlh9fXaDT4z3/+w5OPiBhsiYiKUnR0tMPdEQAgLS2txIamcqXyKoRwKRC7ymQyYdSoUUhNTXVofUmS0LZtWzRt2pQnHxEx2BIRFbUvvvjC4UkbAODq1aslUnF0tUuBwWAosbbr0aOHU905vLy8sHjxYp50RMRgS0RUHPr06ePUkFMpKSkYNmxYhQ+2sbGxOHTokMNDknl6emLQoEEIDg7mSUdEDLZERMVlwYIF0Gq1Dq8vl8vRv3//MhlsS+risRdffNGp4b1ycnKwYMECnmxExGBLRFScOnXqhNDQUIfXNxqN2LJlC44cOVJsx+TqRWBZWVnF3l5Dhw516vjUajVmzJjBE42IGGyJiErCwoULneprm5KSgr59+1a4YPv777/j+++/d6oyrFAoMHHiRJ5kRMRgS0RUEpo3b44WLVo4dTFUUlISZs2aVSzH42qXguIeFaFnz54OT5sLAH5+fpg3bx5PMCJisCUiKknz58+Hj4+Pw+unp6djypQpSEtLK/JjcbViW5zBdurUqUhKSnJqm6CgoBKftY2IiMGWiCq88PBwdO3aFXK53OFtzGZzsYxt62qwLa6Lx27evIlPPvnEqRnGtFotFi1axBOLiBhsiYhKw5dffglPT0+ngu0ff/yBXbt2lYlgW1wV2+7duyMzM9OpbRo2bIiOHTvypCIiBlsiotIQGBiIQYMGORVub926hUGDBhXpcZhMJpe2K46K7erVq3Hx4kWntvH19eVkDETEYEtEVNrmzp3r8MQDVqmpqfjqq6+KNGC7olKlSkXeHtHR0U5dMKZQKNC9e3fUqVOHJxMRMdgSEZUmT09PvP3221CpVE4F27FjxxbZMVy7ds2l7a5cuVKkbTFhwgSnq8eSJOHrr7/miUREDLZERGXBjBkznK7aSpKECRMmFMn9u9oVwdXt7ElOTsbnn3/u1Ni4KpUK48aNc2p0CSIiBlsiohIIt2q12uH1DQYD5syZUyTDfzkbqosj2A4aNMjpi9iEEJg5cyZPHiJisCUiKkvefPNN1K5d26ltLBYLoqOjH/q+XQ2oro6m8KCDBw9i3759sFgsDm/j6+uLmJgYnjhExGBLRFQWvfzyy05VbU0mE7Zs2YLTp08/1P2WdsV28ODBTl0wBtzrmzx8+HCeNETEYEtEVBa98cYbTofF1NRUvPLKK24bbJcsWYLbt287tY2vry9mz57NE4aIGGyJiMqySZMmwcvLy6ltzp49i19++cUtg+2oUaOQmprq1Daenp4PHeaJiBhsiYiK2eTJk52e0Uuv12Po0KFuF2zfeOMNCCGc2sbX15cXjBERgy0Rkbt48803oVQqnQ63ixYtcun+nLloqygCMQDcvn0bX331ldMh3sPD46FCPBFRWScJZ7/yExGVYTk5OfD29nY6OKpUKhgMBqfvz8vLy6nxY60UCoXLIyN06dIFcXFxTlVsfX198emnnxbJSBBERGUVK7ZEVK54enpi2LBh8PDwcO5bviTh3Xffdfr+Srpiu3fvXhw5csTpbggKhYKhlojKPVZsiajcSU5ORnBwsEs/1SclJTk1G5eHh4dL/WUlSYLJZIJM5lx9oWbNmk5Px+vj44NPP/0Uw4YN48lBROUaK7ZEVO7odDq88MILUCgUTm0nhHC6qulqxVYmkzndFWHBggVISkpy+r4UCgVDLRFVCKzYElG5dP36ddStWxcZGRlObefn54fDhw8jIiLCsTdRSXLp+EqqOmwdt3bEiBE8KYio3GPFlojKpZCQEERGRjr9U78zkza40pXASi6XIycnx+H1R40a5dJ9yeVyhloiYrAlInJ3M2fOdGqaXau///4bcXFxxRpsJUlyuCvCtWvXsGzZMqeCMHCvb+2MGTN4IhARgy0RkbsLDw9H69atne4u4OikDSaTyeWuCM70sR04cKDTXSqAe31rR44cyROBiBhsiYjKg9mzZ8PPz8/p7VJSUrBs2bJCg62rFVuZTOZQBTYuLg4nT550ev8+Pj746KOPeAIQUYXCi8eIqNxr164dDhw44PR2fn5+SElJyXd5YmIiqlWr5tIEDX5+fjhy5Ajq1q1b4HrNmzfHsWPHnN6/RqOBXq/nk09EFQortkRU7n3yySfQaDROb2exWDBv3rx8lz9MxRZAoV0RVq5cifPnzzu9X1ZriaiiYsWWiCqEJk2a4MSJEy6FxLS0NLvL4uPjERER4VL/V41Gg127dqFJkyb5rhMYGIjExESn911YpZmIqLxixZaIKoRPPvkEWq3W6e2EEIiJibG77Aw+MC4AACAASURBVGEuHpMkqcA+tvPnz3epiwOrtURUkbFiS0QVRkREBM6ePev0dt7e3narshcuXEDTpk3zregWRKvVYvPmzWjXrl2+AdWVSjCrtURUkbFiS0QVxuzZs13qaytJEv7v//4vz+1Go9Hliq11e3tmzpwJV2oOPj4++PDDD/lEE1GFxYotEVUoNWrUwNWrV53eTqVSwWAw5Lrt1KlTaNeuHVJTU53en1arxZo1a9ClS5c8y1yZOhe4N32uK8dCRFResGJLRBXKhx9+6NK4tjKZDDNnzsx128P0sRVC2O1jO3HiRCgUCqf35+Pjg88++4xPMBFVaKzYElGFo1arkZmZ6fR2SqUy1wVdv/32G55++mmX+rRqNBp888036NWrV66grFQqYbFYnN6fh4eH01PuEhGVN6zYElGFM27cOCiVSqe3k8vluUYccKW7gJUQIk8f29dffx1yudzpfXl6emLs2LF8YomowmPFlogqnLS0NFSqVMmlCqdCobAF0n379uG5555zaYYvX19fLFiwAAMGDAAAJCcnIzg4GNnZ2S4F24SEBJe6WBARlSes2BJRhePr64tevXq5NGuYh4cHpk2bBuDhK7b3B+vXXnvNpf3JZDJERUUx1BIRMdgSUUX13nvvwcfHx+ntDAZDrmDr6o9eFovFVvm9du0aNm7cCLPZ7PR+1Go1PvjgAz6hREQMtkRUUT366KMIDw93aVtPT09Mnjz5oSq29wfb0aNHu3QxGwA0bdrU5cdBRMRgS0RUTkydOtWlCRsMBgM++uijIumK8Pfff2P37t0uVX61Wi2mT5/OJ5KIiMGWiCq67t27uzQ6AnBv6K9ly5a5PI6txWKBxWLBq6++6vKkCpUrV0b79u35RBIRMdgSEQHvvvsu1Gq109tlZWVh/fr1Lo05CwBmsxkXLlzA8ePHXdrez8+P1VoiogdwuC8iqvDkcrnLkyJIkuTyxAjBwcG4efOmS9sGBAQgMTGRTx4R0X1YsSWiCm/kyJEuTWNrNBofaravpKQkl7ZTq9WYMmUKnzgiogewYktEFd7169dRp04dl0YmkCQJJf02ev8kEURE9D+s2BJRhRcSEoJ27dq5tG1Jh1pOn0tElD9WbImIABw4cADdu3d3aXrckg62nD6XiMg+VmyJiAC0bdsWgYGBZfsNm9PnEhEx2BIROeL999+Hr69vmT0+tVqNqVOn8okiIsoHuyIQEd3H19cX6enpZfLYOnTogN27d/NJIiLKByu2RET3GT9+PFQqVZk7Lk6fS0RUOFZsiYjuk5mZCa1WW+aG06pbty7OnDnDJ4iIqACs2BIR3cfb2xt9+vSBTFZ23h45fS4RkWNYsSUipyUkJLg8a5Y7uHjxIvr161dm+tpqtVocOnSo3La3p6cnatWqxRcWETHYElHJMhgMaN++fZm9wKqoZGRkwGw2l/6btCRBqVTC09Oz3La1TCbD119/7fIkGUREVgo2ARE5IycnBxcuXCjzExmQ+xg4cCAuX77MYEtED/9FmU1ARERERAy2REREREQMtkREREREDLZERERERAy2RERERMRgS0RERETEYEtERERExGBLRERERMRgS0Tuau3atdBqtXjiiSeQnZ1dbo8jNjYWkiRBkiTExcXlWT5+/Hio1WqMHz+eJwUREYMtEZUUrVZrC2n3/2m1WoSHh6N///5YtmyZQwFx2bJlSElJwb59+3D8+PFSe0ylfRwLFy5EZmYm5s+fzxOMiIjBlohKW0pKCi5cuIDVq1djyJAhCA0Nxdq1awvcpnHjxgAAjUaD0NDQUjv20j4O6/03bdqUJxIRUT4UbAIiKi6tW7fGlClTAAAWiwVJSUk4e/YsYmNjceLECVy/fh39+vXDhQsX8O6779rdx4cffogePXqgZs2aCAwMLLXHUtrHsX37dhw7dgzNmjXjiUVExGBLRCUtKCgIXbt2zXP79OnTsWHDBrz88stISUnBpEmTUK9ePfTq1SvPupIk4fHHHy/1x1Lax+Ht7Y327dvzpCIiKgC7IhBRqejVqxe2b98ODw8PAMDw4cORlZXFhiEiIgZbInI/LVq0wLhx4wAACQkJWLp0aZ513nzzTdvFZyaTKc/ylJQUTJ8+HS1atICfnx88PDwQEBCAtm3b4uOPP8bVq1fzvf+TJ09i2LBhqFu3Lry9vaFSqRAWFoZ//etf2Lx5s8PHkZ6eblt25coVmM1mzJ8/Hy1atIBGo4FGo0G7du2wdOlSCCFcaqvmzZtDkiS0a9cuzzLriAq1atUCAKSmpmLSpEmIiIiAl5cX/Pz88Pjjj2PevHkwm/+fvfuOi+L4/wf+un7ccYWiWMGCDRUbVuyiWKPGRKOgmKhpJlGjyUfz0UQTo1ETY//YS0yiRjTWWGJvWKOgKCgaLICdcrTj4Ob3h9/bH8gd3C4HAr6fjwePh97u7M3Ozu69d3Z2JqfQ79q0aRO6d+8Od3d3KJVK1K5dG2PHjkVsbKzNNDk5OVi3bh0CAgJQoUIFyGQyaLVaNGnSBOPHj8elS5eowhNCih8jhBAekpKSmE6nK3AdnU7HALD+/fsXur27d+8ykUjEALCAgIB8yydOnMgAMADMZDLlWXbv3j1Wo0YNbrm1vwYNGlj93m+//Zb7Xmt/gwYNsjsfBoOBW7Z3717Ws2dPm9sdMGBAvvSMMbZ7925unb///jvf8hYtWjAAzN/f32ZasVjMYmNjWa1atWx+f//+/ZnZbLZaJkajkfXv399mWicnJ7Zz58586UwmE+vRo0eBx0EqlbK4uDir3xscHMw2btxIJxchpMioxZYQ8kp5enqiTp06AIAzZ87AZDLZnXbixImIjY2FVCrF9OnTcfr0aYSHh+Ovv/7C559/jkqVKmHs2LH50q1cuRJff/01GGOoUKECpk2bhtDQUISGhuKbb75Bq1atEBQUJGh/PvzwQxw8eBAfffQRDh8+jPDwcGzfvh1t27YFAOzYsQMzZ84slrI0m83o2rUr4uLiMGXKFJw4cQIXL17EmjVrUKlSJQDAzp07sXnzZqvpP/nkE+zcuRMikQgff/wxDhw4gMuXL2PVqlWoUqUKMjIy8M477yAqKipfeR48eBAAEBQUhEOHDiEiIgLHjh3D7Nmz0ahRIwwYMABVqlShCk8IoRZbQkj5bbFljLEhQ4ZwLXuxsbF2t5Ravuejjz6yut3s7GxmNBrzfJaSksL0ej0DwGrWrMkSEhLsyqO9LbYA2I8//pgvfWZmJmvWrBnX8pmUlOTwFlvL3+7du/OtExERwbVQ9+vXL9/yS5cuccsXLVqUb3l4eDi3fMiQIXmWWVp5fXx8bJZfenq6zWXUYksIoRZbQki54erqyv37+fPndqfLysoCAEil1gd4kUgkkMvleT7btGkTkpKSAACzZs3iWjIdxcXFBZ999lm+zxUKBaZOnQoAyMjIwJ9//lksZdmxY0f07ds33+eNGzfmhgq7du1avuUrVqwAYwyenp5WW7l9fX0REBAAANi1axdX9rmPg0QisZkvJycnquiEkGJHgS0h5JVTqVTcvzMyMuxO16pVKwDA6tWrceDAAbvSHDt2DAAgk8nQv39/h++Lv78/N9LDywIDAyESiQAAYWFhxVKWvXr1srmsRo0aAIDExMR8yyzT+LZr1w5isfWfhgYNGnDHKDo6Ot9xuHr1Kr7//nuYzWaq1IQQCmwJIa+nlJQU7t96vd7udPPmzYOTkxMyMjLQs2dP9OzZE4cPHy4wjSUgq1WrVrG0IlpGJrBGrVZz/UxjYmKKpSwLmhVNrVYDQL5+zKmpqbhz5w4AYPPmzVanQxaJRFi0aBGX5tmzZ9y/x48fD29vbwDA1KlT0bhxY2zYsCFPqy4hhFBgSwh5LTx8+JD7t5ubm93pWrZsiTNnznAthgcOHEBAQACaNWtm8wUpS2tl7u4PjqTRaApcrtPpALwYpqw4aLVa3mn4dP+wyD3kmV6vR1hYGIYOHQqRSITr169j5MiRqFGjBn744QderfCEEEKBLSGkTLOMcerh4QEPDw9eaZs2bYpz587hr7/+QseOHQEAV65cwdChQ9GvXz+uP62FZRxXW4/bi6qwcWKZwHFs7VVQP1d78vzRRx/h33//LfTv5fF03d3d8fvvvyMiIgJBQUGQSqVISEjAlClT0Lx5c6v9egkhhAJbQki5cuHCBcTHxwOA1ckH7NWrVy8cP34cZ8+e5bazZ88evPvuu3nWc3FxAWC9n6kj5O5WYY2lddTSclsa5G69zsrKQo0aNQr9UyqVVrfVqFEj/Prrr7hz5w5X9lFRUejZsyfS0tKowhNCKLAlhJRfc+fO5f49bNiwIm+vdevWOHbsGPcS1Y4dO/Do0SNuuaUv6O3bt4ulD+jt27dtLktMTOTyUrdu3VJzDHQ6HapWrQrgRWu3I1SvXh1r167FrFmzAABxcXHYs2cPVXhCCAW2hJDyad26dQgNDeUCzgEDBjhkuxKJBCNHjuT+HxcXx/27U6dOAACj0Yh9+/Y5fJ/OnDljs2Uy9/dZJmwoLbp37w4A+Oeff3Dz5k2HbXfUqFFWjwMhhFBgSwgpF9LS0vDNN99wQY9UKsX69et59XtNSkpCamqqzeW5+3RWrlyZ+3dQUBA3OsDkyZNtvjjFZwa03FJTU/HDDz/k+zwjI4NrvVSpVA4L4h3FMvYuYwxjxoyx+cKX0WjMN/PY/fv3eR8HQggpDlIqAkJIcXn06BH3+DkjIwNPnjzhXvR6+vQpgBfjya5cuRL+/v68tn3t2jX07dsXAwcORNeuXdGwYUPodDo8efIE+/btw+zZswG8mLAgd0Dl6uqKmTNnYsKECYiKikKTJk0wduxYNG7cGIwx3LlzB/v27UPVqlWxevVq3vusVCoxc+ZMxMXFYdiwYahYsSLu3LmD2bNnIzIykguohYxeUJyaNWuG8ePHY8GCBThx4gR8fX3xwQcfoEGDBjCbzYiPj8f58+exa9cuBAUFYcGCBVzaunXrolu3bujVqxeaNWuGihUrIi0tDWfPnsX06dMBvOju0LNnTzopCCEU2BJCyqazZ8+iX79+Npc3adIEixcvRocOHQRtPzk5GevXr8f69eutLq9RowbWrl2b7/Px48fj4cOHmDNnDh48eIApU6bkWyckJERQnqZOnYpt27Zh3bp1WLduXb7lgwYNsvp9pcGPP/4Io9GI//3vf4iJicEXX3xhdT3LJBMWJpMJe/fuxd69e62u7+TkhF9//ZV7cY8QQiiwJYSUaTKZDK6urqhatSr8/f3Ru3fvPDNx8dWmTRv89ttv2Lp1K65cuYKHDx/CZDJBq9Wifv36eOONNzB27Fib48r+8MMPGDhwIJYuXYpTp04hPj4ejDFUrlwZPXr0wKeffiooXyKRCGFhYVi0aBF+//13xMTEQCQSoXHjxhgzZgxCQkIE73Nxk0gkWLZsGYKDg7F8+XKcPHkSCQkJMJvNqFChApo0aYL+/fsjODg4T7ojR45gw4YNCAsLw/3795Geng6VSoUaNWqgW7duGDduXIETVxBCiKOIWHEPqkgIKVeSk5Ph5eWVb3zY11lqaioXQE+dOhXfffcdFQoPw4cPR2BgYL6AmRBC+KKXxwghxIGorYAQQiiwJYQQQgghhAJbQgghhBBCKLAlhBBCCCEU2BJCCCGEEEKBLSGEEEIIIQ5E49gSQkgROTs702gIhBBSClCLLSGEEEIIocCWEEIIIYQQCmwJIYQQQghxIOpjSwjhjTGG6OhoKgjiEKmpqVQIhBAKbAkhJU+lUqFfv37o37//K8tDdnY2nj17BsaYw1/a0uv1cHJyQlJSEjIyMsrd8ROJRFCr1dBoNKUmT3q9Hh06dKCTixBS9Gsco1d5CSFlyLRp07Bo0SKkpKQ4bJs6nQ5vvfUWVq9eDQDIycmBTCYrdSMdiEQih+TJ2dkZPj4+OHfuHFUoQki5Qi22hJAyISEhAZ07d0Z8fLzDHl1rtVpUqlQJoaGhaNy4Mff5zz//DKVSWSpbbEUiESQSCbKzswVvIzU1FRcvXoRcLsfp06fRsmVLqmCEkHKBXh4jhJR6P//8M7y9vXHz5k2HBLVSqRRisRjff/89oqOj8wS1ADB37txSGdQyxqDRaNCuXTvo9foibctsNsNkMqF79+6YNWsWVTJCCAW2hBBSnNLT09GyZUtMnz4d6enpDtmmXq9Hnz59YDQa8cknn+RbvmfPHmRlZZXaMklJSYFEIsGKFSteXMTFRbuMJycnY86cOejatStVOEIIBbaEEFIcNmzYAL1ej0uXLjmkP61arYaHhwd27tyJHTt2QCq13hPru+++Q3Jycqkum3/++Qe1a9eG2WxGx44dodPpihwsnzhxAhqNBjdu3KDKRwihwJYQQhyle/fuGD9+PEwmU5FflpJIJKhWrRomTpyIhw8fomPHjjbXjY6OLhOBXXJyMj755BOIRCIcPXoU8+fPh6enJ0QikeBt5uTkIDU1Fa1bt8bixYupEhJCyiQaFYEQUmqsXbsWM2bMQFxcHHJycoq8PZ1Oh06dOmHt2rVwc3MrdP13330Xv/zyC8xmc6kvK71ej927d6N9+/YAgLS0NPTv3x+XLl1CUlJSkcutd+/e+P3336lSEkIosCWEED5iY2MxcOBA3LlzxyHdDnQ6HapXr47169ejRYsWdqUxm82QSqUoS5fEJk2a4MqVK3k+27VrF4KCgmAymWA0GgVv29JVY9euXejVqxdVUkJImUBdEQghr9QXX3yBxo0b48qVK0UOatVqNVxcXLB69WpcvXrV7qAWAObPnw8nJ6cyd0Pw999/5/nsjTfegMFgwHvvvQetVit429nZ2cjOzsawYcMQFBREFZUQUiZQiy0h5JX4+++/MWTIEGRmZhZ5aC25XA6TyYTvv/8eU6ZMEbSNihUr4smTJ2WuHOvVq4eoqCiry27cuIGBAwciPj4eBoNB8HcoFAoolUocOHAArVu3pspLCCm1qMWWEFKisrKy0LdvXwwePBiJiYlFCmpFIhGcnZ0RFBSE7OxswUHt3r17S/UQXwV5+PAhdu3aZXVZgwYNEBUVhZkzZ0IikUAikQj6DqPRiOTkZAQGBuKzzz6jSkwIKbWoxZYQUmKWLFmC8ePHA0CRXw7T6/Xw9fXFunXrUKtWrSJtq3Xr1jh//nyZLVcvLy/ExsYWGpy++eabOHPmTJFeLnNycoKrqyuOHj2KOnXqUKUmhJQq1GJLCCl2N27cQP369fHVV18hJyenSEGtVquFl5cXtm3bhuPHjxc5qL1582aZH7s1KSkJmzdvLnAdhUKBvXv3YsuWLdDr9VAqlYK+KyMjA3FxcWjRogVmzJhBlZsQUqpQiy0hpFiNHTsWv/76a5FfDFMqlWCMYdGiRXj//fcdlr93330XGzdudMjwYq9SpUqVkJCQYPf6EyZMwJo1a4rU99bZ2Rmenp44duwYKlSoQJWdEEKBLSGkfNq9ezeGDRuG7OxsZGZmCt6OVCpFxYoVMWzYMMybN8+heWSMFXlK2tJCo9FgwYIFeO+99+xOc/v2bQwcOBBxcXF4/vy54O92cnLCvHnzMHbsWKr4hBAKbAkh5UdSUhLefPNNXL58uUh9OSUSCRQKBd58800sXLgQrq6uDs/r/PnzMW3aNKSnp5eLsndxcREUoG7cuBFjxoyBSCQSfBOi1WrRqFEjnDhxQvBLaoQQUlTUx5YQ4jCTJk2Cr68vjh8/LjioFYvFUKvVeOuttxATE4ONGzcWS1ALAHPmzCk3QS0AmEwmLF26lHe64cOHIzMzEx9//DGUSqWgVuyUlBSEhYWhcuXKWLlyJZ0MhJBXglpsCSFFtnTpUkyYMAESiURwi59YLIZKpUJgYCB+/vlnVK9evVjz/Ndff2HYsGFITk4uV8dCrVYjNTVVcPrnz5/j3XffxfHjxwWXjVarhaenJ7Zv304jJxBCShS12BJCBNu7dy+qVKmCKVOmwGQyCQpqRSIRNBoN+vbti4iICISGhhZ7UAsA3377bbkLai3mzp0rOK2rqyt27tyJI0eOoHHjxtDpdLy3kZKSgmvXrqFFixY07i0hpERRiy0hhLeIiAi89957uH37tuAuB5aAtn379liwYEGJtuzdunULLVq0KNKIAKWZXC6H0Wh0yLa2bduGDz74AJmZmUhLS+OdXqlUQiqV4rfffsMbb7xBJw8hpFhRiy0hxG6JiYkYNGgQOnTogEuXLgkKakUiEbRaLbp3745z585h7969Jf64evbs2aW+b61IJBKcViKRYPr06Q7Jx6BBg/D06VNMnToVACCTyXilz8zMRGpqKkaMGIGuXbsiMTGRTiRCSPFdO6nFlhBij0mTJmHJkiXIzs4WPOarTqdDixYtsHDhQjRq1OiV7EdZGeJLpVIBgOAAXCQSwWw2O7zsxowZgy1btgjqxysSiSCXyzFt2jT897//pZOKEOJw1GJLCCnQsmXLIJfLsXTpUhiNRkFBrU6nQ8eOHXHs2DEcPnz4lQW1ALBw4UIuaCzNjEYjXFxcBKeXy+WYMGGCQ/MkEomwevVqXL16FZ06dYJer+cdGBuNRsyePRvVq1fH2bNn6QQjhDj2OkUttoQQa/bu3YsxY8YgNTVVUF9Uy7BdXbt2xdSpU+Hn51cq9svNza1IkxGUpC5duuDChQuCRzlQqVSIiYlB5cqViyV/R48exddff42IiAhBM8vpdDr07Nmz0OmACSHE7t8eKgJCSG7Xrl2Dn58fgoODkZCQwDuolcvlUCgUGDJkCC5evIgdO3aUmqD2t99+Q3Z2Nv8WgCL0dy1Kt4c7d+5gxIgRUCgUgtJnZGRg5MiRxRp4nzx5EmvXroWnpye0Wi2v9MnJydi2bRskEgnWrl1LJx8hpMioxZYQAgC4cOECJk2ahJiYGMTHx/NOr1arYTQaMX78eEydOlXQMFHFzcvLC/fu3RMUnArtryqRSAT3SRaLxcjJyYGTk5Pg8YH1ej12796N9u3bF3v5bt26FZ9//jlSUlJ4t+BqtVq0atUK3377Ldq2bUsnJCGEAltCCH9nzpzBxIkTcePGDUHjuup0OshkMkybNq1Uj1kqdEIGpVKJrKwsQYGtQqGAVCoVNEwWAGg0Gly4cAEXL17Exx9/LOhxPwB4e3vj1q1bJVbWf/zxBz7//HMYDAbeedbpdGjevDlWrVqF2rVr0wlKCOHXIEBFQMjr6fjx4/Dz80Pv3r1x9uxZ3gGfXq9HvXr1sHr1ajx58qTUD8Q/efJkQYF7UVprnZycUL9+feEtDyIRIiMjERQUhJo1awrezuPHj7F+/foSK+vBgwfjwYMHWL58OapUqcKri0JycjKOHj2Kpk2bIigoqNyONUwIocCWEOIAhw4dQpMmTTBgwABcunSJV7AnFouh0WjQuXNn7N69G1FRUXjrrbdK/T6fPn0a9+/f551Op9PBzc1N8PcajUb07NmT99ivFmlpabh69SoAYMuWLdBoNIK2k5KSgrFjx5Z4uQ8dOhRxcXFYtmwZKleuzCvATU1NxR9//AF3d3d88cUXdOISQiiwJYT8f/v27YOPjw/efvttRERE8JpcQaFQQC6X45133sGlS5dw9OjREumz6ShTpkwRNJmERqMR/PgfeNG/tmvXrlCr1YLS5+Tk4Pz58wCAevXqYciQIZDL5YK2ZTabMXny5FdS/kFBQYiPj8fSpUvh4eFhd4CenZ2NrKwsLF68GDKZDD/99BOdyKRYPHjwACKRCCKRCDExMbzSnjp1Cm5ubujZsycVZHkMbBlj2L17N0aPHg0fHx+4urpCJpPBxcUFfn5++PTTT3H48GFQ115CSsauXbtQt25dDB06FDdu3OAV4Gk0GtSuXRvjx4/H06dP8dtvv5X4LGFFFRkZifDwcN7pXF1d8eOPPxZphrI6derAz8+vSNu4du0a9+9Vq1ahWrVqgraTmZmJBQsWCJ4C2RGCg4Px8OFDLF68GBUrVrR7nF6j0Yjs7GxMnz4dbm5u+P333+nELkeePn0KuVwOkUiEpUuXlrn8HzlyBM+fP8eBAwdoZr3SgDnQ1atXWdOmTRmAAv/EYjG7desWI3mtWrWKAWBPnjyhwiBFtnXrVlajRg2m0+kKPSdz/0kkEqbVapmPjw9bv359mS+HN954g4lEIl5lAIDVr1+fRUREMK1Wyzut5e+DDz5gjDFWqVIlwdsQiUT5jivfY5r72A4YMKDUHJvNmzczLy8vptfree2HVqtl3t7e7PDhw3SilwMLFy7kjm2rVq1eSR7u37/P5YFvfBIREcGaN2/O3n///XzLzGYzq169Ohs7duwrLeNbt24xAGzr1q3lvj45rMX2zJkzaNeuHa5cuQKFQoGPP/4Yf//9N2JjY/Ho0SNERERgw4YNCA4OxogRI+Dt7U13FS/ZtGkTFQIpstDQUFSvXh2jR49GbGys3X1onZ2dIZFIEBISgtOnTyMyMhIhISFluiwePHgg6AmRXq/HqlWrEBMTI3gMW7VazY3f27JlS8H7oNFoEBUVxf3/rbfeQsuWLQWNj5uTk4OjR4/in3/+KRXHZ8iQIYiNjUVoaCj8/f2h0Wjs2q+UlBTExMRg0KBBaNeuHW7cuEEnfhn2yy+/AACqVauG8+fPIzo6ukzlv3Hjxrh06RJWrFiRb9mpU6cE9e+n+OIVt9g+ffqUValShQFgXl5e7Pr163QLylNMTAyTSCTUYksEefLkCfvPf/7DVCoVc3FxsbvlSywWM51Ox+rXr8/Wrl1b7spl5MiR3HnFp4W0Y8eOjDHG5s2bx2QymaDWUb1ez8LCwhhjjP30009MLpcL2o5Wq2WhoaF59stkMjGxWCy4FbhRo0al8nhFR0ezoKAgJpVKmUKhsHt/KlSowAICAtjFixfpYlDGREZGMgCsTp06bNq0aQwAmzJlSplqsbXFbDazkSNHMgCvtMU2LS2NNWjQpnuh7wAAIABJREFU4LVpsXVIYPvf//6XAWAymYxdvny5SNs6efIke+ONN5ibmxtTKBSsXr167KuvvmKJiYk202RkZLAff/yR+fn5MY1Gw1QqFWvatCmbM2cOS09Pt5pm48aNDACbOHEiM5vNbOHChaxRo0ZMqVQyNzc31qtXL3b69GnGGGOZmZls1qxZ3HKdTse6d+/OTp48WWCFXr9+PWvXrh1zdnZmarWatW7dmi1fvpxlZ2dz62VmZrKPP/7Y5kX8u+++49YdNWoUA8AOHjzIzGYz++mnn5i3tzcTiUQsICCAzZ8/nwFgNWrUKLCCOzk5MQDszz//pKtqGXfq1CnWq1cvplQqeQVOzs7OTCwWs5EjR7Lw8PByWTYGg0FQUKrVallUVBRjjLERI0YIDh5lMhlLTU1ljDF24sQJ3o/bc998fPPNN/n2b82aNYK7JOh0OrZly5ZSe+zS09PZ119/zdRqNdNoNLz2q2XLluzIkSN0cSgj/vOf/zAAbPLkyezKlSsMAKtevTrLycmxmaZ27dpMJBIxo9HIkpKS2EcffcR198n9m2n5Lf7tt99Y9+7dmZubG5PL5czLy4sFBgayX3/91Wpge+fOHXb06FHWo0cPptPpmFKpZA0bNmSzZs1iJpPJZjxRtWpV7rNDhw6xRo0a2ewS9LLY2Fg2ZswYVq1aNSaXy1mVKlXYyJEjWXR0dIHld/r0aTZkyBBWtWpVJpfLWaVKlVi7du3Y9OnTWXZ2Nlu8eDGrWLGi1Xx069aN287s2bMZAObv7287YPy/dC/HPoXFJo6I80o0sDWbzczd3Z0BYCEhIUXa1sKFC7m+cFKpNM8FrXbt2uzBgwf50iQkJLDGjRvn+VHK/QPSsGFDFh8fb7Mi9unTh7333nsMAHNycsrTn04qlbLjx4+z1q1bMwBMoVDk2bZl+cuys7PZ4MGDufVUKlWeoKNPnz7cyfH8+XMWGBjI/P39ueVdu3ZlgYGBLDAwkP3+++/5Ks/69evZ559/nqeChoSEsIcPHzKpVMoAcC1FLwsNDeV+ADIzM+mqWkatWbOG1apVi1ewZGmdrVevHlu1alW5L6OJEyfybiWVSqVs8ODB3Db8/PwEB7YVKlTIE6hZzk0hf7169bK6j23atBHUf9jSolwWrFu3jtWoUYNXXdfpdKxu3bps+/btdLEoxXJycljVqlUZAHbt2jXGGOOCwUOHDhUY2AJg0dHRrG3btnmO/bp167j1TCYTGzBggM16krsVNXdga4lFxGIxc3d3z/N05K233rIrsF20aBELDAxkHh4eDADz9PTkftd79+6dLzjNHe/krutqtdpmWcydO9fm+d+wYUPGGGOjR49mgYGB3E1+06ZNuXxMnjzZoYGtrdikqHFeiQe24eHhXKb27dsneDthYWFMLBYzpVLJVq9ezYxGI2OMsUuXLrF69eoxAKxHjx750gUEBHCP1nI/hrp27Rpr1aoVA8A6derEzGaz1YoolUqZSqViW7Zs4VpSjx8/zj3OlUqlTCqVshUrVnB5unLlCvP09GQAWJcuXfLlac6cOVy3jKNHjzKz2cxMJhMLDQ1lzs7ODACbNWtWnjRXr17lytFWVwRL5QkICGBisZhNnjyZxcTEsKdPn7LHjx8zxhjr3bs3A8DGjRtndRtBQUEOuQkhJe/Bgwds3LhxTCaTcfXI3tZZkUjEhg8fXuQnKmWJkIBPoVCw58+fc9uoUKGC4GC0U6dOefJTrVo1wdvy9PS0uo9JSUmCuzioVCo2Y8aMMnM8jxw5wjp06MA0Go3d3TB0Oh2rUqVKuexmUx4cPHiQC7Ze/v0cMWJEoYFtQEAA0+v1bOXKlezhw4csISGBGQwGbj1L1waJRMK+//57dv/+fWY0GtmtW7fY8uXL2ZUrV6wGthKJhI0dO5Y9ffqUMfbiSedHH33ELT937lyhga3FoEGDCuyKkJSUxCpXrswAsE8//ZQlJSUxxhiLj49n/fr1YwCYu7s7S05OzpPu0KFD3DUuKCiIRUZGMqPRyOLj41loaGi+J7Jubm4FdkVwRGBbUGxSlDivxAPbTZs2cTubewf4sgRk1i60x44d474jd//d48ePc5XQWp+Yhw8fMpVKxQCw/fv3W62IANgPP/yQL+3XX3/NLf/888/zLV+5ciUDwORyOcvKyuI+z8jIYK6urgyA1dbc6dOnMwDMw8MjT7DNJ7AFwL799lur62zZsoUBYFWqVMn3KCcrK4t7dFmUmxBSsg4fPsy6du3KVCqV3Y/WFQoFc3Z2Zn5+fmzFihWvXZl9//33XJcbPkFt7hvCnJwcwa2hYrGYffnll1Z/4BwxMkJuCxYs4PW4/uVHomXtyc2tW7fY8OHDmaenp93HWKPRMJ1Ox+bPn08XlFIkODiYAWDz5s3LcwMvFouZWq3muvLYCmxFIpHV31nGGEtOTubOi9mzZxeal9yBbefOnfMtNxqNXAD68vaKEtjOnTvXZiNZUlIS13q7bNmyPMs6duzIALCePXvaVdYlEdgWFJsIjfNeSWC7ZMkSroJZ6xOTO/C11TxtMBi4Fzwsfdteflxh+UFfvnw59/m4ceOstozk9vbbbzMAbOTIkTYD2zt37uRLt3PnTpt3Z5Y7DMvyhIQE7vO//vqLAWCVKlWymp8TJ05w6XLvK5/AVqPRsLS0NKvrZGRkcCfC0aNH8yzbv38/d/dnrZ8QKV2WLl3KqlWrZvcjWJlMxrRaLatRowb77rvvWGxs7GtbdpYbWr7BaG43b94UHDBqNBq2adOmPNtbtGgRUyqVgl8gK+hin7s7Ft+uF8OGDSuTxzgzM5MtWLCA1apVi+l0OrtacdVqNZPL5WzatGkF9uEkxc9gMDC1Ws3EYjGLi4vLs6xbt24MANuwYUOhLba27Nixg7suWlpB7Q1s16xZY3Wdnj17MgDsk08+cVhga3mynDu2ya1r164MAHvnnXe4zxITE7mb7r/++qvUBLYFxSZC4zwhHDbcl2XGDiEuX76MnJwcAEDv3r3h7e2d569u3brcXO0PHz7Mkw4AmjZtanPbzZo1AwBu9p6XOTk5WZ2D3dXVlft33bp1C1xuNBq5f1+8eBEAkJiYmG8/vL29ERwczK2be1/4aNWqFVQqldVlSqUSb7/9NgBg8+bNeZZt374dADBo0CBIpVIaY6YUunDhAr788ktIJBJ8+eWXePDgQYED6kskEuj1enh4eGDChAkICwvDv//+i6lTp8LLy+u1LMMVK1bwHgpLrVZj1qxZeT67ffu2oCG1LNfDxo0b5/msZcuWUCgUgvfr+vXrNpft27fP5jWhINnZ2dizZ0+ZHC5LoVBg3LhxuH37No4ePYqQkBDIZLICZzVLS0tDVlYW5s2bB6lUik8//fSVTljxOtu2bRvS0tLQuXNnVKlSJc+y4cOHAwA2bNhQ4DY6depkc9mVK1e432+dTscrbw0bNrT6uWV67czMTIeUQU5ODpfPGTNmWI0ZLLFL7nghPDycG8KwKEMJOlpBsYnQOE+IIkc3lpljzGYznj9/nm9e9UGDBuWZiWPUqFFcgGXx+PFj7t937twp8PtMJhP372fPngEA3N3dba5vWZb7O3Kzp8I7OzsXNmRavn0xGo24ffu23fvCR8WKFQtcHhISglWrVmHbtm1YsmQJpFIpzGYzdu7cCeDF2JGk9Pj333+xbt06rF69Gunp6TAYDDCbzUhLS7MZNOl0OjDGEBQUhJEjR5aqi9urNm3aNKSmpvJKI5FI8J///CfPZzExMXluWvlISUnJ9+Po5+cHg8EgaHupqamIiIjAoEGDrC6vWrUqJk+ejLlz5/Le95SUFISEhNi8+S8LmjVrhrVr12Lt2rXYtGkTfv75Z1y/fh1ZWVlWr7OWwGTFihXczHxffPEFevToQSdQCbEErUeOHLHZKHbs2DHcv38f1atX5/1baIkPCvu9tEav19v9m18USUlJyMrKAgAkJCTwjn3EYnGB8U9JK6ishcZ5QhS5xbZevXrcvyMiIvItl8lk0Ov13J9MJrNZSUQiEXJycvB/XSSs/s2cOTPPD3xhlSz3tm39oDmS5fs6duxY4H4wxhAQECDsoBXSiuTv74/atWvj6dOnOHToEAAgLCwMjx49QuXKlQu8yyUlw2AwYOnSpWjYsCF8fX0xZ84cJCQkIDk5mbtrtXaxVSqVCAoKwo4dO5CUlISlS5dSUJvLli1beAejWq0Wixcvzvf59evXBbfMWGstl0qlglvRzWZzoYHntGnT4OHhIWj7N2/exJ49e8pFHRg6dCjOnz+PGzduYNKkSXB1dbXZgGEymXDv3j0cOnQIgwcPhpubG7755hs8efKETqZidP/+fRw7dsyuev/rr78K+i20tA4KeZLs6LjAngD5yJEjBcYLp06dcsi+CZWdnV2k2ERonPdKAtsmTZpArVYDAHbs2CFoG5Y7DsYY4uPjed8dFHQRsiyrUKFCiRx8y77ExcW90guH5VFOaGhonmPz9ttvC368Sopu69at6Ny5M9zd3fHll1/i+vXrSE1N5e7ardUnsViM/v3747fffkNGRgY2btxINyc2fPXVV0hJSeGVxsXFBSNGjMj3+bVr1wTnw9fX1+rnbdq0EbzNyMjIQtf566+/CnwUb0tycjJGjRpVrupC9erVMWvWLDx79gyhoaHo06cPpFKpzUelycnJeP78OebMmQMvLy906dIFf/31F51UxWDjxo1gjKF///5ITEy0+mfpSmeZlYwvS3fBp0+fltpy0Ov1XBDNJ2aw7FtOTk6eJ+LFqajfIzTOeyWBrVQqxTvvvAMAWLNmDe7du8d7G02bNuWCrdx3JYWxtFQVND2kZVmLFi1K5OA3b94cwIum9sIeLeSW+87LEY85RowYAZFIhF27dsFsNmPv3r0AwB0rUnKOHj2KIUOGQCqVYvTo0Th+/DiysrKQnp5u9XzS6/VQq9V48803sWbNGuTk5GDHjh3o3bs3FWYBDh48yD2i4/PDsnLlSqvLCntcZotMJkPbtm2tLuvYsSOcnJwEbffBgweFrlO3bl18+OGHgr4jPT0dP/30U7msGwEBAdizZw9SUlIwe/ZsdOjQARqNxmqfZ6PRiIyMDBw7dgzDhg2Di4sL/vvf//K6npOCWYLVoUOH5nmim/uvf//+3HTSQrrJWG4uo6OjbXbrKgkFPVmWSqVcPvnEPrlvnC9dulTkfADgzoXnz59bXV6UG/2ixHmvJLAFgC+//BJKpRJpaWno27cv7wuAXq9H9+7dAQA///wz18xeGEtf0bCwMKsvPzx8+BD79u0DAJt90xytW7ducHV1BWOM149E7n68fH+cralZsybat2+PJ0+eYNeuXbhx4wa8vLxs/uASx7p69SrGjx8PFxcXvPnmm9i6dStycnKstiaq1WqoVCrUrl0bkyZNwv79+5Gamopt27bhjTfeoMK00+TJk5GcnMwrTe3atW32qxT6AoNKpcr34ljum3G5XC5ouxqNxq6XvObOnVtoH0FrUlNTMWfOHJtdYcoDJycnfPbZZzhx4gQuX76MadOmoW7dulCr1VAqlfnWT05ORlJSEn788Ud4e3ujY8eO2LVrF51sRXDu3DlER0dDpVKhb9++NtdTKpXo168fgMJfIrP1W6xSqWA0GrFmzZpXtr+W33Zbv+uDBw8GAGzatMnulswKFSpwT3+WLVvmkHxUrVqVu6G31sottOW8qHGeII4auuN///tfnqFpvvrqK3bq1Cl2//59lpiYyB4/fszOnj3LzeL18gQBFy5c4IZ66NOnD7ty5QrLzs5mRqORRUVFscWLF1sduPett95iAFj9+vXzDMsVGRnJDaPRsmXLPNPYFjY8B2Mvpnyz7I+1obH+/fdfbvm///6bZ9nChQu5ZV9++SW7e/cuM5vNLC0tjZ0/f55NnTqVjR8/Pk+a7OxsbtD93ONf5h5j0jKkRlBQkF3HZNWqVdzwHQDYF198QWPMFKMTJ06w8ePHszZt2jCtVmtzpimxWMz0ej2TSCSsa9eubNWqVXmGjCP8hYWFcTMggsfsVP/884/V7d27d4+p1WpBQ2g5OzsXONSa0LFxtVqt3fO8X7p0SdB0uxKJhPXr1++1qz93795l8+bNYz4+PkylUhU4Pq5Op2NarZb997//LXS6U5Lfxx9/bHMGr5f9+eefDABzdXXlBvPPPdxXYTMoTp48mRtvfsGCBezJkyfMZDKx2NhYtmXLFrZ69Wqrw31ZGxefsf8/wdGoUaPsjicsE064urpys2plZWVxw80lJyezGjVqcHHM/v37WUZGBsvOzmYPHjxgmzdvZr169cpX1/bt28fl9/3332d37txhOTk5LCEhgR06dIh9/fXXedbv1asXA8A6dOjAxRW544uEhATuN2vAgAHs2bNn3DBdM2fOZGKxmBtSz9ZwX4XFJkLjvBIfxza3DRs25JmStqA/azNf/f777wXOouPh4ZEvTWJiImvXrl2ei79l1jAAzNvb2+qPTHEGtowx9tlnnxW4/0OGDMmX5pNPPskzHaebm1ue8fL4BrbJycl5LtCXLl2iq6oDJSYmsl9//ZX17t2bm27ZMk7fy39KpZJpNBrm4eHBPvjgA3bw4EEqQAdq0qQJ7zFr+/TpY3N7R48e5TWFK16a6KEgderUETzpw7Rp0+wukw8//FDQuLl6vf61rp8JCQls0aJFrGnTpkypVNocE1kmkzGNRsM8PT3Z1KlTuSlhiW1Go5EbT/WPP/4odP2MjAyuwWfbtm28A9usrCzWp08fm3U9d4BaXIHtvXv3uDokk8lY9erVmVwuzzNefUREBKtSpUqB5+WNGzfybXvmzJk2169du3aedUNDQ/PcfFepUoX5+vrmWeeLL77Isw13d3cu2J0xYwbz9fUtUmArNM57pYEtY4w9efKEff/998zf359VrFiRSaVSplAoWMWKFVnz5s1ZSEgIW7VqFYuPj7eaPioqio0ePZrVqFGDyeVyplAoWK1atdiIESPYkSNHbFbeRYsWsZYtWzK1Ws1UKhVr0qQJ++677/JMr1eSgS1jL6YLHDBgAPPw8GASiYSp1WrWuHFjNnHiRKt3+hkZGeyzzz5jXl5eTCqVssqVK+cZqJhvYMsYY++88w4DwOrWrUtXVQcIDw9nM2bMYD4+PtzsXgW1ykqlUtaiRQv2448/UutOMTl48CDvIFStVrP79+/b3OaqVasETfIAgPn4+BSY35CQEMEzkAUGBvIqG6HBuV6vp4rFGHv27Blbvnw5a9WqFZPL5TZb8S2To1SpUoV9+eWXr9XU1Xxs376dO/9sDeT/sqFDhzIArH///rwDW8ZePA1du3Yt69ixI9f44Obmxvr3789OnTpV7IGt5UbZ39+fabVa5uTkxFq1apUvNnn+/DmbNm0aa9y4MVOpVFwM0KdPH/bLL7/kmeH05W0PGDCAVapUiUmlUqbRaJi/v7/VyS2WLVvGGjZsyJRKJdPr9fn2w2w2s8WLF7MmTZowJycnplarWbt27djmzZsZY4wNGTKkyIGt0DjvlQa2pHQZOHAgA8CrpYfkPdF37drFgoODmYuLC9NqtVbvNqVSKXfRrFevHhs3bhzbu3cvy8jIoEIsZnXr1uUVtMnl8nwX9JdNmjRJcJeB4ODgAre9Zs0awd0cqlevzqtsjh8/Lii4VSqV+bpLve5SUlLY2rVr2aBBg5hEIrH5hEYqlTKdTscqVqzIxo8fz86fP0+FR0gJEjHmoJGGSamTnJwMDw8PGI1GXL9+HQ0aNKBCsUNsbCz27NmD33//HWFhYdDpdEhJScnzNqlcLodKpUJKSgp8fX3Ru3dvdO3aFR06dBD8chDhb+fOnQgJCeH10phEIkF6enqBxykwMBAHDx7knR+lUol58+bhk08+sblOREQEOnTowHtYslzvRfBaPzg4GH/88QfvQc81Gg0uXbqEOnXqUEWz4sSJE9i3bx927tyJmJgYqFSqfPVQIpHA2dkZEokE77zzDoKCgtCuXTsqPEKKEQW25djChQsxfvx4tG/fHidPnqQCseHs2bM4deoU9u/fjwsXLkCr1eLZs2fIyMjIE7AoFApkZmbCz88PvXv3RufOnelH6hXz8vLiNcSgk5MTJk6ciO+++67A9dq0aYNz587xzo9er8eOHTsKHWdYKpUKeivYw8MDx44dQ/369XmlU6lUeeqzvXx8fOwaP/d1l56ejkOHDmH37t3Yt28fEhMTIRaL88wCJxaLuTGGP/zwQ7Rq1QpdunThZu8khFBgSwpw+PBhDBw4EAaDAX/++ScGDBhAhYIXg3WfOXMGR48exaFDhxAZGQmtVouMjAxukgSRSASNRgO9Xo/nz5+jbdu26NOnDzp27IhmzZpRIZYSmzZtwocffsir5dPV1dWu4fQUCoXNSTMKolQq8eDBg3xTi7+sYcOGuH79Ou/tazQarFy5kvd41IcPH0ZQUBAePXrE+/t++OEHfPzxx1TheIiPj8ehQ4ewY8cObkaprKysPDPZabVaGI1GVK5cGT179kSvXr3QpUsXQRNsEEIosC2Xli1bhm+//RZGoxFJSUkAXsw09scff7y2ZXL9+nWcOXMGBw4cwOnTp5GYmAiFQgGDwQCz2QyZTAa1Wg2j0QiZTAYfHx/4+/ujZcuWaNasGerWrUsVq5Ty8PDIM/94YfR6PdasWYM333yzwPXi4uJQr149QYO663Q67twryAcffGBzYogCL9giESZOnIh58+bxTvv+++9j48aNvKcJFovFyMzMtDodOrH/OvT333/j9OnT2L9/P8xmMyQSCQwGAxhjEIlE3A22p6cnevXqhZ49e6Jz5842Z0ojhFgnpSIoP1xdXWE0GpGamorq1asjKCgI06dPf232/8aNG7h+/Tru3buH0NBQXL58GVKpFCaTCZmZmXBycoJCoUBqaioqV66Mpk2bon379mjWrBmaNm0KDw8PqkRlxKpVq3g9WheJRKhXr16hQS0A3Lx5U3AQ16VLF7vWCwgIwJYtW3hPKMEYw5kzZwTlbeXKldi/fz/u37/PO5geMGAAN3sh4c/Hxwc+Pj4YN24cAODevXu4cOECTp8+jePHjyMyMhKMMcjlcsTExGDJkiX45ZdfkJ6ejpo1a6JPnz4IDAxEly5dqA8/IYVds6jFlpQlJpMJ169fx/Xr13Ht2jWcP38e169fR3x8PFQqFcxmM3JyciCXyyGTyZCWlgZvb2+0bt0abdu2RdOmTdG0aVP6cSjj9Ho9r6DQ2dkZ165dg5eXV6HrLl++HJ9//jnvPqkikQjjx4/H/PnzC103JiYGzZs3h8FgELTvQudtj4uLQ926da1O51zYd27evBmBgYFU+YpJVFQULly4gJMnT+LkyZO4efMmNBoNjEYjjEYjnJ2dkZ6ejtq1a6Nx48Zo164dmjRpAl9fX1SoUIEKkJD/Qy22pFRKS0vjWmDDw8Nx5swZ3Lx5E0lJSZDL5dy812azGdnZ2ahSpQpq1qyJBg0aoGHDhqhVqxbq169PXQnKoQULFiA7O9vu9ZVKJUaNGmVXUAu8GLVAyItWGo0GrVq1smtdb29vCG1TyMzMxLNnzwrtx2tN1apVMW/ePHz11Ve8bgySkpIwZMgQu7pZEGHq16+P+vXrY/jw4dxnly9fxvnz53H8+HHcu3cP586dw/379/HgwQPs2bMHYrGYu5Fv2LAh2rRpAz8/P/j6+sLX15cKlbyWqMWWlLj4+HgkJCQgISEBMTEx3N+DBw/w9OlTiEQiPHnyhHscbDKZIJfLUblyZTRs2BC+vr7w9vZGrVq1UKtWLVSrVo0K9TXi5OTEq5+oSqXi1V/W399f0ON+rVaL8+fPo169enat36lTJ5w4cYL39+j1emzfvt3ubg/WdO/eHUePHuU1MoNSqcSYMWOwaNEiqoSv0L1793Dz5k3cvHkT165dQ3h4OG7duoUnT55AqVRCJBKBMYbMzEx4eHigadOm6Nq1K1q1agUPDw9UrVoVWq2WCpJQYGs2m3Hr1i0qsdeARCKBt7e31WWZmZkwGAxISUlBSkoKDAYDDAYDUlNTYTAYkJaWBpPJhPv37yM2NhYPHjzAkydPYDAYkJ6ejqysLEgkEgBATk4OZDIZlEolNBoN3N3duZbXZs2aoUKFCqhVqxZq164NtVpNB4bg+++/x6xZs+x+lK7T6bBy5UoMHjzY7u/g+1KahUwm4zWSwsyZMzFjxgxerc/AixEbZs+ejQkTJpToDQLwolX6woULdgfvpOQwxriANzo6GuHh4bh48SLu3bsHmUwGo9HIddUCALVaDRcXF1SuXBne3t6oU6cO95SrWrVqcHd3p0Il5TuwNRgMaNmyJZXYayA9PR2PHz9GTk4OGGMwm835HpuKRCKIRCKIxWLuTyKRQCKRcC9pqdVqeHh4wNPTE56enqhZsybq1KkDd3d3uLu7U78wwhuf8V9FIhFatGiBCxcu2L19y82WkAdZDRs2xLVr1+xe//jx4+jfvz/vF8gA4K233sLWrVuLVJanT59G3759eXcvqF+/Pm7cuEGVsYxd0xMSEvDo0SM8fvwYd+/exa1btxATE4O4uDg8ffoUqampyMzMRE5ODtfqq1Qq4eLiApVKBbFYDIVCwV3bVSoV96dWq6FWq+Hs7AxnZ2doNBpoNBo4OTnBx8eHDgBxiIIa3fL8TvC5U4+KiqKSfQ3cvXsXV69ehUajgVar5S5SOp0OCoWC699KSEn66quvIJPJ7A5snZ2dsWXLFl7fYXlhR8isYG3atOG1ftu2bfMM4M/HP//8U+Ty9Pf3x6hRo7B8+XJeXTXi4uKwaNEifPbZZ1QpywiVSoXatWujdu3aha6blZWFx48f4/79+9woM48ePeKeumVkZCAtLQ2PHz+G0WhEVlYW92cymZCdnc39Mcbs7ttOSGEs3b0KbdSgPraEkNKOb0uq5YWxJUsoConYAAAgAElEQVSW8PqeHTt2ICQkhHdgq1arsXDhQowaNYpXOm9vb9y+fZt3eYhEIpjNZoeUbf369REdHc0rjVQqhcFggFKppMpJCClVxFQEhJDSbsKECbzHluUb1AIvhlwSMiKCVCoVNCtdYVPv2qLRaBw21e25c+egUCh4pWGMYeDAgVQxCSEU2BJCCB8GgwErVqyw+8UsnU6HtWvXCvquy5cvw2Qy8U6XnJwsKLDt1q0bnJ2deadjjCE8PNwh5avT6bB69Wro9Xq70+Tk5ODs2bPYt28fVVBCCAW2hBBir/Hjx/N6matWrVoYOnSooO8S2gpao0YNQX3P27ZtK+j7UlNTcfHiRYeVcXBwMO9ZrSxj2xJCCAW2hBBih8ePH2PTpk12t6JqNBreL4zldvfuXUHphI4YU7NmTYjF/C/DRZla15bt27fDycmJVxqTyYRJkyZRRSWEUGBLCCGF+eKLL+zugqBQKBAcHIw6deoI+q7ExERB3RCUSiXat28veB9btGghKF1xjFJz4sQJXoP3Z2ZmYs2aNThy5AhVVkIIBbaEEGLLxYsXsXPnTl6zYy1btkzw90VHRwt6y1+pVArqX2vRo0cP3i/GAS+GZXry5IlDy9zX1xcTJ07k1e83KSkJvXr1ogpLCKHAlhBCbAkKCrJ78gLLC1BFER0dzSuItkhLSytSYNu+fXuoVCre6RQKhcNeIMvt66+/Rt26dXn1GTabzRTcEkIosCWEEGvWr1+Phw8f2r1+jRo1EBwcXKTvjIyM5DVRgYWrq6ugkQ0s2rZtK2hCiPT0dFy5cqVYyt+eQdBzy87OxtmzZ7FhwwaqvIQQCmwJISS3jz76yO5gT6/X448//ijyd16+fFnQVLpNmzYt0vfaO03ky7Kyshz+AlnuPP3555+8hgBLSkrC+++/D4PBQBWYEEKBLSGEAC+G97KXSqVCSEgI6tatW+TvvXnzJu80MpkMHTt2LPJ3d+nSRXAwXlz69++PoKAgqNVqXsF2UV6kI4QQCmwJIeVGfHw8Vq1ahczMTLvW12q1WLBggUO+Oy4ujncatVqN5s2bOySw1Wg0vNPFxsYW6/FYsmQJGjRowGtIsjt37mDmzJlUmQkhFNgSQl5vwcHBdk9pq9PpsH//fod8b2xsLO8xXAHAaDQW6cUxi3bt2sFsNvNOp9PpEBERUazH5MKFC7zKJjU1FXPnzkV0dDRVaEIIBbaEkNfTkSNH7O7nqlKp8O6776JJkyYO+e7o6GhIJBLe6eRyOTw8PIr8/Z6enpBKpbzT5eTkFHtgCwDXr1/n1SXBYDCgc+fOVKkJIRTYEkJeT8OHD0dSUpJd6+p0Ovz8888O++7o6Gi7uz/k1qhRI4flQcjsZampqbhw4UKxHxtPT0+sW7cOLi4udqexvExGCCEU2BJCXisLFiywexQER3ZBsAgPD4fRaOR38RSL0aFDB4floUePHpDL5bzThYWFlcgxevvttzFy5Ei7hzbLzMzE1q1baVYyQggFtoSQ18uECROQmppa6HoqlQrvvfcefH19Hfr9Qh7nazQa+Pn5OSwP/v7+gvr5FsfUurbMnz8fvr6+dnebSEpKQu/evamCE0IosCWEvB5CQkLsnlJWr9dj/vz5Ds/DnTt3eKfJyclxyItjFu3atbN7prWX88FnMouiOn36NK8JKXJycii4JYRQYEsIKf+ioqLw559/wmQyFbpucXRBAF48Mhcy81d2djZq1arl0LzUr1+fdxqZTFYiL5C9fNzsbV3Ozs7GmTNn8Msvv1CFJ4RQYEsIKb+Cg4PtmqlKpVJh1KhRaNy4scPzEB0dzeuNf4t69eo5PC9CJmoozql1bfHw8MCWLVvsfpksOTkZo0ePtqu7CSGEUGBLCClz/vzzT8TExNi1rouLC3766adiyUd0dLSgqXTbtWtXLIGtVqvllcZkMuH06dMlfvz69euHDz74wO6JJUwmE81KRgihwJYQUj699957dvUp1Wq1xdIFwSIqKgppaWm80jg7O6NNmzYOz0vbtm2Rk5PDO11Jt9hazJ49G35+fna/TEazkhFCKLAlhJQ733zzDbKysgpdT61W4/3333foeLEv++eff3gHk2Kx2KEvjllUq1YNCoWCd7p79+4JanV2hCNHjkCv19u1rsFgwNKlSxEZGUknASGEAltCSNn37NkzLFmyBOnp6YWuq9frMW/evGLNz9OnT3mnSU1NLZb+voCwiRoqVqyIq1evvrJjevPmTbsD8ocPH6Jp06Z0IhBCKLAlhJR9PXr0QGJiYqHrabVaHDhwoNjzI2Tmrtq1axdbfgIDA3m32qalpeHixYuv7Ji6uLhg165ddr9MZjabi+3GgBBCgS0hhJSIpUuXIiYmptDH5mq1Gh988AEaNmxYrPm5e/euoNm+WrVqVWx5ateuHZRKJe/A9uTJk6/8hmXcuHF2vfxmNptx584djB49mk4KQggFtoSQssdgMODzzz+3a8zYqlWrYu7cucWep+vXr0MikfBKo1Kp0LVr12LLU+vWrQUF22fOnHnlx/ibb75Br1697Mp/eno6tm3bRuPbEkIosCWElD09e/a0ayIGtVqNw4cPl0ierl27Zldf39xkMlmxvDiWW/Xq1XmnuXXrVqk4zps3b4a3tzfE4sJ/XpKSkjB27Fjcvn2bThBCCAW2hJCyYd26dYiMjCy0C4KLiwuWLl2KatWqlUi+zp8/b1ewnZvBYCj2wLZv3768W5K1Wi0uX75cKo53ZGQkKlasaNe6qamp8PX1pZOEEEKBLSGk9DOZTBg9enShY9YqlUoEBgYiJCSkxPIWHh7OO02DBg2KPV/dunWDs7Mz73J+lS+QvSwhIcHuvsJGoxGtW7emk4UQQoEtIaR0CwwMhEgkKnQ9Nzc3bNq0qUTzFhsby2t9kUiETp06FXu+OnbsaNfkFbmlp6e/8hfIXpaUlGRXy3NOTg4iIyMxYcIEOmEIIRTYEkJKp02bNuHy5cuFToCgVCpLfPas2NhY3sNqOTs7o0OHDiWSvyZNmvBOExYWVqqOv0KhwO3bt6FWqwtdNy0tDRs2bMD27dvpxCGEUGBLCCl9goKCkJSUVOA6Li4u2LBhA9zd3Us0b5GRkbz7sQLFO9RXbn369OGdv5iYmFJXB7y8vHD48GG7ZidLTEzE8OHD8fDhQzp5CCEU2BJCSo/evXsX+ma8SqXCwIEDMXjw4BLPX2RkJO8RERhjqFWrVonkLyAggHc/W51Oh0uXLpW6utC6dWusWbMGrq6uha6bnp4OHx8fOoEIIRTYEkJKhx07diAsLKzALggikQiVK1fGmjVrXkkehYyIIKR7gFCdOnWya8zf3Ewmk6CZ1ErCm2++iRkzZtg1O5nBYCjWsYIJIRTYEkKI3QYPHlxoFwSpVCpoVAJHiYiI4LW+RCIp0WBLLBbznnktPT0dJ06cKLX14pNPPsGHH34InU5X4HrZ2dm4ePEipk6dSicTIYQCW0LIqzNo0KBC13FxccH27dvteqmouPAdEUGj0aBdu3Ylmkd7unO87Ny5c6W6fsyaNQsDBw4stJuFwWDAsmXLcPDgQTqpCCEU2BJCSt6BAwdw5MiRAh/xq9VqDBs2DH379n1l+fz33395j4iQmZlZYi+OWQQEBECj0fDeN7PZXKrrybp169C+fftCx7lNTExEv379YDAY6OQihFBgSwgpWUOHDi2wC4JYLIaXlxeWLFnySvMpZEQErVZr18tPjtSpUyekpqbyzmdpmqjBln379sHHxwdSqbTA9bKyslCnTh06uQghFNgSQkpO7969Cw3CGGO8+7YWh2vXrvEeEaGkW2sBQC6Xo27durzSZGVllYnAFgAuXbqEKlWqFDqBx+PHj1GzZk06yQghFNgSQorfokWLcObMmQK7ILi4uODQoUOCxo51NL4jIigUCgQEBLySvPbq1YtXP9uMjIxS/QLZy+7evVtodwvGGB48eAA/Pz862QghFNgSQopPVFQUpk6dWuAUsBqNBmPHji01QzhdvXqV1/pOTk6vpMUWALp37867n+3Zs2fLVB1KTk4utEU2OzsbkZGR6N27N510hBCbRIwxRsVACBFKo9EU2AVBoVCgY8eOpertdplMhuzsbPsvlCIRTCbTK2ltzsjIgFar5ZVfsVgMo9FYaP/V0kapVMJoNBa4jrOzMwYNGoT169fTyUcIyX/9oyIghAjVrVs3ZGZmFhhgeXl5laqg9s6dO4W+jf+yWrVqvbIuFE5OTrz7l5aVF8helpmZCZ1OV2Cf29TUVOzYsQNTpkyhE5AQQoEtIcQx5syZg4sXLxbYkqhWqxEdHV2q8i1kRIQOHTq80jz36tWr0BesXg4QS+sMZIVJSkqCh4dHgf2Kk5OTsWLFCixevJhOREIIBbaEkKK5cuUKZs+eXeCUr1KpFI8ePSp1eb927RrS0tLsXt/Z2RmdOnV6pXnu0aMHtFotr8C2LL1A9rKEhATUrFmzwK4UiYmJmD59OkJDQ+mEJIRQYEsIEa5NmzYFviym1WoRHh4OJyenUpf3c+fO8e6v+qpeHLPo1KkT7+HJzp8/X6brWExMDHx8fCCXy22u8/z5c4wZMwanT5+mk5IQQoEtIYQ/f3//AgNDV1dXbNy4ET4+PqUy/3xHRDAaja98X5ydneHp6ckrTVxcXKEvYpV24eHh8PPzK7BPdFJSEnr27Inbt2/TyUkIocCWEGK/b775BlevXkVOTo7V5S4uLpgyZQreeOONUrsP9+7d47V+w4YNS0W+e/TowaufrUajKZMvkL3s9OnT6NSpE9Rqtc11UlNT0aBBA5p6lxBCgS0hxD5hYWFYtGiRzeDB2dkZb7/9NiZNmlRq9+H27du8RkQQi8Xo0qVLqch7YGAgr362GRkZ5SKwBYD9+/ejd+/eBY7nazKZ4ObmRicqIRTYEkJI4Tp06ICkpCSryxQKBdq0aYMVK1aU6n3gOyKCRqOBv79/qch7586dkZGRYff6RqMRx48fLzf1748//sDgwYOh0+kKDG7d3d3pZCWEAltCCLHNz88PtuZyEYvF8PT0xN9//13q9+Pq1au8RkQwmUxo3bp1qci7TqdDlSpVeKUp6y+QvWz16tUYNWoU9Hq9zXUSExPRuHFjOmkJocCWEELymzlzJm7dugWz2Wx1uUqlws2bN8vEvpw/f57XiAhyuZx3MFmcunfvzmv9R48e8WrlLQt++uknTJgwAS4uLlaXm81mREVFoVq1anTyEkKBLSGE/H8rV67Ezz//bHO8WplMVirHqrUlMTGR1/rNmzcvVfnv2bMnr362bm5uCA8PL3f18uuvv8b06dPh6upqdXl2djbi4+N5lRUhhAJbQkg5duDAAUyePBnPnz+3urxChQqIjo6GSqUqM/t06tQpu9eVyWQICAgoVfnv1KkTsrKyeAXyx44dK5f187PPPsP8+fNtBreMMRgMBojFYt43NIQQCmwJIeXIjRs3MHjwYJsBgU6nQ3h4OGrWrFlm9ikiIqLAt+pf5u7u/sqn0n2Zm5sbmjVrZvf6WVlZ/6+9+w6L4vr6AP4ddoFt7C6gCEqxYO8o9t6wC6hYsCVGjSXGJOZNYvxFkxiTaJoa81NjookFVBQLlqhoVIoNxd5jRRGVusBSdu/7R96d13UrsEg7n+fheXTmzuzOYWb27OXOuTh48GClPU8nTpyIPXv2mH0gkDEGDw8P3Lp1iy5sQiixJYRUNZmZmWjVqpXJ4QdOTk44fvw4PDw8KtRxJSYmmhwnbMzTp0/LzYNjL2vVqlWR6tlWlpJfpnTq1Ak5OTnw9PQ0OUtZXl4e2rRpQzOUEUKJLSGkqnFzczP5526pVIqEhAS0aNGiwh3X6dOni1QRoX79+rC3ty93xzFs2LAijx2t7LNyOTg44OHDh+jXr5/J2GRlZWHw4MHYuXMnXeSEUGJLCKkKatasaTKpFYvFuHbtGurXr18hjy0+Pt5kybJXcRyHfv36lcvjCAgIQEZGhtXtGWOIi4urEufvnj178NFHH5ksB5aeno7Jkydj1apVdLETQoktIaQya9WqFZ49e2Y0+bO3t8eDBw/g5eVVYY/v+vXrVreVy+Xo3bt3uT0Wf39/q9uqVCocOXKkypzH8+bNQ2RkpMne9tTUVMybNw9ffPEFXfSEUGJLCKmMBg0ahBs3bhit8cpxHNLS0ir0jE4PHz4s0rjUvLw8dOnSpdwez8iRI02OJzWmslZGMKVHjx5IS0uDu7u70QQ3LS0NP/74I2bNmkUXfyUREREBjuOM3qd++uknyGQyfPnllyV6DVvth1BiS14SExMDV1dX9O/fv8jbbty4ERzHVZnC5XQTss60adNw/PhxqNVqwxuEnR0KCgoglUor9DFeuHABQqHQ6vaurq5wdXUtt8czYMAAiEQiq9snJSUhJyenSp3XUqkUT548Qa9evYyOu01PT8eGDRsQEhJCNwET2rZtC47jwHEcOnToYNU2+/fv57fhOA5RUVFlfhy7d+9GdnY2IiIiysV+CCW2FdZ7770HjuPg4eEBjUZjk30eOXIEqamp+Ouvv6g2I92ESmzx4sXYunUrVCqVwTpHR0doNBqzpZQqinPnzhk9RlN69OhRro+nWbNmReqBlkqlVWac7asOHDhgcqayzMxM7N27t1wPOykvTp06hbt371psFxYWVu7e+1tvvYWmTZuWuIfeVvshlNhWSIWFhdi8eTMAIDk52Wa1JIOCguDn54epU6ca3KgZY/D29q4yF93atWvBcRyeP39ON6FiWL58Ob7//nukp6frLec4DjKZzGgPbkV14sQJq79cymQyBAQElPtjKsr0ullZWTh+/HiVPdcXLlyIsLAwODo6GqzLyclBbGwsWrZsiaysLLoxGFGvXj0AQHh4uNl2arUaO3fuhJOTU7mainrs2LG4fPkypkyZUi72QyixrbC9BCkpKfyf/f/880+b7Ld58+ZISEjA6tWrDdbFxMTg4cOHVSbGlnoG6CZk2po1a7Bs2TKDWcXs7OygVCor3Qf8xYsXi9S+PI+v1Rk+fLjVE05oNBocOnSoSp/zAQEBePLkCapXr24w7jYvLw8XL15EtWrVqByYEYMHD7bqnhsVFYWsrCwEBgZS0AgltpWNLpH97LPPIBKJsHPnTpMF722BMYbff/+9ysT3zp07OHbsGJ1oxfDNN9/gk08+wT///KO3XCgUonbt2ian0K2osrOzizRsRygUVogZ1QICAoo0vW5iYmKVP/ednZ2RkpKCkJAQKBQKg/X5+fl444038Pbbb9ON4iW9e/eGg4MDLl26hKtXr1rsbBg7dqzFmtHZ2dn44osv0KRJE4jFYri4uGDQoEE4fPiwyW20Wi1++eUXtG7dGhKJBG5ubggMDMTJkyeN9sbrvPXWW+A4DuPGjTO6XqVS4ZtvvkG7du0gl8shlUrRqFEjjBo1CqdOnbJqP76+vuA4DiqVCvfu3cOECRPg7u4OBwcH+Pj4YMaMGUhJSbFpPIjphIjYWFpaGhOJRMzR0ZGlp6ezwMBABoD9+uuvJrfZsGEDA8DmzZvHGGNs3759rG3btszBwYGJxWKWn5+v165WrVr8tocPH2bNmjVjAAx+BAKBwWt4e3szrVbL1qxZw/z8/JhYLGZOTk6sS5cuLCIiwuz7++CDD5hWq2XLli1jzZo1YyKRiLm6urIBAwaw2NhYxhhjarWaLV68mF+vUChY37592YkTJ0wev1arZevXr2edOnViMpmMSaVS1r59e7Zq1SpWWFjIt1Or1WzGjBnM0dHR6PF++eWXfNvJkyczACw0NNToa2ZlZbGvv/6a+fv7MycnJyaRSFjDhg1ZSEgIO3nyZKU8N2fPns2cnZ0N4iaTyVj79u0r5THHxMQwpVJp9Hwx9jNo0KAKc2z169e3+rjkcjm7fPky3aD/z+bNmxnHcUwgEBjESiKRsAYNGjC1Wl2lY9SmTRsGgO3fv58NHTqUAWDz58832jYjI4OJRCLm5ubGCgoKmL29PQPA9uzZY9A2OTmZNWnShI+3QqFgdnZ2/P+//vpro58Ro0eP5tvoPnt0v8Pp06czAMzV1dVgW3OfBQ8fPmSNGjUyed1s27bNqv3Uq1ePAWAbNmzg7zfOzs56n1X16tVjGRkZNokHMY0S21KwatUqBoCNGDGCMcZYREQEA8C6dOliMbGdNGkSi4yM1DupfXx8DNq9nNguX76cBQQEsBo1avCJa0BAAAsICGADBw402LZp06Zs2rRpDABzcHBgLi4uehfyihUrTL6/QYMGsTfffJMBYGKxmMnlcn47oVDIjh07xtq3b88AMEdHR72EQrf+VYWFhSwkJETvQ8XBwUEv0SgoKGCMMZaamsoCAgJY586d+fW9evXij3fz5s02v5lVFiEhIXq/L92Ps7MzmzNnTqW9Hn/++WcmEomsSv7EYjH7+eefK8yxffjhh0YTM2M/EomErV69mm7Qrxg4cKDJLz4CgYDt37+/yie2O3fuZGFhYQwA8/X1Ndp2/fr1DACbOXMmY4wxjuNMJrYDBgxgAFjPnj3Z7du3GWOMqVQqtmDBAgaAcRzHYmJi9Lb5888/+d/Ljz/+yPLy8hhjjN2/f5/fX3ES265duzIAzM3NjW3atImlpqay3NxclpCQwL766iuWk5NTpMRWKBSybt26sRs3bjDGGCsoKGDr16/nP9O//fZbm8SDUGL7WnXq1Im/Geh6GZVKJeM4jt25c8dsYtu6dWvm6urKhg4dyhISElhqaip79OiR2cRWZ/jw4Xo3FlOvIRAImL29PVuzZg3fI/Hw4UM+WXR2djboqdBtKxQKmUQiYVu2bOF7Uo8dO8b3AgqFQiYUCtnq1av5G09iYiLz9vbmL9xXffvtt3wCf/ToUabVallBQQGLiIhgMpmMAWCLFy/W2+bSpUv8jezZs2dGj9dWN7PKoFu3bkwikRhNdnbs2FGpr8cxY8ZY3aupUCjYhQsXKsyxHT9+nCkUCquPT/dlm+jbsWMHs7e3Z0Kh0OgXv9mzZ1fpxDYiIoJlZ2czqVTKALDTp08btA0ICGAA+ARMF79XE9vTp0/zCWhqaqrBfnr06MEAsJCQEL3lrVu3ZgDY+PHjDbbJzs5mbm5uRU5sjxw5wr/PuLg4i/GwJrFVKpUsLS3NYH1wcDADwAICAmwSD2IajbEthbGfcXFxcHFxwYABAwD8WzYpJCQEjDGLD5GdP38efn5+iIyMhJ+fH5ydnVGrVi2bvkeNRoN58+ZhypQp/LgkT09P/Pe//wXwb/Hy8+fPG922sLAQn332GUJCQvgyUN26dcM777zDr589ezamTp3KF5Bv2bIl5s+fDwCIjY1FQUEBvz+1Wo1vv/2WH5fco0cPcBwHoVCI4cOHY+7cuQCAZcuWWT0dqiVHjx7FiRMnAAA7d+7E2LFj4ezsDJFIBD8/P8ybNw9isbjSnJNNmjTBqVOn9OqY2tvbw9nZGXfu3EFQUFClvibPnj1rdVu1Wo0WLVpUmGPr2rWrxbGML6uqJb8sCQoKQn5+PoYOHWowHW9aWhp+++03NG/e3Gb3oAo4ZBESiQRDhw7VG0ur8+zZM0RHR6N27dro1KmT2X3pyi/27dvXaAm2Xr16AYBeFY+UlBT+M2nixIkG20gkEgQHBxf5uHbv3g0AaNeuHTp27GiTWA0ZMsTolM7t2rUD8G9N6ZLGg5hHia2N6RLXV2cGGj9+PABgw4YNFm+OCxYsgJ1d6f5qJkyYYLCsefPmfEL36sX3MmMFzdu0acP/e9SoUSbX5+fn48WLF3pJZmpqKtzd3dGtWzeTF/XTp09x8+ZNmxx7adzMyit3d3fcvHkTeXl5/DK5XI7OnTvzca/sXn1Izpy2bdtWuOMrSgWH1NTUSvdwoC1t374dYWFhkEgkepUTsrOzceXKFdjZ2eHo0aNVNj5jxowBAGzduhVarZZfvm3bNhQWFmL06NEW6yvrvmgeOHAAvr6+Bj8rVqzgk1ndZ+Xly5f57f38/Izut1mzZkU+Ht0DlUWZotqSpk2bGl2um/Dl1TKKxYkHMU9IIbDtt9oNGzYAAEJDQ/XWde7cGXXq1ME///yDmJgYdO3a1eg+HB0drZ7hpbjEYjHq1q1rdJ2LiwuSkpJM1jAVi8VGnxh3cXHh/92gQQOz619OsnQXdVpaGnx9fQ22e7l3Nzk5GQ0bNizx8ZfGzay8UavVUCqVyM/P17sZOjs7Y8aMGVi0aFGVuCavXr0KqVRqVUUSe3t7/q8sFcnIkSNx6tQp5ObmWmzr6OiIuLg4vnwTMdS/f39kZ2cjNDQU+/bt4+s8666j4OBgTJ06lf9LU1USEBAAZ2dnJCUl4cSJE+jevTsA/WoIlugqA6SnpxvU0H6ZVquFRqOBUCjkv4wJBAKjvZqvfsZYS9fJ4ubmZrMYGeutfTVPKGk8iHnUY2tDJ06c4Gdm6datm960gnZ2dvw6c8MRXFxcSn2mJ2NlbixdfEXZViaTWb1v3UWdl5eHO3fuGPw8ePDAaJJbEqVxMytPkpKS4OTkhLy8PL1YOzo6IiwsrMoktbovMdb2ckilUv6DuqIlG9beM7KysqhMnpU2bdqEHTt2QC6X65WSSk9Pxy+//IKBAwdWqbrhAODg4IDhw4frJbMPHz5EbGwsmjVrhubNm1v92fLZZ5/h/57zMfmjS+KsuYaL87mpm7SlKLP42fp9FCcehBLb18baSRi2bdtmske0tIcgFPcGYIttzV3U3bp1s3hR9+nTxyavWRo3s/Jiy5YtaNGiBQoLC/U+jNzd3fH06dMKMaOWLZ05c8bqqXTT09MrxMQMr6pXrx4kEolVbbVaLdXFLIKePXsiIyMD48aN0/tSr1KpsH//fjRu3BizZ8+uUjHRDUeIiIhAQUEBwsPDwRizqrcWAKpVq8Z/AbeWrpdWo9GYnDzGXG+nKbpeXlOzV74OxYkHocT2tSGRAt0AACAASURBVFCr1fwg8Pj4eKSlpRn9adWqFTIyMmh2mzK8qMvDzaw0jBgxAm+//bbeGEpnZ2f07t0bT548saq3vbKJi4uzuse2OGP0youBAwda3fbSpUt04ymitWvX4sCBA6hXr57edZSdnY01a9ZALBZj48aNVSIWPXr0gIeHB168eIFDhw7xPbejR4+2anvdGNmYmBirX/Plcaumzt/r168X+Vh0D4omJCSUWTyLEw9Cie1rsXPnTmRkZKBRo0bo0KEDlEql0Z+RI0cCsN0Uuy/T9UBWpAHmuov6n3/+wZMnT4p8rMU53vJwM7Ol8+fPQ6FQYM+ePXyvhe5PVt999x327dtXZa/La9euWXcjtLOr0L3ZgYGBVn9xkclklebcf506dOiA27dvY926dfD29oZcLgfw7zAqtVqNmTNnonnz5noPOlXKpMHOjn+A+IsvvsD58+fRsWNHq2fr021748YNREVFWbWNh4cHf9/evHmzwfqCgoJidRbpqjzEx8eX2cx8xYkHocT2tfjjjz/0TlJTdIntwYMHkZycbNP3oBvb+nLVgfKud+/ecHFxAWMM33//fZGPtTjHWx5uZrby6aefomfPnsjMzOSnV1UqlQgMDARjDG+++WaVvSafPHnCDzuxxMnJia/AUREFBARYXfYrLy8PsbGxdNMupqCgINy/fx9r1qyBh4cHn+BmZmbi8uXL6Ny5s9GqM5WJbjiCbrpZa4ch6L4g6EoMhoaGYu3atUhLSwNjDKmpqTh06BCmTJli0Pnz3nvvAQD++9//Ys2aNfwzF8nJyRg/fjz/DEtRr5uOHTtCq9ViyJAh2LVrF3JycpCbm4vr169jxYoVpV5mq7jxIJTYlqrk5GQcOnTIqsS2fv36aNmyJTQaDTZt2mTT99G4cWMAwKFDh/g/7RcUFOiVZSlvpFIpFixYAAD4/vvv8dFHH+HBgwdgjCEnJwdnzpzBf/7zH/6mpuPp6cknt+vWrdP70K4IN7OSysjIQNOmTbF8+XJkZGTwyX7NmjURFRWFbdu2Vfnr8sKFC3olm8zJzc2tkONrdUQiEZo0aWJVW7VajYMHD9KNu4RGjRqFx48fY8WKFahevTqcnJz4BDc8PBwcx/Glmiqb9u3b85V1hEKhxc+9V/3+++/o2rUrMjMzMWXKFLi4uMDOzg6urq7o168f1q5dq1d3G/i3fm1ISAi0Wi2mTZsGhUIBNzc31KxZE5GRkVi+fHmxjiU8PBy+vr549OgRAgMDIZVKIZFI+PHTLz/AXFqKEw9CiW2p2rRpEzQaDZo0aWKyht3LSms4wpgxYyCRSJCamoo6derA29sbMpms3NetnD17Nv8AxpIlS+Dj4wM7OztIpVK0a9cOixYtMhimIBAIMGnSJH4bNzc3VKtWjZ/QoSLczIrrzz//RI0aNXDt2jWoVCpwHAeRSIQPP/wQSUlJ6Ny5M12UAM6dO2d1L+bLPW8VVXBwsNWJ/MmTJ+kEsZEJEyYgJSUFP/zwA5ydnSGTyfjexE8//RQ+Pj6Vcvykbkxt7969i1xhRqlU4siRI1izZg26du0KhULBl/Lq3LkzlixZYpAscxyHzZs3Y/ny5WjRogX/UPGQIUMQGxuLGTNm6NWOt5a3tzfOnj2LhQsXomXLlpBIJBAKhfDy8sLUqVON1le3teLEg5hBk6+VXIsWLRgAtnDhQqva37hxg5/G7/z58xanyjU2ta2pdkePHmWdO3dmcrmcicVi1q5dO5aVlWX1a9SqVYsBYBs2bCjS6544cYI/poKCAoP1d+/e5dffvXvX6D4OHjzIAgMDWY0aNZhAIGBSqZQ1b96cffDBB/y82y/Lzc1ls2fPZj4+PkwoFDIPDw+2atUqq6Y/ZIyx9PR0tnDhQtayZUsmkUiYUChkXl5ebOrUqez+/fvl8lwbPHiw3rz2SqWSdevWjT1//pwuRBPzr1vz8+abb1b44z1z5ozV0+tKJBKWlJREJ0kpWLlyJXNycuKnn9Vdp4MHD2YpKSkUIEJKGcdoKgtCyr24uDj07dsXBQUFKCgogEgkgkAgwKZNmzBs2DAKkBH+/v5WTafr5OSENWvWWP1Ud3kmlUqt+pOli4sL1q9fjyFDhtCJUkp+/PFHfPrppxAIBFCpVLCzs0ONGjXQpEkTfmw8IcT2aCgCIeXY8ePH0bp1awQFBSEnJwcajQa1a9fG5MmToVKpKKk1ISMjw+qyVmKx2ORMgBWNtZUdUlNTsWPHDjpRStF7772HnJwcLF26FHXr1oVMJkNKSgqio6MRHBwMT0/PSjsGlxBKbAkheuLi4tC2bVsMGzYMiYmJyMzMhL29PUaPHo2DBw/i559/piCZcfr0aYhEIqvaCgQC1KpVq1Ic9/Dhw/mHmCyhB8hej7fffht37txBbGwsZs6cCblcDsYYkpKS8PHHH4PjOLz55pu4ceMGBYsQSmwJqVxOnTqFDh06YODAgUhISIBGo4FMJsNHH32E9PR0bNq0CfXr16dAWXDy5EmrHhzjOA79+/evNMcdFBRkclbDV6WnpxepdjQpmWbNmmHZsmXIyMhAREQEAgMDkZOTA5lMhj/++AP+/v5o27YtIiMjKViEUGJLSMWWkJCAzp07IyAgAKdOnQLHcahXrx5WrlyJrKwsLFy40OppUwkQHR2tN62wKXK5nK9rXBlIJBK0atXKqrYCgQBHjhyhk6UM9OnTB5GRkWCM4ZdffkGbNm1QWFiIixcvYsKECXB2dsbnn39OJZ4IKQZ6eIyQMnTlyhXMmDEDFy5cgEqlglgsRrt27bBgwYLXUmamslIoFMjMzLTYzt7eHqmpqXoTflR0P//8M/7nf/4Hubm5FtuOGjUK4eHhdMKUA0+fPsXvv/+OVatWISMjA7m5uRCLxfDy8kJQUBD69OlD9wRCKLElpPyJiYnBtm3bEB4ejry8PL7KwfDhw/m6l6T4Hjx4gCZNmlg1FKFRo0ZWT7tbUTx+/Bj169e3qrevevXqSElJoZOmnElMTERUVBQOHz6MM2fOoLCwEAKBAGq1Gg0bNsSoUaPQt29fqllNCCW2hLx+Go0Gu3fvxrp16/DXX39BIBBAo9HAyckJgwYNwpAhQxAcHAw7OxoZZAsRERGYPHmyxR5boVCIuXPn4uuvv650MWjQoAFu3bplsZ1MJsOlS5dQu3ZtOnHKsbt37yI+Ph5Hjx7FgQMH8OjRIzg6OiI/Px9169ZFUFAQgoOD0bFjRwoWocSWEltCbO/JkyfYvn07fvnlF1y/fh0ODg7gOA7du3dHSEgI+vTpA29vbwpUKZgzZw6WL18OS7c2pVKJXbt2Vco/737xxRdYtGgRPwOWKVKpFMuWLcPkyZPpxKlAtFotTp48idjYWGzduhVXrlzhp0/38fGBQCCAq6srPDw84OHhgVq1aqF27dqoW7cu6tevj2rVqunty5ovQaR8EggE8PX1pUBQYluyGwrdBKr2DeTBgwe4evUqbty4gX/++QcPHjzAo0eP8Pz5c2RkZPDDCzQaDRo3bozJkyejT58+aN68OQXxNWjVqhUuXLhg1e/TmgfMKqKrV6+iY8eOVo0zHjZsGHbu3EknTgX3+PFjnDlzBrGxsUhKSsLjx4/5e1J2djZyc3ORn58PjUbDn/+Ojo5QKBQVfjrpqkwul+P06dMUCEpsiy8rKwv+/v4UiCro2bNnSE1NBcdxsLe3h1gshkwmg7OzM2rWrAlPT0/Url0b9evXR7NmzdCsWTMKWhlwcHCw2FMJAJ07d0ZMTEyljYOHhweSk5MttlMqlUhLS6MTpwp58eIFbt68ibt37yI5ORnvv/8+BYVUGkIKQdE4OTnh+vXrFIgq6OHDh3BycoJSqaRglFOXL1+GWCy2mNjqHtarzEaOHImVK1dCq9WabafRaHDz5k00aNCATqAqwtXVFR07dqQxuaRSoqdVCLGSl5cXJbXl3KlTp6waXuDg4IC+fftW6liMHj3aqlnICgsLER0dTScPIYQSW0IIKU/+/vtvq4vaV/ahIp06deLHU5qTm5uLXbt20clDCKHElhBCypPY2Fir2vXq1atKxMPaWdWsjRshhFBiSwghr0FBQQHu379vsZ1MJkNQUFCViEloaCgUCoXlDwI7O1y6dIlOIkIIJbaEEFIenD592qqyRVqtFn369KkSMRk4cCBUKpXFdvn5+Thy5AidRIQQSmwJIaQ8OHXqFHJzcy22c3FxQc2aNatMXKxJ4tVqNdWyJYRQYksIIeXF4cOHkZeXZ7YNx3EYNGhQlYrL+PHjraqOEB8fTycRIYQSW0IIKQ/Onj1rsY1cLsfgwYOrVFyCgoKgVqstthOJREhISKATiRBCiS0hhJSl58+fIysry2I7lUpV6evXvkoikaBVq1YW2+Xm5uLw4cN0MhFCKLElhJCydPr0aTg6Olps17hxY6vaVTYTJkyARCIx2yY/P5/q2RJCKLElhJCyFh8fb/Hpf6FQWGXKfL3K2umDz5w5QycTIYQSW0IIKUsnT560OMuWTCZD//79q2R8PDw84OnpabGdTCZDXFwcnVCEEEpsCSGkrJw4ccJim+zsbHTq1KnKxmjcuHFwcHAw20alUmHfvn10QhFCKLElhJCykJiYCJFIZLFdVXto7FVjxoyBUqk026awsBBbtmyhk4oQQoktIYSUhb///ttiOSsnJyeMHj26SsfJ19fXYmILAElJSXj69CmdWIQQSmwJIeR127Nnj8WJGfLy8hAYGFjlYzVjxgyIxWLzHwp2dtizZw+dWISQColjjDEKAyGkopLJZMjOzjbbpnXr1jh37lyVj1V6ejrc3d0tfhHo1asXoqOj6eQihFQ41GNLCKmwrly5AoFAYLaNSCTC+PHjKVgAlEol/P39LbY7duwYBYsQQoktIYS8TseOHUNBQYHZNlW5fq0xM2fOhJOTk9k2MpkMhw4domARQiixJYSQ1yUqKgq5ublm27i6uqJ27doUrP8zevRoi0M3MjMzsW3bNgoWIYQSW0IIeV1iY2PNrre3t8eYMWMoUK8IDg42u54xRtPrEkIosSWEkNfl5s2bsPTsq0QiwYgRIyhYr5g+fbrF0l9qtRrXr1+nYBFCKLElhJDSdvz4cYvT6NrZ2aFNmzYUrFf06tXL4peCvLw86rUlhFBiSwghr0NUVBRycnJMruc4jmrXmjFx4kSzFSXy8vIQHh5OgSKEUGJLCCGl7cSJE2bXKxQKGl9rxltvvQWpVGq2zZUrVyw+aEYIIZTYEkJICdy7dw/5+flm22RnZ6Nv374ULBOaN28OFxcXs23EYjH27t1LwSKEUGJLCCGlxZoJBPr160eBsmDatGkQiUQm12dmZiIsLIwCRQihxJYQQkrL3r17oVKpTK53cnLCuHHjKFAWvPHGG+A4zmybgwcPUqAIIZTYEkJIabHUY5ubm4thw4ZRoCyoUaMGmjZtaraNg4MD4uPjKViEEEpsCSHE1pKSksz21gJA69atIRaLKVhWmDVrFmQymcn1WVlZiIyMpEARQiixJYQQWzt27JjZMlUSiQQTJkygQFlp/PjxZisfaDQaml6XEEKJLSGElIb9+/cjKyvL5HqqX1vEDwE7OwwcONBsm5SUFCQlJVGwCCGU2BJCiC1du3bN7Hp3d3d4enpSoIpg5syZUCgUZpPfI0eOUKAIIZTYEkKIrdy5cwc3btwwuV4kEmHatGkUqCIaMGAA3N3dTa5XqVT47rvvKFCEEEpsCSHEVvbu3QuNRmNyvUAgwNixYylQxTBy5Eg4ODiYXH/79m08fvyYAkUIocSWEEJsISwsDLm5uSbXN2jQALVq1aJAFcPcuXPNrtdqtdi4cSMFihBCiS0hhJQUYwwnT540uV4mk+Gdd96hQBWTQqEw+xCZWq3GmjVrKFCEEEpsCSGkpPbt22f2Aafs7Gwq81VC8+bNMxvjlJQU3Lx5kwJFCKHElhBCSmLHjh3IzMw0uX7w4MFm69sSy/z9/c0+RKZWq/HHH39QoAghlNgSQkhJ7NmzB4wxo+uUSiVmzpxJQbKB+fPnw8nJyei6goICrFu3joJECKHElhBCiuv69etQq9Um1xcWFiIgIIACZQPjxo0zW3kiJycH586do0ARQiixJYSQ4oiKikJ+fr7RdQKBABMnTqQg2dDs2bNNlv5SqVTUa0sIKbc4Zupve4QQUk60bdsWCQkJRtcpFAocO3YMLVu2pEDZyIsXL+Dp6Wmyl1ypVCItLY0CRQgpd6jHlhBSruXn5yMxMdHkeqVSSUmtjbm6uqJ3795m2xw/fpwCRQihxJYQQopi//79kMlkRteJRCJMnz6dglQKPv30U5OlvzIyMrB27VoKEiGk3KGhCISQcm38+PEmZ7wSi8W4d+8e3NzcKFCloH79+rh9+7bJLxXmZoEjhJCyQD22hJBybe/evSbXtWjRgpLaUjRv3jyTveWOjo7Yv38/BYkQQoktIYRY49KlSyZLTzk5OdEUuqXsjTfeQEFBgdF1GRkZWL16NQWJEEKJLSGEWGPPnj0mn8xXqVQIDQ2lIJWymTNnwt7e3uTvhxBCKLElhBArxMTEGK1fy3EcgoODKUCvwYcffmgysVUoFNi1axcFiRBCiS0hhJjz+PFjHDt2zOi66tWr4/3336cgvQbu7u4YOXIkOI4zWJeWlobPP/+cgkQIocSWEELM2bBhA7RardF1zs7O6NSpEwXpNVmwYAGkUqnRdXfu3MGVK1coSIQQSmwJIcSU1atXGx1fK5fLsXDhQgrQa1SnTh0MGjQIdnaGHxkqlQqLFy+mIBFCygWqY0sIKXeuXbuGDh06IDMz02AdTedaNp49ewYfHx+jtWsFAgFycnLg4OBAgSKElCnqsSWElDvr1q0zmkBJJBJ88sknFKAyUL16dYwdO9bog2QODg744YcfKEiEkDJHPbaEkHLHzc0Nz549M7qOblllJycnB0ql0mhtW1dXVzx//pyCRAgpU9RjSwgpV+Lj440mTkKhENOnT6cAlSGJRIJZs2bB0dHRYF1hYSGV/iKElDnqsSWElCuTJ0/G+vXrDSoiSCQS3Lp1CzVr1qQglfUHh5HSXwDQpk0bnD17lgJECCkz1GNLCClXwsPDDZJajuPQvXt3SmrLiQULFkAsFhssv3XrFq5du0YBIoRQYksIIQcOHDD6cJJcLqeJAMqRhQsXGq0xrFKp8PXXX1OASuCnn36CTCbDl19+ScEghBJbUpZ27doFjuPAcRwVbCfFsmrVKmRkZBgs9/Lygr+/PwWoHFm8eLHBpA1arRabNm1CYWFhmb2vuXPn8vchkUhk9Hx6VXp6OkQiEb/d3Llzy+z97969G9nZ2YiIiKCTjBBKbElZ+uOPP/h///nnnzbdN2MM3t7emDVrFgW6Etu9e7fBMoVCQb1X5dD7778PgUBgsNzR0bHclP7Ky8tDZGSkxXY7duxAXl5euXjPb731Fpo2bUr3OkIosSVlKTU1FXv37oW7uzuEQiE2bdpkcjrU4oiJicHDhw8p0JVYeHg4ZDKZwXIHBwcEBgZSgMqhpUuXwsnJSW9Zbm4uli5dWubvrV69egCAsLAwi211bRo2bFjm73vs2LG4fPkypkyZQicYIZTYkrJMSvLz8zFkyBB07NgRSUlJOHz4sE32zRjD77//TkGu5LZt24asrCy9ZVKpFPPnz6fglFNTp041+hCZVqvFvn37yvS9DRo0CAAQHR2NlJQUk+2ePn2Ko0ePwsfHB35+fvRLJYQSW0L+f+jB8OHDMXz4cL1lpuzatQsDBgxA7dq14ejoCGdnZ3Tt2hU//vgj/0EUHR2NFi1aYP369QCAlStX8uPghEIhv6+NGzeC4zh8+umnAID9+/fD398fjo6OkEgkBnVR1Wo1vv/+e/j7+0Mul0MqlaJ169ZYsmSJ0RmvgH//RMhxHKKiopCdnY158+ahXr16cHR0hJubG4KCgnD+/HmzxxwREYH+/fujWrVqEIlEqFevHmbPno2kpCSj7R89egSO49C2bVsA/z5c1b17dzg5OUEul6N9+/Z6Q0DCw8PRrVs3KBQKSCQS+Pn5GXwp+PHHH8FxHOrUqWPyfebk5EAikYDjOOzcubPUz5979+7h4MGDBsvlcjlmz55NF1g5tnbtWlSvXl1vWWpqapn/3qpXrw5/f39oNBps27bNZLutW7dCo9Fg9OjRyMnJMdlONwbX1Bf2hQsXguM49OnTx2DdxYsXERoaikaNGkEikUAmk6Fly5b4+OOPDapI6O4z48aNM/o6KpUK33zzDdq1a8ffuxo1aoRRo0bh1KlTdEISwggpoevXrzMAzN3dnRUWFrLk5GQmEAiYRCJhmZmZRrdZsmQJA8AAMHt7e+bm5sbs7e35ZWFhYYwxxpYvX84CAgJYjRo1GADm7e3NAgICWEBAABs4cCC/vw0bNjAAbNKkSSwyMpLZ2dnx+/Lx8dF77SdPnrDmzZvz6+VyOVMqlfz/mzZtyh4/fmzwnidPnswAsM8//5y1bt2aAWBSqZQ5OTnx24pEInbmzBmDbQsLC9mYMWP02lWrVo1xHMcAMIVCwY4fP26w3cOHDxkAJpPJ2Pr16xnHcUwoFDIXFxd+XwDYDz/8wGbPns0AMIFAwFxdXfXWL168mN9ncnIyEwqFDACLj483+vuJiIjg35darS71c2jSpElMIBDovWeFQsE2bNhAF1gFMGTIEP6cevm6Kovf3wcffMAAsI8++oj98MMPDADr0qWLyfYdO3ZkANiFCxdY3759GQD2wQcfGLRzdHRkANihQ4eM7mfBggUMAOvdu7fe8uPHjzORSMQAMDs7O1a9enUmFov5OE2bNs3ofSY0NNTo/aBRo0Z6cX75Z9u2bXQykiqPEltSYvPmzWMA2Jw5c/hlAwYMYADY77//btBepVLxN/YlS5aw/Px8xhhjarWa7du3j02ZMoVfpjN8+HAGgM2cOdPoe9Altq1bt2aurq5s6NChLCEhgaWmprJHjx7pte3Tpw8DwJo1a8bOnj3LL798+TJr164dA8C6d+/OtFqt0Q8coVDIPD09WXR0NN8mLi6Oubu7MwBswIABBu9v0aJF/If99u3bWWFhIWOMsadPn7JJkyYxAKx69ers6dOnRhNb3evOnz+fZWVlMcYYu3//PvPz8+PXAWBvv/02S01NZYwxlpKSwnr37s0nxiqVit/vwIEDGQD27rvvGo1naGgoA8AmTpxY6udPbm6uQVIEgDVu3Jgurgrk5S+muh+lUllmie2cOXNYUlISs7OzYxzHsQcPHhi0vXv3Lv9lljHGevbsafPEtmvXrgwACwkJ4a9NjUbDzp49y+bMmcMuX75sdWKr25ebmxvbtGkTS01NZbm5uSwhIYF99dVXLCcnh05EQokthYCUhFarZd7e3gyAXk/l5s2b+QTxVVeuXGEAGMdxLC8vz6rXsTaxBcD69u3LNBqN0XbHjh3jezVv3bplsD45OZlJJBIGgB04cMDoBw4AdvToUYNtly9fzgAwiUSi9/pZWVlMLpczAGzdunVGY+jv788AsI8//thkYtu/f3+DbY8cOcKvb9OmjcH6mzdv8uuPHTvGL9+yZQsDwGrWrGkQq/z8fKZQKBgAtn///lI/h+bPn88nDS/31r78pYOUf7t27dL7y4fuLxrffPNNmSS2s2bNYowx1qNHD/5L9Ku+/vprBoAtWrSIMcZY9+7dbZ7YVq9e3ex2rzKV2L58rcfFxdEJR4gJNMaWlMjff/+NBw8eoGHDhvw4UAAIDAyEk5MTjh8/jvv37+tt4+HhATs7OzDGzI59K64FCxbAzs74qb1jxw4AQJcuXeDr62uwvkaNGvxDJ+Hh4Ub34eXlhR49ehgsb9euHT8+NS0tjV9++PBhZGZmQiQSYdSoUQbbcRyHSZMmmX1NAAgJCTFY1qZNG7Pr69evzz+1/vjxY3750KFDoVQq8fjxYxw/flxvmyNHjiAjIwPVqlUzOl7Q1r777ju9UksCgQC9evXSOzZS/g0dOhQ9e/bUG/uenZ2NTz75pKyG2QEAxowZA8B4dQTdsrFjx5ba+/D09OTH8rISzGCvK4XXrl07dOzYkU44QkygxJaUiO4BsdDQUL3lYrEYw4cPB2PM4CEyZ2dnvPvuuwCA8ePH44033sD169dt8n4cHR3RoUMHk+t1D3e1atXKZJvWrVsDAE6fPm10fdOmTY0ud3V15f+tVqsNXrNhw4ZGnyB/+TXv3buHZ8+eGW3TpEkTg2VyuZyvJdqgQQOj27m4uACAXvIoEokwcuRIo8m0LvkfPny4XpJSGtasWWPwGgKBQO+BOFJx7Nixw6C2rb29PWbOnFlm72nEiBGwt7fH+fPncePGDX751atXcfHiRXTo0MHsg5QltXDhQgDAr7/+iu7duyM6OrpY+0lMTAQAmqiEEEpsSWnJycnhZ8f57LPP+GoFuh9dJYMNGzYYbLtkyRIsXLgQ9vb2WL9+PZo0aYLBgwcjPj6+RO/JxcXFaNF4nRcvXgAAqlWrZrKNbp2pEkFKpdLi+3i5Z6Yor1mS1zVWA9bUewKAiRMnAgC2b9/OzxSl1Wqxa9cuADDau1waH/oqlYr/v0QiwUcffWRQG5VUHJGRkXrnan5+PtatW2e25FZpcnFxQb9+/Qy+xL2O3lrg357s7du3w83NDSdOnECfPn3QsmVLhIWFFakHV3cfcXNzo5OMEEpsSWnYsWOHXlJiyq1btwwSVqFQiAULFuDu3buYO3cu5HI59u7di86dO5foT5emhiDocBxnNMkzlgDq2r7KXOJc0te05eta0rlzZ9SrVw/Pnz/nSxjFx8fj6dOn8PDwQPfu3Uv1/ImKijIoryQUCvHFF1/QxVWBDRgwAH369IG9vT2/LC8vD1OnTi2z92RsOEJ4eDgEAoHNvsCZm0Y4ODgYd+/exfLly1GnTh1cvHgRY8eORf/+/a26hwKARqMxCJgYWAAACVpJREFUe38ghFBiS0pIN8Rg6dKlSEtLM/qjmxbS1J+Wa9asiaVLl+L+/fuYNWsWGGP45ptvsHHjxlJ5z7reDlN/7n953au1OV/Ha9ryda0xfvx4AOB73nU1a0eOHGnxS0JJzZ8/HxkZGfz/FQoFfv31V7qwKoFt27bpfRHTarX4+++/cfHixTJ5P8OGDYNYLMaNGzdw/vx5nDlzBrdv30bv3r1t1gP68rh6YyQSCd555x3cunULK1euhFQqxcGDBzFnzhyr9q8bUvT8+XM6wQihxJbYWlJSEqKjo2FnZ4fQ0FAolUqjP7qekq1bt5qdi12hUGDFihX8WN1Xx31a0+tpDd34tHPnzplso1tnq4eXdK958+ZNZGdnm31NLy+v15rYTpgwARzHYffu3dBqtdi7dy8AYPTo0aX6umfPnsW9e/f0lvn4+Bh9AI5UTLt27dIbkpCRkYG33nqrTN6LTCbDkCFDAPzba6vrudXdn6zh6OgI4N/JJ4y5fPmyVfsRCASYMWMGfvrpJ6P3OlNatGgBAEhISKCTixBKbImtbdy4EVqtFl26dIGHh4fJdh07dkStWrWQlpbGP9VrTt26dQEY/llPN35UN86suHR/doyPjzeY8QcAkpOTsX//fgDgZ1ArqZ49e8LNzQ15eXnYtGmTwXrGGD8e2Vavaa06deqgS5cuePbsGXbv3o1r167Bx8en1J+6XrZsGTIzM/n/Ozs7l1ovPSkb/fr1Q//+/fWGJPzzzz82m2q7qHRJbHh4OLZs2QKRSITg4GCrt69VqxYA4w+V3rlzB3FxcUV6P6budaYMHTqUv3fpHiQjhFBiS2xE90CYpR42juMwYsQIAP8/dGHHjh345JNPkJiYCK1Wy7eNjY3FmjVrAACdOnXS20/jxo0BAIcOHeKnny0oKNDb3hqtW7fGiBEjoNVqERwcrPchdfXqVQwbNgy5ubnw9/fH4MGDbRIrR0dHfPbZZwCADz74AFu3buU/zJ49e4bJkyfj3LlzUCgUeP/991/773LChAkA/i27Zc3vtKSOHj2KqKgovvddLpdj2rRpaN68OV1YlUxYWBh8fX35v7i8ePGCL6f3ug0YMAAKhQIPHz7E48ePMWjQIMjlcqu37927NwBg9erViIqK4u89CQkJCAwMNLrN/fv3MXHiRERHR+tVSrl79y4WLFhg9F5nSkBAADp27AitVoshQ4Zg165dyMnJQW5uLq5fv44VK1YYlO4jpEqiUr6kqM6ePctPD5mcnGyxfUxMDD871tOnT9mvv/7KFxoXi8XM29ubyWQyflmzZs0MpuJ98OABP3GCvb098/LyYg4ODuzZs2d6EzTUqlXL4vtJS0tjnTp10pv609nZmf+/r68vu3fvntWF03Vu3brF7+Phw4cGkzDMmDFDb0rd6tWr81P/SiQS9tdffxns8+UJGoxNKMEY46eiNVUA3sfHx+TkEIwxlpGRoTfFZ0JCQqmePy/H2t7envXs2ZMuqkru5Smg7ezsmL+/f6lP0GBsMpc33niDfx/bt283WG9ugoYHDx7oHYdEIuEnMqlZsyZbuXKlwQQNL98TdDMWvjzdtUKhYOfPn7f6PnP//n3m6+trckpdmoKaEJqggRSDrue1W7duqFGjhsX2nTp1Qq1atVBYWIjNmzdj2LBhWLRoEfz8/CAUCpGUlASpVIp27drhyy+/RHx8vEG5Jy8vL75qglgsxvPnz9GqVSuIRKIiv3+lUom///4by5cvh7+/PzQaDfLy8tCyZUt8+eWXOH/+PHx8fGwaM47jsHLlSuzZswcBAQGQSCTIzMyEj48Ppk+fjqtXr/IliV43uVyOYcOGAfi3Fq6fn1+pvda7776r13OlUChw5MgRuqgquRcvXvBjVLVaLa5du4aPPvrotb8P3XAEhUJR5J5jLy8vnDx5EqNGjUKNGjVQUFAAJycnTJs2DefOnUOvXr0MtvH29sZvv/2GXr16QalUIjk5GRqNBs2bN8f06dORmJhotqa2sf2dPXsWCxcuRMuWLSGRSCAUCuHl5YWpU6eiW7dudLKRKo9jJX0ahxBS4QUHByMyMhL/+c9/Sq3c1rVr19ChQwd+bK2joyOePHkCZ2dn+gVUAVqtFkKhkB+C4uzsjC1btqBv374UHEIIJbaEENvIyMhAjRo1kJeXh6tXr/LjmW2tfv36uH37Np/UhIWFISAggH4BVciLFy/g6enJ99oLBALk5OTAwcGBgkMIsQkaikBIFbd+/Xrk5eWhS5cupZbULl26FE+fPgXw79CHd955h5LaKsjV1RWXLl3iq5xoNBo0a9aMAkMIsRnqsSWkCouOjkZQUBCysrIQGRlp8unukkhLS4OHhwfy8vLg4OCAHj164K+//qLgV2FnzpxBv379kJ6eDrFYjDFjxuC3336jwBBCSox6bAmpYn755Re4u7vD2dkZffr0QVZWFkaOHFkqSS0ADBw4EAUFBRAIBPDz86OklsDf3x+7du2Ci4sLcnNzsW/fvjJ5mIwQQoktIaSCc3FxQV5eHlQqFby8vPDxxx/zdYltzc/PD4mJiZBKpfD390d8fDz9AgiAf6uqnDp1Co6Ojnjx4gV+/fVXjBs3jgJDCCkRGopACCkVDRo0wL179yCVSjFnzhy+ID0hrxo3bhyioqKgVqvh6emJa9eu6c1YRgghlNgSQspMzZo18fz5c9jZ2SEmJgZt27aloBCz4uLiEBgYiKysLOTn5+Po0aNUl5UQUmQ0FIEQYjPPnz+HUqmESqVC+/btoVarKaklVunUqRNSUlLwzjvvQCqVYvDgwfj8888pMISQIqEeW0JIiZw6dQrLli3DX3/9hdzcXDDG8J///Afz5s2j4JBiuX37NkJDQ3Hx4kXY2dmhbt26GDp0KCZPnoy6detSgAghlNiS4tFqtbh16xYFghg4f/48Jk2ahIKCAnh7e2PYsGEYN24c9dASm7lz5w4OHDiAHTt2IDExEampqXBwcEDdunWxfv16KJVKCpIFAoEAvr6+FAhCiS0hAJCVlQV/f38KBDEgFArx1VdfYdiwYRQM8trs3r0bmzZtwtmzZ+kBMyvI5XKcPn2aAkEosSWEEEIIIaQioYfHCCGEEEIIJbaEEEIIIYRQYksIIYQQQggltoQQQgghhFBiSwghhBBCKLElhBBCCCGEEltCCCGEEEIosSWEEEIIIcSc/wWWSMbJZmRI8wAAAH9pVFh0Q29weXJpZ2h0AAAAAABJbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lcywgbGF1bmNoZWQgaW4gMjAxOCBhdCB0aGUgVW5pdmVyc2l0eSBvZiBHZW5ldmEgYnkgUmFwaGHDq2wgU2FuZG96LrnvAosAAAA1dEVYdFRpdGxlAEludGVyYWN0aXZlIGhpc3RvcmljYWwgYXRsYXMgb2YgdGhlIGRpc2NpcGxpbmVz65h0xwAAAId6VFh0UmF3IHByb2ZpbGUgdHlwZSBpcHRjAAB4nD1NMQ4DMQjb84p7AjFgLnOnbh36gzSRTjqpVf8/lNxQI2yQjCn3x/O2fb7veZyjbBeIorvBmr3Esv7QWrugNhG4KTtraCgbma25WerkgCQTEla403NQLptzpHooOsSZBwhwrtArf3G+Kz+4+iB2yAXHUAAAAp5pVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADw/eHBhY2tldCBiZWdpbj0n77u/JyBpZD0nVzVNME1wQ2VoaUh6cmVTek5UY3prYzlkJz8+Cjx4OnhtcG1ldGEgeG1sbnM6eD0nYWRvYmU6bnM6bWV0YS8nIHg6eG1wdGs9J0ltYWdlOjpFeGlmVG9vbCA5LjQ2Jz4KPHJkZjpSREYgeG1sbnM6cmRmPSdodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjJz4KCiA8cmRmOkRlc2NyaXB0aW9uIHJkZjphYm91dD0nJwogIHhtbG5zOmRjPSdodHRwOi8vcHVybC5vcmcvZGMvZWxlbWVudHMvMS4xLyc+CiAgPGRjOmNyZWF0b3I+CiAgIDxyZGY6U2VxPgogICAgPHJkZjpsaT5SYXBoYcOrbCBTYW5kb3o8L3JkZjpsaT4KICAgPC9yZGY6U2VxPgogIDwvZGM6Y3JlYXRvcj4KICA8ZGM6dGl0bGU+CiAgIDxyZGY6QWx0PgogICAgPHJkZjpsaSB4bWw6bGFuZz0neC1kZWZhdWx0Jz5JbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lczwvcmRmOmxpPgogICA8L3JkZjpBbHQ+CiAgPC9kYzp0aXRsZT4KICA8ZGM6dHlwZT4KICAgPHJkZjpCYWc+CiAgICA8cmRmOmxpPkltYWdlPC9yZGY6bGk+CiAgIDwvcmRmOkJhZz4KICA8L2RjOnR5cGU+CiA8L3JkZjpEZXNjcmlwdGlvbj4KPC9yZGY6UkRGPgo8L3g6eG1wbWV0YT4KPD94cGFja2V0IGVuZD0ncic/PplCL5gAAAAASUVORK5CYII=
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* -
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* -
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Beauvais, Vincent de (1244), //Speculum majus: Bibliotheca Mundi Vincentii Burgundi. Speculum quadruplex, Naturale, Doctrinale, Morale, Historiale//, Douai: 1624; reprint, Graz, 1965. English transl. by William Caxton (1481): //The mirrour of the world//, Amsterdam: Theatrum Orbis Terrarum, 1979.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Albrecht, Eva (2000), "The Organization of Vincent of Beauvais’ //Speculum Maius// and of Some Other Latin Encyclopedias", in //The Medieval Hebrew Encyclopedias of Science and Philosophy//, Springer: Dordrecht, pp. 46–74.
* Blair, Ann M. (2010), //Too Much to Know: Managing Scholarly Information before the Modern Age//, Yale University Press.
* Desbarreaux-Bernard, Tibulle Pellet (1872),// Étude bibliographique sur l’édition du Speculum quadruplex de Vincent de Beauvais//, L. Téchener.
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 96.
* Paulmier-Foucart, Monique & Duchenne, Marie-Christine (2004), //Vincent de Beauvais et le Grand miroir du monde//, Brepols.
* Paulmier-Foucart, Monique & Lusignan, Serge (1990), "Vincent de Beauvais et l’histoire du Speculum Maius", //Journal des Savants// 1(1), pp. 97–124.
* Paulmier-Foucart, Monique (1987), "Ordre encyclopédique et organisation de la matière dans le ‘Speculum maius’ de Vincent de Beauvais", in //Actes du Colloque de Caen, 12-16 janvier 1987//, Paris: A. Becq, pp. 201–226.
* Lusignan, Serge (1982), "Les arts mécaniques dans le //Speculum doctrinale// de Vincent de Beauvais", in G.H. Allard & S. Lusigna (eds), //Les arts mécaniques au Moyen Âge//, Paris: Vrin, pp. 33–48.
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
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Krug, Wilhelm Traugott (1801), //Entwurf eines neuen Organonis der Philosophie oder Versuch über die Prinzipien der philosophischen Erkenntniss//, Meissen: Erbstein.
* Krug, Wilhelm Traugott (1803), //Fundamentalphilosophie//, Hudson: Skinner.
* Krug, Wilhelm Traugott (1827-1834), //Allgemeines Handwörterbuch der philosophischen Wissenschaften//, Leipzig: Brodhaus.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 138.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Wundt, Wilhelm (1883), //Logik: Eine Untersuchung der Prinzipien der Erkenntnis und der Methoden wissenschaftlicher Forschung// (2 volumes), Stuttgart: Enke.
* Wundt (1889), //System der Philosophie// (2 volumes), Leipzig: Wilhelm Engelmann
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 236.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 2, pp. 55–60.
* Kent, Beverley (1987), //Charles S. Peirce: Logic and the Classification of the Sciences//, Kingston: McGill-Queen’s University Press, p. 29.
* Ladd, George Trumbull (1890), "Wundt's Division of Scientific Philosophy", in //Introduction to philosophy//, New York: C. Scribner, p. 168.
{{||map-image1}}
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Wilson, William Dexter (1856), //An Elementary Treatise on Logic//, New York: D. Appleton.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 215.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Malisoff, William Marias (1937), "Arranging the Sciences", //Philosophy of Science// 4(2), pp. 261–264.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Reisch, George A. (2005), //How the Cold War Transformed Philosophy of Science: To the Icy Slopes of Logic//, Cambridge University Press.<br>Chapter devoted to William Malisoff: pp. 99–110.
{{||map}}{{maptips}}
{{||coll-toolbar}}
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* William of Conches (~1125-35), //De philosophia mundi//, PL 172, coll. 39–102.
* William of Conches (~1125-35), //Glosae super Priscianum//, prologue edited in E. Jeauneau (1960), pp. 212–247.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
*Iwakuma, Yukio (1999), "The division of philosophy and the place of the Trivium from 9th to the mid-12th centuries", in S. Ebbesen and R.L. Friedman (eds), //Medieval Analyses in Language and Cognition//, Copenhagen: Kgl. Danske Videnskabernes Selskab, pp. 165–190.
* Jeauneau, Édouard (1960), "Deux rédactions des gloses de Guillaume de Conches sur Priscien", //Recherches de théologie ancienne et médiévale// 27, pp. 212–247.
* Lemay, Helen Rodnite (1975), "Guillaume de Conches’ division of Philosophy in the Accessus ad Macrobium", //Mediaevalia// 1(2), pp. 115–129.
\define p_img1() {{$:/prefix_img!!text}}/{{!!img_1}}
<!-- Map image placeholder to render when printed -->
<$reveal type="match" state="!!title" text="">
<center><$image source={{$:/temp/print/preview!!map-path}} height="300"/></center>
</$reveal>
<$reveal type="nomatch" state="!!title" text=""><!-- Hide tiddlymaps when printed -->
<$wikify name="img1" text=<<p_img1>> >
<div id="scale_big">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; padding-right:12px; flex-grow: 1; min-width:38%">{{||map}}</div><div style="position:relative; flex-shrink: 0"><$button class="hpic" style="max-width:100%" popup="$:/temp/popup_wll" tooltip="Click to enlarge"><$image class="resp_image_big" source=<<img1>>/></$button></div><div style="position:relative; padding-left:12px; flex-grow: 1; min-width:38%"><$tmap class="mapframe" height="calc(14vw + 40vh)" view="William Whewell (hierarchy)"></$tmap></div></div>
</div>
<div id="scale_small">
<div style="position:relative; display: flex; flex-direction: row; justify-content: center"><div style="position:relative; padding-right:10px; flex-grow: 1; min-width:35%"><$tmap class="mapframe" height="302px" view={{!!title}}></$tmap></div><div style="position:relative; flex-shrink: 1"><$button class="hpic" style="max-width:100%" popup="$:/temp/popup_wll" tooltip="Click to enlarge"><$image source=<<img1>> height="300"/></$button></div><div style="position:relative; padding-left:10px; flex-grow: 1; min-width:35%"><$tmap class="mapframe" height="302px" view="William Whewell (hierarchy)"></$tmap></div></div>
</div>
<$reveal type="popup" state="$:/temp/popup_wll"><div class="drop-down-pop grey1 nodragdrop"><$image source=<<img1>>/><br><div style="margin-top: -4px; padding-bottom: 5px; line-height: 1.5em">Source: {{!!src_img_1}}</div></div></$reveal>
</$wikify>
</$reveal>
<div style="position:relative; top:-0.8em; left:-0.4em">{{||coll-toolbar}}</div>
!! References
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Sources://
* Whewell, William (1828), //An Essay on Mineralogical Classification and Nomenclature: With Tables of the Orders and Species of Minerals//, Cambridge: J. Smith.
* Whewell, William (1834), "Mrs. Somerville on the Connexion of the Sciences", //Quarterly Review// 51, pp. 54–68.
* Whewell, William (1837), //History of the Inductive Sciences//, London: J. W. Parker, 1857.
* Whewell, William (1840), //The Philosophy of the Inductive Sciences//, London: J. W. Parker, 1847. <br> Cf. especially Book 11, chap. 9, p. 113: "On the classification of the sciences".
* Whewell, William (1858), //Novum Organon Renovatum//, London: J. W. Parker.
!!! <i class="fa fa-book" style="padding-right: 0.5em"></i>//Further reading://
* Fisch, Menachem & Schaffer, Simon ed. (1991), //William Whewell, a Composite Portrait//, Oxford: Clarendon Press.
*Flint, Robert (1904), //Philosophy as scientia scientiarum, and a history of classifications of the sciences//, New York: Arno Press, 1975, p. 197.
* Kedrov, Bonifatiĭ Mikhaĭlovich (1965), //La classification des sciences// [//Классификация наук//] (French transl. by J. Lemagnen, 1977), Moscou: Progrès, vol. 1, pp. 179–187.
* Pont, Jean-Claude (2007), "Auguste Comte et William Whewell : Œuvres contemporaines", //Revue Philosophique de la France et de l’Étranger// 197(4), pp. 471–491.
* Sandoz, Raphaël (2016), "Whewell on the classification of the sciences", //Studies in History and Philosophy of Science Part A// 60, pp. 48–54.
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
Source: Burdach, Karl Friedrich (1809), //Der Organismus menschlicher Wissenschaft und Kunst//, p. 58.
Source: J, G. Sulzer (1758), //Kurzer Begriff aller Wissenschaften und andern Theile des Gelehrsamkeit//, p. 7.
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
J. G. Sulzer (1758), p. 19.
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
<!-- Content if collaborative tools enabled -->
<$reveal type="match" state="coll-toolbar!!enabled" text="yes">
<p style="text-align:justify">The //Interactive Historical Atlas of the Disciplines// is a collaborative project, open to any researcher knowledgeable about the history of disciplines. If you are willing to get involved in this project, your participation will be much appreciated!<br>
You can add content to the atlas through the collaborative tools accessible through the <span class="buttonfield nodragdrop"><i style="transform:translate(0px, 2px)" class="fas fa-edit" style="color:#595959; padding-left:0.18em; padding-right:0.16em;transform:translate(1.1px, 0px)"></i></span> button located under the lower right corner of each map. Alternatively, you can use the forms linked below:</p>
<table class="centertable borderless infobg">
<tr><td><$button class="tc-btn-invisible linkbutton"><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value="— Please select an item —"/><$action-navigate $to="Add a missing definition"/><i class="fa fa-plus-circle" style="padding-left:6px; padding-right:6px; padding-bottom:6px"></i>Click here to add a missing definition to a map</$button></td></tr>
<tr><td><$button class="tc-btn-invisible linkbutton"><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value="— Please select an item —"/><$action-navigate $to="Add a bibliographic entry"/><i class="fas fa-info-circle" style="padding-left:6px; padding-right:6px; padding-bottom:6px"></i>Click here to add a bibliographic entry to a map</$button></td></tr>
<tr><td><$button class="tc-btn-invisible linkbutton"><$action-navigate $to="Add a missing scholar to the list"/><i class="fas fa-user-circle" style="padding-left:6px; padding-right:6px; padding-bottom:6px"></i>Click here to add a missing scholar to the list</$button></td></tr>
<tr><td><$button class="tc-btn-invisible linkbutton"><$action-setfield $tiddler="$:/temp/searchscholar" $field="text" $value=""/><$action-setfield $tiddler="$:/temp/selmap" $field="text" $value="— Please select an item —"/><$action-navigate $to="Report a mistake"/><i class="fas fa-exclamation-circle" style="padding-left:6px; padding-right:6px; padding-bottom:2px"></i>Click here to report a mistake or suggest improvements </$button></td></tr>
</table>
<p style="text-align:justify">
Any data or comments sent through these forms will be reviewed by the editorial team before publication on the website. Please keep in mind that this process may take a few days.
</p>
<!-- ------------- Call for editorial board -------------
<p style="text-align:justify">If you are an academic interested in joining our editorial board, please send a email (from your institutional address) to: raphael.sandoz<i class="fa fa-at" style="font-size: calc(6px + 0.4vw)"></i>unige.ch</p>
-->
</$reveal>
<!-- Content if collaborative tools disabled -->
<$reveal type="match" state="coll-toolbar!!enabled" text="no">
<div style="color:#920906; text-align:center;"><i class="fa fa-exclamation-triangle" aria-hidden="true"></i> Collaborative features are disabled on this archived version of the website. Please use the canonical homepage. </div>
</$reveal>
<!-- Google analytics -->
<span style="position:fixed; left:-200px; opacity:0"><img src="https://www.google-analytics.com/collect?v=1&t=pageview&tid=UA-130973991-1&cid=<<now hhmmss>>&dl=%2F%23Get_involved&dt=Get_involved" /></span>
<!-- PHP counter code -->
<iframe height="0px" width="0px" style="display:none" src="/Technical/Counter/Counter_pageviews.php?page=Get_involved"></iframe>
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
iVBORw0KGgoAAAANSUhEUgAAA6YAAAUrCAIAAAC2Hi2AAAAAA3NCSVQICAjb4U/gAAAACXBIWXMAABP+AAAT/gEHlDmEAAAgAElEQVR4nOzdd1gU19oA8Hd2gV0W6W3pIqIgIqCIAiLSLEhEsYElaIyxxXI10RSjxoaS6I03Go0mgkZjArEQEFTsBRWxi6AiSpFeFulsme+P82S+yS4gicAqvr8/7sOeOTPzzvg8ue+efc85FE3TgBBCCCGEUNfFUXYACCGEEEIIdSxMeRFCCCGEUBeHKS9CCCGEEOriMOVFCCGEEEJdHKa8CCGEEEKoi8OUFyGEEEIIdXGY8iKEEEIIoS4OU16EEEIIIdTFYcqLEEIIIYS6OEx5EUIIIYRQF4cpL0IIIYQQ6uIw5UUIIYQQQl0cprwIIYQQQqiLw5QXIYQQQgh1cZjyIoQQQgihLg5TXoQQQggh1MVhyosQQgghhLo4THkRQgghhFAXhykvQgghhBDq4jDlRQi9BUxMTCiKSk9Pl2t3dnamKOrLL7+Ua//ss88oilq8eHHrl/300091dXWvX7/eLt3ay4oVKyiKOnz4cOfcDgCmTJmiq6ubn5//+pdKSUkRCoVLly59/Uu1RVxcHEVRy5Yt65zbIYTeXpjyIoTeAj4+PgBw8eJFdmN5efm9e/cA4OzZs3L9r169CgBDhw5t/bI3btwQiUQPHjxgWiQSydq1a0tKSlrv1nGuX7++ZcuW4cOHjx8/vhNuBwAZGRmHDh0CAB0dnX90olgs3rBhQ1FREbtx27ZtxcXF+vr67Rliy4KDgwMDA7/77jvyL44QQi2iEULojbd7924ACA0NZTeScVATExMVFZWXL18y7RKJRCAQAEBJSUnrl3348OGuXbsaGhqYlvj4eAC4f/9+6906joeHBwDcunWrE+5FNDY27ty58+HDh//0xNWrV2tpaTU1NbEbr1+/vm/fPolE0n4BvgL52uPm5tZpd0QIvY1wlBch9BYgo7yXLl1iN547dw4AZs+eLZFI2APA9+7dq6urs7OzMzQ0bP2y9vb2c+bM4fF45CNN01FRUa/s1nFu376dkpLi6urq4uLS0fdiqKmpzZ07197e/h+ddePGjY0bN44YMUJVVZXd7ubm9v7773O53HaNsTWOjo6DBw9OTU1NS0vrtJsihN46mPIihN4CPXv2tLCwePHixdOnT5nG8+fPm5qaTpgwAf5e23Dt2jVgVTVkZmZSFPXtt9/W1tbOnTtXX19fRUUlKysLAEJDQymKOn36NACkpaU5OjoeOXIEABwdHSmKoiiK/GrP7kYIhUJfX9+ysrL58+ebmZmpqalZW1t/8cUXTU1N7LAfP34cGhpqZGTE4XAoFldX12Yfc8+ePQAwc+bMZo9WVlZu3Lixf//+Ojo6fD7f0dFx/fr1tbW1TIeioqIvvviiX79+AoFAV1fXzc2NPA4ABAYGmpqaAkBsbOyAAQPU1NQ+/vhjABCLxdra2jo6OmKxmPSMjIykKOr8+fMbNmxwcnLS0NDQ0dGZNm1aZWUl6fDs2bPAwMBBgwaJxeLY2FjyRElJScy533zzDTvs4uLizz//3MHBQV1dXVdXd+zYsU+ePGF3SE1NpSjqu+++u3z5cnBwsL6+Po/Hc3R0TEhIkHsDDQ0NW7du7d+/P3nA0NDQgoIC5o2Rt4cQQs1SUXYACCHUJj4+Pvv377948aKNjQ0AlJaWpqenT5gwoW/fvnp6eq2kvERBQcHEiRPPnDnj7Ozc1NRkZWUld30VFZVp06bt2rUrJydnyZIlxsbGAKCpqdlSPHfu3Bk2bJimpuaiRYvEYvG+ffsiIiKKi4t//vln0uHFixceHh7V1dWzZs3q2bPn+fPn4+Pj9fT0Jk+e7Obm1uw1ybg1GdKWk5+f7+/vn5WV5eXlNXLkyOzs7Js3b549e/aLL74gHU6dOhUaGlpZWWlnZ+fu7p6dnX337t3evXsDQG1t7dmzZwMCAv7zn//s2LHD2dm5T58+JOW9ePHiy5cvJ0+ezAzWktKOSZMm2drahoaGqqmpRUdHHzx4kMPh7N+/HwAqKiqGDh167ty5pqamdevWcTgcJmZy7nvvvceEferUqbCwsJqaGi8vLycnp1u3bsXFxd28eTMjI6Nbt27sOx4+fPjbb7+dOnXqsGHDTp8+nZiYOHny5OLiYqZbbm5uUFBQenq6p6dncHDwxYsXf//99+zs7NTUVHJ3xZJuhBD6f8qurEAIoTYhJQczZswgH2NiYgBg+/btNE2PGzeOoqjy8nJyqFevXgCQm5tLPmZkZACArq6ug4MD00hMnjwZAJKTk5kWT09PUKjlVexGEuKAgACpVEpaiouLBQKBiopKZWUlaVm5ciUAbNq0iTnL19eXy+U+ffq02QcsLy+nKEpPT08mkykeDQsL43A4Fy5cYFqeP39eWFhI/k5JSVFTU7O0tLx27RrTIT8/n/xx9OhRANDT0wsKCmJOIciiFr/88gv5WFZWRmoSPvvsM6ZPXl4eAGhrazMtZCafp6cn+1LkXBsbG6bl6tWrfD7fwcEhOzubtIjFYvKGDx48yHRzcnICgMGDB4tEItIilUrJXLrHjx+TlsrKyp49ewqFQqbKubCwkKTpz58/p2maFLEUFRU1+24RQggLGxBCbwcyknfhwgXykQyIDhs2DAD8/PxomiYtFRUVT5486d69u4WFBfv0ysrK7du3yzW+pmXLlpExTgAwMjIaNGiQRCJ5+PAhaSF/eHl5Mf09PT2lUikZhFZE0jt7e3uKouQO1dfXx8TEGBgYsIeurayshEIhADQ2Nk6dOpVUFwwaNIjpYGZmRv4gFQK2traHDx8mpzASEhK4XG5gYCD5mJiYKJVKBwwYsGHDBqaPubk5n8+vrq5mWhRHcwEgKSlJKpUyjY2NjdOmTeNwOPHx8dbW1qRRRUUlICAAAJ4/f05a8vLy7t69KxAIYmJitLW1SSN5q1wulxRjAMDixYuzsrJiYmKYKmehUEjS3IaGBgAgtcjk6w1CCCnCwgaE0NvBysqqR48e2dnZ+fn55ubm58+fNzIycnBwAAB/f38AOHPmzPjx469fv07TtOLyZAYGBiQ/bkfOzs7sjyQDY1JDIyMjACguLmY6kL/V1dWbvVp5eTkANLu8F4fD6datW0lJyfz58zdu3Ci3mthPP/307NmzxYsX9+nTR/FcmqaPHz8OAHv27FFTU2MfysjIePr0qZeXl56eHmkhyfFnn33GpPIksIaGBiaBhr9S3qCgIPbV5PLgn3766enTp5988gmT7xKk+FhLS4t9x/DwcPa3kczMTJFINHjwYA0NDQB4+PDhgQMHNDU1Dx48ePDgQaZbQUEBn8/v0aMH894qKioU3wBCCAGmvAiht4iPj092dvalS5f8/PwyMjImTpxI2nv37m1mZkZKOcmGEYopr1zi1S50dXXZH8noLE3T5OOMGTP27Nnz5Zdf6uvrW1tbX7ly5cCBA4aGhiRBVyQSiRSvSfB4vF27ds2YMWPnzp2HDh1asWLFsmXLmOpbMm1r7ty5zV72xo0bRUVFfn5+jo6OcofkMlexWHzixAktLa0xY8awu6WkpADA4MGDyceysrJr165ZW1uT7xvMuSdPntTW1mZGtUlUs2bNkrspWVeBGawlMUybNo3dh+TBTGB79uyRyWTV1dU//vij3NWGDRtG3gN5b+QdIoSQIixsQAi9NUhtQ0pKCknC2NO8/Pz8Hj16VFhYePPmTWgu5ZUb4GwX7KFQRYMGDfr1118LCgq8vb0tLS3DwsIsLCyOHz/e0pQ4spYwewUGttDQ0EePHs2YMePly5eff/75e++9R3Lr3Nzcu3fv2tra2tnZNXsiSR/JuhbNHmLGZclUNl9fX7l3dezYMQBg8uDExESZTCZX1XDp0qWqqipmzbIXL17cvXvXxsZGLqrKysorV66QIhAAqKurO3funLGxsbu7O7ub3IDxn3/+CQA5OTmKxXlkpQjmvbU0go4QQpjyIoTeGiTHvX37NhkpZBcq+Pn5AcClS5fu379vYmJia2urpBj/pr6+vq6ubteuXWfPnn348GFGRsbAgQNb6kyqC0h5Q7OsrKyioqLOnj2rqqp68uRJUreampoKAC2tegYtFCEAQEVFRUpKio2NDbMiL8mAmdFcorKy8vfff9fR0QkJCWFfUC7llWskXzwUHzY6OrqpqWnmzJkqKioAkJyc3NDQMHr0aHb5ckVFxZUrVywtLfv160c+Zmdna2pqWlpatvSM0GpZCEIIARY2IITeIqampr17905PT9fX1zc2NmbvnkBS3nPnzuXm5jIFD/8CScWYRWpfh1QqXbx4cUBAwJw5c9rS39zcHFjzulri7e3dvXv3p0+fktJhsjdyS6Ob+fn5d+7ccXZ2JhdnIzPV2KkwSVsNDAzY3VavXl1bW7tu3TqyWJhUKk1OTubz+XLj6GQa3KhRo8jHqqoqUFjiraysLCIiQl9f/9NPP2XfsfVpcKRWQXFKn5ycnBz46x0ihJAiHOVFCL1NfHx8RCLRtWvX5OaimZmZ9e7dOy4urtm5a21HFjQge9i+purq6qqqKolE0sb+NjY2RkZGz549KywsZBpFIhGp4mD8+uuvT548CQkJISkvWdPg1KlTZWVlpINUKmUWLpArimWTq2ogU9kA4MyZM0yfH3744fvvv3d2dl6+fDlpefLkSVVVlVAoZBc/ZGZmZmVlubu7M4OspHL64sWLZDkFACgqKgoODq6oqIiKiiLdaJpOTEzk8XhkDQeGXB5samrK4/Fevnz5+++/M32qq6tjY2OZjyUlJU+ePNHT0/une8ghhN4dmPIihN4mpLahpKREcfkFPz8/kiy+TsobHBwMAAsXLpw6deq4ceMeP378ry+lo6Pj5uZ26tSp3r17DxkyZMiQIf7+/osWLXr06FFLp5C5X+x9lRMTEz09PXv27Dlp0qSpU6cOGDBg6tSp7u7uu3btIh1GjBhhYWGRn5/fo0cPHx8fV1dXfX396OhocrTZYVRgzVRj3hXpuXTp0piYGFdX1ylTpvTr12/BggUODg7Hjx9nElxSvvz8+fOgoKCwsLDk5ORm7+Lh4eHk5PTo0SM7O7uwsLDAwEAbG5t79+4dOnSI6ZaWllZYWOjr60uWZWAH1q1bN+bfl8/nk2HysLCw/v37BwQEuLi4GBgYHDp0iDmLvDEvL69XDgYjhN5dnbcEMEIIvbbi4mKS1mRkZMgdOnz4MAAobuVAhjzl9k0gFPeYoGl65cqV5ubmqqqqvXr1InsotLQVhVgsVrxaUlIS+fjs2TO5hQgIDQ2N9PT0Zp+OTBQLCgpiWq5cueLn56erq6uiomJsbOzp6blr1676+nr2WWTQV1dXl8vlGhsbT5s2jex2UVtby+fzjY2NFfe2IEO5EydOZFpItv3o0aNffvnF2tqax+PZ2dl9/fXXNTU1cucuXLhQX1+fz+e7uLiQjS3IuXIPVVBQMGXKFD09PR6PZ2tru3jxYrl9QL766isA2LFjh2Jg48aNYzeKxeL169fb2tqqqqoKBAJHR8clS5Y8efKE6TB27FgAOHz4cLNvFSGEaJqm6L/W00EIIdResrKyBgwYYGdnt3fvXjs7Oy6XK5VKy8rKNm/e/N///veLL75g7/XAkMlkNjY2eXl5z58/78yy1IqKCiMjI0tLy+zs7E67aXspKCiwsrIyNTXNzs4mW8chhJAiLGxACKH2Fx0d/fLly5UrVzo4OJA8jAzBknUPSktLmz2Lw+GsX79eKpWuX7++M6MlU9kU6x/eChERERKJZO3atZjvIoRagSkvQgi1v6amJgDIz89nN0okku3bt0Nzq3cxpk6dOn78+D179pCF2DqH3FS2t8jdu3d37tw5duzY8PBwZceCEHqjYWEDQgi1v/T0dDc3t6ampjFjxvTt27epqamwsPDkyZNFRUXDhg07deoUs3eaopqamqysLCMjI7IaQ0cTi8WGhoY0TZeVlbUS1ZupsLCwuLjYxsampQ0+EEKIwJQXIYQ6xP379yMiIi5evFhSUkJRlKGhobOz88SJE6dOnUpW/31DnD171s/Pb+LEiTExMcqOBSGEOgqmvAghhBBCqIvDWl6EEEIIIdTFYcqLEEIIIYS6OEx5EUIIIYRQF4cpL0LoDZWamqrsEBBCCHURb9CsYYQQYgQFBeXn5+PKU+h1TJo0aeHChcqOAiH0RsAVGxBCb5wZM2ZYW1v7+fkpOxD0djMzM7O2tlZ2FAihNwKmvAihN0tubm737t2lUilFUcqOBSGEUBeBtbwIoTfLmTNnQkNDMd9FCCHUjjDlRQi9Wf74449p06YpOwqEEEJdChY2IITeICKRyNraurKyUtmBIIQQ6lJwlBch9AZZsmRJSEiIsqNACCHU1eAoL0LoDUJRVE5OjqWlpbIDQQgh1KXgKC9C6E2Rmprq5uaG+S5CCKF2hykvQuhNcf369UGDBik7CoQQQl0QprwIoTcFGeVVdhQIIYS6IEx5EUJvitZHebOysiiKoihKX19fIpE022fevHmkz5o1a9o3tk8//VRXV/f69euvc5EVK1ZQFHX48OH2iqq9pKSkCIXCpUuXtrH/9u3bNTU1jxw50qFRtZ1i/KtWraIoaufOne17I5lM5urqqqOjk5+f375XRgh1NEx5EUJvBJFIVFpaamtr+8qeFRUVp0+fVmyXSqUdl4TduHFDJBI9ePDgX1/h+vXrW7ZsGT58+Pjx49sxsHaxbdu24uJifX39NvZft25dTU2NoaFhh0bVLLFYvGHDhqKiInajYvzx8fEAEBQU1L5353A4O3bsePny5UcffdS+V0YIdTRMeRFCb4Q2FvIOGTIEAGJjYxUPnT9/vqSkZOjQoe0fHMDOnTt37dr1OntkLF26VCqVbtq0qR2jai/Lli3bt2/fZ5991sb+P/zww6lTp7y8vDo0qmZt2LAhMjJSLjuXiz8vL+/OnTvOzs4WFhbtHsCgQYNCQkKSkpKSkpLa/eIIoY6DKS9C6I1w8ODB4uLiV3br16+foaHhsWPHxGKx3KGYmBgulztixIiOCM/e3n7OnDk8Hu/fnX779u2UlBRXV1cXF5f2DaxduLm5vf/++1wut439x48fHxAQ0KEhNevGjRsbN24cMWKEqqoqu10u/oSEBAB47733OiiM2bNnA8COHTs66PoIoY6AKS9C6I3Qo0cPf3//V3ZraGgICQlRrG0gVQ3e3t4aGhpypzQ2Nh44cMDf319PT09VVdXExCQ0NPTZs2fsPi9fvly6dKm1tbWqqir1dzU1NQAQGhpKUZTcTS9evDhmzBhDQ0M+n9+jR4/Q0NCCgoJmw96zZw8AzJw5s9mjkZGRFEXdu3cvNjZ2yJAhGhoaurq6ISEhZWVlDQ0N33zzjbOzs7q6up6e3owZM+rr65kTJRJJQkJCaGiohYWFmpqalpaWr6/vrVu35N5YZGSki4uLQCCQe7SLFy8yd//mm2/YZ925c2fWrFnW1tY8Hs/ExCQgICA9PZ0cCgwMpCjqxo0b5KNMJouOjvbx8REKhWpqat27d58/f77c62XLyclZv369m5ubpqYm6b9y5UqZTMZ0SE1NpShq9+7dxcXFH374oZGREY/Hu3btWmBg4KBBg8RicWxsLImfjLMqxk9SXldX148++qh79+48Hs/c3HzNmjXsdehLS0u5XG7v3r3lwuvfvz9FUbm5ua08Xc+ePc3MzJKSkl68eNHSYyKE3jg0Qgi9AWbOnLl3795WOjx58gQAwsLCzpw5AwAzZ85kHz116hQA/Pjjj5s3bwaA1atXM4euXbsGAFpaWqNGjZo8eXKPHj0AwMLCora2lnSQyWQeHh4AMGbMmC1btsydO5cMIk6fPv3rr79uamqiaXry5MkAkJyczFx23759HA4HAPr06ePr62thYaGhocFcU46dnR0APHz4sNmjpFrj/fff9/Dw2LRp09dff62rqwsAkydPdnZ2HjZs2ObNm1etWqWpqQkAW7ZsYU48evQoAJiamo4dO3bChAkGBgYkHqZDdXW1u7u7qqrqjBkztmzZMnHiRADQ1NScPXv2hg0bJBIJc/eMjAzmrIiICC6Xq6Ki4urq6u3traenZ2NjU1dXR9N0TU0Nj8cTCoUymYym6aampuDgYABwdnYODQ319vbu1q2bkZFRUVFRS/+OTk5OKioqHh4eYWFh5LUDQExMDNNh5cqVALB//34zMzNzc3N3d/cFCxakpaVFRETw+XwOh7Nhw4aIiIiIiIj6+nrF+Gtra/l8PpfL1dDQsLW1DQ0N9fPzoygKAH7++WfmLtHR0QCwbNkydmx5eXkA4OTkRD628nRTpkwBgAMHDrT0mAihNw2mvAihN4KHh8eVK1da6UBS3gkTJkgkEiMjI11dXZKMEh9++KGKikppaWlERIRcykvT9JEjR5hktLGxkSyF9uuvv5IWMnY7cuRIpv/atWsBIDo6mmmRS3mLi4sFAgGPxzt58iTTJz8/v9nIy8vLKYrS09MjaaKcsrIy8ov88uXLmUam5Hf9+vVMI8kFFy9ezLQUFhaeOXOGuSwZeRUIBEyH8PBwADh69CjTYmpqyuPxSLLL3N3GxobpsGXLFgDw9fVlHkcikRQWFpK/SZI9a9Ys8vHHH3+UC7KqqqqlzJ5ITk4uLi5mPo4ePRoAIiMjmRYnJycAsLS0PHToEPtEMnfQ09NT8e2x4z927Bh5dd999x3zZr766isACA4OZrpNmDABAM6dO8e+Glnh4csvv3zl023fvh0A5s6d28qTIoTeKFjYgBB6Izx69EjxV2ZFNE1zudyQkJDKysrk5GTSKJFIjh496uPjQ4Y5FY0bN04gEJC/1dTUJk2aRO5IWh4+fAgA7MlYnp6eAHDlypWWwti/f39dXd0HH3wwfPhwptHMzKzZzo8fP6Zp2t7enow1yklKSpJKpQMHDmTPbCOrsPn4+Hz55Zdyjd27d2dahEKhr68vc1ktLS0AICPKAJCXl3fw4MFBgwaNHTuWtEil0urq6m7dujFlr+TuTNlrenr6ihUrevfuHR8fzzwOl8sVCoXkb7kyWTJWGhISwoSkpaVlb2/f7Hsg/P39jYyM2P3lYr579y5FUdu2bQsNDWWfSBZhkKvQlYuf6TZ79uzFixczb4aMBFdXV5OPYrH45MmTOjo6pJ3R9qfr06cPAGRkZLTypAihNwqmvAgh5SsvLweAti+SRX6gZ9ZtOHPmTHl5ORmIbQVN0zU1NSKRiFQINDQ0kHaSgbEnz5G/1dXVW7oUKZZg57utaP3pSIq2fPlydkJ89epVAFiyZAm7J2n08fFRvEhtba1IJCK1uUxUKSkpEomEvQ5GTExMdXU1e4EtuTxyzZo1Eolk06ZNzDcENpqmjx8/zufzmblrJGGdM2dOVlZWq+9AnlQqraqqEolEqampampqw4YNI+0k6QwJCWHSdLlQ5dYdk4ufpunExEQul0uGdRmVlZUAYGJiQj5euHChurp65MiRKioqTJ+6urozZ84YGxsz+6G08nTkX7OiouIfPTVCSJmUOsaMEEI0TdNXrlzx8PBovQ8pbBg/fjxN06S2QUdHh9Q2fPDBB6qqquXl5TRNKxY2SCSS//3vf/3795eb5r9ixQrSobq6WigUduvW7bfffsvNzb1w4ULv3r0pimIXWsgVNpDRwevXr7fl6Q4cOAAA4eHhioeampq0tbU1NTUbGhqYxrq6OnV1dUNDQ6b8gKbpyspKFRUVCwsLpuXFixerV6/u06dPt27d2M/FhH3u3DkA6N27d2pq6vPnz/fu3aulpeXk5FRZWcm+u7a2NnmNJSUlZHqfVCpt9kHIThyjRo1iWu7fv08Gg7lc7ocffsiuWGhWfHz8e++9Z2JiQsqgiYCAAKbDqFGjAODSpUtyJ5aWlnI4HGtra8W3x8RP03RqaioA+Pv7y53+n//8BwC+//578nHRokUAcPDgQXafuLg4+HuNeCtPR+a3sf85EEJvOBzlRQgpXxurGhiktkEkEp06dUosFh87dowsyNBs53nz5i1atKi2tnbz5s1JSUnnzp375JNP2B26det24sQJMzOz0NBQS0tLb2/v0tLSvXv3MpOrFNE0DQDNFiooIiOmtbW1iocuXbpUVVU1cuRI9vJnp0+frq+vDwwMZK8alpSUJJFImDHO1NRUJyenrVu3ent7R0VFnT17NikpicPhGBoaDh48mPQZNmxYeHj4o0eP3NzcunfvPnfuXDL5T0dHh313Zs2vpKQksVgcFBTEzkfZFBf/6tu3b2Zm5tdffy0QCH766aeBAwcWFhY2e65EIvnggw/ee++9oqKizz//PDEx8dy5c2FhYewL1tXVnTt3TigUksIStsTERJlMJlfVIBc//DXoK7dQnUwmO3LkCEVRzOkJCQlcLpek14w//vij7U9H/jVb+R0AIfSmUXl1F4QQ6mD/NOUFgEmTJu3atSs2NpbD4VRUVJDyXEUFBQU//fSTlpbWxYsXmRLSO3fuKPYsLS1dsGBBSEiIjo5O37591dTUWrk7uVRL6Z0ckouT8gY5zdanvrKxvr4+ODi4vr7+6tWrjo6OpENMTIxMJhs1ahSTsGZkZFy5ciU8PPz9998XCAR9+vQhv9S3dCMyROrq6trSgzRbWtCtW7dVq1bNnDnTx8fn6dOnR48enT9/vuK533//fVRUVHh4eFRUFPmqQNP0ggUL2BdMTk5uaGgYPXq04neJNr4o0iKXMScnJ+fk5Pj7+1tZWZHXkp2d7enpSZbFIKqqqv744w8ejye33nBLT/dPS3EQQkqHKS9CSPkeP348ffr0f3TK0KFDjY2N4+LixGKxmpqaYukn8fz5c5qm7ezs2FOmFFPelStXSqXS//73v3LFDy0ZPHjw0aNHz5w5M2bMmFd2Njc3J5EoHiLDjYGBgUwLTdMJCQlqamrsQmGJRJKUlKShoeHr6wsA+/btKyoqmj9/PpPvSiSSyMhIYOV/jY2NgYGBVlZWTIrZ0t2Zwc6SkhJoeQFOU2cAACAASURBVOQyPz//zp07Tk5OzW5pZmFh4evr+/TpU2aiG5tMJvvmm28oitq8eTMTzLFjxx4+fOjg4GBtbU1ams1rAUAqlSYnJ/P5fLmt9eTiJxECADsGsVi8fPlyAFi1ahVpOX/+PAAMGDCAfanNmzfX19ePGDFCrkqkpafLycmBv/5lEUJvBSxsQAgpX1pa2j8d5WVqG3799dfhw4czP9bLIZluVlYWM1v/4sWLBw8elOuWl5fH4XAUd3RryfTp0/l8/u7du0m9LPHkyRNmShybjY2NkZHRs2fP5EaFMzMzs7Ky3N3d2YOFN2/eLCws9Pb2JnPsiMuXL4tEooCAAFL/QJaSYFZUePny5fTp02/evKmmpsb8pn/ixInnz5+PGDGipXxX8e6mpqYAEBMTQ5aGAICamhomU5erajh58qRUKmWu9vDhw9jYWHNzc3b6ziDPrq6uztzr9OnTZAE1uZlnPB5PcUeSJ0+eVFVVkc0gWomfRAh/JbUA0NjYOHfu3Hv37s2bN49ZkYM8EfvfYs+ePWQ557Y/3eXLl+GvhSAQQm8FHOVFCClZfn5+WVkZs0xV202cOJEspNpSVQMA9OzZc/jw4adOnXJxcfH29s7Lyztz5szHH3/8v//9j91txIgRkZGRNjY2PXr0oChKVVXVwsJi+vTpLW2ra2JismPHjg8//NDPz8/R0VFXVzc3N5ckds0Oc3p5eR0+fPjSpUvsUFv5sb71dQlIkrdx48bMzMza2trz589PmTKFy+WyE+XGxkYAWLt27Z9//snlcjkcjoGBwcSJE0n5bLN3Dw8P/+GHHxISErp3796rV6+SkpKsrKyjR4+SZdHY/V+8eDFy5EgDAwN3d3ddXd38/PyLFy8aGBjExcXx+XzFx9fW1uZyuXV1dR4eHn379k1PT3/x4sXw4cMPHz7MBJCWllZYWDhq1CjF/fNIqcbz58+DgoI0NTU/+OCDgICAZqsadHV1P/vsszlz5vz+++86OjqXL19+8eLFhAkT2P/cNjY2ABAbG+vl5WVmZnb79m2y6UZqamrbn+7SpUsAIDfqjBB6oyl18hxCCNGXL1+W21+gWewVGwipVGpsbMzj8aqqqphGxRUbSktLw8PDjYyM1NXV+/fvHxsbK5FINDQ0mBUbampq1q1bx16visHsRqG4+xpN02fPnh05cqSOjg6XyzUxMfnoo4/IFmWKyP4IQUFB7EYy7piens5udHFxAYCnT5+yG21tbSmKYrY0KykpCQ4O1tLS0tLS8vb2jouLI6tlbdu2jXTIz8///PPPm52FlpSU1MrdT5065eHhIRAIVFVVbWxsli5dSpaSIFuaGRsbk80dXrx4ERoaamJioqKioqOj069fv9WrVzPbVTRr//79NjY2ampqVlZWixYtKisrW7RokYGBAbM6BFlWbMeOHc2evnDhQn19fT6f7+LiQm4kFz+JcM2aNTKZbNmyZYaGhgKBYPDgwfv375fbAaSxsXHevHmGhoZqamp2dnZr1qyprq52dHR0dHQkHV75dKR8gumPEHorYMqLEFKygwcPTpkyRVl3b2hocHZ21tfXT05ObmxspGlaJpNVVVX9+uuvAPDKpdPaSCqVdu/encvl5uXltcsFW3HixAmBQBAaGpqZmUmWOSPbp5F9zqKiojo6gC5v3rx5ALB7925lB4IQ+gewlhchpGS5ubmWlpbKuvulS5fu3LkTHh7u7+9PSkUpitLS0po0aRKPxystLW2Xu3A4nPXr10ul0vXr17fLBVtSU1Mzbdo0S0vLgwcP9u7dmyxzRrZPIzPz2DtToH8hNzd337599vb2/3TCJUJIuTDlRQgpWU5ODlk9SimampoAID8/X659586djY2NAwcObK8bTZ06dfz48Xv27ElLS2uvayq6cOFCWVmZo6OjXFXDDz/8EBcXN2XKlNZ3A0avtHTp0qampv379zdbtYwQemNRNE0rOwaE0Dtt9OjRCxYsaHamfyeor693cXF59OiRp6fn0KFDuVxuWVnZpUuX0tPTzczMLl++TCZvtYuampqsrCwjIyOyNkJHuH379oABAyiKCg4O7tevX0NDQ1FR0dmzZ/Py8saNG3fw4EHcPeF10DR97949gUBga2ur7FgQQv8MprwIISXr27fv77//7uDgoKwAysrKIiIiEhIScnJypFKptra2vb396NGj586d29LaZ2+y2NjYrVu3pqen19XVCQSC7t27u7u7T5kyxdvbW9mhIYSQ0mDKixBSMk1NzcLCwma3AEAIIYTaBdbyIoSUqbKyUlVVFfNdhBBCHQpTXoSQMil37hpCCKF3BKa8CCFlUu4KZQghhN4RmPIihJSplVHex48fd3IwCCGEuqpmNthECKFO01LKO2bMmOzsbF1d3c4PCaF/bdKkSQsXLlR2FAihZmDKixBSpvPnz/v6+rJbZDLZwIEDg4KCli9frqyoEPp3zMzMlB0CQqh5mPIihJRJR0enT58+7JZly5bZ29t//fXXygoJIYRQ14MpL0JImZqammxsbNgtsbGx169fV1Y8CCGEuiScvoYQUqaioiKhUMh8jIuLc3V1xV+HEUIItS9MeRFCylRYWGhiYsJ8XLt27fTp05UYD0IIoS4JNxxGCClNTU2NiYlJdXU1+VhYWGhqaor/UUIIIdTucJQXIaQ0ckO8mZmZPj4+SowHIYRQV4UpL0JIaeQKec+fPz9s2DDlhYMQQqjLwpQXIaQ0cqO8Fy5c8Pb2VmI8CCGEuipMeRFCSoMpL0IIoc6BKS9CSGnYKe8rqxqysrIoFk1NTRcXl1WrVlVWVnZGrB1AJpN5eHhoaGjk5uYqO5bmpaSkCIXCpUuX/rvTZTKZq6urjo5Ofn5++waGEEL/FKa8CCGlYdfyRkdHV1VVvfKUbt26jRgxwt/f39zc/MGDB+vWrXN2du6gjOrnn39OTU3tiCsTW7duvXr16sqVKy0tLTvuLm0kFos3bNhQVFTEbty2bVtxcbG+vv6/uyaHw9mxY8fLly8/+uij9ogRIYT+PUx5EUJKwx7lNTMz8/f3f+UpVlZWJ06cSE5OzsjIyMzMdHV1zc3N/eSTT9o9toqKivnz53dcyisSiVavXm1oaPivx1Db14YNGyIjI+Wy22XLlu3bt++zzz7715cdNGhQSEhIUlJSUlLSa8eIEEL/Hqa8CCGlYae8z549c3Fx+Uen29jY/PTTTwAQHx8vkUjaN7Zffvmlqampfa/JFhUVVVdXFx4ezuPxOu4ubXTjxo2NGzeOGDFCVVWV3e7m5vb+++9zudzXufjs2bMBYMeOHa8VIkIIvR5MeRFCSsNOee/du9evX79/eoV+/fqpq6vX1dWVlpYyjTk5ObNmzTI3N1dTU7OwsJg7d25BQYHciTRN//jjj87Ozurq6gYGBpMnT87IyCCHKisrR44cuWTJEgBYuHAhKR3+7bffAGDXrl0URaWlpWVlZY0aNUogEFhZWaWlpVEUNXjwYLlbZGRkUBTVUh6/Z88eAJg5c2azRwMDA0mN7/z583v06MHn883NzdesWaO4T8e9e/dmzpxpZWXF4/FMTU0XLlzIbO3BaGho2Lp1a//+/QUCga6ubmhoKPNCnj17FhgYOGjQILFYHBsbSx6WjMhGRkZSFPXNN9+wL3Xnzp1Zs2ZZW1vzeDwTE5OAgID09HRyKC0tbeHChXZ2dnw+X11d3cnJ6dChQwAQEBBgZmaWlJT04sWLZh8WIYQ6A40QQsogFotVVFTI3zKZjKKo1vs/efIEABwcHOTaNTQ0AKCiooJ8fPDgAfl1fvDgwWFhYYMGDQIAoVD45MkT9llz5swBAAsLi/Hjx5NsVVNT8/bt2zRNl5eXR0REkE0xxowZExERERER8fDhQ5qmd+7cCQA///yzubm5rq6uu7v7tGnTaJru06cPAGRnZ7NvsW7dOgDYvHmz4rOQklljY+Nmn7SmpobH4/F4PB0dnQkTJmzdunX16tXkoX7++Wd2z02bNnG5XF1d3eDg4IkTJxoYGABAcHAwu09OTo6joyOHw/Hy8goNDTU1NQWAgQMHkqNpaWkRERF8Pp/D4WzYsIE8bH19PU3TQ4YMAYCMjAzmUhEREVwuV0VFxdXV1dvbW09Pz8bGpq6ujqZpMolQU1Nz+PDhoaGhvXr1AgAVFZWCggKapqdMmQIABw4caPZ5EUKoE2DKixBSjmfPnpHthWmavnPnjpOTU+v9m015b926RTJXpmXgwIEAsH//fqZl7969AODl5cW0JCcnA8DIkSNJbkfTNPnZ3cPDg+nz5ZdfAsD333/Pvh1JeclAKUn1iM2bNwPAxo0b2Z2dnZ0pinr+/Lnisxw5cgQAxo0b1+yTHj16FAC4XG58fDzT+Msvv8ils//9738BYNKkSdXV1aSlqKhIS0sLAPLz80lLZWVlz549hULhrVu3SEthYSGpXmACe/DgAQB4enqyYygrK+NyuTY2NkzLli1bAMDX15e5uEQiKSwsZDocPnyYeScNDQ16enoAkJqaStP09u3bAWDu3LnNPi9CCHUCLGxACCnHn3/+KRaLyd/37993dHT8p1fIy8sjSwF8+OGHpOXWrVs3btwYOHDg9OnTmW4zZ850dXW9dOnSvXv3SMuuXbsAgIxukpa5c+dqa2unpKQolkAokslkO3fuVFdXZ1qmTZvG4XBI8QORnZ19584dd3d3KysrxSs8evQIAMjYsKL4+HgAWLRoUVBQENNoa2sLAEzRwsOHD1esWOHk5HTgwIFu3bqRRmNj4/79+wNATk4OaVm8eHFWVlZMTAxTXyEUCg0NDQGgoaGBfbv33nuPHUNSUpJUKmUa09PTV6xY0bt37/j4eDMzM9LI5XLZm+eFhIQw74SMUnM4HDLcS56UKR1BCKHOp6LsABBC7yhNTU0mpWt7IW9ubm5QUJBUKi0qKrp//75UKh05ciSzpEBKSgoADB06VO4sHx+ftLS0CxcukLtcvnwZAHbs2MGemEVRFABkZ2eTn/5bERAQoKOjw24xNTUNCAg4efJkRkaGvb09ABw+fBgAwsLCmr1CeXk5ADS7+BdN04mJiSoqKsuXL2e3k4XYmNLn1atXNzU1bdiwQW7CWW1tLQCQsd6HDx8eOHBAU1Pz4MGDBw8eZPoUFBTw+fwePXqQjyTlZafXoJAHr1mzRiKRbNq0SSAQtPZqABobG+vr64uKigoLCwcPHqytrc08aUVFRevnIoRQx8GUFyGkHKWlpWS4EQDu37+/ePHitpxVXV19/PhxDoejq6vr7e09ffr06dOnM5krqZFlDz0SJFMkI7hSqbS4uBgAyGoPcpixz1ZYW1srNs6YMePkyZOHDh1au3YtABw+fJjL5U6cOLHZK4hEIgDQ1dVVPHTjxo2ioqIRI0bIPQXJ5knZcWlp6bFjx0xMTEaNGsXu09jY+ODBA3V19d69ewPAnj17ZDJZdXX1jz/+KHeXYcOGkVy5rKzs2rVr1tbWDg4OzFGxWHzy5EltbW0vLy9yu7i4OBMTkzFjxjT7OHV1dQcOHIiOjn78+DHJ5gkmYyZPSp4aIYSUAlNehJBylJaWGhsbk7/Pnj1LKlNfycHBgdSeNouM1NIKyxqQFnJUJpMBAI/Ha0t22yw1NTXFxrFjx2pra5OU98WLF6mpqX5+fswDyiFjpWREVg4ZXpXLZQEgLi6OoiiSRJ48eVIikQQGBnI4fytOO336dH19/bhx40g6++effwJATk5OK1tdJCYmymQyuaqGS5cuVVVVTZo0iVwnKSlJLBYHBQXJ3Y4oKSkZPXr0rVu3xowZM3fuXFNTUxUVlfnz52dkZDCXJU/KLgVBCKFOhikvQkg5SktL+/btCwAVFRU8Hs/Ozu71r0nKTAsLC+XaSQsZ61VVVTUwMCgrK2Pv/fb6+Hz+pEmT9uzZc+vWLTJnq6WqBgAgU7vYA6KMhIQE+Gs0l5GcnPz06VN/f39SGUwm7ZGJemy7d+8GAFLfXFFRkZ2dramp2frWbs0W8so1kv04XF1dm73C7Nmz09LS9u7dyyy49uLFiydPnlhZWTH12a0UciCEUOfA6WsIIeUoKSkxMjICgGfPnpFJTq/P29sbAC5cuCDXTlrIqlsAQH6vJ4ldS1RUVACAmWDXFuHh4QBw/PjxxMRENTW1kJCQlnqam5sDwPPnz+Xa8/Ly7ty5AwBkuTFCLBaTYuVVq1aRFrIzs6amJvvcCxcu/Pnnn97e3iNHjoS/qgjIwHZLpFJpcnIyn8+Xq35OSEjgcrnMSHNJSQm0MEabkZHx559/9unTh73AcGRkpEQiYRcHk+l05KkRQkgpMOVFCCkHU8ublZXVs2fPdrmmvb29n5/frVu3oqKimMZffvnlxo0bbm5uzKoFH3/8MQB89dVXzBoOAFBWVkYqAQgyAMzu8Eqenp62trYXLlw4d+7cyJEj5aa4sbm7uwPAlStX5NrJEC8AnDlzhvzR0NAwa9asW7duzZs3j2Tq8Fcx8alTp5gTb9y4MXnyZENDw+joaNJiamrK4/Fevnz5+++/M92qq6tjY2OZj0+ePKmqqhIKhexSjczMzKysLHd3d2ZQlszni4mJYba4q6mpIfk6qTBm1nCQSqVbtmz53//+B38fOSbzBZmvHAghpATKXSMNIfTOsrKyIkvDbtiw4Ysvvnhl/5a2opCTnZ1NMrBBgwZNmTKFJJcGBgbp6ensbmTclMPhDBgwwN/fv1+/fioqKn5+fkyH58+fq6qqUhQ1ZsyY0NDQ33//nf5rXd4vv/yypbuvW7eOFLweOnSolSBlMhkZxy0qKmK3k4HVTz/9lMvlDh8+fPLkySTdnDBhglgsZsdGFiYbMGDAlClThgwZwuFwbGxs7t+/z77aokWLAIDsAOfv7+/s7KympsZeDJislQYAo0ePDg0NPXXqFE3TkZGR8PcdNG7dukWKes3MzHx8fBwcHHg8XmJiIv3XEsKkyHjq1Kk2NjZeXl4uLi7dunVraGhgrkAqWMhOHwghpBSY8iKElEMgENTW1tI0PXPmzL17976yfxtTXpqmCwoK5syZY2ZmpqqqamZmNmvWrJycHMVux44d8/X11dbWVlFRMTExGTduXHJyMrtDbGysvb29mpqaiYnJkSNH6DakvGTsUyAQ1NTUtB4k2dD422+/ZVpqa2v5fL6pqalUKl22bJmhoaFAIBg8ePD+/ftlMpnc6deuXRs2bJiGhoZAIBgwYEBkZKTiHcVi8fr1621tbVVVVQUCgaOj45IlS+R2oVu4cKG+vj6fz3dxcSH7SpCxZLlvCKdOnfLw8BAIBKqqqjY2NkuXLiUZrUQiWbZsmVAoVFdXd3R03LJli1gs7tevHzuxJqUajo6Orb8QhBDqUBStMLUZIYQ6Wl1dnaGhIZnIP3To0A0bNjC/2r/Vrl275u7uHhoaeujQodZ7ZmVl9erVq1evXpmZmaQlLi5u7Nix5AtAx0faeebPn79z587du3fPnj1b2bEghN5dWMuLEFIC9qK87VjLq3RkW2D23m8t6dmz56xZsx49esTs2dbs4glvu9zc3H379tnb27flnSCEUMfBUV6EkBKkpaXNmzfvxo0b9fX1+vr6dXV1yo6oHWRmZg4YMMDY2DgrK6vZJWzlVFdX9+vXTywWZ2ZmamhomJmZVVRUlJWVMRsIdwETJkyIi4u7evVqS2ucIYRQ58B1eRFCSsCsUNYFhngzMzM3bNhQX19/4sSJurq677//vi35LgBoampevXq1qKhIJpOlpaUVFhaOHDmyK+W7NE1/9dVXERERtra2yo4FIfSuw5QXIaQEHbFCmbI0NTUlJia+fPnS1tY2IiJi9OjRbT9XKBSS1dC6ZFUDRVFOTk7KjgIhhAAw5UUIKQWT8t68efNt36GgX79+ze6j9o+sXbt27dq17RIPQgghRTh9DSGkBEzKGxMTo6WlpexwEEIIdXGY8iKElIBJedXU1MLCwpQdDkIIoS4OU16EkBIwKW9eXp6FhYWyw0EIIdTFYcqLEFICsmLDy5cvAaClwoaSkpLODQohhFCXhdPXEEJK8OzZM4FA0MoQb3Bw8KNHj5jtKlCXNGnSpIULFyo7CoTQOwFTXoSQErx8+dLY2PjmzZuKKW9xcbGDg8O8efM+/fRTpcSGOo2ZmZmyQ0AIvSsw5UUIdTaZTCYWiw0NDZsd5f3oo49Wr16Ng38IIYTaEaa8CKHOVl5erq+vD83NXXv8+HFmZmZcXJySQkMIIdQ14fQ1hFBnq6io0NPTg+ZS3qVLl65YsUJJcSGEEOqycJQXIdTZWkp5s7OzExMTExISlBcaQgihrglHeRFCna2llPf+/ftjxoxRXlwIIYS6LEx5EUKdraWUNz4+/r333lNeXAghhLosTHkRQp2NpLzl5eUCgUBdXZ1px5QXIYRQB8GUFyHU2ciKDXJDvDdu3LCysjIyMlJiYAghhLoqTHkRQp2NjPJeuHAhJyeHady5c6dUKlViVAghhLowTHkRQp2NpLw9evRwd3dnGvX19QMCAlo5Kysri2qOubk500coFFIUJZFIOjD6t5lMJvPw8NDQ0MjNzVV2LO1m+/btmpqaR44caanDixcvNDU1Bw0ahN+pEHqX4SJlCKHORlLevLw8U1NTpvHx48ezZs165bkCgcDFxYXdgrUQbbd169arV69u3LjR0tJSKQGcO3euuLg4NDS0Ha+5bt26mpoaQ0PDljqYmZmtWrVq+fLl3377La76jNA7i6JpWtkxIITeLW5ubjt27Dhx4oRYLF67di1pFAqFd+/eNTY2bumsrKwsW1tbBweHBw8etNRHKBQWFxeLxWIVFfw+L08kEpmZmWloaOTl5fF4vM4PoLS0tE+fPp9++uny5cvb8bKHDx/W0tJq/ScCsVhsaWlZVVWVn59PVgtBCL1rsLABIdTZyChvUVGRUCgkLTk5OXw+v5V8F72+qKiourq68PBwpeS7YrF4wYIFZWVlQUFB7Xvl8ePHt57vAoCqquqMGTPq6+v37t3bvndHCL0tMOVFCHU2smJDYWGhiYkJabl+/fqgQYM64l40TUdFRbm7u2tqanbr1s3T0/PXX39V7Hbu3LmhQ4dqaGjo6uqOGjXq2rVrS5cupSgqPz+fdDh9+jRFUYq/yFMUZWBgIHfHH3/80dnZWV1d3cDAYPLkyRkZGewOoaGhPB6vvr4+MjLSzs6Ox+MZGRlNmzatoKBA7uLPnj2bPXu2lZUVj8czMzMLCAi4fPkyc/Tp06dTp041NDTk8/n9+vXbtm2bTCZr5VXs2bMHAGbOnNlSh+Li4s8//9zBwUFdXV1XV3fs2LFPnjxhd0hNTaUoavfu3cXFxR9++KGRkRGPxyNh5+TkrF+/3s3NTVNTU01NrXv37itXrmTi2bZtm5WVVWxsLAA4ODhQFMX+536d+wYGBlIUdePGDdJTIpEkJCSEhoZaWFioqalpaWn5+vreunWLeXDyEhBC7yIaIYQ6kUwmoyiKpunBgwdfvXqVNC5btuzbb79t/USSBjk4OLTSh4wTi8VipmXOnDkAoK+vHxISEhISQn7UXrZsGfusxMRELpfL4XB8fHxCQ0Otra1VVVVJOp6Xl0f6JCcnA8DkyZPl7kguzm4hd7SwsBg/fvzgwYMBQFNT8/bt20yHyZMnA8CQIUMsLS2/+uqrb775xsfHBwB69+7d1NTEdLt3756uri4AmJub+/r6kkzx/Pnz5Gh6erquri6Hwxk2bNjYsWNJJev06dNbejNFRUUAYGxs3FKHkydP6unpqamp+fn5hYWF9e7dm9y6urqa6bNy5UoA2L9/v5mZmbm5ubu7+4IFC8ghJycnFRUVDw+PsLAwDw8P8v8vMTEx5Oh3331HBnf9/f0jIiIiIiKSk5Nf/741NTU8Hk8oFMpkMtLz6NGjAGBqajp27NgJEyaQbyN9+vQhR0nteH5+fksvASHUhWHKixDqVGVlZSRHtLKyev78OWm0t7e/dOlS6yf+i5T3+PHjAODi4iISiUhLRUWFk5MTAJw5c4a0SKXS7t27A8Bvv/1GWpqamsaPH0+Stn+a8pJuI0eOrK+vJy07duwAAA8PD6YPSXmFQmFpaSlpkclkAwcOBID4+Him24ABAwBg7dq1TD5XUFAglUrJ3x4eHlwul3mK8vJykiyeOnWq2TdDFjQYN25cs0evXr3K5/MdHByys7NJi1gs9vT0BICDBw8y3cirs7S0PHTokNwVkpOTi4uLmY+jR48GgMjISKZlwoQJAMBkuu1yX5Lgzpo1i2kpLCw8c+YM88bI6K9AIGDHwPxDI4TeKVjYgBDqVMxuw0wt76NHj/Lz89kLlrUiPT1dbpGy9evXt9R5165dALB+/XptbW3Soquru2HDBgDYvn07ably5crz588HDBhAMlEAUFVVjYiI+HdPR+4YERHB5/NJy9y5c7W1tVNSUuTqFmbMmMFURFAURQZB7927R1rS0tJu3rzZp0+flStXUhRFGk1MTDgcDnkJKSkpQUFBvr6+5JCent7s2bMB4I8//mg2sEePHgFAnz59FA81NjZOmzaNw+HEx8dbW1uTRhUVFVIg+/z5c9KSl5d39+5diqK2bdumWODh7+/PXjpDS0sLAOzs7MjHpqamkydPamlpeXt7t+N9ExISAIC9Y59QKPT19WXemFwY5PHl6kwQQu8InNSMEOpUJOWtrKwUCARkHlV6enpAQACXy23L6RoaGqRagMFkS4pSUlIAwMvLi904bNgwiqIuXLhAPpJCz6FDh7L72NraamtrV1VVtemRWEit7Y4dO9iPQzKw7Oxs9qJszs7O7BNJZUJ1dTX5eO3aNQAICAhgsje2S5cuAUBubu7cuXOZxqdPnzL/q6i8vBwA9PX1FQ/99NNPT58+/eSTT+TeZG1tLfyVNcJf+WVISMjYsWObvQUASKXSmpoamqZTU1PV1NSGDRtGXCytugAAIABJREFU2i9cuFBdXT1hwgRVVdX2ui9N08ePH+fz+c3OXautrRWLxRcvXgSA4cOHk0by+BUVFS3FjxDqwjDlRQh1KsW5a+np6Q4ODm08vXv37qdPn25LT7FYXF5erqGhoampyW4nLRUVFY2NjTwer6SkBACYYBiGhob/NOWVSqXFxcUA8NNPPykebWhoYH8kdboMktrSf60aSa7DTpHZXrx4AQC3b9++fft263dhiEQixZsSZEaX4qLIaWlpAMCsghwfHw8AS5YsUbxCQkLC7t2709LSiouLmSlrAQEBzJtXHI59/fveuHGjqKho1KhRAoGAtBQUFOzevTs2NjY3N7empobpydyXPD55FQihdw2mvAihTkVGeeVS3nHjxrX7jeSSSDbSyB5AVRxMZSoTWiGXX5Jsj8fjtZR3spEShZYoRqh4NCIi4rPPPnvljQiSF5IBVLYXL17cvXvXxsaG+fWfqKysvHLlipGREVlaoa6u7ty5c0KhkBTaMiQSyUcffRQVFTVw4MDPP/+8V69ePB5v9+7dhw4dYie48fHxHA4nMDCwve4LCml0amrq6NGjSbGEr6+vvr5+Y2Pj6NGj9fX1mZ8FyOOrq6u38aUhhLoSTHkRQp1KcVHe9PR0MiW/famoqBgbGxcXF798+ZL5lRwAamtrq6urySoB8FdFARnrZSstLWV/JNmn3CpgcmepqqoaGBiUlZWxn+7fIXWxhYWFzR4l3xaYate2IPXTpLyB7ebNmwBAJs+xRUdHNzU1zZw5k2zqkZyc3NDQMHr0aLks/Pvvv4+KigoPD4+KimK+YyxYsAAAmPV309PTnz175unpyV7N7TXvC3+N/pK71NfXBwcH19fXX7161dHRkXSIiYmRyWSjRo1ivl20Ut2BEOrycPoaQqhTXblyJSsr618XNvwjZLIUU7ZLkI9DhgwhH/v37w9/Vf0yHj16REoLGOQ3erkpaMxysAxSN0yysddBBibPnj3b7FFylxMnTkgkkjZe0NzcHJrLkknxhlztR1lZWUREhL6+/qeffkpayBPJVSbIZLJvvvmGoqjNmzczKemxY8cePnzo4ODAVOgmJSUBwIgRI9rrvgCQn59/584dJycnCwsLANi3b19RUVF4eDiT70okksjISLlzc3JymFeBEHrXYMqLEOpUxsbGdnZ2TMqbkZFhZ2fX0i/4r+njjz8GgK+++qqyspK0VFVVkRFlZuKXp6enpaXllStX/vzzT9LS1NT05Zdfyl3K3t5eVVX12rVrd+/eJS0VFRVr1qxp6Y7M2gsAUFZWxly8jdzc3Jydne/evbt582amNqO8vJzk3P369fPy8srJyVm6dCmT9dI0ff78+ZaGfsmCGFeuXJFrJ4npxYsXmWKMoqKi4ODgioqKqKgoMiBK03RiYiKPx/P392ef++zZs8LCQnV1dWbc9PTp0+Hh4fD3RJPU5lpaWrbXfUGhqoE8l5mZGfn48uXL6dOn37x5U01NjZ1qk8mFzLcdhNC7RTlroyGE3lWLFy/+7rvvpkyZQhZejY2NnTBhQltOJOvyCgQCz79jrzWruBXFF198AQB6enohISHjx48nv60zGygQ8fHxZCsKf3//sLCwnj17urq6kjUcmHV5aZomq4AJBIKgoKBx48bp6ur6+/ubm5vLbUVB6ms5HM6AAQP8/f379eunoqLi5+fHdCCrocmtULtz504AWLFiBdNy+/ZtHR0dALCysvLx8enTp4+qqiqzMG1WVhbJ8IyMjHx9fb28vEgtREvLG8tkMvLsRUVF7HapVEpWvbWysgoNDSWzwbp168bsIkHTdGpqKgCMGjVK7pqlpaVkYYqBAwfOnDnTzc3NzMyMLGl85coVpltYWBgAmJubv//++8wauq9zX5qmSVnw9evXycfFixcDgIaGxvTp08mGIx9//DGXyw0ICGBHS1GUrq4us7YxQuidgikvQqhThYeHR0dHu7u7k00T1qxZs3r16racKLcJLcPMzIzpo5jy0jT922+/ubu7a2hokAXO9u3bp3jx06dPe3p6qqurGxoazp49u7KykuwEwU55GxoaVqxYYWVlpaqqam5u/sknn9TU1IwYMUIu5aVp+tixY76+vtra2ioqKiYmJuPGjWMnuG1MeWmazsrKev/9901NTblcro6OzpgxYx4/fswcLS4uXrJkSY8ePVRVVTU0NJydnVeuXMlsuqGILHqguMtdQUHBlClT9PT0eDyera3t4sWLc3Nz2R2++uorANixY4fiNffv329jY6OmpmZlZbVo0aKysrJFixYZGBiw08pbt245OjqqqakJhcJNmza9/n1ra2v5fL6xsTGz5URJSUlwcLCWlhZZ+jcuLo6sRLZt2zbmrO+++w4AFi5c2NL7QQh1bRTd3HRmhBDqIGPHjp05c+bEiROvX7/u4uLi7u7u5eVFyi7fKKSuIC8vr8uUfmZlZfXq1atXr16ZmZnKjkUJHBwcMjIyMjMze/XqpexYEEJKgLW8CKFOJRKJtLS0ZDIZWXhVVVW1g+auITk9e/acNWvWo0ePfvvtN2XH0tkOHz788OHD8PBwzHcRemdhyosQ6lQikUgmk5GlwQCgrq6ub9++yg3p3bF169bu3buTkgxlx9J56urqli5damlpuW3bNmXHghBSGlyXFyHUqUQikUQiYVLep0+f2tjYKDekd4empubVq1eLiorkFhju2qRSaVxcnLGxMXt5ZoTQuwZTXoRQpxKJRE1NTSTlraio4HA4ZF0C1DmEQuFrbpPx1tHU1HR2dlZ2FAghJcOUFyHUqaqqqmpra8mKWtnZ2T169FB2RM27c+eOskNACCHUbrCWFyHUeUQikY6OTmlpKRnlxaoGhBBCnQNTXoRQ58GUFyGEkFJgyosQ6jyY8iKEEFIKTHkRQp2HpLwlJSWklrf1lLepqakTQ0MIIdSV4fQ1hFDnaeMor0wmCwkJefDggYmJSafHiN45kyZNWrhwobKjQAh1LEx5EUKdh6S8mZmZhoaGTU1NJSUlitv5lpaWGhsbT5s2LTo6WhkxoneOmZmZskNACHU4THkRQp2HPcp79uxZNTU1xT7nz5/39/ffv39/54eHEEKoq8KUFyHUeUQikba2dmVlpb6+fl1dnZubm1yHqqqqhQsX4pq4CCGE2hdOX0MIdR6RSKSiokIKeUtKSnr16sU+KhaL9fX1V61a9a5tD4YQQqijYcqLEOo8IpGIoiiS8ubl5VlYWLCPRkdHf/DBB/Pnz1dSdAghhLosTHkRQp1HJBLRNE1S3tzcXEtLS/bR6OjoGTNmKCcyhBBCXRqmvAihziMSicRiMVmUVy7lffLkSWlpqYeHh/KiQwgh1GVhyosQ6jwikaipqanZUd7IyMhu3bopLzSEEEJdGa7YgBDqPCKR6N69ezRNg0LKy+fzAwMDlRcaQgihrgxHeRFCnUckEllYWDg4OBQUFAiFQg7n//8TdPfu3REjRigxNoQQQl0YprwIoc5TVVWlqqpqZWUlN8Tb2NiYmprq5eXVyrkJCQkURU2YMKFdIlmyZAlFUQcOHGiXq72BkpKSKIrq6H10hw4dSlFURkYG+VhWVubs7Ny/f/82nr59+3ZNTc0jR450WIDy/vOf/1AUlZCQ0Gl3RAi9ITDlRQh1kgcPHnC53PLycn19fbmUNzk5OSAgQImxvS2ePn0aGRn5ym5VVVWzZ882MjJat25dxwVTUVGRkpJiY2Njb29PWmJjY+/evauvr9/GK6xbt66mpobUdhPPnj3btGnTvwjm3Llzv/322yu7ff311yYmJnPmzPk/9s47rqnr///nJpCEICMQVhARFUSQ5QBBRQSRpSKiIIqoddRRQSu2blsXddSNUHEUW0drrbWAKMhwIR9FAVcdbNlDIAmEkHF/f5xH7+9+E0BAAlbP86/c9z3n3Pc92D5eeed93u+GhoZuPAWBQPx3QZIXgUD0ElKp1NLSsk3Je/PmzUmTJvWhb/8VoqKiOiN59+7dW1ZWtn79ek1NTcU5c+3aNYlEMnXqVMIybdq0yMjI3377rZMrHD9+PCkpiYju4zi+aNGi27dvd9WTmpqagICAkpKS945UV1ffuHFjeXl5REREV5+CQCD+0yDJi0Agegkul6uurv7u3TstLS0ZyXvq1CkbG5vedAbDsN58XI/Q3Nx84cKF9w4TCoUxMTF0Oj0kJESh/sTFxQEApkyZQlgMDQ1XrFihpaXVyRX8/f3J0f0jR46kpaWRF+wMIpFo5cqVtbW1nZwYHBysoqJy6tSplpaWLj0IgUD8p0GSF4FA9BKNjY0aGhowyktuvVZRUUGj0VxcXLq64ObNmzEMi46OJhvT09PlU34LCwtnz56tpaWlqqo6cuTI6OhoFRUVmdVev349e/ZsXV1dCoWCkRg1ahQcoK+vP2nSJD6fv3r1ag6Hw2AwLCwsjhw5guN4Xl5eYGAgm82m0+nW1tbnzp2TWRzH8Z9++snW1lZFRYXNZgcGBhL5r8Tirq6utbW1K1asMDQ0pNFoJiYmGzdubG1thQPOnj07cODA8vLyuro66Ji5uXmb23L16tWamppp06a1mWAgFovj4+Nnz55tZGREo9HU1dVdXV0fP35MHmNtbU2hUMrLy8nGr7/+GsOwX375BV6KRKIbN26oq6s7OztDy4MHDzAM8/HxIc+qrKzcuHGjtbU1k8lksVj29vZE5q63tzeGYQ8fPgQAJCcn29rarl69GgCwcuVKDMNoNFpjYyMAoLi4eOfOnfb29mpqajQabeDAgZs3b5ZKpXCRw4cPGxsbX7p0CQBgaWmJYZiDgwPx9MePHwcFBenr69PpdEtLy9OnTwMANDU1p0+fXldXd+XKlTY3EIFAfJKgImUIBKKXgFFeKHlTU1ODg4Oh/fHjx46Ojop77tu3bx0dHauqqqytrS0tLfPy8pYvX85ms8ljysrKnJyceDzeokWLhgwZkp6eHhcXp6WlFRgYaG9vTwx78eKFn5+fVCoNDw+vqamJjIwMCwt7+/bt6dOnR48evWnTpoqKisjIyODgYDU1tWnTphETly9f/tNPPxkZGfn4+JSVlf3++++JiYm3b9+2tbUlxuTk5Li4uKipqYWGhopEotjY2IiIiKqqqlOnTgEANDU1V69evWnTJiaTuWXLFgBAeymzaWlpAICJEye2eTc+Pt7Pz4/D4djb2yspKaWnp6elpc2bN+/58+dwQHFx8dOnT0eOHMnhcMgT4+LiqFQqUUjuzp07jY2NAQEBysrKxAAAADnPISkpafbs2fX19ebm5o6OjgUFBbm5uUOHDgUANDU1paam6uvrw28UfD5/woQJubm5gwYNWrJkCQBAV1dXQ0MDAODr6/v8+XN7e/upU6cWFxdnZGTs2rXLxsZm1qxZ8CkjR46Mj4+fNGmSm5sbAID4irJ///7169fr6+uPHTuWy+XevHlz0aJFenp6Pj4+EydOvHDhQmpqalBQUJu7hEAgPkFwBAKB6BWioqKWLl2qpKSE4zibza6oqID2rVu3fv/99++dDhWVv78/Ydm0aRMAICoqijwMCj7ysHnz5gEAli9fTlgOHjwI/wf4yy+/QMvmzZsBAD/88AMxxtXVlUql5ufnExY9PT0AwPTp0wnL5cuX4TohISGEMTY2FgDg6elJWJKTk6FFIBBAS2RkJADAyclJZnF3d3eJRAItVVVVTCZTSUmpvr4eWkQiEQBAW1u7442ytrYGAOTm5rZ5t6KiIiUlRSqVwksYZGUymcSAY8eOAQC2bdtGngVj0mPHjiUsMCJLbCCO4zA15e3bt/AyIyODRqMNGDAgMzOTGFNaWgo/wAjrokWLZJ67adMmGYeTk5OrqqqISxhF3rt3L2GBEf3k5GTyrKNHjwIAQkNDW1paoAUWr1iwYAGO48+ePQMAmJubt7lFCATikwQlNiAQiF6Cy+XSaDQtLS2JRFJfX6+vrw/tDx48IEdSe5bW1tY//vhDWVl5165dhDE0NLR///7kYS9evAAAkKukjR07ViKRZGZmyiwYGhpKfPby8oIfwsLCCCOMg0JRBYGpFxEREQwGA1qWLVumoaGRkZEhkzywdu1aolaxrq6ug4ODWCyGvnWeV69eAQCIKgoywAwKIpVZXV0dAEDOkZAP1gIAYFUvsjE+Pp5KpRI78Pbt29zcXFtbW7ixQqFw7ty5GIYlJiaSMw0MDQ3bW7DN5wIAJk2aBDtUt+lwa2srzK+YMGECMebly5fh4eFubm6HDx+m0+nQaGVlBQCA+bvm5uYYhr1+/ZpIkEAgEJ88KLEBgUD0Eo2NjUpKStra2uXl5eQfzRUqef/55x+BQGBnZ8disQgjhUIZOXJkaWkpYYGiqqqqirDAz/Ipv8OHDyc+q6ioqKqqNjU1DR48mDDClAk+n09Y7t69CwCIjIykUqmEEYrOgoIC8laQ8xwAALB6F4/H6/z7NjU1CYVCdXV1It+gg5EikQiWR5g8eTJhTE9P53A4MrV1ZfToy5cv8/Lyxo0bRyRXyEjYkydPFhYWhoWFWVhYyD8ax/GEhAQGg0GcXePz+enp6bq6uu39S5BIJHw+H8fxBw8ekDO/b926xePxZs6cSX7f7777TigUSqXSZcuWEcZHjx4BAKA/VCpVU1Ozvr6+oaGh84ftEAjEfxokeREIRC/B5XKh5K2oqDAwMIDG/Px8FoulONlRXV0NACAeR0CuBQsAWLBgQUxMzKZNm7S1tU1MTO7du/frr7/q6OjIl06DCaZkKBSKvBHHcfhBIpFA9Xzy5El592SKBpB1OfhXFhNLdQZYblZmHYLy8vITJ05cunSppKSELMoJqZqUlCQUCn18fMgVLerr6+/duzdo0CBCv8pHZGWqN8TExAAAyIqTzMOHDysrK728vJhMJrQkJyfLPxcAEB8ff+LEiaysrKqqKiIi6+7urqamRgyQ8aS2thaekEtLS4NZLmQIcc9isZDkRSA+K5DkRSAQvURjY6O2trZMlDc1NdXOzq4Hn9Jm5Sn5kmREjgHEwcHh/PnzS5cuJX4fHzp06C+//EJIKwJyk+T2FicDhRqdTu9MSSz5xbsKFJFNTU3ytx48eODj4yMUCoODg11dXbW1taHK1NbWHjNmDBwDFaRMta8rV65IJBKyUUbyNjc3p6Wl6evrjx49GgBQUlKSm5tramraXk2JzmQ1iMXipUuXnjlzZvTo0Rs2bDAzM6PT6SdOnLhw4YLMRAqFQhyqAwAkJiaKRKKFCxfC+gztAbdIPoqPQCA+VZDkRSAQvQSXy9XU1NTS0iJHeSMiIoij910Fak2ZdEwY1iWA0VwZIwCgpqZGxiIQCJqbm6Ojo83MzPT19WG6Z/ccI6OsrMxms2traysrK4n0ZcWhqalJoVDgiTey/wKBwNfXVyAQ3L9/H2a1AgB+//13qVTq5eUFpTbMN6DRaDKxbVgyghCasOnaoEGDiHTh5OTklpYWIkb74MEDQKqcII9MSFgqlSYkJNDpdHKN3qNHj545c2b+/Plnzpwhot0rV64kT3z+/HlhYeHYsWPJ9TfggTxy/kmbvHv3DrRf9QKBQHx6oONrCASil2hsbBSJRDJRXh6Pt27duu4tCEOwMifAoOIhGDZsGIPBePr0Kfl3fIlEcu/ePfIwiUQSFhbm7u7+5ZdfTpw4cdiwYT3YqwKeioM670OgUqkYhsG6De2BYRiHw5FIJG/fviXbY2NjKysr58+fT+hdsVgMG7mRM3SrqqrMzc2JfAMAQFJSUkZGhpqaGhH/TkxMlGm6JiNh4ReM9gKopaWlOTk5NjY2RGHmx48fV1dXjx07tl+/ftAilUr37duHYdiePXuIP8Rff/314sULS0tLExMTwhMAgIeHB3n9znQSLi8vF4lEOjo6NBrtvYMRCMSnAZK8CASil+ByuS0tLWTJW1VVRaVSZUrkdh5YjevChQtcLhdasrOzz5w5Qx5Dp9P9/f1bWlq2bdtGGA8dOkQ+uwYA4PF4jY2NYrG4e550zFdffQUA2LJly5MnTwhjbW3t33//3aV1MAzT1dXlcrnFxcUdDINFjmU0PbwkCiZwudx58+Y9evSIRqMRkrGoqAgA8O7dO6FQCC3379+H5ZM9PDzaq7+L4/i1a9fIMVr4x01KSqqtrYUWiURCtN6Qz2rIysoCAJC78RUWFlZUVKioqBBR2Js3b86fP/+9EwEAUBCfP3+enN2Rk5NDbrcBDxSOGzeu/V1EIBCfGkjyIhCIXqKxsVEgEJCPrz179uy9P0B3wKRJk4YNG1ZQUDBs2LBZs2Z5eHg4ODgEBATIDNu1a5eOjs6BAwfs7Ozmzp3r5OS0c+fOtWvXksdoamra29snJSUNHTp03Lhx48aNmzRpUmhoKCz49YG4urquX7++qqrKzs5u1KhR7u7uNjY2BgYGR44c6epSvr6+AAAXF5f58+f7+/u3OQa2Q7tz5w7ZCLXj7t27Q0JC/P39TUxM2Gw2lUqdMGECka8M606Ulpba2toGBQU5OTl5eXnBEDUhNEUi0fXr18lN17KysioqKlxdXVVVVaHFw8PDyMiotLR00KBBEydOHDVqlLa29s8//wzvyqftwrSK3377be7cuX5+fjU1NRoaGlQqtbm52cnJ6YsvvnBwcFiwYAE8eSY/cfPmzfPnz1+8eDE0Lly4UF1d/dGjR8bGxm5ubs7OzhwOx87OTknp/yfywc0hXgGBQHwW9F1JYAQC8Xmho6MzZcqUP/74w8bGJicnB8fxw4cPh4aGdnI6jIkGBgaSjaWlpUFBQWw2m0ajWVpaRkVFicViFRUVcisKHMfz8vL8/f01NTXV1NQ8PT2fPXt248YNQOqkUFhYSHSDI6Oqqvr8+XM4BnaLEIlE5JVVVVWpVKqMqwAADQ0NGeNff/3l6uqqoaGhpKRkYGDg5+dH7p7Q5uKBgYEAgMTERMLS0NAQEBCgpaXFYDBcXFza3KiqqioajcZms4kuDDiOV1dX+/r6qqurwxK2V69ehcmshw8fJs+NjIw0MTFRVlbmcDiLFy8uLCxctWoVhUKpqamBA1JSUgAAs2bNIqbAVnCRkZHkdd68eTNjxgwWi0WlUvX09IKDg2FTj6amJgaDoaenR/TCgC/l7u6uqqqqrq4+Y8YMaDx79uzgwYNpNJqxsXFoaGhtbW1oaCibzSZadeA4/vjxYysrKxqNpq+vT24jkp2d7e3tDbdaX1/f29v79OnTxN3W1lZdXV1lZWWiGQoCgfgcQJIXgUD0EjQazdnZOS0tTVdXF/bTWrJkyYkTJzo5/fDhwwCANWvW9Lhjb968UVdXt7e3f/bsmVgsxnFcLBZXVlauWbMGALBx48Yef6KigQ3nzp8/39eOfIz89ttvAIA5c+b0tSMIBKJXQYkNCASiNxAKhRiG1dfXs1isuro62PrhvYkNzc3N1dXVra2td+/e3blzJ5Crn9Uj/Pzzz1wud/PmzZaWlrBbBIxNzpgxA7RV2+HjZ8uWLUwmc/fu3QrKTv7vIpFIdu/ezWAwYHAagUB8PiDJi0AgeoPGxkYNDY13796JRCKiQtl7Je+LFy/09PTodPr48eNramrmz5/v6ura4761trYCAGQOtInF4mPHjgEAYK3Z/xampqZ79ux59uxZN9KFP22ioqJyc3MjIiLaqxmMQCA+VVBdXgQC0RtwuVx1dfXS0tKWlhZ4or+kpITFYsn3eiBjYGAQEBDw7t07PT29adOmyR9N6xHmz58fGRkZGhp68+bN4cOHt7a2VlRU3Lhxo7Ky0sXFZcGCBYp4qKJZuXLl+PHjUasFGTw8PHJycmCtDwQC8VmBJC8CgegNGhsbVVVVRSJRbW0tlLy3b9+WSCQdzzI0NISZlwrF0tIyMzMzIiLi9u3bcXFxGIbp6OiMHDly1qxZc+fOJZ/0/w+BYZiNjU1fe/HRYWpq2tcuIBCIvuE/+b9yBALxn4PL5aqoqLDZ7GvXrsFWBVKpFFbF+hiwsrI6f/58X3uBQCAQCEWBcnkRCERv0NjYyGQydXV1mUymk5MTAKChocHW1rav/UIgEAjEZwGSvAgEojfgcrnKysosFqulpWXIkCEAgDdv3qBfmREIBALROyDJi0AgeoPGxkYlJSUWi1VVVQXbLiDJi0AgEIheA0leBALRG3C5XAqFQpa8r1+/NjMz62u/EAgEAvFZgI6vIRCI3qCxsREAwGKxqqurdXV1JRJJSUmJiYlJm4MLCgrCw8OfPn2qr6/fu24iPmsCAgJWrVrV114gEAiFgCQvAoHoDbhcrlQqJaK8HYR4i4qKRowYMWnSpOjoaDqd3st+Ij5nDA0N+9oFBAKhKJDkRSAQvQGXyxWJRP369ZNIJP369esgkffUqVOhoaHbt2/vZQ8RCAQC8QmDJC8CgegNioqKKBQKhmEdn13z9/cvKCjIzs7udQcRCAQC8SmDJC8CgegNysrKtLS0AAC6uroAgNevX48cOVJmjEAguHbtmkAg6AP/EAgEAvFJgyo2IBCI3kBLS0sqlYpEIhjlTUlJYTKZMmO+++6777//vi+8QyAQCMQnDoryIhCI3oDH4wEAWltbYZS3vr7ewcGBPOD169cHDhwQiUR94x8CgUAgPmmQ5EUgEL0BlLx8Pl9PT08ikTQ2Nsrk8kZGRq5Zs6aPvEMgEAjEJw6SvAgEojfg8XhSqZTP5w8ZMqSoqGjgwIEyA+Li4lJSUvrCNQQCgUB8+qBcXgQCoXAkEklrayuFQqmtrdXT05OXvPfu3eNwOO11pkAgEJ2HwWAEBwf3tRftkp2draGhce7cuQ9Z5IcffsAwLC8vr0dcioqKYrFYr1+/bm+Ap6dn//79e+RZ3aC1tdXExGTZsmUKfUpAQIC2trZEIlHoUwiamprs7Ox6+R8qkrwIBELh8Hg8VVVVog+FvOTdsWPH3Llz+8g7BOK/xFdffYW1w6FDh/rauza4fft2amqpBlbpAAAgAElEQVQqcSkWiwUCgVAo7EOXZGhtbW1paRGLxfASx/GdO3dKpdK+8kdmx3AcFwgE3Shl09DQYGhouHz5cvlbN27cyMzMJC5FItGNGze8vb2pVGr3fO4qr169evbsmYuLS5dmNTc3Dxw4sNtCGSU2IBAIhcPj8ZhMJtFtOCUlhRzQTU9Pz8nJuXr1ah96iED8V/D19SUijhERESwWi4j/jRs3ru/8apeIiIiRI0e6urrCy9GjRwsEgl6TVp0hLCzsq6++Ilx68ODBli1b1q9fT6H0TVhQZsfodHpZWVk3duzrr78WCoW7d++WsdfW1gYFBV2/fp2w3Lp1i8vlTp069UPc7hIjRoxoaWnp6ksxmcyDBw/OmDFjzpw53t7eXX0okrwIBELh8Hg8BoPBYrFevnwJo7xeXl7E3evXr69Zswb1FkYgOoO7u7u7uzv8fOzYsf79+69fv75vXeqAysrKtLQ0mSLcH5XehZBdunDhQh960lM7VlRUFBsb+80337BYLLJdJBKtXr2aRqONHj2aMMbFxSkrK3t4eHTb7W7QvX8Gfn5+pqam33//fTckL0psQCAQCofH49FoNBaLVVtby2azZRIbEhMTyQoYgUB8IDQa7dSpU0OHDmUymZaWlrGxseS7zc3Na9asMTAwYDAYY8aMSUtLI98tLi6eM2cOm81mMBijR4/+66+/iFuZmZkwg/bIkSMGBgbKyspEfsLx48eHDh1Kp9PNzMwiIyOh8fr160OHDhUKhbt27cIwrF+/fsQiJ0+eJJYtKCiYPXu2jo6OioqKubl5dHQ0dPLAgQMjRoxgMBhMJtPZ2fn+/fudefeOJ3p6egYHBxcXF0+aNIlGo23ZsgX838zgyZMnHz58GACgrKyMYdj+/fuJLc3IyHB0dFRRURk4cOD69evJuRkMBuP48ePR0dGDBw9mMBjDhw//888/6+rqFi9ezGazNTU1vb298/PzyX52fseAXH52mzsmw08//SSVShcvXkw23rp1a/DgwefOnauqqqJQKMrKyvX19QCAuLg4V1fX+Pj4UaNGMRgMfX39ffv2kScWFRV98cUXenp6DAbDxcVF5l0yMjKmT5+ura3NYDDGjh2bk5ND3Dp79iyDweByueHh4Xp6eqampjiOl5SUYBi2Y8cOYlhhYeHSpUsHDBhAp9MHDBgAn97U1HT8+HFnZ2d1dXUajTZ8+HAYmV60aNGDBw8ePXrU5j+AjsARCARCwSQnJ1taWs6YMUNdXR3HcUNDw9LSUnirrKyMw+H0qXcIxH8VQ0PDsWPHyhjpdPqgQYP69++/a9euqKgoa2trAMCNGzeIAZ6env369du2bVtkZKSdnZ2SktK9e/fgrerqag6Ho6ent3PnzuPHj8Ow3y+//ALvQu24bNkyDocTHh6+Z88eaI+IiMAwbPHixSdOnJgxYwYAYO/evTiOl5aWwoSlkJCQO3fuZGRkEIvExMTAuRUVFbq6ukwmc/ny5REREXPnzj19+jSO4y0tLcOGDVuyZElUVNSBAwcMDAy0tbXr6uqIJwIA3rx5I78nHU/08PAYO3aslZXVtGnTdu7cCV0ir5aRkeHr6wsASE9Pv3PnDvw/lYeHB5vN1tHRWbduXUxMzPTp0wEAGzZsIO+5ubn5+PHj09PTk5OThw0bRqPRbG1tv/jii1u3bv32228sFsvCwoIY36Udg+vPnTu34x2TwcbGBupLMoWFhdu3bwcAHDhw4M6dO1lZWTiOP3v2DAAwaNAgZ2fngwcPHjhwQF1dHcOw2tpaOOvOnTsaGhru7u5RUVHh4eEYhjk7OxNrHjx4kEKhBAYGRkdH79u3j8Vi9e/fv7W1Fd6dOXOmhYWFs7Pz9OnTd+zYce3aNRzHjx07BgDIzs6GY1JSUvr16zdkyJC1a9fu2LFj8uTJ8MXz8/NNTU3Dw8NjYmI2b95MoVDMzc1xHIeSevv27fJv3TFI8iIQCIXz559/WltbBwcHDx8+XCQSKSkpEbdOnjy5aNGiPvQNgfjv0p7kpVAohByEwcsVK1bAy2vXrgEALl68CC/fvXunqqrq5eUFL8PDwwEAT548gZdSqXT8+PE6OjotLS34v2pVQ0OjrKyMeFxdXR2DwVi2bBlhcXJy0tDQEAqFOI5XVFQAADZt2kTclZG8YWFhMPrY8ZueP38eAPD777/Dyw4kb8cToYhft24deYzMal9++SUAQCQSEQPgrFOnTsFLsVhsbGxMlrB0Op3BYDQ0NMDLP/74AwAwevRoYgBMPsnPz8e7vmP4/5W8ndkxLpdLoVAWLFggf2vp0qV0Op3P58u8/sKFC6VSKbTAiHJ1dTWO46WlpVpaWkuXLiXGjxs3jslkws/x8fEAgMjISOIuDJw/evQIx3GhUKimpkalUq9evUr2wcPDw8jICH4uKirS0NDw8vISCAQdvBGO42ZmZnDPJRKJurr65MmTOx4vD0psQCAQCofH42EYRqVSdXR0ZLIazp49q66u3neuIRCfIPb29kOGDIGfBw8erKamVllZCS/j4uIoFIqzs3NDQ0NDQwOGYRYWFnfv3oV34+Pjra2trays4CWGYcHBwTU1NRkZGcTiISEhHA6HuLx582ZLS4u7u3vDvzg4ODQ2NsLY4XtJTEyEUcCOh1lYWAAA3r1716n3f9/EbmQ/YxgWFBQEP1OpVGtra2JLIY6OjhoaGvDz4MGDAQBEyjVhqaqqAr2yY8XFxVKpFD5UhoSEBFdXV1VVVcISHx/PZrOPHDmCYRi0lJSUGBsb6+joAAA2bNggkUi2bNlCeFtfXw/zg0Ui0apVq8aPH79ixQpiNbgJsN7FrVu3eDzeunXrpk2bRgzg8/np6elTpkyBl3D92NhYBoPRwRu1tra+ffsWHtCkUCgDBw4sKCjoeKPkQcfXEAiEwuHxeDiOUygU+URegUBgb2/fd64hEJ8ghoaG5EsajUbUWy0sLJRKpWTNCpFIJFQqtbCwkCzUAAADBgwAABQUFEycOBFahg4dSh5QWFgIAPD395dZECaJvpeSkhI3N7c2b8XExJw9e/b169fNzc3QfxzHO7NmxxPZbLaWllZn1iGjpaWloqJCXJK3FAIFIkRJSQkAoK2tLWOBUxS3YwRQ4pMdgDx+/LisrGzjxo2Epba29v79+ytXriTyhnk83v3792EScE1NzcWLF0UikZGREXmdgIAAAEB8fHxhYeGuXbvIt3Jzc6lUqrm5Ofj3VNzq1avJA5KSkoRCIZS81dXVly5dWrhwIXn3IAKB4MyZM7/99ltxcXFdXZ1UKhUIBIRQ1tbWLi0t7XgT5EGSF4FAKBwejycQCFpaWths9pUrV7hcLnGrrKzsvQEeBALRJToImOE4zmAwEhMTZeywIBcR5+sAmeIqUE1GR0fLSGEbG5vOuIrjeJvVWiIiIjZu3BgYGLh79259ff3nz5/7+fl1ZsH3TuxecZiOY5AAAGVlZRlLezXOFLRjZGCQVf6vCfMQCOEIALh27ZpUKp01axZhuXHjhkgkggXLEhMTRSLR/v37ZSpIDBo0CAAQFxeHYRi5cgKs7+vi4gIFdHx8/Pjx4/X09GR8YDKZsATb9evXxWIx+ekQLpfr6emZn5+/YcOGMWPGsNnsb7755vr165MmTYIDKBRKNwonI8mLQCAUDo/Hq66u5nK5pqamDQ0NRPnxoqIiGo0mH3BCIBAKwtjYGB7wkhEikEGDBpWUlJAt8FK+Qzh5QQCApqZmV9sKQPr3719UVCRvP3PmjImJyfnz56Fw7HyjtW5P7DUUtGNkYBhbPg8EJq7AyD0kLi5OS0vLycmJbFFVVYWS9OnTpwAAPz8/qHFleP78ubGxMZHOAQC4dOlSdXX1qlWr4N3CwsLQ0FDyFKlUmpCQ4O7uDr9CwINow4cPl1l53759mZmZ2dnZ8GsAn89PS0tzc3MjAu11dXXdCNWjXF4EAqFwYPc1DQ0NNpvd2NgIs+sAABkZGeT/1SIQCEUDsyqPHj1KNhIBs+nTpz958iQ3Nxde4jh+7tw5TU3NDv47hfIlKiqK/EM/sSCTyQQANDQ0tDfdy8srOztbvuAUjuNqampEoLTzrWq6PZHgvT5/IAraMTJGRkYYhsEMCoLGxsZHjx6Rf1VrbW2VabomlUoTExPd3d3JgeTi4mLyOoSrVCoVJq3By7Kysq+//trT0xOWvIiLiwMAyLS3ePDgQXV1NWGEHe+qq6tl/I+NjR0+fDgR9g4PD29oaCCC0ziOFxcXw28OXQJFeREIhMLh8XhCobCpqYnNZpeWlhK9o+7duzd27Ni+9Q2B+Kzw9vaeMmXKrl27Xr586eDgIBaLs7KyTExMYAHa8PDw8+fPT548edWqVWw2++rVq7dv346OjianscrAZrN37Nixbt06JyenKVOmMJnMly9f5uXlwXK/6urqFhYWFy9etLW1FYvFMmViAQDffvvthQsXJk6cuGjRIgMDg/z8fA6Hs23btgkTJpw6derbb7+1trZOSUnJzs7u5At2eyKBo6PjwYMHV69e7e3tbWRkNH78+K6u0DFd3TGYB0zQ3o6Rx7BYLHNzc+JUIqShoUEqlWZmZp4/f15bW9vDwwMeLyOr0szMzJqaGsLi5eW1f//+uXPnwnTbkpKS9PT027dvw7wFb2/v+/fvBwcHT548OT8///jx44MHD4YlMgAAcXFxw4YNkzlCB3MhfHx84KWzs/PRo0f9/PxCQkKoVOqTJ0/CwsLGjRvX0tKSn58fHR1NpVIvXLgAw9WE5H3+/Hl9fX13eg12tcQDAoFAdBV/f38mk+ni4pKcnGxmZvbq1Stot7GxycnJ6VvfEIj/Lu0VKSMKWkG0tbV9fX2JS6FQuHXr1kGDBikpKfXr12/cuHFJSUnE3bKysnnz5mlpadHp9BEjRly4cIG4JVNfjMyvv/46YsQIOp1Op9OHDx9+6NAh4lZGRsbw4cOVlZUHDhzY2toqv8irV69mzJjBYrGUlJTMzMxgQbG6urrAwEANDQ01NbWAgIDq6uohQ4ZERUXBKR0UKet4ooeHh6GhocwUmdWkUuny5cs1NDRUVFQOHz7c5ix/f38NDY329hzmAxw8eJCwnDlzBgBw586dbuyY/Ppt7pgMa9asAQAUFBSQjTNnzlRRUWGxWL/++iuO46tWrVJWViZqq+E4vmHDBgzDKisrCUtMTIypqSn8p+Lg4BAdHU3cam1tDQ0NZbPZdDrdyspq3759sM4ajuM1NTUUCkWmGByO41ZWVuTabTiO79y508jISFlZmcPhBAcHwxVOnz7N4XDodPqoUaMuX768Z88eOzs7YgpsVEEUk+48GN65848IBALRbTw8PJKSkmxtbc+cOTN27Niamhomk1ldXQ0rlve1dwgEAvGpkZeXZ2ZmtmHDBpmKCp8AQ4cO7devXze6r6FcXgQCoXAaGhpUVVVrampoNBqdTofJaikpKUT5z67i5uaGYVhUVFSXZl25ckVNTe3IkSMdD5s9ezaGYTdv3uyeb4qjk/53TGJiIoZh8HxJD1JbW2traztixIiOhzU3N6uoqBgYGHxs0ZZO+t8BV69exTBs7dq1PegVAtFthgwZEhwcfOLEiU7WPvuvcOXKldevX8skcnQSJHkRCITCaWxsVFNTq62tbW5uJuo7lpSUyFQA7STl5eXp6ekAgHPnznVpYm5uLp/Pf/jwIWERi8Xbt2+XPzzR57TpmLz/XaWxsXHJkiW6urrk7vY9wqVLl3Jzc2XqgJ45cyYzM5NsSU5Obmlp8fHx6UwxLMUh71ib/ncJX19fb2/vQ4cOwR/uEYg+58iRI2pqarDD8KeBUCjcuHHjggULyL0tOg86voZAIBQOj8dTV1fn8/kwmQEaHz16JF+OsTNcuHBBKpUOHz48IyNDprFFx4SFheno6EyfPp2wXL9+fdu2bTNmzNDV1e2GJ4qjTcfk/e8qe/fuLSsrO3DggKamZk+4+f+ZNm0ajuOzZ88mLGlpaYsWLZJRlm0e4u5l2nRM3v9u8MMPP1y7dm316tX/+9//PsxHBKIH0NTU7EaLso8ZOp3+zz//dHs6ivIiEAiFw+fzVVVVdXR0yOUaHj9+3L3fkc+dO6eurg570BOngzsDi8VauXIl0ZgK//dMycdGe47J+N9VhEJhTEwMnU4PCQn5MAfbwNDQcMWKFUSlzHfv3sFw8ujRo4kxOI4nJCQwGIzuRfd7hDYdA3L+dw8rK6sxY8Y8ePAgKyvrw9xEIBA9D5K8CARC4TQ3NzOZTDab/fbtW5jYwOVya2pq2mwB3zH//PNPdna2p6fnlClTVFRU5HMbXr58iWHY/v37m5qali1bpq2traSkBMvRR0dHYxi2efNmAEBWVpaVldWff/4JALCyssIwDJ5TJtahUCgxMTHW1tYMBkNXVzc4OLi8vJz8IH19/UmTJvH5/NWrV3M4HAaDYWFhceTIERzH8/LyAgMD4UFma2treSdxHP/pp59sbW1VVFTYbHZgYCARuujAMbL/BIWFhUuWLDE2NqbT6YaGhu7u7jKViQiuXr1aU1Mzbdq0Nn++F4vF8fHxs2fPNjIyotFo6urqrq6ujx8/Jo/x9vaGfUMuXbo0cuRIGo321VdfAQAePHhAFB4Si8VLliwxMjLKz8+vqqqiUCgYhn377bfw1SorKydNmpSfnz979mxdXV140Bt2hCLYu3cvhmFPnjy5dOnSuHHjVFVVWSzWjBkzamtrW1pa9u3bB/dNS0trwYIFAoFA5kUeP34cFBSkr69Pp9MtLS1Pnz5NvGB7jpH9JxAKhceOHRs3bpyGhoaqqqqFhcX3338Pb3G53JMnT06ePJnNZispKenq6gYFBdXV1QEAFi5cCACIiYlp80+AQCD6EJTYgEAgFItQKMQwTFlZWUNDo7S0dMKECQCAR48eyXSw7CRQPs6cObNfv37e3t6XL1/OycmxtbWVGVZeXj5r1qyUlBRbW9vW1lb5ouVKSkrBwcHR0dHFxcWrV6+GnajU1NSIAYcPH05MTHRxcRk6dOi9e/fOnTuXlZX19OlTclvRFy9e+Pn5SaXS8PDwmpqayMjIsLCwt2/fnj59evTo0Zs2baqoqIiMjAwODlZTUyMnny1fvvynn34yMjLy8fEpKyv7/fffExMTb9++bWtr+17HyDx9+nTChAn19fX9+/cfN25cVVVVSkqKjCYmgFU/J06c2Obd+Ph4Pz8/Dodjb2+vpKSUnp6elpY2b96858+fwwFNTU2pqanu7u5r1qyJjIy0tbW1sLCAkpecrlBfXz948OCBAwe+ePFi6dKlJiYmAIAZM2YQw6RS6ZgxYxwcHFxcXO7fv//s2bPAwMCqqipY6ZMY9uOPP+bl5U2bNm3y5MmHDh26cuUKjUZ79eqVpqbmnDlzmpqaDh48GBsba21t/fXXXxNvsX///vXr1+vr648dO5bL5d68eXPRokV6eno+Pj7vdYycblFUVOTj4/PixQsOhzNy5Mja2tpnz54RbQK3bt16+PBhCwsLNze35ubm69evX7x4UUtLKzIyEm5vampqm5uMQCD6kq5WNUMgEIguUVNT069fP1dX15CQkEmTJiUnJ+M4vn///rVr13ZjNRMTEyaT2dTUhOP477//DgAIDw8nD4DhUhaLZWlpWVJSQr4FKzzAjAgIbITx9OlT8rDAwEAAgKqq6uPHj6Glvr4eKqS4uDhiGBSj06dPJyyXL1+G/18NCQkhjLGxsQAAT09PwpKcnAwtAoEAWiIjIwEATk5OHTsm7z/82rB9+3apVAot5eXlEomkza2ztrYGAOTm5rZ5t6KiIiUlhVgHHpJjMpnEgCtXrgAAtLS0pkyZUlFRQZ4LmyS9ffsWXkokEhjBhZ2ZCOA3E1NTU6IwM4y2AgBev34NLbW1tbAR1DfffENM/OGHH+DG7ty5kzBCZR8WFkZYYEex0NDQlpYWaIGFKRYsWNCxYzL+19XVDRw4kMFg/Pzzz8SGlJWVEZ8fP378/PlzYjqsEurt7Q0vdXR0AADkyqYIBOJjACU2IBAIxcLn82FklJzYEB8fT7Qd7jwZGRmFhYU+Pj6wzJmPj4+qqio8zSYzsr6+/tixY0R1iG4QEhJiZ2cHP8PIIgDgyZMnMsPIHeS9vLzgh7CwMMLo7e0NAHj27BlhiY6OBgBERETALvMAgGXLlmloaGRkZMjkTnRMVlbWo0ePLCwsNm/eTBRAMDAwIFqtyvDq1SsAwLBhw9q8q6+v7+rqSqyjrq4OADA3NycGwPQDU1PTy5cv6+vrE/a3b9/m5uba2toSWdqwp+jEiROJwC0AoLS0NCcnh0ajXb161czMDBph7geVSiUCqImJiRKJZPTo0YTMBf92JZ04ceKmTZtkjMThxZcvX4aHh7u5uR0+fJjolQqr4LW0tHTgmLz/X331VVFR0bFjx+bPn09sCIfDIT7b2dmR//XK7BXc4Q85ZINAIBQBSmxAIBCKhcfjKSkpSaVSbW3t4uLi/v37i8Xi27dvd+nkGQRmNRB1HphM5tSpUy9evHjr1i2Z3+vZbLaLi8uHuD1q1CjyJdRkPB5PZtjw4cOJzyoqKqqqqk1NTeQcZTabDQDg8/mEBebaRkZGEn3tAQBQThUUFBDi773AmgPu7u6dKfjV1NQkFArV1dXJiRntjRSJRLdv3wYATJ48GRpxHE9ISAAAxMTE0Gg08ngohclZATBPgOgOSh4WFBRE1twvX74UCARjxoxRVVUlz/3mm2/ILwXLfq1evZq8IDQSf/fvvvtOKBRKpdJly5YRY2CxekKeduAY4f/Tp09hr9dFixZ1vFE4jvN4PKlUmpGRQd4rmCoNW6R+CBKJ5O7du5cuXaqurs7OziZ/zUAgEG0SEBDQQdFxJHkRCIRi4fF4VCpVIBBwuVxlZWVVVdXc3FwrKysDA4MurSMWi2Emw+7du3/88UdohOe6zp07JyN5YR7ChyBTtgyGTnG5BgoaGhoyFgqFIm8kJkokkqqqKgDAyZMn5R9KxCM7A1ynkxK5oaEBAMBisdq8W15efuLEiUuXLpWUlJDVOSEEHz58WFlZ6ebmJt89RF5HyotgYtgXX3xBNl67do08VyQS3bhxQ01NjTxXIBCkpqbq6OiQj5c1NDTcu3fPyMgI5iTU1tbCA39paWkwZZkMIUY7cIzw4dSpUziOf/nll21uFAAgJycnKioqKSmpvLyc6B3Yr18/4isW3GS44d3jxYsXFy5cuH79emlpqYmJSf/+/T08PD6wgBoC8TnQcUEbJHkRCIRi4fF4GIa9fPnS1tbWwcEBAPD8+XNLS8uurnPjxo3a2loAQE5Ojsyty5cvR0ZGEj9nAwBkIpHdgMg66Bj5LIKOY64wB4NOp3dJ3bYJlNGd7OkAU0Gamprkbz148MDHx0coFAYHB7u6umprawuFQh8fH21t7TFjxsAxUCzOnDlTZm5zc3NaWpq+vj5R86ukpOTJkyfW1tYDBgwgD0tNTdXX1x8/fjx5usy5sTt37jQ2Ns6aNYv8p7x586ZAIAgICCAHxRMTE8ViMaFTExMTRSLRwoULifoM8rTnmIz/f//9NwCgvUL3MTExy5cvNzQ0XLhw4ciRI9XU1B48ePDtt99OnjyZ8BlusoqKSnuedMCjR4+Cg4MBAA0NDZGRkfB0HQKB6BGQ5EUgEIrl5cuXTU1NmpqaxsbGsKRU9yQvzGpITEz09PQk2xctWnT69OmEhIT/hD5QVlZms9m1tbWVlZUf+FM1jENXVFR0ZrCmpiaFQqmvr8dxnKySBQKBr6+vQCC4f/8+EcH9/fffpVKpl5cXIejbTAkAbXVTa7PZRJtN1969e3fv3r0BAwbAc3Xtze2MER62IyeZyNMZx2pqagoLCw0MDNqMnWdnZy9dutTa2vr27dtEIB/+8kDeGVitrBuN3O7evTtnzpyQkJDp06fL5NUgEIgPBx1fQyAQvQGfz29qaoLJDM+ePetYnbQ5/erVq2w2e9KkSTK3AgICQNebD0OUlJQAACKRqBtzuw2MdEIF1h6dcQyGYDtZDwvDMA6HI5FI3r59S7bHxsZWVlbOnz+f0LtisXjv3r2ApA7hyTPyAS8CeSmcmJgIAPDw8CAPazOjAJ5UIxvj4+OpVCo88AfBcTw+Pp5GoxHJCdDDxMREVVVVV1dXaOlMFkGbjsn4X1NTA9oP0O7ZswcAsHnzZkLvlpWVxcbGUigUctJFcXExAEB+rzrG1NR02bJle/fu3blzJ9K7CIQiQJIXgUAoFg0NDSqVyuPxGhoaYPCsG1Hev/76q7m52d/fH2pBMm5ubtra2gkJCY2NjV31DcZZ5eswKBRYy3bLli3k59bW1sKf1DvvmL29va2tbW5u7p49e4hc4bq6uvbKPjg6OgIA7t27RzbCSyIBjsvlzps379GjRzQajVCHULDKh3hxHL927RqdTid3U4ONx8jJA/DoG51Ol/m6IhN2ffnyZV5enqOjIzk++ujRo4qKigkTJpArE9+9e7ehocHd3Z3IJYCp2+fPnydnbuTk5JBbabTpmIz/BgYGGIYVFhbCs3EQotqGzF4VFBR4eno2Nzfb29sTmd/V1dVv3rzR0tJqrzKGPFwul0ajqamp/fLLLyhhF4FQHEjyIhAIxcLn81tbW2k0WlVVFYfDEQqFpaWlXe27BoO4bQoCJSWlGTNmCIXCP/74o6u++fr6AgBWrVo1d+5cPz+/169fd3WFbuDq6rp+/fqqqio7O7tRo0a5u7vb2NgYGBgcOXKkq46dOXNGU1Nz/fr1JiYmrq6ulpaWBgYGsNiCPM7OzgCAO3fukI1QX+7evTskJMTf39/ExITNZlOpVLLKbDMlAACQlZVVUVHh6upK1FsA/yY3z5gxY/78+QcPHmxvmEgkun79OvnUVwcJDDJqW37kwoUL1dXVHz16ZGxs7Obm5uzszOFw7OzsyF+QOuMYi8Xy9/fHce/E/MsAACAASURBVNzZ2dnR0XHs2LEcDoco3QD3as6cOYsWLfL09LSxsRk3bpyMJ3B7x48f38kc69raWkNDQz6f//jxY6IoHgKBUARI8iIQCMUCJS+LxaqoqDAwMOhGiLe6uvrmzZscDgeKNnlg84hu5DYEBQXB36kvXbr04sWL9xbw6ikiIiL++usvFxeXvLy89PT0mpqaqVOnrl+/vquO2draZmVlhYSEwLJi5eXlXl5e7bW1CwgIoNFoly5dEgqFhHHTpk2+vr5UKvXq1at1dXVnzpzZvn27RCIhVCY8eaanp0cc8CJoU4/+8MMPAwYMePr06b1792D6SpvD4Ek1cqS2A3Urb5TpDzxw4MBbt255e3vD+ndv3ryxs7M7ffo0kSXcecfOnDkTGhqqr6+flZX1/PlzKyuriIgIeOvUqVOjRo2qrq6Oi4tTU1PLzMyE6RbkFX799VcAQEhIiPz+y1NdXW1kZMTj8T78tCUCgXgvmHzNHQQCgehBNm/evHv3bhsbm8bGxpSUlLt37yYnJ589e7av/focCQkJ+eWXX86fPx8UFNTXvnyClJeXGxsbczicgoICcn2JNhGJRLAFt5aWVu+4h0B85qAoLwKBUCx1dXU0Gk1bWxtGea9evQqL6SJ6ny1btjCZzN27d8PWZYieJSIiQiwWb9++/b16FwDg5OTk4uLyH9K7UVFRLBbrA5N/PD09u3qwrwPmzJljYWHRQeSOwWDAom99Qo/sWJ/T1NRkZ2fXh9vYgyDJi0AgFEt9fT2NRuvXr5+KigqDwWCz2U5OTn3t1GeKqanpnj17nj17Rs4bRvQIubm5UVFR06dPnz9//nsH3717VywWb9u2rduPwzAMw7AlS5bI3zp58iS8C/vzdZuzZ88WFBQQl62trS0tLR/VlyWBQCAQCAjJW1JS0kFh5l6gp3YsMzOTSqX+9ttvPepdZ7lx4wb5X86rV6+ePXvW1WaWT548UVJSarPhTh+CJC8CgVAsDQ0NysrKdDodlmuoqakhZ1giepmVK1fm5OTIl19AfCC6urpZWVmdzNjZuXOnm5sb7MzSbVRVVf/880/5SnYXLlwgnxTsHiKRaNWqVWQBFxYWxufzie7NHwNXrlzJz88nqkdfuHChDyVvT+1Ya2vrwoULXVxc4BGFXqa2tjYoKIjcYWfEiBEtLS2LFy/u0jrW1tYrV64MDw9vr4BMn4AkLwKBUCywzzCVSoVFeV+/fm1mZtbXTn2+YBhmY2OD/gQ9joGBga2tLbmYWnscOnRIIBDs37//A5/o5ub27t27pKQksrGysvLWrVsy7Vq6QUJCApfLlTF2JmGjlyHEWWtr6+XLl/vQk57asYsXL758+fKbb77pIb+6gEgkWr16NY1Gkzmr2r2/e3h4OI/HO3z4cA951wMgyYtAIBQLl8ulUCg4jsMoL5K8iM+Ze/fubdy48datWx++lL29PZvNvnjxItn4+++/q6qqkn+G9vT01NPTk0gkhKWyspJKpa5ZswYA8PDhQ3d3dy0tLQ0NjREjRvz4448AgJ07d/r7+wMA3N3dMQyDvwn88MMPGIbl5eUR66Smpk6cOFFNTU1dXd3e3h4WaCstLV27du3gwYNpNBqLxZozZ05paWlnXqfjiQwG4+TJk6mpqZaWlhQKJSUlBZAyg0tLSy0sLB4+fHjv3j2Y1AHLMAMAaDTaqVOnhg4dymQyLS0tY2NjiTUzMzMxDHv8+HFYWJiOjg7ct+fPnz99+tTT07Nfv34cDmf58uV8Pp+Y0tzcvGbNGgMDAwaDMWbMmLS0NGj/kB2TISoqytjYmNx7BXL27FklJaX8/PyQkBA2m62qqurj49PQ0JCQkODi4qKqqspisb799ltiMIZhMl+H3N3dBw8e3NzcXFlZGRoaamJioqKiYmxsHBISwuVyb926NXjw4HPnzlVVVVEoFGVl5fr6+pKSEgzDduzYQSxSWFi4dOnSAQMG0On0AQMG7Nu3DwDQ1NR0/PhxZ2dndXV1Go02fPjw69evGxkZTZ48+dSpU+QSMX0LajiMQCAUS01NTVNTk1gsNjY2Li4uNjAwQCWZEJ8nJ06cOH78eEZGRo+s1tra6u/vf/78+ZaWFgaDAY0XL1708/Mjh+UWLFhw48aNmzdvEr1Frly5IpVK58yZU1dX5+7uDjO8hUJhZmYmVJkzZsyQSCTffffdwYMHR40a1eYZu+TkZC8vLyMjo+XLl6upqWVkZMBhdXV1f/3118yZM4cOHZqXl7d///6KigpCGnbAeyfevn3722+/9ff3DwoKkmnfqKmp+fPPP8+dO1dDQ+P48eMAAHNzc3jr1q1bycnJy5cv19LSioqKWrBggYGBAVlQzp07d8KECdeuXXv16tWKFSumTZvW0tISFha2bdu2O3fufPvttxQKJTIyEg729/e/e/fu2rVrdXV1T548OXny5Fu3bjk5OX3IjpGpr6//3//+t3jxYvm6znFxcSoqKiEhIWFhYV999dXevXsvX77s4eFBo9HCw8O1tbWhcdmyZSYmJrCGNI/HI6b/+uuvN2/eTElJaW5udnBw0NfXh4HkjIwMKpWqrq5ubGy8ZMmSrVu3HjhwYPTo0SoqKiwW6/z584BUeTo1NdXX11dfXz8gIEBTU/POnTuwNHVVVdWhQ4d8fX1DQkKKi4t37969Zs0aT09PT0/P69evP3jwALac7HtwBAKBUCR6enr9+/efMmXK4cOHk5KS3N3d+9ojBKIP4PP5qqqqPbUaAGDz5s1QEf7xxx/QWFRUhGFYYmLi0aNHAQD379/HcVwgEGhqas6bN4+Y6+rqampqiuM4jJUmJibKr3/hwgUAQHJyMmGB9YnfvHkDL21sbNhsdl1dXcd+Ll26FABQXV0NLz08PAwNDTvzgjITYf3mhIQE8hiZ1YYOHTp27FjyADqdTqFQCJ9hwHXFihXwEvbYc3R0JMbDzojr1q0jLGPGjDEyMoKfr127BgC4ePEivHz37p2qqqqXlxe87JEdS0hIAAD8/PPPMnahUKimpsZiscrLy6EFdt5xdHQUi8XQAgt75+fnE692+vRpwlVdXd3FixfjOL5lyxZlZeXGxkb5py9dupROp/P5fMLi4eFBvH5RUZGGhoaXlxc8MtgBZmZmsJLGw4cPAQC7d+/ueHyvgRIbEAiEYhEIBBKJhM/nczgclNWA+GyZNWuWlZVVDy6I4zjsM0fkNly8eFFbW1umsTODwQgMDPzrr78EAgEAoLa29tatW7Aws5mZGY1G279/f1fPGFVUVOTm5gYEBLy3yBo8vPXu3bsurd/mRCsrK29v766uY29vP2TIEPh58ODBampqMkUSyXnPsCskuYH24MGDq6qq4Oe4uDgKheLs7NzQ0NDQ0IBhmIWFxd27dzvjRid3DJ5+k29OeevWLR6Pt2nTJngiAgDwzz//AAAOHDhARPT/+ecfNpsNm2/LRHnXrVunpKQE08cLCgpgxxb5pyckJJCbEfL5/PT0dOKo64YNGyQSSWxsLPGTQpu0tra+ffsWRn/hi5CP9PUtSPIiEAjFIhQKxWJxTk7Oq1evXr16NXTo0L72CIHobWpqakQi0bp163p2WQqFMmvWrISEBJhvevHixZkzZ5LbLEMWLFjA4/H+/vtvAMDVq1clEgmUvP379z979uzDhw9NTEwWLlz46tWrTj63pKQEAADVlQx8Pn/z5s02Njba2tr9+vWDv57jnWh69d6J3ftfh6GhIfmSRqOR05oBADo6OsRnuHVQLxIWYnxhYaFUKuVwOKx/efjwIY/Hk1mwTTrYMTJQ4pMdgMTFxSkpKc2bN4+wJCYmmpubjxkzBl62trampqZ6eXnBjAg2mw3+lbx37tw5ffp0dHS0hoYGACAsLIzFYk2dOtXT0/PJkyfEgo8fPy4rKyPXcklKShIKhdBSXV196dKloKAg8nZBBALB8ePHJ0yYMHDgQBiKFggEcJampiaVSu3GFx4FgSQvAoFQLK2trUKh0MzMbMKECZ2P8kokEtSxAvFpIJVKc3NzcRyfMWNGjy8+e/ZsgUBw9erVV69e5eTktNlXb8yYMebm5jAv848//rCzsyNSXQMDA4uLi7dt2xYfH29tbQ0bJr8XqESJZtFk/P39f/jhhxkzZiQnJ+fk5Hz99dedfJH3Tmzzce+l45AkAEC+mze5RBcZHMcZDEaaHO2Nl5kLOvEKUqkUACCfyBsfHz9+/HhdXV14WVNTk5mZ6evrSwxIT0/n8XhE0i3Umjwer7W19csvvwwMDCRujR49+s2bN2vWrElNTXVwcPjf//5HPAL83+7Z8fHxTCbT1dUVAHD9+nWxWDxr1iwZx7hcrpub2/fff+/n53fx4sXs7GwPDw8VFRX4UwM8Sghf6mMAHV9DIBAKpKWlhUKhNDc3v3v3Tk9PrzOSt7y83NHRsbS01MzMDMYqEIj/Og8ePIiKilLEymPGjBk4cODFixffvHnTv3//9s4JLViwYNu2beXl5SkpKbt37ybf0tTU3Lhx4/LlyydPnrxq1aq5c+fKSy4ZYJ2EoqIiGXt5eXlSUlJISAjRZaOTcqfbE3sTY2PjlpaWYcOG6enpdXVuezsmA0x7kAmLPn/+vLCwcNWqVYTl2rVrUqmUULEAgLi4OGVlZeKEIoZhLBZLKBTu2bOnpqZGpvWMlpbWgQMHnJ2d/fz8kpOTYX1o+J1nwIABcIxUKk1ISHB3d4ffGXJycgAAMqcGAQD79u3LzMzMzs62sbEBAPD5/LS0NDc3NxUVFQBAY2OjWCz+eFoMIsmLQCAUCJ/Pp1KpFAqlurpaXV29sLCw45/2nj9/7ujo+OWXX65Zs+bjyQBDID4QQ0NDe3v7adOmKeJbXGBg4MGDB589exYQENCeWp03b96mTZt27NghFotnz54NjTiOE+NZLJazs3NWVpZAIGAymUwmEwDQ0NDQ5mr9+/cfPnz4pUuXtm7dCn8uJxYEAGhqasJLsVgMj3y9l25PJMNkMttzuEeYNm3aiRMnjh49unPnTsIolUphlLd7OyYDVJyFhYXkFpVxcXGAVDYBWrS1tR0dHcmWCRMmqKurExY2m11fXx8TExMTEwOzEch/bvBvtd2xY8cCABobGx89erRixQri7oMHD6qrq4mHwh5y1dXVRDIxJDY2dvjw4VDvAgDCw8MbGhqIUHFhYSEAwNjYuL337WWQ5EUgEAqEz+djGKampsbj8err64mfU9vDzs7u/v37I0eOBADAOr4IxKdBamqqTH3cnmL27Nl79uwpKipqM6sBwuFw3N3dY2Njx48fDyOOAICjR4+mp6dPnDiRzWa/efPmxIkTU6ZMgdJt5MiRNBoNFi+j0Wjyv2jv2bNnypQpo0aNCgoKotPpOTk58+fPnzJlypAhQ37++echQ4aoqqrGxsbKJxa3iaGhYfcmknF0dDx+/PiuXbtgtHvQoEFdXaFjvL29p0yZsmvXrpcvXzo4OIjF4qysLBMTE3gsrNs7Rh4Dle7du3fnzp1LGOPi4szNzYlDeK2trUlJSdOnTycSKp4+fVpcXCyTCqKtrX3p0iVXV1fiX8WOHTuys7Pd3d01NTVfvXp15MiR4ODgiRMnAgAaGhqkUmlmZub58+e1tbU9PDzi4uIwDPPx8YFznZ2djx496ufnFxISQqVSnzx5EhYWNm7cuJaWlvz8/OjoaCqVeuHCBRifJl4Knu2DR9k+CvqoUgQCgfgsePr0KZ1ONzExMTY2jo+P9/HxaW9kU1MThUIhSvAgEJ8eGRkZlpaWPbIUAGDTpk3EJZRExCW5SBkBbIYcHR1NWDIzM2FnBGVlZWNj47CwsIaGBuJuTEwMh8NRVlYODAzE5Upu4Th+/fr1sWPHqqio0On0MWPGPH36FMfx3NxcaNTT09uwYQP8Kf+ff/6BUzooUtbxRDqdPnfuXJkpMqtVVlbCH+K1tLQyMzPbnKWtre3r6ws/w0peMTExMvuWnZ1NWObPn0+lUolLoVC4devWQYMGKSkp9evXb9y4cUlJSR+4YzLY2dmZmJhIpVJ4WVNTQ6FQyHXTbty4AQD47bffCMuuXbvAv+XJCDw9PdXV1UtLSwlLdHQ09FxDQ8Pe3j4qKop4Co7jM2fOhLV4f/31VxzHraysRo8eTV5w586dRkZGysrKHA4nODhYKBTiOH769GkOh0On00eNGnX58uU9e/bY2dkRU3x8fDQ0NJqbm+Vfs0/A8E6co0QgEIjukZmZOWHCBDMzMyaTGRwc/ObNG5msMgJ3d/fw8HAiFw2B+CT58ccfDx8+DM/v9zIbN27cv39/ZWXlx5NbiZDn1KlTixcvhiXMu71Idna2vb398ePHlyxZ0oO+dYm3b9+amJiEhoYeOHCgr3yQAVVsQCAQCoTP50skEh6Pp6enV1BQ0N5PjV9++SWdTkd6F/HJs3bt2q+//lrm5+xeoKWl5ezZs9OnT0d69yNn7ty5ZmZme/bs6fYKfD4/JCTE3d29D/UuAGD//v2qqqqdL9nRCyDJi0AgFAifz5dKpTQaTU9PLz8/X77EOgCgvLz8/PnzsEQOAvHJExQUVFVVRa6xqlDi4uJ+/vnnqVOnVldXb9q0qXceiug2DAbj7Nmz9+/fv3LlSpcmNjU1nTlz5vjx405OTrBnhII87Az//PPP6dOnDx06RCSOfwyg42sIBEKBwFroGhoaenp69+/fbzPKu3Xr1kOHDvW6awhE36Cnp/fjjz8uWrTIwsLixYsXin7ciRMnkpKSTE1NL1++TJysR3zMODg4NDU1dXXW/fv3ly1bpq2tPXXq1F27dvVthcdhw4YRvd8+HpDkRSAQCqS2thYe/tDV1W0zypuSkvL333+fPHmyT9xDIPoEZ2fnP//8MyUlRUNDo7GxUaHPgiWuEJ88kyZNEgqFfe3FRw1KbEAgEAqkrq6OSqWKRCI6nc5iseQ7IW3btu27777rC9cQiL7Eyspq2bJlZmZmq1ev7mtfEIjPAiR5EQiEAnn37h2FQmlpaRGJRPJZDWVlZUVFReT65wjE5wODwXj48GFlZaWRkdG0adMePnzY1x4hEJ8ySPIiEAgF0tDQQKFQmpqa+Hy+fFbD4cOHw8LC+sQxBOIj4eLFi8eOHXv58uWCBQu+/PLLjzADEoH4NEB1eREIhALx8fG5ffs2hmHLly9nMpnbtm0jbkmlUiUlpZaWFhqN1oceIhAfCa9fv/by8hKLxRwOZ9iwYdu3b/+oTrsjEP910PE1BAKhQGDIqrm5+e+//5YpuxsVFRUUFIT0LgIBMTMzy8/PT01NzcrKOn36tK2traampr6+vrKycnFxsaGhYV87iED0GAEBAatWrerlh6IoLwKBUCB2dnavXr1SV1c3Njbes2ePi4sLcWvChAk7duxwdnbuO+8QiI8XLpcbFxd39+5dJpOJYdj06dP72iMEoscwNDQ0MTHp5YciyYtAIBSImZlZUVGRubl5Q0PDvXv3jIyMoL2oqGjixImFhYV96x4CgUAgPhPQ8TUEAqFABAKBWCxubGwsLS0l9C4AYOXKlbNnz+5DxxAIBALxWYEkLwKBUCDNzc0UCsXDw8PY2Jgw5uTk5ObmfvPNN33oGAKBQCA+K5DkRSAQCkQoFCorK1MoFLLkvXLlytKlS1ksVh86hkAgEIjPCiR5EQiEAhEIBBQKRSKRyEhePz+/PvQKgUAgEJ8bSPIiEAgFguM4lUptaWkhJG9eXp5AILCysupbxxAIBALxWYEkLwKBUBRSqRTWhOFyuYTkPXbsmIODQ5/6hUAgEIjPDiR5EQiEomhqasIwTCqV1tXVEZI3ISHBx8enbx1DIBAIxOcGkrwIBEJRNDc3YxjW2tpaVVU1YMAAAEBra2tJSUlQUFBfu4ZAIBCIzwskeREIhKJoamqCuQ1v376FUd4bN27ItB3uPHl5eRiGYRimra0tFovbHLN8+XI45rvvvuu2220yZswYU1NT2D+5e0ilUicnJ1VV1ZKSkh50rEeYM2cOi8UqLS3t5edu3boVw7CoqKhefq4MtbW1tra2I0aM6PYKa9aswTAsPj6+B71CIBA9C5K8iP/H3nvHNZF9j99nkpAGCb3baCqiAgqIlaLYC3bB3nXVVVfd1VXXjq5tLavuqqtrw16wYQUs2EWwKyqg0nsnhGSeP87PeeabBAREw3687z98MWfu3DlzJyZnzpxCIHwtCgsLAUBXV9fAwEAgEADAhQsXunbt+oXTZmVlXblyRV2uUChOnDjxhZNrpLS0NCoq6s2bN2lpadWeZP369bdv316wYAE6vGsPL168OHjwIAAYGBh8vbOEh4cfOnRIRXjmzBkA6Nmz59c7b2U4evRoTEyMsbFxtWdYsmSJpaXlxIkTc3JyalAxAoFQgxCTl0AgfC0yMjIoihIKhUKhECVfbvK2a9cOAI4ePaq+KyIiIi0trUOHDl8yv0b4fP65c+eOHz9uZ2dXvRlycnIWLVpkamr6008/1axuX46dnd22bdtu3bqlp6f3lU6Rnp4+aNAgFff2hw8foqOjXVxc2G35tELv3r23bNly+PDhas8glUp//fXXpKSklStX1qBiBAKhBiEmL6EKoAVDUdTTp0+1rcv/Iysrq3Hjxra2tsnJydrWpSJu3rxpbGz85Q7OaqOVhcrIyAAAPp9fp04dAIiNjc3IyNDX1/+SOZs3b25qanrq1Cm5XK6y68iRI1wut9qBExXj5+fXr1+/ah++e/fuoqKikSNHore7VsHn8ydNmuTo6PiV5pfL5VOmTMnIyFDx5mIYQK9evb7SeSuPtbX1Dz/8YGRk9CWTDBs2TCQS/fPPPyUlJTWlGIFAqEGIyasFCgoKqP+LQCAwNTV1d3efNWvWmzdvtK3gf4mnT5++evUqLi7uzp072talIsLCwrKysi5evJidna0VBbSyUFlZWQCgp6fn4eEBAB8/fjQwMPiS18cAUFJS0q9fP/XYBoxq8PLy0tXVVTlkwYIFFEX99ddfbGFERARFUQMGDGAkKSkp06dPd3BwEIlE1tbWvr6+W7duZQxrCwsLiqJUYoh3797dtm1biUSip6fn5OQ0Y8aM8tTesWMHAIwePVrj3u7duxsZGclkslWrVjVp0kQoFFpZWf38888KhSI5OXn69Om2trYCgaBu3bqrV69mH/jjjz9SFIVhCQynT5+mKGrs2LGM5PXr11OnTm3UqJFYLJZKpR06dNizZw/uksvl+vr6BgYGKo8Qp0+f7t27t7m5uUAgsLGxCQwMLCoqAoCysrKzZ88OGTKkbt26fD5fKpX6+vpGRUWVd+EbN26sX78+euWdnJwoimJK1KHJ261btw0bNri4uIhEImNj45EjRxYUFKhMEhUVFRAQYGFhIRAInJycdu3apTJAJpNt2bKlbdu2UqlULBa3bt364sWL7AF4mYGBgXK5fMWKFXZ2dhwO59ixYwBw7949iqJUqoikpKT8+uuvzZs3F4vFhoaGHh4eTMxMQkLC8uXLPTw8JBIJn89v0KDBggULlEqlgYGBv79/ZmbmyZMny1sNAoGgTWjCN4fJgOFwOFwul8vlsu+IQCA4efKktnXUTHp6Oir55MkTbevy/ygoKOjSpYuPj09WVpa2damIx48ft2jRYsKECd/gXEOHDnVyclIRamWhgoKCOByOk5PT+vXraZrevn37+PHjqz1bbGwsAAQEBFy9ehUARo8ezd576dIlAPj7779///13AFi0aBGza/78+QCwbds29vjw8HAA6N+/P24WFhY2bdoUANzc3IYMGdKuXTuxWNyoUSNMv6Np2tzcHADkcjkzw7hx4wBAR0fHw8OjQ4cOhoaG3t7eGjVPSUkBAHNzc417CwoKBAKBvb1927ZtBw0a9Mcff0ydOpWiKACYM2eOmZlZYGDgH3/8MXHiRPzf9/DhQ+ZYGxsbHo+XnZ3NnhAVY75Grl69KpFIJBJJr169+vXr16hRIwD47bffcC8+OQwePJg5vLi4uH///gAgkUjat2/v6ekpFAoDAwNxL9pzVlZW/v7+AwYMMDExAYAmTZpovDSapjds2IDO3U6dOq1cuXLlypWXL1/GBRcKhSYmJu3atatXr96gQYN8fX3xAhcuXMieYc2aNVwu19raul+/fp06dcIxZ8+eZQa8e/eOuXcBAQFt27YFAB6Pd//+fWYMXubixYvbt29vYGDQunXr9u3b491csGCBysfj4sWL2A27cePGvr6+DRo04PP5T58+xb3Ozs48Hq9NmzYBAQFt2rRBfY4cOULT9Pbt2wFg3Lhx5a0GgUDQIsTk1QKMyRseHo4ShUKRlJR04MABMzMzADAyMiopKdGqjpqphSYvQYWioiL0OGpbEZqm6Tlz5gBAw4YN0SAICAgIDg6u9mxo8g4YMKCsrMzMzMzQ0LC0tJTZO27cOB6Pl56ejsGUVTV5T58+DQAjRoxgBuTn5z979ozZVDF5Me/K1tb23bt3KEGPrEbN0UHYt29fjXvRiORyuadPn2aEGAMjEAiuXr3KCDGOmbFlMb7Iy8uLPZtSqbS0tBQIBAUFBTRNy2Sy+vXrW1tbp6SkMGPu379fVlaGf0+fPh0A9u3bxxzeu3dvAJg5c2ZhYSGzFDk5Ofh3cnLy1atXmSeB+/fvA4BYLNZ4aQi60tHSZTh16hR+mSxZsoRRZsqUKQDAmNc0TW/evBkAfvzxR+Yrcdq0aQAwatQo3MzKyrKxsZFKpez5f/nlFwBgP1/hZRoZGc2fP7+4uJitibOzMwB8+PABN2/dusXn8+vVq3fnzh1mzMePH5m/L1++nJqaymyie3j16tX0pzvSuHHjClaDQCBoCxLYUCvgcDiWlpaBgYH//vsvAGRlZVXwopBAqIDDhw+rvxfWFrm5uQBQVlaGsbwRERHe3t5fOCdN01wut1+/ftnZ2ZcvX0ZhWVnZyZMnfXx80OlYDTgcDgDo6OgwEj09vSZNgGWMBwAAIABJREFUmpQ3HsMkVq5caWNjw8xgYWGhcfCrV68AoLzZ8P3+jBkz2FGtGEExb948xvfJCBs0aICbaHarxMI+fPgwOTnZx8cHAzwiIiISEhLatm2LJjvi5ubGvFw6e/Ysl8vt3r07bu7YseP06dPDhg1bv369WCxmloKJwLawsPD19UUnNABIpVIAaNy4cXkLVVpaevHiRalU6uXlxZaj8sOGDfvtt98YZfBzwlS0ePny5ezZszt27Lhx40YmBhpbVTPxstOmTYuLi9uxYwfjAAaAbt26AUB8fDwjwUUeMWLE8uXLmWRKAPjw4UNMTIyLiwueWiaTDR06lKKo0NBQdo9Aa2tr5u9OnTqhb0J9BRo3bkxR1OvXr5VKZXkLQiAQtAUxeWsXrq6u+AdWd0JkMtnevXu7detmZmamo6NjaGjYvn373bt3qx8eEhLSrVu3Bg0aCAQCHPbHH3+olFWiaXrPnj1MAKKnp+fff/+tUCjUZ7t8+XKnTp309fX19fXbtm174MCBKmXelJSUrFu3zt3dXSqV6urqurq6rl69uri4WGXYuHHjsJ5lYWHhr7/+amdnJxAIzMzM+vbt++jRo8+e5ePHjxgPzY6BZubMy8ubOXNmvXr1BAJBnTp1Ro8enZiYCADJyclTpkzBhbK0tBwxYoR6qdTKL/vTp08DAwOtrKx4PJ5KlDZjCuzfv5+iKPxZZbC3t6coqqCgID4+fsSIERYWFnw+v379+j/88IN6Maz09PRVq1a1bt3awMBAR0fHwsLC39//+vXrzIC4uLiePXtitOizZ88YHW7evFneQiEPHz4cOnRonTp1BAKBhYXF4MGD0XWnQpW0RTBwOS8vr27duq9evZJKpZaWlhpHVpWBAwcCq27D1atXMzMzBw8eXO0J27RpY25uvmvXrpkzZzJvMyoAQ6I7d+5cmckzMzMBQGMQM03T586d4/F4s2fPZoRlZWUPHjzg8/no9USKi4uxkFbz5s1RgmacSk6Yih2MBllISMjWrVvVixm/ePHi7du3bdq0wcwtuVy+ePFigUCwZs2az15UYWFhTk4OfgIrWIdr167l5+d37tyZ/ThB0/T58+cpilqyZAl7MD7qM89FixcvlslkSqVyEgsMHsDnhydPngQHB7u5uQ0aNEhFN+bamcu0tLTEoBc2Kil0O3fujIuLmzRpUgVPO4hCocjNzc3Jybl37x6fz0eduVyugYGBUqkkpcoIhNqIdp3M3yfqgQ0M+LJVJBJlZGQwQoyrAwDMckN3FADMmTOHfSyT16Kjo4NWGm4ePHiQGVNWVsb8NojFYj6fj3/36NGDHaRI0zRTHJ7L5ZqamqIbZuLEiTjtZwMbkpOT0RkDAFKplKn36eTklJSUxB6JSTZLlixBc19XV1cikeBgoVDIjsbTyIcPH3BwbGysypyLFy9GHfT19RljvU6dOg8ePEDjQ09Pj/FjWVlZpaens2eu5LJHRkaKRCIAaNiwob+/P2Pj6ujo2NvbjxkzBoft27cPAKytrdnHYsWrffv24foYGhoyetrZ2eXm5jIjS0tLGQ+inp4ek1rO4XDOnDmDYyIiIrp06YJ593p6el0+gTGIGheKpunNmzejx47H45mamuL9pShqw4YNKktdeW0ZOnXqhJPTND1//vyRI0dWfDcrBgMbMBQBYxsMDAwwtmHMmDE6OjqZmZk0TVcvsIGm6ejoaCcnJ7zjY8aMefPmDXs8O7ABbUeRSFRJzTG4dvfu3eq77t69CwB+fn7quqkEQjBuUdzMyMjgcDgODg4qE+L/o4SEBEby448/4m2yt7c/fvw4ezCagL///jtuYogFO65XhcTExEWLFjVp0kSlnFlkZGR5h+DZ9+zZwxbeu3cPAHx8fNhCuVxuYGCgp6eHMQzp6elsK1kFjDrAcAWVO0vTNFrSy5cvZ1/msmXL1NVDf/Ddu3dxE4McXrx4Ud7lnDlzplevXpaWlswXgsrts7W1BYC3b9+WNwOBQNAWxOTVAhpN3sLCwvPnzzs4OFAUtWnTJvb469evjx8/PjIyEiPe8vPzx48fjzYKEztYUFCAhtfq1avRCCgpKTl//vz48ePZ8Y741V+/fv3w8HClUimXy48dO4a/XkFBQcyw2NhYtIaHDh2K2U65ubnz5s1jvuI/a/LiS8amTZs+ePAAJU+fPsW0fS8vLyYQkP5knvJ4vDp16jAxgrdu3UILr1u3bhWfqAKTl8fjOTg43Lt3j6bpsrKyrVu34kgej2dpaRkWFkbTtEKhOHbsGP6yLlmypKrLTtM0dmwaNWqUQqHACXHYwIED2bNVYPLyeLwOHTq8evWKpmm5XP7vv//iryljiCCbNm367bffcBhN0/Hx8fjiVSVsF2Mf1WN5NS7U9evX8VzLly/Pz8+nabqoqGjjxo0cDoeiqCtXrlRbW8Td3Z2iKAsLC5qmpVLpiRMn1MdUHrbJS9P0pEmTAODs2bOlpaVGRkbMR6WSJm9oaKiKyUvTdFlZ2dGjR93d3dGiZT8usk1eLG5QcQArGzT7/vzzT/VdCxcuBIAtW7awhVi7V8VEnjBhAgAcPnwYN/fu3QsAM2fOZI9BF37z5s1VzhIZGcmUK16xYgUjb9++PQA8f/4cN/EVwYEDBzRexd27d01MTCQSyeTJk48ePRoWFhYaGsrhcExNTfHDrxEbGxsOh6PyPIlXvXXrVrYwLCyMbejjBaokKaqAn0kmDJfBzc0NAGJiYtiXqW7IYgqdhYUFfu0kJCQAgPpTBCKXy3F93N3dN23adOHChfDwcGydzf7Gxs+JyoM9gUCoDRCTVwswJq9QKNTV1dXV1UVrVU9Pb+DAgZcuXfrsDMXFxRhax9gQz549AwCKomQyWQVHoXfw2rVrbDm2ZjU3N2cs0ZkzZwKAra0t21ymPyVqfNbkvXbtGgBwuVwVh2JKSgp6VS9cuMAImVJKKj7vTZs2oVVRwa8pXaHJy7iCGJhffXaeEE3TgYGBoOZzUkd92ZkGrUwOE/3phxNjABhhBSavgYGBSsY91n/t0qVLxfrcvn0bz84uwlAlk9fHxwcA1J2vs2bNAgBPT88v1BZDG93c3OLj4+vXr1/x5XwWFZMXLaSRI0eeP3+ebSCqm7yYkq9iVmKVLhWTlyE4OJjP54vFYvQc02rpa5jRr9G3rQ7+F1N5pkJcXFzg/zplaZp2cHDgcDhpaWmMRKlUWllZ6ejoMGlk+LpGJSfs119/BYD58+drVCMoKAgATE1NcTMzM5PL5drZ2TED0MnNPFaxKSoqsrCw0NXVffz4MSPE3g3snD8VMJ2rbdu2Gq/6/fv3bCF+7ezatQs3MU1t3bp15U2OwQP4QMXm4cOHANCqVavyLpMBU+jGjh2LmxgnExAQoPF069evx88b8z2pVCox/oH93x+fnyv4HiYQCNqCxPJqk5KSksLCwsLCQoxwLS4uTkxMfPfu3WdTH4RCISbNYKl/AMAXbfSnb22NhIeHZ2VlWVhYqLSnwvyY1NTU169fo+TChQsAEBgYqPJicfjw4ZW5LsxPb9eunb29PVtubm6ORrN639G6deuqJDahS7ioqKjahWzr1avHTkABgJYtWwKARCJhknXY8qSkpIonVF92PISiqPr16zPD6tSpQ1EUTdNv376tjJ69evVSafSK145hxxXA9A5g9KkS2dnZERERADBq1CiVXWPGjAGAO3fuoPlebW0x5d/GxubWrVtMOaeaokOHDubm5iEhIfv37+fz+f7+/uWNxFAZlfurMV6ZISAgoG/fvkVFRY8fP9Y4wNPTEwCwXNpnwRhudjYV8vHjx+joaGdnZ3YL4levXsXGxnp4eJiamjLCqKiopKSkDh06MGlkeO/wo4ukpaXhq4zy+veOHDkSAJgImfPnzysUCvZgjMnGJ3AV9uzZk5KSMnLkSCZgqaysDIOpKuglga50leYgeNXqTdfOnDnD4XCY5+rPhsPm5eXBp5vLQNP0nDlzKIpiuqDhZWpUUqXdcQWXr1Qq16xZQ1HU77//zqTunTp16vnz505OTkwKY1JSklwuNzU1ZWLGCARC7YGnbQW+a8LDw9HOUygU6enpYWFh8+fPnzRp0qFDhy5cuMDOFSsrK7tx48bjx48zMzNLS0sBACt9MmlnhoaG06dP/+OPP4YPH37lypVffvlFPYf6wYMHAJCdna1iiTIl6FNSUho1aiSXyzHBHF/Zs8Hil58F087QkaOCq6vr0aNHMZKPDbqX2DC5PtVuZaSegIJObltbW5VayCiXyWQq4z+77Ji4TdN0RkYGk8SNcdjAyp6pmPKuXf3Cc3Nzw8PDX79+nZeXV1ZWxtw4jdmHnyU6Ohr1VL9TjRs3FgqFJSUl9+7dY1vzVdIWAIqKijgcTp06dSIjI7Faag2CdRu2bdsWHBzcs2dPFUOcDeZ7HTx48Oeff8ab8ujRI5VMxPv379vY2DAFH2Qy2fPnz+HTLVZnwoQJoaGhv/zyS8uWLdFgpWn62bNnGv+PtG7dGgAiIyNV5Brbj2msw6AiLC4uRvssOTkZ/c1JSUn9+vXLyckxMzPDh5Bnz57p6uoy5R1oml66dCkAMPV91c+OEe3BwcFY5AsAEhMTRSKRkZERKs8ULsjLy5s4ceLDhw/5fH4F7e7wO4dt0EM5WXcvX7588+aNp6cns+BoRwYHB0+cOJFpLxIdHa1UKvGrycLCQiQSxcXFxcbGOjg4AIBMJps5c2ZYWNicOXPwDUZ5p4NPKXQCgcDPz4+5fAC4dOlSRkYGfhIUCsXr168dHR3j4uKSk5PFYjHzvXTlyhV8hGAvIKaKYi05AoFQ2yAmb62Ay+VaWFgEBga2a9euUaNGERER27dvx/d6AHD69OlJkyZ9tk/s6tWr9fX1g4KC/v333z179nTv3n3+/Pn4W4vgb6RMJivP+4gmVG5uLrqZ1es9VbIhJ+anaywXhUL1BP8K7BU0y6pBeXOqZN6Ud6LKLHv9+vWbN2/++PHjzZs3L1u2DIVbtmwBgEaNGjHWRvX0VNFn2bJlQUFBNdjLFG8T5pir7OJwOEZGRklJSZW/Uxpvk0wmQ5N3//797GZgNcXAgQMxz1IlYV+FTp06OTo6vnjxwtHRsU2bNnl5eeHh4cOGDWNbvYcPH964cWPLli3t7e1LS0tv3bqVmJjYo0eP8jL3/f39R40a9e+//zo4OLi5uaFT39DQ8OXLl+qDHR0dTUxMXr9+nZqayi4WVoF1W3EdBpFIZGVllZSU5OPj06FDh/z8/Bs3bgwcOPDu3bs9evTA6OqNGzf+888/LVq0aNy4sUwmu3fvXkJCwqRJkyZPngwAcrn8woUL2ImNOcv48eOnTp06d+7cffv2mZqafvz48cOHD6mpqfDpwSYoKOjly5eFhYURERGBgYFcLtfLy0vFz8oGNVmwYEFYWJiOjs7OnTsrvmq2cPTo0Rs2bHj48GH9+vWdnZ3lcvmbN2+Sk5NjYmJwgI6Ozrhx4zZv3uzm5oaJkjdv3kxLS/vll18YF6/Gy0QePHiQnJzcrVs3xp7u0qVL3bp1P3z4YGtr27Jly/z8/Ddv3kycOPH333/X19fncrlFRUVt2rRp2rTps2fPEhMTO3fufPz4cbbON27cAAD1cxEIhNoACWyoXdSrVw/f/2J4IgDcvXu3X79++NUcHh6ek5ODkWTqzjYej7do0aK4uLjZs2dLpdJz5861bduWnXOGRkmHDh3KC3PBnDPGdmHe3zGoOEfLg0nSV9+FwmrPXCW+ZM7KL/vWrVsFAsHy5cvbtWs3ceJELy+vpUuXCgQCNHxrSs+1a9f+9ttvMplsxowZ0dHRRUVFNKszSPWo4DZBDd0puVyO6WsvXrxgCvDVIF5eXtgRt0+fPhUM4/F4ly9fDggIKC0tPX36dGJi4qZNm3bs2MF+hR0YGDhgwICEhITDhw9fuXLF1NR02bJl2JC2PHbt2rV9+3ZnZ+fo6OgHDx4YGBgwDlQVKIoaNmwYAOzfv58RFhUVhYWFmZubY7Yckp2dHRkZWa9ePaYSGQAkJSVFRUU5OjpiNQAkODi4ZcuWOTk5V65c0dHRuXDhAraQYGxlT09PFxeXFy9eHDly5O7du87OzmfPnt22bRuaoTdu3MjNze3SpQs7eGnKlCkbN250dHSMjY29e/euvr7+hg0bMJRi/vz5ffr04XK5ISEhmZmZu3fvXrp0qUpchDpz5sxp1qxZWlrapUuX0BGr8apBk6HfoEGDa9eude/evays7Pr167Gxsa6urrt27WKvzNq1a+fNm6evr3/mzJlbt275+PjcunVr1apVzOdW42WWd0aRSBQWFtavXz8ej3fjxo2PHz/26tUL76mJicnu3bvt7OxiYmLCwsI8PT1jYmKsra1NTEwwxAUA5HL5kSNHdHR0hgwZUsGaEAgErfE1AoQJFVNBkTL6UyqVu7s7bmJGsKurq0oWV8OGDUFTdR4kJydn6tSpeBamr9KiRYsAQGMaB5vS0lL8wVDJ8aJpGgMe4HPpaxgcPG3aNPVdy5cvh//bnhSdf0OHDlUZiblKoCkdm00F6Wvqc6IjVj2ZBr197PyqKi375cuXscQBRVHGxsb+/v5RUVEqp6ggfW3Hjh0qg3fs2KFyp7Cc7fTp09nDmOBUdip65dPXmJq+TIYWg0KhwLga7JpWVW0ZeDwen89fu3atSibcd0hsbCxFUY0aNdK2IoSvBSbzsVvHEQiEWgXx8tY6sCcCEzGGAYVeXl7sMpByuZypFaARfX39zZs3Dx06FFi5YhgA9+7du4pf1uvo6KA/5smTJyq7NL60VQf9NxobyKGQnXNTO6n8smdmZo4fP97S0jIuLk6pVGZkZJw8ebJmPZo5OTl4y5jwRCQuLk59cMW+Wzaurq7oslW/U8+ePcPI5i+8U1gILzQ0tILAle8Ee3v7sWPHvnr1Sj13k/A/gEKhCAoKEgqFWH+NQCDUQojJW7t49+4dlqZnEiAw81eladnOnTuLioo+Oxu+BmVaLnXs2NHIyIim6XXr1lV8YNeuXQHg0KFDKrUjjhw5UpmrwCZYt2/ffvHiBVuekpLCFEOtzDxapPLLvn///vj4+DFjxqikedW4Mur6bNy4UX0wRipjnG7F6OnpYXb8rl27VHahxNXVlf0mvRrgg7VEIinvjf93xfr16xs0aDB79uza0xGaUFNs27YtJiZm5cqVFfReJhAI2oWYvLWFnJycY8eOdezYUS6X6+vrY9l5+OQxPXz4MFbeVSgUp06dmjdvnkrv3xMnTsybNw/TmVESGRmJnTmZ4lC6uroY27Bu3bpffvkFi2IWFRXdv39/4cKFWBQTmTJlio6OzpMnTyZPnpybmwsARUVFq1atCg4Orsy1uLq6DhgwQKlU9uvXjynO8Pz58z59+hQXF7u7u1cc/1cbqOSyAwAawREREa9fv87JycnJycGeDjWojFgsxiSqDRs2oC1bVFQUFBR0/Phx9charFyWmpqKTxcAgLUmNLJkyRIdHZ2DBw8uWrQI421KSko2bdqERZFVmsFWA5qmsYWeSuDm94lEIrl9+/bZs2c/W4WQ8J+jS5cu0dHR2A2OQCDUUrQWUvEdw8TycjgcLpfL5XLZb8+lUim761VsbCz67TDzHU2uAQMG/Pzzz8AKKsV4SgAQiUT16tVjihI0bdo0Ly+PfXam+6gKKl1G//zzT5TzeDymffGiRYuwqOdnu69lZ2czprZUKsU6SgBgb28fHx/PHlk7Y3kruew0TcfFxTFXxyAQCDp37szulvyFsbwHDx7Emfl8ft26dfEDs2nTJiw8zI7lVSqVmN9DUZS1tbWent7Ro0fLWyiapvfv3483F28041FW785ajVheADAxMbGyslLfRSAQCATCt4R4ebWJUqlUKBQKhUKpVIpEIkdHxxkzZjx58qRjx47MGHt7++vXr3ft2hUbQdnb269Zs+bw4cPs6mMA0KdPn+XLl7do0YLH4yUmJurq6np4eCxbtuz27dsqJYQ2btx46dIlf39/c3NzLperq6vbrFmzWbNmYc1OhilTpoSGhnp7e4tEouLiYjc3t0OHDi1evLi8mk0qGBgYREREbNq0yd3dXaFQyGQyZ2fnZcuWPXr06OsFANQglVx2mqavXLnC1PZnkMlkly5d8vb2Zgz3L2TIkCGHDx9u0aIFRVF5eXlt2rQJCQmZNm0aky3OQFHUmTNn/P39TU1N09LSrKysVAr+qzB06NBHjx4NHz7czMwsOzvb0NCwf//+N27cwI5lXwJGA4vFYuLiJRAIBILWoegafQNLIHxXjBs37p9//unXr19QUJC9vT2GGchkspiYmKFDh75582bRokXYbPY7JDExsU6dOjY2NuPGjcNGuAQCgUAgaAvi5SUQqklcXNw///xjYGBw8ODBRo0aMWG1AoHAw8MDi81Vsufw/yTYxqK0tBSbgREIBAKBoEWIyUsgVJN3794BgEQiYeJf2cTHx8OnFqbfJ9gpIy0tzdnZWdu6EAgEAuF7h5i8BEI1ady4MYfD+fDhw/bt29kBQgUFBatWrdq3bx+Xy8XSyN8niYmJACAQCExNTbWtC4FAIBC+d3jaVoBA+K9ibW09b968FStWTJw4cenSpQ0bNuRwOOnp6S9fviwtLeXz+du2bWM3R/3ewNS9ykc1REdH37179+3bt1KpNDo6msPhvHr1SiqVfk0dCYRvwaBBg6ZNm6ZtLQiE7x1i8hII1Wf58uXu7u47duyIioq6efMmAOjr67ds2dLb23v8+PE2NjbaVlCbYB/BCso1lJaWnjhx4siRI6WlpefPnzc3Nzc0NNTR0bG1tc3Pz3dxcfHz88MywwTCfxpra2ttq0AgEEjFBgKB8HXw8/O7cuXK2bNnsccbQ15e3ooVK06dOiUQCNLS0nx9fVu0aNGmTRumkDOBQCAQCDUO8fISCISvQnJyMgC4uroyktTU1PHjx1+4cKFTp07t2rUbOnSor6+v9hQkEAgEwncEMXkJBMJXISsrCz7VrLhy5crs2bOx/00FDZAJBAKBQPhKkIoNBALhq5Cfn09RFABs3bp1woQJLVu23Lx58+PHj7WtF4FAIBC+R4iXl0AgfBVkMhmHw7G1tTUwMDh//nzjxo21rRGBQCAQvl+Iyft18ff3Dw8P/54rVRG+W+RyOQD4+/uvX79e27oQCAQC4XuHVGz4WoSHhw8cOLC0tPTs2bMcDgkgIXx3dOjQgaIohUKhbUUIBAKBQCBe3q/DsGHDzp075+LiEh4erm1dCATtIBAISkpKtK0FgUAgEAgAJH3taxAQEBAcHFy3bl1i7xK+Z0QiEQAcO3ZM24oQCAQCgUBM3prm8ePH58+fl0qlQUFB2taFQNAmhoaGALBo0aKvUZWsY8eOFEVt27atBuf866+/KIpasGBBxcPmzJljaGh49+7dGjx17Sc0NJSiqG/cNbeoqEgkEllaWlYcgHfr1i0LC4uffvrpmyn2VVEqlW5ubgYGBh8/ftS2LgTC/xTE5K1hhg0blpeXx+FwevbsqW1dCARtYmJiAgACgWDz5s01O3NSUlJERAQAHDhwoGZnrgz379/Pycl5+vQpI3n79u3q1atr/ERfadpqkJubO378eDMzs2XLln3L816+fLmkpKRHjx5Y7a48Nm7cmJqaamxszEjkcvmKFStSUlJqVp+vNK0KHA5ny5YteXl5EyZM+KonIhC+N4jJW5McOnTo/fv3AoHgxx9/1LYuBIKWqVOnDgCMGDFi9+7dNTvzwYMHlUpl06ZNb926FR8fX7OTf5Zt27b99ddfw4YNY0u+hm36laatBqtXr05MTJw7d66BgcG3PO+ZM2cAoFevXhUPmzVr1p49e+bOnctIVqxYsXr1arYRXCN8pWnVadWqVb9+/UJDQ0NDQ7/2uQiE7wdi8tYkEyZMyM3NBYDp06drWxcCQcugyZuYmCiRSO7cuVODMx84cEAqlc6fP5+m6eDg4BqcuTI4OjpOnDhRIBDgZlFR0cGDB2v8LF9p2mogk8l27NghEAhGjBjxLc9L0/S5c+eEQqGfn1/FIz08PEaMGMHlcnHz/v37QUFBXbp00dHRqUF9vtK05TF+/HgA2LJlyzc4F4HwnUBM3hpj/vz5ZWVlAODj44NRjATC90yDBg0A4PHjx3369Fm1alVNTfvixYtHjx517dq1Z8+eIpFIPbbh5cuXFEWtXbu2sLBw0qRJxsbGPB7vzZs3zIDdu3e3bdtWIpHo6ek5OTnNmDGDfTiXy7127ZqPj4+enp5UKu3UqdPt27fZA4YMGUJR1JUrVwBg7969DRo0SEpKyszMpCiKoih2x423b98OHTrU1NRUKBQ2b95848aNSqVSRdvr16/37t0bx9ja2g4ZMiQpKam8acvKyiiKsrCwUJnE29uboigm1qLiFaBp+u+//3ZxcRGJRCYmJoMHD37x4kUFCx4SEpKent67d2+N3s2ysrKzZ88OGTKkbt26fD5fKpX6+vpGRUWxx3Tv3h37Th89erRly5Z8Pn/q1KnM3tOnT/fu3dvc3FwgENjY2AQGBhYVFQHAgwcPUlJSOnXq9Pbt2yFDhpiZmQkEgmbNmp09e5Y9+erVqymKWrNmDQDExcV17969VatWcrn86NGjuHSMo7SkpGT9+vUtWrQQi8WGhoa41CqXk5KS8uuvvzZv3hzHeHh4nDhxooJpf/zxR4qiVB5OTp8+TVHU2LFjK7MCDx48mDZtWuPGjYVCoUgkcnZ2xtn8/Pysra1DQ0MTExMruDsEAqEK0ISaICcnB70+BgYG4eHh2laHQNA+R44cAYB69erRNM3hcEpKSmpk2vnz5wPAkSNHaJru378/ADx69Ig9AA24mTNnduvWjc/ne3h4uLi4lJaW4t5x48YBgI6OjoeHR4cOHQwNDb29vXEXJsN1796dx+O5u7sPHjzYyckJAIRC4atXr5j5Bw84aEz/AAAgAElEQVQeDACXL1+maTokJGTFihUAIBaLV65cuXLlyu3bt+OwZ8+eGRoacjgcb29vf39/U1NTABg+fDhb1T179mDR7iZNmvj6+tatW1dXV7ewsLC8abG7h7m5ucqaeHl5AcCTJ08qswITJ04EgLp16/bv39/T0xMAJBKJyhqymTRpEgBs3bpV496TJ08CgJWVlb+//4ABAzCAu0mTJsyAgoICgUDQs2fPGTNm6OjouLu7Ozs7v3jxgqbp4uJivIMSiaR9+/aenp5CoTAwMBAPXLhwId4OsVjs4+MzcOBAfG8gFovz8/OZ+du1awcAOOGDBw9WrlwpFAo5HM6KFStw6YqLi2maTkhIaNasGYfDad++/ZAhQ9AAdXd3Z1/LxYsX0VvRuHFjX1/fBg0a8Pn8p0+fVjCtjY0Nj8fLzs5mz4OfsZMnT352BbKzs/HyO3fuPGTIkIYNGwIAj8dLSkqiaTowMBAA9u/fX96tIRAIVYKYvDXDhAkT8KfLw8ND27oQCLWCW7duAQBFUW/evJk9e/aaNWtqZFobGxuxWFxYWEh/sqpnz57NHoAGn6GhoZOT0/v379m7MDbU1tb23bt3KFEoFMnJyfg3U/+BMVsVCsWAAQMAYNasWcwkbJOX/mSGGhsbq+jZpk0bLpd79epV3MzMzGzUqBEAXLp0CSWpqalisVggEFy8eJE56uPHjxVMWyWTV+MKXL58GQC6du2KFhtN0/jqvE2bNnQ5YPPImJgYjXuTk5OvXr2qVCpx8/79+2iVMgPQJjYyMurZsyez1DRNK5XK3r17o2mOd5Om6fz8/JycHPzbxcUFABwcHJjnjdTUVPyaff36NUoyMjK4XK6dnR0zLbq627Zty1YyOzvb3t7ewsIiKiqKURvjE+Lj41Fy69YtPp9fr169O3fuMAcyt0PjtCj08vJiC5VKpaWlpUAgKCgoqHgFkOPHjxcVFeHfJSUlRkZGAHDv3j2apv/8808AmDRpktqqEwiE6kBM3pqBSSgeO3astnUhEGoF+Cbd2tr65MmTCQkJ6O79QiIjIwFg4MCBuFlYWKirq2ttba1QKJgxzGt69fctPXr0AIDDhw9rnBxNXkdHR7YQbUQ/Pz9GUhmTF42hPn36sIVr164FgAkTJuAmvoufPHmyRmW+3OTVuALqfnGFQqGvrw8AiYmJGjXB91eMk7hiXr16BQAtWrRgJPh+v1WrVjKZjD3y77//BoBhw4ZpnOfDhw8AwOfznz9/zlZVJBJxuVzGmty3bx8AzJgxgxmzcuVKAFi1ahV7NoxCvn79OluIjt6XL1/SNF1SUmJjYyMQCJ49e6ZRH43TonDt2rVsIRr9Xbt2/ewKaMTS0pLD4aDdHxYWpm5SEwiEakO6r9UAhw4d0tPTy8/Pl0qlXbt21bY6BEKtAP1VAPD48ePWrVvr6upi1OaXzImRuwMHDsRNsVjcq1evQ4cOYfQte6SJiYm3t7fK4ZhF17lz5wpO4ebmxt5Ewyg/P79Ket64cQMA3r9/j1EByNu3b5l/K6nMl6BxBW7evAkAW7ZsYZK9AACf2N+9e4cXy6awsFAmk0ml0s/mbBUWFsrl8uvXrwPromiaPnfuHADs2LGDz+czg+Vy+eLFiwUCAdr96mDAbkBAgKOjIyN8+fJlcXGxp6enrq4uStRLOqCEXSPy+fPn+/fvl0gkBw4cYEd+JyUlYfw0AOzcuTMuLm769OlNmjTRqI/6tIySKkIVlcpbARVkMllxcXFKSkpycrKnpyc+hGDwdFZWVnlHEQiEKkFM3hpg+/bt+Isol8vR3UIgENAukclkT548MTc3r1u37u3bt7/E5C0rK8NIhqCgoHXr1qEQi6QeOHBAxeS1sbFROVyhUGRmZopEooorbZmZmbE38U06XWErBHUw5ejRo0ePHj1S2cU0YU5NTYVPJvXXQOMK4El37typPl5jd+icnBz41FVEnaSkpO3btx89evT9+/cFBQWMnDH47t+/n5KS0rFjx2bNmrEPPHfuXHJy8uDBg9VT8RA0HMeMGcMWnj9/Hlgmplwuv3jxor6+fvv27VGSkZFx584dGxsbDMJGduzYoVQq8/Pz0a/MxtvbG035HTt2AAD7+YSNxmkzMzNv377t4OCA8SoqmjNKlrcCAFBUVLR///5///339evXmZmZjJxZPVx2vAUEAuHLISbvlyKTydCxAQBGRkaYpEIgENCnVVxc/OTJEwBYunTpFxbvu3jxYkZGBgBER0er7Dp+/PiWLVuYwmHM2dmg2VpxUwMAEAqFX6Ik+1wrV65kF4utnj6VQaO1qr4CWC9CIBBoHK8RsVgMAIWFheq77t2716NHD5lMNmzYMF9fX2NjY5lM1qNHD2NjY8yKg09+UIyHZnP69GkAKO/5p6ioKCwszMLCgrFlERUH6o0bN3JzcwcNGsR4oM+fP69UKlXq+OK5MLRG4+nev38fExPj4ODArrbBRuO0KFRx8b59+/bRo0fNmzdnzlXeCqSlpfXo0SMqKqp3796TJk2ysrLi8Xg//PDDixcvmBPhsmPjbgKB8OWQImVfysGDB5mvpE6dOmlXGQKhtlFcXBwXF1daWtqqVSuhUIipPNUD30qHhoaqhGeNGTMmJycHXx9XAI/HMzQ0LCoqysvLq7YOlcTS0hIAKm6Tge7k5OTkyk+L9rF6pbO0tLTKHK6jo2NiYiKTySrfP8zAwIDD4WBFAra8uLi4T58+xcXFkZGRW7duHTBggI+PT15enlKp7NatG7rGoZx4AAC4d+8eqMWQMGhsupaVlRUZGVmvXj1Mp4PyoxrYkqysrHfv3kkkkvLs3c8qo3Fa+GTLdu/enS3ctWuXRpXUV2D8+PEPHjzYuXPnyZMnR4wY0alTJwcHh9jY2Pr16zP+YHT9foPOFwTCdwIxeb+Uv/76C9/oSSSSbt26aVsdAqEWgSaLo6MjOnqXL18+adIkDCetKgUFBSEhISYmJuoPloMGDYLKNR9G7+PVq1eroUB5cLlciqIwsYwB3ZMXLlzAWt3VUEbjtFwuVyQSZWZmlpaWMsK0tLSEhIRKaouKoR1WGSiKsrKyUigUmE/GsGfPnpSUlJEjRzL2WVlZGfaKYwy+jx8/RkdHu7i4YHExNmijl+e/RGtSxcQMDQ1VKBRs4dmzZ7lcLvOtq1AoLl++LBQKO3TowIzBqICKXekVK6NxWgDAltctW7Zkz7N161ZgGbjlrcCLFy9Onz7dpEmT0aNHM8LVq1eXlZWxjWO8reqrRyAQqgcxeb+InJwc5h2rQqEggbwEAhsOh8PhcGxsbNDkbdeu3bFjx3799ddqTHXq1KmioqL+/fvzeKrhWB07djQ2Nj537hz2PqyACRMmAMAvv/zy/v17lNCfqitUG4qizMzM8vLy2HZn8+bN27dvn5CQ8NNPPzFWL03TERERjOt3+PDhQqFw+/bt4eHhzIGxsbEYdaBxWpxZqVRi7CkAKBSKOXPmqPt9ywM7ICxcuPDx48eMMCMjA9/+a6R169YAgLUyGHDT2toaN/Py8oYPH/7w4UM+n9+lSxcUaszuQjCCmd05LzExEfO0MN9LIBCoPNuouFpfvnz55s2b1q1bM07Q2NjY3NxcCwsLdkSHlZWVQCDIy8s7fPgwI8zPzz969KiKMpcuXcKwGQBQKBRM1QuN0xYXF6OhzDjpk5KSevfunZOTY2Zm5uHhUfEKYP0+ZvUUCsW6des2bdoE/9fQx4dDLDxMIBC+HGLyfhHBwcFM4rO+vn55qRgEwvcJ/u8wMzNjDKz27duLRCKVBlqVAZ24Q4YMUd/F4/H69esnk8mOHTtW8ST+/v6jRo2KjY11cHBo27ZtmzZtLCws1OMsq0qfPn0AwNvbe+TIkVgFDAB2795tbW29efNma2vrjh07dujQwcLCwsfH5+PHjzjA0tJyy5YtMpmsY8eOzs7O3t7etra2DRs2ZNKVNE77448/AsDUqVO9vb2xecHly5cZK/Oz+Pr6zp07NzU11dXV1c3Nzc/Pz9nZ2dLSEu0tjaB3E2tQMKChGRQUNGLEiP79+9vY2JiYmHC5XC8vL4lEgmM0xgMg2E137ty5TZs29fHxcXBwsLOzw0/LgwcPkpOTfX19mbIMACCXyy9cuKCnp8fUoFCfHKMp4uPje/bsGRAQgNXlhEIhtt4ICAho0aKFn5+fq6uriYkJu19aly5d6tat+/HjR1tbWx8fHzc3N2Nj43///beCaUUiERrK2COja9euTChwjx49VOI61FcAV+/KlSu9e/ceNmxYo0aNQkJCXF1d2RfIrLmKd5lAIFSfb1gQ7X8QJqoMAIYOHaptdQiE2oWuri6Xy+3cubODgwMjPHPmjEgkqtI8qampPB4P37BrHIDtf318fOhPVWlVugYwKJXK7du3u7u7i8ViHR2dhg0brl+/HndhXd758+ezx+NsrVq1YiQqdXlpms7JyRk0aJCRkZFQKGR6uaHaM2bMsLW11dHR0dXVdXFxWbBgAdNqAQkLC+vatauBgQGXy7W0tJwwYQLTmKC8aXft2tWsWTM+n29oaDho0KB3795hgViVurzlrQBN06dOnfL19dXX1+fxeJaWln379mVfjgqpqal8Pt/ExITdPy8tLa1Pnz5SqVQqlXp5eYWEhKCPduPGjTigsLBQKBSam5szXSpU2Lhxo6OjI5/PF4lELVu23LZtG8qx6dqWLVvYgzH8o2/fvowEIzRUyuhOmzbN2NhYKBS6uroyTR/kcvny5csdHBx0dHTEYnGzZs1mzJgRGxvLPjA2NrZfv36GhoZcLtfc3HzYsGFv376teNqIiAhsHWxgYNCzZ8/r168fP34cAI4fP/7ZFSgrK5s1a5aFhYVIJGrWrNm6devkcnnz5s3ZF4jvD5s1a6Zx9QgEQjWg6CoW3yEwJCcn29vbYzt4iUTy119/YX9IAoGAGBsbZ2dnBwYGxsXFsd+Mz5w5s379+jNmzNCiboTKM2LEiH379gUHBwcEBGhbl++FH374Ydu2bdu3b0ePOIFA+HKIyVt91q5du3DhQgy8E4vFr1+/ZmKzCAQCAFhbWyclJfn7+1+/fp1deRQA+Hx+bm4uKcD0nyA2NtbFxcXW1vbRo0fqsdSEGuf9+/eOjo7169ePioqqkap5BAIBSCzvl7B9+3amvKWenh6xdwkEFcRiMZfLTU5OlkgkKmlYy5YtY7J8CLUcBweH33///enTpxWE/BJqkJ9++qm0tHTv3r3E3iUQahBi8laTpKQkdlMcjSkaBMJ3DmYyffz40dXVVaUP2S+//NK2bVv1hliE2smUKVOio6M1ll8g1Cw0TS9cuPD58+cVlAomEAjVgJi81eT69euMi1dHR0el5ySBQAAAiURC03RaWpq6yQsAubm5c+fOrXxbBIIWoSjK2dm5YcOG2lbkfx9cagcHB20rQiD8r0FM3moSGhqan5+Pf4vFYnbpBgKBgBgZGdE0zefz7ezs1E3egwcPmpubz5s3Tyu6EQgEAuG7giQiVBN2zyS5XM60ICIQCAzGxsY0TUskEmNjY3WTFwBiYmKMjY0jIiLi4uK+vXoEAoFA+H4gXt7qkJ6ejkUoGbAsOYFAYGNgYAAAPB5PJpPl5uaqW70CgaCgoODKlSvkNS6BQCAQvirE5K0O165d09HRYTbJrzWBoBFDQ0PsOfzhw4cOHTrs3btX4zA7O7u4uLiIiIhvqx2BQCAQviOIyVsdLly4kJeXx2y6u7trURkCodZiaGhI07RMJrt3797EiRPfvn1b3kiZTDZmzJju3btv3br13bt331JJAoFAIHwPkFje6oBt1hGxWExKyRAIGjE2NgYAmUx248aNtWvXjhs3rryRXC733bt3kydPnjNnTlBQkEAgcHNze/PmjVgs/ob6Egj/AQYNGjRt2jRta0Eg/PcgJm+Vyc7OTk1NZTb5fD7JXSMQNGJiYoJeXplMZmZmJhaL4+PjGzRoUN74bdu2bdu27e3btwcOHMjJyfH09CSvUAgEFUjbIwKhehCTt8pcu3ZNIBDIZDLcLCoqIiYvgaARfX19ACgtLc3IyJDL5a1atbp7924FJi9iZ2f322+/fQv9CAQCgfDdQGJ5q4xKIK9EIsEWUwQCQQVdXV0ulysWi+vUqRMfH48mr7aVIhAIBML3CDF5q8ylS5fYm02aNNGWJgRCLUdXVxcA+Hy+ubl5fHy8h4fHvXv3tK0UgUAgEL5HiMlbNfLy8hITE5lNiqI8PT21qA+BUJtBk5fL5RoaGiYkJHC53Fu3bmlbKQKBQCB8jxCTt2pcu3ZNJBIxm3p6eq6urlrUh0Cozejq6tI0jX+gl5eiKCYOnkAgEAiEbwYxeavGpUuX2IG8FEWR3DUCoTyEQqFSqVQqlQKBID4+nsPheHp6Pnz4UNt6EQgEAuG7g5i8VePixYvotULy8vKaNm2qRX0IhFoOl8uVy+UURcXHxwNA+/btb9y4oW2lCAQCgfDdQUzeKlBUVIQ/2wz16tXTki4Ewn8DoVBYVlYml8sTEhIAoF27djdv3tS2UgQCgUD47iAmbxW4efMm1hllcHFx0ZYyBMJ/AoFAUFJSEh0dnZycrFAoiJeXQCAQCFqBmLxVIDIyMisri9nkcDgtWrTQoj4EQu1HV1dXoVBYWVk1aNAgPj5eX1/f2tr60aNHXzJnRETE0KFD69evLxKJDA0N3dzcVqxYkZ+fX1M6A0BCQoKFhcWgQYNqcE4VQkNDKYrSVufYP//8UyKRnDhxonqHJyYmSiSSVq1aKRSKmlWMQCAQvhLE5K0CN27cUCqVzKaurq69vb0W9SEQaj9isZjL5ebm5kql0piYGACws7ObMGFC9WajaXrq1Kk+Pj7BwcGFhYXNmzevV69eTEzMggULHB0dnz59Wr1p//nnH5WCwXFxcampqbdv367ehJ8lNzd3/PjxZmZmy5Yt+0qnYIiLi1u1apWKcNmyZQUFBaamptWb09ra+rfffrt3797atWu/WEECgUD4FhCTtwo8fvyYvcnlcu3s7LSlDIHwn0BPT4/L5SYnJ+vq6mJR3r17975586Z6sy1dunTLli0GBgaHDh1KTU29e/duTExMcnLy6NGjExMT/fz82O9hKklWVtYPP/ygYvJ6eXnt3r272k7Qz7J69erExMS5c+caGBh8pVMgNE2PHTv2+vXrKvKtW7deunSpffv21Z55xowZFhYWS5YsqcaaEwgEwreHmLyVJTs7u6CggC2RyWTE5CUQKkYikXA4nIyMjF69enG5XAAwMDCwt7d/8OBBVadKTk4OCgoCgBMnTgwePBhnAwATE5Ndu3b1798/JSVl0aJFVZ123759paWlKkKKokaNGuXu7l7V2SqDTCbbsWOHQCAYMWLE15ifzaZNm8LDw3v27Kki79+/v5+f35fMrKOjM2rUqOLi4l27dn3JPAQCgfBtICZvZXn06JFQKGRLlEpltV8LEgjfCZjxKRQKLSws3r59i0I/P7/Lly9Xdarg4ODS0tIuXbr4+Pio70VreN++fSUlJSh5+fIlRVELFy48e/Zs+/bt9fX19fT0vL29GZdndnZ2165dZ8yYAQDTpk2jKIqiqEOHDjHHtmvXjn2KgoKCRYsWOTk5iUQiY2PjVq1a7dy5E3elpKRMnz7dwcFBJBJZW1v7+vpu3bpVLpdrvJCQkJD09PTevXsbGxuXd7Fnzpzp2bOnqampQCBo3Ljxhg0b2OURAaB79+66urrv37//4YcfbG1thUJhnTp1Fi9ezAy7fPmyi4sLXt2UKVMoiuLz+bm5uXgsRVH3799nT3j69OnevXubm5sLBAIbG5vAwMCioiIAKCsrO3v27JAhQ+rWrcvn86VSqa+vb1RUFACMHj0aAHbs2FHeVRAIBELtgadtBf4zREVF4Q8Ag5WVlbaUIRD+K+jr69M0LZVKpVIpE8/g5+e3bNmyefPmVWkqLPXQp08fjXsbNmzo4OAQGxv76NGj1q1bM/Jt27atWrUqICBg4MCBSUlJf/31V6dOnS5cuODr60vTtLe3d2lpaXh4eO/evfEoZ2dnjfMXFha2b98+OjpaKpW2atWquLg4JiYG248XFRX5+fk9ffrUzc3Nzc3t48ePd+/eTUpKmjx5ssapwsPDAUCj4Q4AJSUlw4YNO378uI2NTceOHfPz869evTpz5kwAQPsVlQkLC0NtO3XqNG3atNzc3D///HPJkiX16tUbM2YMABQUFHh5ecXExNja2o4fPx4AzMzM9PX18VgLCws3NzeVM0okEhcXF7lcHh0dTVGUWCwGgLNnz/bt29fKysrDw4PH40VERISHhw8fPvzZs2cNGza0srJ6/fp1YmKitbV1xbePQCAQtAxNqBy9evVSWTo/Pz9tK0Ug1HamT5+uo6PTsGHD0NBQPT09Rs7hcBQKRZWmatKkCQBERESUNwCt4Z07d+Lmixcv8L/qxo0bmTF3796lKKpx48aMZP78+QCwefNm9lR4bNu2bRnJrFmzAKBnz575+fkoKSgoyM7Opmn69OnTADBixAhmcH5+/rNnz8rTs3nz5gAQExOjca+/vz8ArFmzhlmf0NBQAHBwcGDGnDx5EgC4XO6ZM2cY4b59+wCgT58+jOTPP/8EgPnz57Pnx2PHjh2Lm0qlsnfv3gAwc+bMwsJCRv+cnBz8Ozk5+erVq0qlEjfRNywWi3FzwIABAHDo0KHyLpZAIBBqCSSwobLgizw2pO8agfBZjI2NFQqFSCTKzc0Vi8VpaWkot7CwWLlyZZWmysnJAQAjI6PyBuCu7OxstlAkErELRHh4eLRt2/bly5dVKpSmUCh27tzJ5XK3b9+up6eHQl1dXUw+43A4AKCjo8OM19PTQwNdI69evQIAR0dH9V07d+48derU5MmTZ8+ejdMCQOfOnTkcDrsPzpkzZwDgxx9/ZAfpOjg4AAC7WBsOU3lcP3v2LFu4Y8eO06dPDxs2bP369ejWRf2ZGuQWFha+vr4UReGmVCoFgMaNG+MmXibzdEEgEAi1FmLyVgqZTJaSksKWiMVi5kufQCCUh6GhIU3TPB4vNTXV3t6eiW1Yvnw5E9pbJej/G9KqvosxzhB7e3uVKHxXV1cAePjwYeVP+uLFi9zc3KZNm1paWqrvbdOmjbm5+a5du2bOnJmenl7xVIWFhTKZTCqVsk1kpLS0dNGiRUKhcOnSpWx5cXGxUqlEWxMAaJo+f/48j8f7+eef2cM+fvwIAIyGBQUFERERZmZmHh4ezBiaps+dOycUCjF3TS6XL168WCAQrFmz5rOLUFhYmJOTg5HQnTt3RiGGI5OiDQQCofZDTN5K8ejRI8a1g/D5fFKugUD4LFikrKioKCUlxc7OjjFz/f39T506VaWpDA0NQc2JywZ34TAGiUSiMgyttIyMjMqfOjU1FcoP3zc0NLx48WKTJk02bNhQt27dsWPHVmDNo69aRUnk/PnzSUlJffr0MTExYcvROkdLHQDu37+fkpLSsWNHCwsL9jCsAefp6Ymbly9flslkPXr0YD8D4LE+Pj7o0D137lxycrK/v7/KVAxJSUmLFy92cnKSSCR6enqGhoYYFsw4ifFC8KIIBAKhNkNM3koRFRWlUsaorKyMmLwEwmfR09PjcDjp6ekqXl5DQ8NmzZqp14utgEaNGgHAs2fPyhvw/PlzAHBycmILy8rKVIapSz6LRv8xG2dn55iYmKNHjzZv3nzXrl3NmjXDyg/qoK1ZWFiovgtDDtQLiqnEJ+Bmt27dVIaFhIRQFKUyrOKoBoxCxlhede7du+fs7Lx+/XqsUhwWFhYaGsrhcExNTRnDGi9EJBJpnIFAIBBqD8TkrRSRkZHFxcVsSWFhYYMGDbSkDoHwn0FPT09HR0coFKp4eQGgb9++mEpVSbBvQkhIiMa9r169io2NNTAwcHFxYcuZ6GEGLLNQpQqDZmZmAJCcnFzBGC6XO2DAgHv37gUHBysUirFjx2p83W9gYMDhcDDvTWUXJgyoFAMuKSnZu3evrq5uYGAgStBsZYxO5PLly2/fvu3YsWP9+vUBQKlUnjt3TiAQqBTfRTuYsaqxAQdTuoFNcXFxnz59iouLIyMjt27dOmDAAB8fn7y8PKVS2a1bNybOODMzEz45zgkEAqE2Q0zeSqFSwBIAzM3NtaIJgfDfAr28xcXFqampKiZvXl7enj17Kj9VYGCgUCi8dOkSFvlSAQsvjBw5UiAQsOUJCQlsU5WmaXQtt2zZEiU8Hg8AyquhizRp0kQikTx58kTdgFYnICCgb9++RUVFKv0aEYqirKysFArFhw8fVHZh0VyVSIzff/89LS1t9uzZGO3w4cOH6OhoAGAHP8jl8rlz5wLAb7/9hpKoqKi0tLS2bduyI7I+fvwYHR3t7Oxct25dlODlaPTR7tmzJyUlZeTIkc2aNUNJWVnZ6tWr4f96jhMSEgCgTp06n10WAoFA0C7E5K0U6pF5xMVLIFQGPT09iqIKCwtTUlJ4PN6TJ0+YXWPHjhUKhRXbmmzMzMzQpOvfv/+xY8eUSiXKs7Kyxo0bd/z4cSsrK/XuazRN//zzzwqFAjf//vvvt2/fOjo6Ms5gDGPVaJ4y8Hi8MWPGlJWVTZo0ianPLZPJXr9+DQD3799nRwbLZDIMsUDfsDpYADgyMlJFbmNjAwAXL15kJLt37162bFmbNm3QoIdPLl4AuHr1Kv5RUlIyduzYqKioyZMnMw2EsbldvXr12POrRDXAp+jk4OBgRpKYmIjOaVSPqbabl5c3fPjwhw8f8vn8Ll26MONv3rwJACo9OwgEAqE2orXyaP8dHj9+zORKMzBVLQkEQgXExsZKJBIul8vj8dLT0/X09EpLS5m9vr6+V69erfxsSqVy2rRp+H8QI0qdnZ2x9EGdOnVUSuFi5awuXbo0b97czs5uyJAhHTp0AAAdHR32SePj43V0dCiK6t2795AhQw4fPm7bPDkAACAASURBVExrqsubl5eH/k5DQ0Nvb+8WLVro6elNnDiRpulZs2bxeLxWrVoNHTp04MCBaCb26NGjvKvYvHkzAEyePFlFfvz4cQDgcrmdO3cOCAjAKmadO3fOzc1lxmAI75w5c3DY4MGD0WwdMGCAXC5nhv39998AIBKJAgMD/f3909LSaJru3r07ANy9e5cZhoV7AcDJycnb29ve3l4gEGBF3unTpwOArq7u8OHD+/XrZ2RkNHXqVC6Xy65Hnp6eTlGUoaFhVUssEwgEwreHmLyfZ8+ePbq6umx7l8/nr1u3Ttt6EQj/AZKTk8VisVQqNTAwyMzMNDIyyszMZPb++++/I0eOrOqcYWFh2P9WIBDo6+u3bNly+fLlTIcIBjRbfXx8MjIyRo0aZWJiIhaLvby8rl+/rjLy6NGjjo6OfD7f0tLyxIkTtCaTl6bpvLy8efPmNWzYkM/ni0QiT0/P0NBQmqYfPnw4ZMgQCwsLHo9naGjo4uKybNmy4uLi8vRPTU3l8/kmJiYlJSUqu/bs2dO0aVM+n29oaNipU6eDBw8yPSBomi4sLBQKhRgXMWvWLFNTU7FY7OnpuXfvXvYwmqZzcnL8/Px0dXWlUmm/fv2YY83NzVVGbty4Ea9dJBK1bNly27ZtKE9LS+vTpw+2zfPy8goJCUHvL7uvx4YNGwBg2rRp5V0pgUAg1B6Iyft5Jk2apOLi1dfXDwkJ0bZeBMJ/gPz8fD6fb2ZmVrdu3Tt37rRp0yYyMpLZq1QqKYoqrw/ZF6LRbK0lDB8+HACCg4OrdBSWdRs9evRX0qqqNGnShKKoV69eaVsRAoFA+Dwklvfz3L59W0VC0zSpUEYgVAaMZBAIBBRFXblypVGjRth7DKEoau3atYMGDdKihlph4cKFYrE4KCioShXTNNYd0xbHjx9//vz5yJEjGzZsqG1dCAQC4fMQk/fzsH+hkaKiImLyEgiVRCAQcDicli1b8vl8FZMXAH766Sdra+uwsDBtqacVHBwcfv/996dPn27atKmSh9A0ff78efW6Y1qhqKjop59+qlev3saNG7WtC4FAIFQKYvJ+hnfv3mENIzZ6enoqLUwJBEJ5CIVCDocjEAiSkpLUTV4AmDVr1rp167SimxaZMmVKdHS0euOJ8njw4EFycrKPj49KJ0itoFAoQkJC7ty5o57aSyAQCLUTVWOOoEJUVBRTdJ2BqWpJIBA+C5fLzcrK4vF45Zm8xcXFd+7cefHiBdYo+E6gKMrZ2bny42tVVINEIlFp+UEgEAi1HOLl/Qz37t3Lz89XEWLjUwKBUBkkEgn6Asszefv3729jY1Nxb7Nq0LhxY5qmsXDs/wBLly6lafqHH37QtiIEAoHwn4SYvJ8hLi6O/r99QblcLlaSJxAIlQHt3bKysqSkJA6HY2dnFxsbqzJm27Zts2fPlslk2lCQQCAQCP/7EJP3M1y7dk1FIhKJ2K0+CQRCxUgkktLSUplMlpiYCAD29vbsHmyIu7u7l5eXmZlZenq6NnQkEAgEwv84xOStiNLSUqy+zobH45FYXgKh8ujr65eWlmZnZ+vq6mZlZeno6Kxdu1Z92B9//PHs2TN7e/tvryGBQCAQ/uchJm9FvHz5UqXvGgAoFIo6depoRR8C4b+IoaFhSUlJVlaWlZVVUlLSggULyitGW6dOnZ9//llPTy8hIeEbK0kgEAiE/21IxYaKePnypUogLwAUFxcTLy+BUHnQ5M3MzHRyckpKSmrfvv3Tp0/LGzx//vzWrVu7ubl16tTp3bt3fD7/W6pKqCkGDRo0bdo0bWtBIBAI/z/E5K2IZ8+eFRYWqgj/P/bOMyCKq+vjZ7YXdpelFwUJYKGjiCgKCIiIiIqKoCiWGDXGEks0lhg19mBJNCZqVGIsiRq7YsWKChasYMMgRZAiIGWXLfN+uI/zTnaXFUskLvf3yTm3zJmZdfnvmXPP5XK5uCgvBtNwxGIxm82morx8Pt/Gxubx48f17ecSHBy8c+fOAwcOuLi4dO3a9QN7i3kv2NraNrYLGAwG8w+w5NXHtWvX1Gq1htHCwqJRnMFgPlKMjIy4XK5CobCwsCgoKAAANze3O3fu6NnCMCQkJCQk5AP6iMFgMBgDB+fy6iMzM1PbiBN5MZg3wsjIiMPhiMViiUSCija4u7trF23AYDAYDObfA0tefeTm5mob9YSmMBiMNiqViiRJkUgkEAjoUd7G9guDwWAwTQgseeslJyeHy+VqGJlMprOzc6P4g8F8pKhUKrlczuFwmEwmlrwYDAaDaRSw5K2XrKwsJpOpYeTz+bhcAwbzRvj5+QkEgrKysszMzOzsbABwdXW9d++edjkUDAaDwWD+JbDkrZfMzEyZTKZhxPtQYDBvikgkUqvVrVq1cnNzKykpAQC1Ws3j8XCgF4PBYDAfDCx56+XGjRtyuVzDiPehwGDeFJFIpFKp2Gx2SUlJs2bN8vLyGAyGg4NDeXl5Y7uGwWAwmKYClrz1cuvWLW1jTU0NjvJiMG+ESCRSKBQMBqO4uLh58+ZoVWjHjh0fPHjQ2K5hMBgMpqmAJW+9oKRDDfh8vvaaNgwGowexWCyXy0mSLCkpoSSvj4/P1atXG9s1DAaDwTQVsOTVTUVFhXYiL+B9KDCYN4fL5ZIkqVKp6FHed5G8+fn5X3/9taenp7GxsVAobNmyZXR09O7du5VK5Xt13JDJysoiCKJz587/xuRHjx4lCAJvOPzvERAQQBCEzsrxFCUlJV5eXm3bttXTZ//+/QRBTJky5X07iMH8F8GSVzeZmZl8Pl/bjrMaMJi3QCAQ1NbW0iVvu3btrl279hZT7dixo1WrVkuWLLl3716zZs1cXV1fvHixd+/eKVOm4BIQ/wUqKipGjRplYWGxYMGCxvbFMCkrK0tNTXV0dGzTpo2ebrt27bp586apqamePr17946IiFi1atWlS5fet5sYzH8OvOGwbrKysnRGjD755JMP7wwG87EjFApramqQ5D137hwAEATh7e19/fp1/VEoDY4cORIfH69WqydNmjRnzhwTExNkP3v2rEwmY7PZ/4r3mDdh2bJl+fn5K1asMDY2bmxfDJMjR46oVKpevXrp7xYVFUWSZGxsrP5uS5YsOXLkyKRJk65cufL+fMRg/ovgKK9ubt++XV1drWHE+1BgMG+HSCSqrq6m5/LCm+c2yOXyUaNGqdXqb7/9duXKlZTeBYDAwMDu3bu/Z6cxb45cLt+wYQOXyx06dGhj+2KwHDx4EABeK3ltbW0///xz+n8Tnbi7u/v5+aWlpeHceozBgyWvbq5fv65tFAgEOLEBg3kLxGJxZWWlQCCQSCSU5GUwGFu2bGn4JLt37y4oKHBycpo1a9ZrO1+4cCEyMtLU1JTH47m4uCxcuFAjO7+wsHDixInOzs58Pt/W1jY4OPinn35SKBSo1crKKjQ0tKqqatKkSTY2NmiSH374gSTJR48eDRw40MzMjMvlenh4bNu2TePUVVVVc+fObdOmDY/HMzU17d27d3p6ukafBw8exMbGWlhYMBgMgoaPjw/lQGRkJACsXLmyRYsWDAZj8+bNqCklJSU2NtbW1pbNZhsbG4eEhJw+fZo+eWxsLIfDKSgomDBhQosWLbhcrp2d3YwZM2pqajTcYDKZOTk5cXFx6EZ5enomJSWhprq6OmSsqKigD1Gr1dbW1qjknPZt379/f3FxcVRUlJ736QcOHIiKirK0tORyuQ4ODoMGDaI7dvDgwcjISHNzcy6X27p161WrVmnkqzx48OCLL75o1aqVQCAQi8UBAQGUzwqFQiKRREdHFxYWjhs3rlmzZjwer1WrVr/99hsAXLt2LSYmBp3Xzc0tOTlZwzG5XL527Vp/f3+xWCwQCDp27Hjs2DGNPuiuOjk5cTgc+oMTCoXoAxYREWFjYwMAu3btateuHYfD+eKLL9DYq1evjh8/vnXr1jwej8/ne3p67tixgz75smXLCII4c+bMwoULPT09hUKhsbFxfHz8ixcvqD4KheLYsWNSqbRNmzaTJ092cHDgcDj29vaLFi2iT5WWlkYQRM+ePSlLZWXlxo0bw8LCzMzMWCyWhYVFXFxcaWkpAAwfPhwANmzYUN8jw2AMBBKjC2tra+17ZWxsfObMmcZ2DYP5+AgJCeHz+U5OTg8fPmSxWAqFgiTJS5cutWzZsuGTxMfHA8C8efNe2/P3339nMBhcLrd79+6xsbGOjo4A4O/vL5PJUIfq6mo3NzcA8PHxiY2N7dy5s0AgaNWqlVqtRh0sLS2tra1DQ0ODg4MTExNnzJghEokAYOrUqSYmJt27d1+xYsW0adMEAgEA7N+/nzp1VVUVStVwcnKKjY0NCwvjcDhsNvuvv/6i+uTl5ZmamnI4nLFjxyYmJqJwnYmJydixYzdv3kw54O3tvWTJEgBwc3Pz8fG5cOEC8tzU1JTNZnfp0iUuLs7X1xcA2Gz2tWvXqPkHDhwIAGZmZi1btpw3b96KFSuCg4MBIDQ0lLpAtPKpXbt2tra2Dg4OAwcODAgIQF90v/32G+rz+eefAwDlEuL8+fMA0KNHD513fsyYMQDw008/6Wytra3t168fAIhEoi5duvj5+fF4vEGDBmm0In8iIiJQeZyVK1dSM5w6dUokEolEol69ekVHR7dq1QoAvvnmG9R68uRJAAgLC3Nycho/fvzKlSvRzwYmkzlv3jxTU9NJkyatWLEiLCwMfZ8rlUpq5uzsbOojERcX5+/vDwAsFis9PZ3qc+/ePXNzc1NT02nTpi1fvrx9+/boQY8fP37r1q3o6XO53MjIyEmTJrHZ7Pbt23t6emZmZpIkiWSrSCQKCwuLjY1t2bIlmr+goICaH60mNDc379Sp06JFi77//nvk0pAhQ+h3AAB8fX2tra29vb1jY2M9PDzQgzt16hTVbfbs2QCwbt06yjJx4kQAcHFxiYmJiYyMZLFYAPD555+TJInKBTo5Oel8ahiMwYAlr250/jwwMjJ6/PhxY7uGwXx89O/fnyCIjh07pqamOjg4ZGdnI7uRkdHLly8bOAn6056cnKy/W1FRkZGRkVgsvn37NrIolUoUx5ozZw6yHDhwAACGDh1KjXr58uXdu3epQ0tLSwDo06cPZdmzZw/6HqCPQvHF8PBwyjJt2jQAGDVqlEqlQpaMjAyRSCSRSMrKypAFyZElS5ZQo4KDg5lMJv3rxdLSUiAQmJqanj17VuMCT58+TddJkyZNAoDPPvuMsiDJa29vX1FRQRlRwG/Hjh3okFrsP3ToUEr5rV69GulgdIiSO8PCwuhnR6dLSkoidYGe0c2bN7Wb1Gp1VFQUAHz55ZfV1dXI+PLly/LycvTvPn36AMDy5cupW3f06FEAcHZ2Rodyudze3t7W1rawsJCaNj09nfIfqTpra+tHjx4hi0qlQj9LHBwccnNzkVEmk6HN5F+8eIEsZWVlDg4OYrH4xIkT1MzTp09HjxIdKpVKR0dHqVRKPSakFENCQqghe/fuRb9eIiMjnz17pnEH9uzZU1NTQ/mAUg7S0tKQpaSkBHk1Y8YMagh6JSKRSCgLuv8CgeDgwYOUY+i2r1+/nurm6ekJANQlkyR5/fp1+id8+fLlABAREYEOzc3NAYB+YzEYwwNLXh1kZmaiiI4GTCYTlRfFYDBvxPDhw4VCYXh4+IEDBwICAigZ16NHjyNHjjRwEltbWwC4ceOG/m6JiYkAMG3aNLqxrKyMw+GYmpoiOXXo0CEAGDlyZH2TIMl7+vRpykK9fKfHU4uLiwGgWbNm6FCpVBobG7PZbEpLIaZOnQoAq1evRofR0dEAcPHiRarDnDlzAGDbtm0aDsydO1f/xZIkiVIwAwMDKQuSvBrhcBQBRUuayFeSlyAIujKTyWQMBoPNZlOW1q1bs1is58+fUxY7OzuU7aDTGRSXraur02765ZdfACA+Pl7nQPRWfezYsXSjSqWi+4PSDGJiYnTOQJIkCudTWpAkSYVCwePxAID+gq66uprJZBobG1Mx78GDBwPAH3/8QZ/tzJkzANCtWzd0iJIQpk+fTnVAPwmio6Mpy8iRIwGgQ4cODflLYW1tzWAwKMWPsi/atWtHKX4Ej8djMBjUoZOTEwBs3LiR3gclslO/Bp8+fQoAXl5ees6OHsfkyZPRIYrxp6SkvNZtDObjBefy6iAzM5MgCG27QCDgcDgf3h8M5mNHJBLxeDyRSESvUwYAnTt3vnDhQgMnIUkSABiM13xrpaamAgD1mh4hlUo9PT1LS0vv3r0LAJ06dbK0tNy0adOXX36JZKtO0GtlBJ/PFwqFAIB0FcLMzAwAqqqq0GFmZmZ5ebmHh4dGsYKuXbsCwNmzZ9EhKu9dVFREdUD/1i6MOGDAAD1XWlNTU15ejkZp1xGnOw8A3t7eAKBRGK5Zs2ZWVlbUIZfLNTU1VSgU1F7rCQkJSqVy165d6DA9Pf3p06c9e/YUi8Xa/lRXV8vlcrFYrF06Q6FQfPvtt1wuFwUXNairq5s7dy6Px5s/fz7dXltbq1arqXOhf+zfv/+nn37SrqiTmZn5+PFjV1dXlMyAyMjIkMlk3t7egYGBlDEtLU2lUgUFBaHv+du3b2/fvt3HxycmJkbjcqiTwqvH16FDB6rDr7/+CgCjR49GhyRJHj58GAA2bNig5y+FXC4vLy/Pysp69uyZr6+vRCJBdvQzbMaMGfRPeGlpqUwmoxLtsrKyHj165ODgMGLECKoPSZIZGRkcDqdTp070qXSubyNJsrKysry8HP03QTkeAIByr8vKyupzG4MxALDk1cG9e/e0yzUA3ocCg3lbRCIRh8MRCoXFxcWWlpaPHz9G9i5duqDc0IYglUoBQOeqKTqFhYUAQFdyCKQbCgoK0FTHjh1zcXFZtWpV8+bNR44cSblEh5IjFAwGQ9tIvkqFasipAWDYsGFMJnPWrFnnzp3Lzc3duXPn77//bm5uHhoaqjHQwcFB26ujR49269ZNJBIJhUKpVOrq6qrrNoDGqyqpVEoQhMbd0/5OQ3qLuqIhQ4YwGIydO3eiQ5TdUV/dq/Lycnj1mDQ4fPjws2fP+vTpo31zAODIkSMFBQW9e/dGPyEokEBHYh0A/Pz8JkyYIJfLx40b16ZNm7/++oveGdUxGDRoEN2IxN+wYcO0jZQy/vXXX8lXAVo6KHxOnR3F3bdu3frw4cP79+/PmjVr/fr1w4YNo1Rjenp6YWFhSEiIu7u7xlQ1NTXr16/v1KmTmZkZj8dDi8+ApkoVCkVycrJYLEa5HxRImPr5+dGvcdiwYfSgzLVr14qKigICAqgnjrppSP/Ro0c7ODjweDyJRCKVSpOSkoyMjIKCglAH9NTQE8RgDBUseXVw7do1lUqlbcflGjCYt0MkElVUVBQWFpaUlJSUlOzbtw/Z30jyorVKN2/e1N8NqQFSKyMfWSit4OnpefPmzV27dnl4eGzatMnd3Z0SdhTaEWWd73/e9NQdOnTYvn17QUFBYGCgnZ1dXFxc8+bNDx8+rJ1PpR0s3LZtW0RExNWrVydNmrR///6UlJTff/9dpzMacVD0El+jD3rprwdbW9vQ0NALFy6gwPxff/0lEonodQDooKxZnfEClDytoecoNDQohXY1rtWrV1+8eDEgIODRo0f9+vWjlylAk6CEYI0ZNM578OBBejWDBp59woQJ3t7ee/fubdmyZevWrdevX7906VIU6KXP079/f415nj9/HhgYOHbsWEtLyxUrVpw4cSIlJUVD8p47d66ysjI4OFjjiaP/KZT/yCWN2L+GnzU1NSkpKVZWVmh1HQBs2LDBx8cnOTk5ISFh9+7dKSkpS5cuBYCwsDCUiAKvnprODZgwGMOhcfIp/tugtbTafPrpp43tGgbzUbJ27do2bdqMHDkyISEhOTm5e/fuVJNYLN60aVNDJlm5ciUA+Pn56e+GMlnpVRQQqP7XrVu3tIds376dw+EIBILS0lJkQSE9VFmCQigUMplMjbFAW12EEmS9vb01+iAxRE/63LJlC5vN/vnnn0+fPn3v3j0qqZRCpwMkSSLdT1/Dd+PGDQDo0KGDxh3YsmULfWBOTg7Q0o6Rq/7+/jrPW1tbS1lQFbbExEQ0hF49QAO1Ws1gMJhMpvbloFD0/fv3dQ5EkdSsrCy6sba21sLCAr0Z0B6CxK65uTk6LC0tZTKZn3zyCb1PXl4eALi5udGN9+/fBwBfX190iOKaVlZWGvOjADP9ru7evVsqlX7//fenTp26fv26draul5cX/HPFGAIJVvqHPC8vj8Vi2dvbUxa0KI2+opEkybKyMlSnDC3xRNfo6OioMT+6e9SSUKSSqTx1VHDTw8ODShomSXLs2LEaLqE3DMeOHSMxGMMFR3l1gHL/NWCxWPQcPgwG03BEIhGKcRYXFzs4ODx58oRqmjhx4t9//92QSQYNGsTj8S5fvrx9+3Y93VDWJpU4iygvL79586ZUKnVxcdEeEhcX17dv35qamlu3bjXEk/po1aqVpaXl7du3NXIikTOoBBUAqFSqiRMnduvWbfTo0V27dm3Tpo3+4DGd7Oxs+GemckZGhs6eGlvIosVY7dq1a+CJKPr27SsWiw8fPnzkyBGoP6sBAAiCsLGxUalUVK42xfPnz6H+ICIq/asR5F66dOnz58+nTp2qke2ASEhIAFoOic4NyXSGbzViopWVldqnJkly2rRpBEEsXrwYWe7cuRMXFzd+/PgpU6YEBwd7e3trhGPz8vIyMjK8vLyaNWtGt2dmZh44cMDFxQXVDEEsW7ZMqVTSHUNeaVzp3Llzq6urp0yZYmRkBABHjx7Vvsa8vLwbN264urpSOTAaWQ0ooDt79mwqISc/Pz8pKYnBYNCj9dQvIsBgDJjG1tz/OYqKilC5Fg2MjY337NnT2N5hMB8le/fubdOmzfDhw9u3by+XyzkcDtV05coVKuT2WlBsj8PhrFq1ih6JLCkpuXPnDvp3eXm5iYmJSCTKyMhAFpVKNWrUKAD46quvkCUtLY0eO5TJZCj/kqri9HZRXpIkFyxYAADDhw+nKmfdvn1bLBYbGRlRdQ9QiVaN4l8a1BflRWUrqGoP+fn5SOtoR3kFAsH169epM7Zu3RpoRQkaHuUlSfLTTz8VCARhYWGmpqY6qzFQoHfu27dv17Cjmln0KGZeXh4VUw8JCYF/Bh03bdrEZDI7deqETnfnzp0nT55QrWq1Gi0aW7NmDf2ST548ST8pknT0yhgkSaJfRNRno66ujs/ns1isBw8eIItMJkNBUHrRDxSFTU1Nre/C161bBwCzZ8/WsG/cuBFoZR+USuX333+P/qZQofp79+4hS1xcHDVw7dq1AODl5UWFk3VeIzovVdcMbRTC5XKrqqqQBalY6iY8fvwYrWukvy1BqydNTEy0w/MYjCGBJa8mqamp2stTkOSlVyzCYDAN59SpU61atRo8eDB6mWtjY5Ofn0+1mpmZ6Xx5rY1arR4/fjz6LykQCLy9vX19fe3s7AiCoFccO3jwIIfD4XA43bt3j4uLQ/uEt2/fnioHO2XKFBaL1aFDh8GDBw8YMADpyJ49e1IzvLXklcvlaEmQo6NjbGxseHg4l8tlMplUQVwE2kKiZcuW/v7+/v7+ISEh48ePp7/Zr0/yItEvkUiGDBkSHR0tFovj4+NNTEy0Je+0adPQZhwDBw5Ey9S0t6JooORF+dYMBmP06NHaD4XOjz/+CFq1xkiSXLNmDXpqrq6uQUFBTk5OXC6XetWOVsUxmcywsLC4uDiU5xoWFkaVQhs1ahSDwfDx8YmPjx8wYIC9vT0AjBkzBtXzqqurk0gkYrGYLserq6t5PJ6ZmRm95ldZWRmLxbKzs6P7hj5RYrE4Ojq6X79+lpaWBEFMnz6drv+QCEabRPj7+wcGBg4ZMuTKlStUh4iICACgWxCoUi9BEL169Ro8eLCjo2OXLl28vb2NjIyojVFQIHby5MlMJrNdu3ZxcXHoB5irqyv130TnNVLnpRRtWloa/HOjEPRjw97efsSIEd27dzcyMkLbhSxcuJDqs3v3bgDo3bu3zmeKwRgMWPJq8vvvv6O3SBqIxeJ79+41tncYzEdJWlqao6Nj3759CYIgSdLf3x/tJYZISEjQSDzVz+nTp2NjY+3s7LhcLovFsrGx6dGjx9GjR+l9rl69GhUVJZVK0b613377LaV3SZK8du1abGyslZUVi8WSSqVeXl4LFiyg67y3lrwkScpksoULF7Zu3ZrD4ZiYmPTs2VMj0PjkyRO0k5wGQqFQf5iZJEmFQjF//ny0jfAnn3yyYMECpVIZHh6uLXnPnj27e/duT09PLpfbvHnz6dOn0+/AG0lekiRRLPm1dVuLioo4HI6ZmRml5yhWr17dpk0bDofD5/PbtWtH3xiMJMmkpCQ3NzcOhyOVSkNDQ3fs2EFXnL/++mvbtm2FQiGHw7Gzs4uKijp06BDVijYkGzBgAH3C/fv3g1bmMcpL1lDkcrn866+/bt68OZvNtra2jo2NvXTpEr1Denq6zmpxVPI3kteWlpbaUVKlUjllyhQrKys+n+/u7p6YmKhQKDw8PPr27Uv16dKlCwDcv39/69atDg4O6BM7b948KlJb3zVqy3pU4Hnt2rVUn6tXr/r4+PD5fHNz8/79+9+5cwdVuqBvF4LW/OHXmBiDB0teTb799ludhT+5XC61eRIGg3kjMjMzmzdvHh4eLhQKq6qq4uPj0QatiB07dvj4+DSiex+Shw8fisViX1/fO3fuoOQHpVJZWFj45ZdfAsDMmTPf/RRI8tI3EntHZDKZRCJBebqv7TxkyBDQldvwkTJv3jwWi/Xdd99Re3bU1dU9ePAAvRmgp1u8HWhRmoODw7s6+rbk5+ejyDd9+2UMxiDBy9c0uXPnjlqt1rYrlUqd9SYxGMxrEYlEMpmsrKzM0tKyqKhIYwVbdHR0eXm5RplVQ2XLli2VlZWzEjF9cQAAIABJREFUZ892dXVFG8wymUxLS0u0JZuefTEakQMHDlRUVAwePPi1+4AAwJw5cwQCwaJFi7R3i/jouHz58ty5c4cPHz5r1ixqqRybzbazs1OpVDY2NnZ2du94Cp0L7z4kixcvViqV8+fPR59GDMaAwZJXE7RtujYa2ylhMJiGIxKJamtrX7x4gSRvixYt6FUaOBxOYmJiUlJS4zn44airqwMAVD+LQqlUomxXqpbqf4eampoFCxagRN6G9Hd2dl66dOmdO3d++OGHf9u3fxuUZIyqj1HU1taOHj26sLCwvleCb4SendI+ADdv3ly3bl2fPn1QBQwMxrBhNbYD/zm0y+sgdBbKwWAwDUEkElVXV7948cLV1RVFeTU2UIiKikpISCgvLzf435YJCQlr166dMGHCyZMn3dzc6urqnj17duzYscLCwqCgII19whqX+Ph4kiTPnTuXl5c3derUhldpHDduXJcuXQxgXwOUvTBjxoyMjAxbW9vKysqcnJwTJ05UV1cvWLAAlQF5F6hN1+j7IX9ILCwsrl69iutvYpoIWPL+A4VCgSpEakPtco7BYN4UgiAEAgEV5fXy8qInNiAGDRr066+/TpkypVE8/GC4urpevnx58eLF586dQ9uAmZubt2vXbsCAAYMHD2ax/kPfyampqbm5uebm5gsXLpw+fXrDBxIEgQoFfOyMHz++urp627Ztv/32m0qlkkgkzs7O48aNGz58OCoD8o6cP3++oqJiwIABbDb73Wd7C6ytrfGfNkzTgSC1tqBsyty/f799+/YvX77UbkKLyj+4RxiMgWBtbV1VVfXFF1/w+fxvvvkGlW6gdzh9+nRERIRMJmssDzEYDAZjwOBc3n+QnZ2tMzeLIAhUCRKDwbwdYrFYLBYLhcKioiK1Wi2RSDQ2XQsODh45cuRPP/3USA5iMBgMxpDBkvcfZGdny+VybTufz7exsfnw/mAwBoNIJDIyMuLz+UVFRQwGg8Ph5Ofna/RZuHDhrFmzGsU9DAaDwRg2WPL+g8zMTJ3vVVGJ8g/vDwZjMIhEIqFQyGaz0e6mbdu2raqq0uhz69YtsVhM7ciKwWAwGMz7Akvef0Dtda4NVZQRg8G8BVlZWQqFgslkIsnbsmVL7YKAAQEB8+fPv3HjRmM4iMFgMBhD5j+0Ovi/QHZ2tk67UqnEkheDeRfatm0rl8tJkqQk7/3797W7JSQkZGdnm5mZhYWF5eTkvHvdUwwG83bExMSMHz++sb3AYN4bWPL+g8LCQp322tpanNiAwbwLJiYmZWVlMpmsrq5OJpO1atXqwIEDOnvOmzcvISFh1apVzZs3b8RdqTCYJg4qS4zBGAxY8v4/JSUlBEHobOLxeI1VNxGDMQwkEkllZSW157DOxAaKTz75xAD27sJgMBjMfwf80vD/yc7O5nK5OptMTEw+sDMYjIEhkUgIgqB2o7C3t3/27BnafReDwWAwmH8bLHn/n+zsbLVarbPJ0tLyAzuDwRgYYrGYJElK8kI9K9gwGAwGg/k3wJL3/3n06FFNTY3OJpzShMG8IxKJRKVS0SVvq1atdK5gw2AwGAzmvYMl7/9z9+5dlUqls6lFixYf1hcMxtCQSCRKpfLFixcSiSQ3NxdwlBeDwWAwHxAsef+f+gJObDbbzs7uAzuDwRgYYrFYLpe/ePGisLDw6NGjAKBSqQ4dOtTYfmEwGAymSYAl7//z9OlTnXYej4eL8mIw74hEIqmoqHj69Gl0dHTz5s0BoGvXruXl5Y3tFwaDwWCaBFjy/g+1Wl1WVqaziclkYsmLwbwjEolELpfzeDwbG5uCggIA6Ny5c32/MzEYDAaDeb9gyfs/srOzjYyMdDap1Wq8DwUG846IxeLq6urKykpK8hoZGZmZmf3999+N7RoGg8FgDB8sef9HdnZ2fVub1tXV4SgvBvOOoMQGU1NTLpeLJC8AuLu73759+y1mCwkJIQhi3bp179XHt8fKyoogCKVS2diOAABMnz6dIIg9e/Y0tiMN5Ztvvvk3nmZqaqqVldXkyZP19Pnyyy8JgsA55RhMUwBL3v+RnZ1dX1V8hUKBt6LAYN4RSvJWVFRIpdLi4mIAcHNzu3PnzptOVVBQcObMGQDYtm3bW3hy6tSpP//88y0GfhRcuXIlMTExLCysX79+/8b8KSkpO3fufL9zHjx4EAAiIyPf77SrV68uKioyNTXV02fevHnW1tajR4/GaeUYjMGDJe//yMrKqq2t1dkkkUg+sDMYjOFBEIRQKDQ2Ni4tLaVyG94uyrtjxw61Wu3m5paamvoWeRHTp083YMk7efJklUq1ZMmSf2Py4uLimJiY95uBnZubm5GR4eXlhRY1vkemTJmSlJQ0Y8YMPX3EYvHMmTMLCgoWL178fs+OwWD+a2DJ+z/u3r1bX5O5ufmH9ASDMVQkEolYLH53ybtt2zaxWDxr1iySJLdv3/5GY2/evHnt2rU3PePHwo0bN1JTU318fLy9vd/75AqFYty4cSUlJe83HIuSCt57iBcAfH19hw4dymQy9XeLj4/n8/m//vqrTCZ77z5gMJj/Dljy/o/s7Oz6mnAiLwbzXhCLxUZGRnTJ+xaJDZmZmTdu3AgPD4+MjOTz+TpzG/7666/AwEBzc3MjIyNXV9cxY8bcvHkTACZNmtShQwcA2LNnD0EQBEGMGTMGALKysgiC+P7776urq8eMGWNqaspisR49eoRmI0ly8+bNHTt2FIlERkZG/v7+DdHZDRlVWVk5efJkBwcHNptN/JNz584RBOHn56d9+QRB1KdoN2zYAADDhw/X2VpZWblx48awsDAzMzMWi2VhYREXF1daWkrvk5KSMmDAAHt7ew6HY2FhER0dff78eQBYvXq1vb39rl27AMDV1ZUgCHQnASAiIsLGxgYAdu3a1a5dOw6H88UXX1ATHjx4MDIy0tzcnMvltm7detWqVSRJ0s+IJG+vXr3oxteOkslky5Yt8/b2FggE2rcOAJYtW0YQxPLly6khOTk53333na+vr0gk4nA4LVq0mD17tlqtNjY27tOnT2lp6d69e3XeNwwGYyCQGJIkSZLH49V3i4YMGdLY3mEwhoCfn19cXFxiYuKcOXPmz5+PjPb29ocOHWr4JLNmzQKAP//8kyRJlK5648YNeofffvsNACQSSZ8+fXr37u3k5AQA27dvJ0nyxx9/HDlyJAC4ubktXrx48eLFycnJJElmZmYCwJdfftmjRw8Oh+Pr6+vl5VVXV4cmHD16NACYmppGR0dHR0ejzP4pU6bQT2ppaQkACoWCsrx2lFqt7tSpEwBERUUlJiaOGTOGzWajL5x58+bV1dW5uLgAQHZ2Nv1ECxYsAIClS5fqvDmtW7cGgHv37ulsnThxIgC4uLjExMRERkayWCwA+Pzzz6kOS5cuBYDmzZv379+/R48eaE3eyZMnSZJctWoVCsSGhoaiW3fixAmSJKuqqrhcbmRk5KRJk9hsdvv27T09PTMzM0mSrK2tRQ/IwcFh4MCBERERXC4XAFauXEmdsbq6GhU+V6vVyNKQUS9fvuzYsSObzR42bFhiYuKAAQMAQCQSjRo1auHChUqlkiTJzp07AwDyBOHp6clisTp16hQXF4fuPPVBWr9+PQB8+umnOu8bBoMxDLDkJUmSLC0trU/yEgTxzTffNLaDGIwh0L1792HDhs2cOXPdunVjxoxBxsGDBw8aNKjhkzg4OAgEgurqapIkUUru1KlT6R3atm0LAI8fP6Ys169fl8vl6N8nTpwAgH79+tGHIMkrlUpdXV2fPn1Kbzp8+DAAeHt7l5eXI0tZWZmnpycAnDp1iuqmIXkbMurkyZMAEB4eTk0yf/58ANiyZQs6RAJ00aJFdH+8vLwIgvj777+170xpaSlBECYmJpR81OD69et3796lDlEENCIiAh3eu3ePwWD06NGD0vpKpTI9PZ3q379/fwBASpcCRUZNTEwiIyOfPXtGb+rTpw8ALF++XKVSIQvadc/Z2Znqs2/fPgAYOXLkG41KSEgAgL1791IWGxsbLpeLxC5JkiUlJUwm09HRke7PiRMnioqKqMOePXsCwLJly0iSRK8aWrdurfO+YTAYwwAnNgAAZGdno0CCNjweDxflxWDeC2KxmM1m0xMbAOCLL77Qk1akQWpq6pMnT3r27CkQCACgZ8+eQqEQrWaj+qBqgyhiivD29uZwOK+d/MWLF2vWrNFYRPXzzz8DwHfffUctY5VKpQsXLgSANWvW1DdVQ0bdu3cPALp06UKN8vf3B4CLFy+iw/j4eAaDQS+PkJ2dnZGR0bFjR3t7e+2TPnjwgCTJNm3aEASh0ytvb28UOUaIxWIAQIFhANi6datare7Vqxd165hMpo+PD/p3XV3dsWPHxGJxYGAgfU6UluDs7Lxnzx56DtjGjRv37ds3duzYqVOnUvUfw8LCGAwGfcWhRq2GhozKzc3dtm1bhw4dkDgGAJVK9fLlSyMjIypt9+jRoyqVSiNZIjQ01MLCQuflt27dmiCIBw8e0D9IGAzGwMCSFwAgJyenviYOh4NzeTGY94JEImEymRqSt0OHDleuXGngDChzF73IBgCBQNCrV6/8/PyzZ89SfaKjowEgNDQURQcbjpmZWVBQkIYxNTUV/ilMASAoKIggCPpJ32IUkl9FRUVUB/RvPp+PDm1sbLp163br1i0UhAYAVGo3Li5O50lRVq7+mlwAQJJkZWVleXk5cjIsLAzZkQRctGjRqVOntEedPXv25cuXYWFh9N8S5Kt49oYNG+g/Kurq6ubOncvj8VDcmqK2tlatVqMToeFHjhzhcrndunVr+KjU1FSlUkllEgPAn3/++fLly88++4yyICWtIXkRKpWqoqKivLw8LS2Nw+GgJ85kMo2NjdVqNS5VhsEYMFjyAgA8fvy4urpaZ5NQKHzvpXMwmKYJCnlqSF6CIHx8fNLT0187XKlUokyGRYsW+b3i0qVL8M8CvdOnT585c2Z2dnZERISLi8uWLVsaGLpzcHDQsCgUitLSUqFQKBKJ6HZkKSsrk8vl2vM0cFTPnj2trKw2bdr0xx9/5Obmnjt3bt68eQRBDBw4kBoybNgwANixYwc63LNnD5PJpBS/BkiuSaVSna0ZGRmjR492cHDg8XgSiUQqlSYlJRkZGVEqf+zYsQEBAXl5eaGhoSEhIRkZGfThOheZpaenFxYWhoSEuLu70+1HjhwpKCjo3bu3mZkZ3Y5qZVBr765evfrs2bPg4GChUNjwUSiH5NixY+np6Tk5OZs3bx4zZoynp+dXX32FOigUimPHjkkkEvpPjkOHDkVFRdnY2HA4HGNjY6lU+vjx48DAQOoZofuGJS8GY8BgyQsA8PDhw/q2TaqsrKzvTwgGg3kjxGIxSZIakhcaHOg9duxYSUkJAGRkZFx5BXpFs2fPHkp9MhiMhQsXPnr0aNKkSU+fPh0+fHhgYGBVVdVr59dOfkAZAuQ/awUgkFFnCkEDRxkZGSUnJ9va2sbGxtrZ2QUGBhYXF2/atIlaWQUAffr0kUgkSPLm5+enpaV17doVaT5tULKHzl/vGzZs8PHxSU5OTkhI2L17d0pKCkoUDgsLo3K6JBJJSkrK1q1bHRwcTp8+3bFjR3q49+DBgwwGIyIigj4t0sEox1fbrl13TCP4qnHYwFFBQUEJCQn379/39fVt0aLFmDFj4uLiTp06ZWxsjDqcP3++oqKie/fuKCCtVCpHjBjRq1evwsLCr7/++siRIykpKShSTlfw6L5RIXYMBmOANFoW8X8J7beZFBwOp7KysrEdxGAMgVWrVo0cOdLW1pYkSVNT05ycHGTfunVrfHz8a4cjmXL06FEN+4gRIwBgz5492kOePXsWGhoKADNmzEAWPcvX/P39tWdA+rKiooJuRALaxMREoxu1fK2BozIyMkxMTMaNG3fq1Klr165Ra+zojBo1CgCuXbuG9uP99ddftfsg0I50Xbt21bBfv34dADw8PKi1dCRJjh07FgA2bdqkPU9tbW1UVBQADBgwAFnQ6i7t++Pl5QUAubm5GnYUkc3KytKY1sLCQigUFhcX04dT6wUbOOrevXtOTk4JCQmnTp26dOmSxk0mSXLSpEkAsHXrVnS4YsUKAEhISKBW9anVau1qGEgf63wEGAzGMMBRXgCA3Nzc+prUarXG20kMBvN2SCSSuro6lHLKYrHS0tKQ3c/P7/Lly/rHVlVV7d+/38zMDElYOjExMVDP5sNWVlY//vgjAKD8B3ReAFAoFA30Ga3W0kjbRYeoDNa7jJo9e7ZKpVq5cmVwcHDbtm11rrFD1QkOHz585MgRDoeDMpV10qxZMwDQ3o4OBXRnz55NraXLz89PSkpiMBioaoEGPB4PJVdQyxhQVnT37t3p3fLy8tCuaei8dCoqKgBA45tz6dKlz58/nzp1KspbQMM9PT2pzLGGjJLL5REREba2tps3bw4ODvbz86NyfCkOHTrEZDJ79OgBAGq1evny5QRBLF26lArJ79u37969e66urlQqS0FBgUKhMDc3b8gyRwwG85GCJS/AP1eQaID1LgbzvhCLxdXV1QwGo6amJiAggHz16l+pVObk5JSVlekZu2/fvpqamn79+iHNSickJMTU1PTw4cMVFRV1dXWnT58maUkFN27cgFdrxeCVjLtz504DE3zRrgpz5sx58eIFslRUVMyePRsA0DYW7zIqNzeXwWDo19/+/v7Ozs5nz55NSUkJDw+nXt9r4+joaGFh8eTJk2fPntHtqASEra0tOszOzg4PD6+pqfH19UW35fz585WVlVT/ioqKlStXMpnMTz/9FFmuXr0KAHZ2dvRp9eyahqTksWPHKMvmzZsXLFjQqVMnVFYZdCUHN2RUcnLy33//3b179/qqUmRlZT169Khjx45oGR+6G3w+n1rVd/LkSfQrgn7qCxcugN7fMBgMxhBo7DBz41NbW6v9R5Tik08+aWwHMRgD4eTJkyEhIc2bN3/69OmUKVO+//57qsnCwkKjIK4G4eHhAJCSkqKzFb3937hx48uXLwHAysoqKipq6NChQUFBDAaDw+FcvHgR9VSr1aguFdqNds6cOaTexAaSJGfOnAkAJiYm0dHR/fr1Q+HGcePG0ftob0XRkFFoxZWVlVWnTp38/f2DgoKGDBly/PhxDQcWLFiAKnbt2LFDzy0iX+3N8ccff9CNqB6wvb39iBEjunfvbmRkhGT3woULUQdnZ2c+nx8cHDx06NCoqCiJRMJms5OSkqgZUEpJs2bNhg4dStXQRXm9V65c0XYDVZZgMplhYWFxcXFt2rQBgLCwMHoSgvbwhoz6448/AIDH4/n5+fn7+3fp0qVv375onxHEsmXLgLZVR3FxMapc1r59++HDh/v6+tra2qK7RH0kSJJEP1Hou11gMBjDA0te8v79+3pCub6+vo3tIAZjIKSnp/v4+Hh5ed24ceOHH34YP3481TR06FC6xtKgqKiIxWLZ2NhQ2xNogLZ16Nq1q1KpXLp0qZeXl1Ao5HA49vb2ffr0uXz5Mr3z7du3/f39hUKhRCJBOb76JS9Jkjt37uzYsaNQKBQKhX5+ftquakve146qqqpasGCBzt/b1G4UCJSrIBAIqqqq6vMQgXZ2iIyMpBuvXr3q4+PD5/PNzc379+9/586dv/76CwBu3rxJkqRSqZwyZYqTkxOXyxUIBM7OzqNGjcrIyKDPcP36dXd3d1SxccmSJeSrXdMsLS3r2/YiKSnJzc2Nw+FIpdLQ0FBUO5lqrW+4/lF5eXlff/01Va+XDpXhjao00Hfc+O233xwdHdGHYcKECSUlJRMmTDAzM6M+S3V1dRYWFmw2W2MrDQwGY2BgyUuePHlSz7vCqKioxnYQgzEQLl++bG5uHhIScvLkyX379vXu3Ztq2rZt2xvtwfaxI5PJvLy8TE1NT5w4gZZMqdXqioqK7du3A0CnTp3onVEicmxs7GunValULVq0YDKZ2kvKPnaSk5MFAkFsbGxWVhbaZU2pVD579gylI2/evPmtZ0aR4yb18cNgmiY4lxdyc3P15NJRCXAYDOYdsbS0lMlkpqampaWldnZ2T58+pZrCwsKOHz/eiL59YM6fP5+RkZGQkBAaGoqWTBEEIRaLY2JiuFxucXExvfPWrVsBYMiQIa+dlsFgfPfddyqV6rvvvvuXPG8Uqqqq4uPj7ezstm3b1qpVK5SrwGQyraysUKUF+s4Ub4RKpVq0aBGPx5szZ8779BiDwfz3wJIX/v7775qaGp1NDAYDS14M5n1hbW1dV1dnZGSUl5enIXnNzMyaNWvWkA0pDIO6ujoAyMvL07CvW7dOLpe3b9+esmRlZW3ZssXBwQFlM7+WwYMH9+vXb8OGDWjNmWFw9uzZkpISd3d3jayGn376af/+/YMGDUKJv2/BunXrbt68uXjxYmrjZQwGY6gQpK566U2KQYMGUZsbaSAQCFatWoVWxmAwmHeHz+d369atsrLyzJkzRkZGRUVFaOctABg9evSRI0f0VAw0JGpra729ve/fv+/v7x8QEMBkMktKSs6fP3/37l1bW9sLFy7IZLKFCxfW1tYmJydXV1cfOnRIZ0ExnVRVVT169MjCwsLGxuZfvYoPxo0bN9q1a0cQRO/evT08PGQyWWFh4enTp3Nzc/v27btt27a33kLi4cOHNTU1Hh4e9ZWAwGAwBgOWvNChQweqPqgGYrE4KSmpT58+H9glDMZQsbGxmTNnzvnz57dv3+7i4rJnzx56fM7b23vz5s1ohwKDp6SkZPHixYcOHcrJyVGpVBKJpE2bNj179hwzZoyxsfGtW7e6du1aWVnp7Oy8ePHi3r17N7a/jcyuXbtWrFhx9+7dmpoagUDQokWLjh07Dho0CJVAxmAwmNdSb3GupkN+fn59TQwGQ2O3dwwG8y4YGxvzeLznz58DAMptoEveESNGbNq06Ycffmg8Bz8cZmZmiYmJiYmJOls9PDzQnh0YxIABAwYMGNDYXmAwmI8YnMsLGitF6KhUKnNz8w/pDAZj2BgbG7PZbCR57e3tc3Jy6K1I8jaSaxgMBoMxZJq65H3x4oWeFC60BeWH9AeDMWyMjY0ZDAb6namxgg0AsrOzpVIpKhqFwWAwGMx7pKlL3tzcXC6XW1+rXC43MTH5kP5gMIaNVColSZKe2EBvdXd379279/379xvJOwwGg8EYLE1d8j59+lRPlFfPrmwYDOYtMDY2rqioMDY2Lisrq62tvXbtmkaHNWvWrF69uqSkpFHcw2AwGIyh0tSXr+Xm5srl8vpa9ezKhsFg3gJjY+Py8nILC4vnz583b95c5y4we/futbCwGDRokEamLwbzgYmJiRk/fnxje4HBYN4PTV3yZmdny2Sy+lpNTU0/pDMYjMFjbGxcXFxsbm5eXFzs4eGhcxeYgIAApVI5YcIEKysrXCIQ04jgrYgwGEOiqUveBw8e6Gm1tLT8YJ5gME0BY2Pjhw8foihvly5dSkpK5HK5dj49g8FYs2ZNXV0d2owXg8FgMJh3pKnn8j558kRPK/6Jj8G8X6RS6YsXL1CUFwCcnJwePXpUX2esdzEYDAbzvmjqkvfZs2f1NREE0axZsw/pDAZj8NBzeQHA0dHx8ePHje0UBoPBYAyfpi55y8rK6mvi8XgWFhYf0hkMxuBBkreBUV4MBoPBYN4XTVryFhQU8Hi8+lrZbDbehwKDeb/gKC8Gg8FgGoUmLXlzc3PZbHZ9rQwGA0teDOb9gqO8GAwGg2kUmrTkffr0KUmS9bWq1WoseTGY9wtavoajvBgMBoP5wDR1yVtbW1tfq0KhwJIXg3m/MJlMPp8vEAhQlBdLXgwGg8F8GJq05H306JHOzZ8QtbW1WPJiMO8dY2NjNpuNoryAVS8Gg8FgPghNWvI+fPhQT6tQKCQI4oM5g8E0EVA6r5mZWUlJCQBUVVUdOHCgIQMfPXpEEARBEKampkqlUmefsWPHoj7ffvvtu7vq5eVFEEReXh46zMnJsbKyiomJaeDwadOmSaXSK1euvLsnDaGgoEAqlXp7e6tUqg9zRv3ExMQQBJGSkoIOU1NTraysJk+e3MDha9asEYlEf/3117/m4H+dQYMGSaVS6uP3puzfv58giClTprxfrzCYj5cmLXmfPn2qp1UikXwwTzCYpgNK5y0vL0e/Ofv06aMnpV4nZWVlJ0+e1LarVKp/VSE9efKkqKjo0qVLDeyfnp5eXl5+586df88lOqNHj66oqFi7di2TyfwwZ9SDQqE4duyYsbFxly5dkGX16tVFRUUN38V9wYIFVVVVTeRV2+bNmy9fvky3ZGZm7tixAwCMjY3fbs7evXtHRESsWrWq4Z9YDMawadKSt6ioSE9rw7+aMRhMw0FR3latWolEIgBo27bt/fv3Gz68c+fOALBr1y7tpjNnzjx//jwgIOB9uapBYGDg5s2bG66q161b9/PPP8fHx/9L/tA5ceLEoUOHevXq1alTpw9wutdy9uzZysrK8PBwFut/29pPmTIlKSlpxowZDZzhp59+On78OKWYDZiUlJSRI0cyGP/4c+zo6Lhu3brU1FQjI6O3nnnJkiVqtXrSpEnv7CMGYwg0XcmrUCiqqqr0dLC0tPxgzmAwTQckeW1sbNDeh25ubm8UB/Xw8DA3N9+3b592Iv6ff/7JZDK7d+/+vlzVSG0iCGLYsGHt27dv4PA2bdqMHj2ay+W+L3/0sGbNGgD47LPPPsC5GsKhQ4cAIDIykrL4+voOHTq04RHofv36devW7V9x7r9EWVnZqFGjLCwsND5XHA5nzJgxbdq0eZfJ3d3d/fz80tLSrl69+m5uYjCGQNOVvE+fPhUIBHo6WFtbfzBnMJimA5/Pf/bsmbW19dtJXplMFh0drZ3bgLIaAgMDhUKh9iiSJH/55RcvLy8+n29mZjZw4MDMzEyNPikpKQEBAUKhUCqVdus3YFdeAAAgAElEQVTW7fTp0xpfEVlZWQRBoDAzRVVV1dy5c11dXfl8vqmpaYcOHTZu3IiaYmNjCYKg+2llZRUcHFxSUvL555/b2tpyOBwHB4eZM2fW1dVpOHP69OmQkBCRSGRkZBQUFHT06FE99+TZs2eHDh2ysrIKDw/XblUoFBKJJDo6urCwcNy4cc2aNePxeK1atfrtt98A4Nq1azExMZaWllwu183NLTk5WXvyyZMnOzo6crncZs2aTZ8+Xdvbffv2BQUFGRkZSSSS0NDQa9eunT59mslk9ujRA3VYtmwZQRDLly+njyosLJw5c6aHh4dAIJBKpb6+vlQEPSIigiCI9PR0dJiWlkYQxKpVqy5cuNC7d29TU1Mul+vu7o6ENUVlZeXGjRvDwsLMzMxYLJaFhUVcXFxpaameW4dmXr58+bZt2wIDA6VSqUAgCAwMvH37Nr1bRESEjY0NAOzatatdu3YcDueLL75ATVevXh0/fnzr1q15PB6fz/f09EQJCXTkcvmaNWs6d+4skUiEQqGLi8u8efOUSuWoUaOaN2/++PHjoqIiBoNBEMT06dOpR2ZsbEz/Xadzktde+PDhwwFgw4YNem4CBtNUIJsqKSkp+rN1Z86c2dg+YjAGSJ8+fYKCgqZPn75kyRJkadasWW5u7msHotzfuLi4U6dOAcDw4cPprcePHweAX375ZenSpQAwd+5ceuvo0aMBoHnz5v369fPz8wMAkUh048YNqsORI0eYTCaDwejatWtsbKyDgwODwTAxMQEAyjekkv39/alRVVVVXl5eACAWiwMDA319fblc7rfffotaBw4cCAAnTpyg+ltaWkqlUldXVz8/vyVLlixYsMDJyQkARowYQfd227ZtBEGIxeLIyMhu3brxeDyCIDZs2FDfndm5cycAxMTE6GxFmjssLMzJyWn8+PErV65EwVcmkzlv3jxTU9NJkyatWLEiLCwMAIyNjZVKJX2siYmJkZFReHh4dHQ0+g0wbdo0qoNarR41ahQAWFlZDRgwoEePHhwORyqVMpnMgIAAqhv6nZCZmUlZjh07JpVKAaB169bBwcEtWrTgcDh37txBd5XL5VpZWanVatR59uzZANC5c2dbW9uvvvpqxYoVERERACAQCF6+fEnNOXHiRABwcXGJiYmJjIxEORWff/55ffeNmtnc3NzBwWHWrFmrV69GN6dFixbUfUD+REZGTpo0ic1mt2/f3tPTE13Lixcv0GcpLCwsNja2ZcuWAMBisQoKCqhTPHnyxMXFBQBsbGy6du3q7u5OEMT69eufP3++ePFi1PTZZ58tXrx48eLF9+/fpx7ZwIEDXzvJay/8wYMHAODk5KTnJmAwTYSmK3mTkpL05EhxudzVq1c3to8YjAGSlJQ0dOjQVatWTZw4EVnCw8OPHj362oFI8vbv31+pVFpYWEil0rq6Oqr1008/ZbFYxcXFixcv1pC8J06cAIDw8PDa2lpkWbt2LQB06tQJHapUqhYtWgDAzp07kaWurq5fv37o20CP5EXL4SMjIynhVVVV9eLFC/RvnZIXALp166ZSqZClqKhIIBCwWCxqVElJiVgstrW1zcvLQ5YbN26w2WyhUFhWVqbzzkyYMAEA6vvKQnrI2tr60aNH1PUi8erg4EBdnUwmQ1kHlCdpaWl8Pj8gIKCoqAhZ9uzZg+QgNTnKzY2Li6upqUEWKsa5fPly6oqYTKajoyM1KjU1lcPh2NnZXb58mTJS17t3714AGDlyJNXk6ekJAH5+fuXl5dQloHVdDx48oLpdv3797t271CEKKkdEROi8LfSZg4ODq6urkUWtVjs7OwMA9YsI+WNiYhIZGfns2TONGfbs2UNdu0wmQz+T0tLSkKW0tLRFixY8Hm/Lli2Ugs/Pz0f/VqlUFhYWXC6XLtzJV49s69atDZnktReOlgAWFhbquQ8YTFOg6Ure+fPnaywXoCMSibZv397YPmIwBsihQ4d69uz5xx9/UFHJqVOnUvJID0jy9uvXjyTJMWPGAMDhw4dRk0KhMDU17datG0mS2pIXiVd6TFelUqGXPPn5+SRJnjt3DgDatWtHPx0Kj+mRvEqlUiKRMJlMekiPTn2SNzk5md6ta9euAHDx4kV0+OOPPwLAypUr6X1Q6LG+LyWUvkw/ER1HR0cAOHjwIGVRKBQ8Hg8Azpw5Qxmrq6uZTKaxsTESUnK53NnZ2cTEhFLA1D2xsrJCh9euXWMwGO7u7nK5nOqD8lXoMd2tW7cCwKRJk9ChTCZzcHDgcrl0lUZn5MiRALBv3z50iErrCAQCtF8mhbGxMZPJrKqq0jkJSZK//PILAEyePLm+DmhmoVCo8QRRfsi5c+fo/nTo0IF+mfVhbW3NYDAoaR4XFwcAGzdu1NkZ1VIIDw/XsDs6OjKZzNLS0oZMoo3GhaMFnSkpKQ0cjsEYKqz6NJ/B8+DBA7VaXV8rg8FoIsVxMJgPjImJSVlZGZXLCwBubm5U9dYGMmDAgJ9//nnXrl3oBfepU6dKS0uRxNTmwoULAKBRvQstTcvOzraxsbl+/ToAaJR6cHZ2lkgkFRUV9fmQmZlZUVHh6en5pnn/KBeCAn3VvHz5ku7tyZMns7KyqD7Z2dkAUN+eHShrU2eRmczMzMePH7u6utJXkmVkZMhkMm9v78DAQMqYlpamUqmCgoLQndm0adPDhw9btWpFr7GAHhl6ww4A8+fPV6vV3333HYfDofoUFhYCgJOTU+vWrZHl4MGDANCrVy90uHHjxidPnkycOJGahw5JkocPH+bxeNTaNZSwm5CQ0Lx5c6pbVlZWeXm5n5+fduo2SZIvX75Uq9WpqakAgBI2dIJmHjZsmMYTRKVwkRH5AwAbNmygX6YGcrm8tra2sLDw2bNnfn5+6AfV7du3d+7c6eXlhUSzNujO0B8NvHpkXbp0QQHj107y2gtHH4yysjL9wzEYg6fpSl7qD0x9YMmLwfwbmJqalpaW0iWvi4vL3Llz32iSwMBACwuLffv2rV+/ns1m//nnn2w2u2/fvto9VSoVKkdIrSqjI5PJAABtBaetXM3NzfVIXjQtWtX0RqAcVgokMclXxYnz8/MBAMksnd5qU15erj0tAomqQYMG0Y2U1NM2UvILRQrv37+vXUIOaannz58fPnzYxsZGQ7Gh+rKUwEUFeiUSCVVuDC2lQnF6bdLT0wsLC3v06EGtHUSXoFHrTbsiREZGxrp1644fP15QUEAtsEOL/3SeqL6Zy8vL7927Z2ZmhqLjyJ+QkBB3d3eN4TU1Nb///vuWLVsePHhAXyRHXfuvv/5Kvsoj1wm6Cqo/3Svq0l47yWsvHH0w0IcEg2nKNF3Jm5GRoadVIpHgImUYzL+BdpTX29u7urr61q1bHh4eDZyEyWRGR0f//PPPx48fDwsL27dvX2hoKIqKaYBe5nC53Pr0IoX2bovo7X99IJH6Fns06kmpoqa9dOkSWmbXEJA6rK6u1m5CoqpPnz50IxJVUVFRGkaCIHr27AkAubm5GRkZDg4OKLqsk+TkZKVS2bNnT43LQYsLKRl3/vz5ioqKmJgYNpsNAE+fPr1586azszMVA9bpMDW8pqYmJSXF0tKyY8eO2pdAdduwYcPYsWNtbW2HDx/erl07kUiUlpY2ffr0sLCw+orEVVdXp6SkmJiY+Pr6asysVqt79eqFnizyp3///hrDnz9/3rNnz+vXr0dFRY0ZM8bGxobFYn3++eeZmZmUV2hbQY37TPH06VP0mbezs9NzB/RP0pALRx8MPp+vcwYMpunQdCWv/so1BQUFb73nDQaD0QOSvEKhkMFgvHz5UiQSsVis6Ojoy5cvN1zyAkBMTAzKbWAwGGVlZfXtA8xms9HmxoWFhVZWVjr7oFc6KNZLp7i4WI8DFhYW8Opd/3sEBZv//vvvhktepPW1v9PKyspSU1M/+eQTegpBfn7+9evX3dzc0Io9xIMHDx48eODr64tuEaoO5ubmpuekKJqroReLi4sPHjxIj+lqaNO0tDQA8PHxqW9ajRjniRMnZDLZoEGD6D8tysrKLl68aGdnhz4wN27c+Oyzzzw8PM6dO0fV4fnzzz9BK2eAzsmTJ2UyWVBQkIZk//nnnwGAyiLQmXsAAKNGjbp69eqmTZtQFTAAyM/Pf/jwob29PYoHFxcXP3nyxNraur73ABp3hrq01NRUR0dHVJFX/yQNvHA9eS8YTJOiidblVSgUNTU1ejoQBKE/wIPBYN4OBoMhFovRbhQFBQXI6Ofnp7Hh6msJCAiwtLTcv3//77//zuFwNAKZdJD8QgpDJ23btgUAlAFJcf/+ff0bNLq4uIhEotu3b2tr5Xfhtd5q06xZMwD4+++/NexHjhxRqVQaoko7JQC05FdDXoKjBAyNyPrs2bPlcjl907VDhw7RC/Sie1VfxDEvLy8jI8PT05NK29WpC48ePUq/LlSWbvbs2ZTsy8/PT0pKYjAYKGitEzSzmZkZ3fjXX3+lpqaGhIT4+/tT/nh5eaE7TJGZmXngwAEXFxdK7wLAsmXLlEoldWPR7yU9sVVUa1lj5xT0yBo4SQMvPCcnB159SDCYpkwTlbwFBQX696F4lz0eMRiMfrRzGzp06HDlypU3mgTlNpSXl2/fvj0sLEzPaxm0a8CcOXNu3bpFGUtKStArYwDw9/e3s7O7ePEiZamrq5s1a5Z+B1gs1ogRI5RK5ZgxY6if0HK5nCr18HbEx8dLJJKdO3fSdzSQy+Xbt2+vbwh66X/x4kUNu55UUf1GBwcHADhz5gyqkoHIz88/duwYdYi+JKmTkiSZmJi4fv16+jxZWVmPHj3q2LEjFWJE0crjx4+XlJQgi0qlorYF0XCYJMkjR45wuVyNbdg0vEU+2NraosPs7Ozw8PCamhpfX18UideGWpR26dIl6tmlpqaOHDlSLBajQC/U8/MAXv06os6oUqkSExN/+OEHulfW1tYEQTx58gSVZUDQd11BO6Lpz2rQP0lDLvz58+cPHz40MTF5x43cMBhDoHEKRTQ2ly5d0r8PBb3wJAaDeb/4+Pikp6fHxcXRq26JRKLKyko9o+hFyhCnT59G/2F/++03yqhdpIx8VT6WwWC0a9cuNDTUw8ODxWKFhIRQHQ4ePIi2oggNDY2Li3NycvLx8enduzforctbWVmJ3mJLpdKgoKC2bdsaGRmNHj0atdZXpEyhUNB9Q93olYn379+PEjGdnZ1DQ0N9fX2FQiGTyazvzqCtwtq0aUM31tXVSSQSsVhMr15cXV3N4/HMzMyowsAkSZaVlbFYLDs7O8qiUqlQWQkul+vv79+1a9eWLVsSBEEv/fvHH3+gm9+1a9fo6GhHR8du3bp16dKFXl1r2bJlALB06VJqVE1NDYrgikSioKCgdu3aSSSSr776CrWi+htXrlxBhygLokePHtrXZWRkJJPJkAXV1rW3tx8xYkT37t2NjIzQ2riFCxfWd8fQ76vY2NjmzZvb29vHxsaiDAdjY2N6MS8NfyhQpV6CIHr16jV48GBHR8cuXbp4e3vTvSJJEmUAs1gsPz+/Tp06WVtb+/r6Uq0og6Vt27ZDhw5dsWJFfY9MzyQNufDdu3cDQO/eveu7FRhM06GJSt49e/aIxWI9ktfb27uxfcRgDJbu3bsnJydPnjw5MTGRMnbr1u348eN6RmlLXpVKhXbKraiooIw6JS9Jkvv27QsODpZIJCwWy9raum/fvhqFbE+ePOnv78/n883NzUeNGvXixYuvv/5av+QlSbKysvLrr79u2bIlh8Ph8/l+fn6UeH1ryUuS5M2bNwcOHGhpaclkMqVSaWBg4Lp16/TcHKRQr169SlnQMrIBAwbQu+3fvx8AhgwZQjdu27YNAMaOHUs3Pn/+/NNPP7WyskLFejt16rRo0SKq3CxiyZIlaPtid3f3H3/8UaVS2dvbd+nSheqAkjQ06u8+fPgwOjoa7dBmaWkZHx//+PFj8pUct7S0pHZYmDNnDgCsXbuWPhxdV9++fSnL1atXfXx80IPr37//nTt30MbFN2/erO92oZl/+eWX9PR0Hx8fHo9nY2MzatSonJwcqo+2PxRKpXLKlClWVlZ8Pt/d3T0xMVGhUHh4eNC9Ikny5cuXEyZMaNasGYvFkkgkYWFhp06dolqTkpLs7OzYbLajoyP65Ot8ZHomaciFo4SfPXv21HcrMJimA0G+qozTpFizZs20adP0rOAOCwujv8LDYDDvkUGDBvXq1Ss/P7+oqAjtFAUAc+bMYbPZ33zzTeP69pGyadOmkSNHfvbZZ6i4GEY/3t7eGRkZOTk5GnkFBkZBQYG9vb2NjU12dja9KDUG0zRporm8T58+1V+xqL4MMAwG8+6g0rw8Hg+lMyJEIhHKhsS8BYMHD3Z1dd26deuTJ08a25f/OmhRmnZ1MMNj8eLFSqVy/vz5WO9iMNBkJa+eYpOItygvj8FgGghavtayZcu7d+9Sxq+++kqhUFRWVjaiYx8vXC73t/9j707Dmrq6hgHvk0AS5gACQRBQVASKgAMiyCSC4IAKiogIDq1arUNpn6ot2mq1Tm2tdcChFcU6YR1BwQGsqFQFQawKCgrKLPM8ZPp+7LfnS5MQIhKiybp/vFezs87OOnmvy2exs87esbEcDmfFihXyzuV9J/apPsWTnZ0dHR09derUiIgIeecCwHtBSfflxUerd0ZVVRWOXgNAdvT09AoKCjw8PIQK3ICAgIsXLwqdhgWkNGzYsKdPnzY3N/N4PMmnXSg5sXtWKB5DQ8OMjAx8hhwAACntKi8+Bb4zNBoNdu0GQHZwYwOdTtfW1hY87gGXvHJM7EM3cOBAe3t7qHclaGlpSUlJMTIyEjpEQ/EYGxs7ODhoaWnJOxEA3hdK+i+j5KPXVFRUxJ5cCgDoEbixASHUr1+/oqIichxKXiBr6urqra2t5eXl3TgpGgDwQVPGkretra2jo0NCAEEQsMoLgOzgVV6EkJmZmWCXUXFxsYGBwdWrV+WXGgAAAMWkjCVvaWmp5MOEeTwerPICIDudrfJaWlra2dm94+llAAAAgCglLXnJ89/FYrPZsMoLgOyQJa/QKi9C6Mcff4yOjpZTXgAAABSWkpa8XC5XQkB7ezus8gIgO2Rjg9AqL0LIxsbG0tJy165dckoNAACAYlLGTcpKS0vb29slBKiqqqqqqvZaPgAoIV1d3draWtGSFyF08OBBW1vb+/fvFxYWyiM1ABRfcHDwsmXL5J0FAL1KGUvewsJCyY+vaWpq9loyACgnNptdXFws2tiAEDIyMqqqqlqyZIm3t/e4cePkkh4Ais3ExETeKQDQ25Sx5O3yQE4mk9k7mQCgtJhMJofDMTU1LS4uFhuwd+/eN2/ewNHfAAAAeoQy9vKK/pAqRFdXt3cyAUBpmZmZtba2InHtvCSodwEAAPQUZSx5JR+9hhCC04YBkDUDAwN87prY3gYAAACgZyljyYt3R5LAyMiodzIBQGmRJS+dTn/w4IG80wEAAKDglK7kbWpq4vF4kmP69u3bO8kAoLQMDQ3fvHmDENLT08vMzJR3OgAAABSc0pW8XR69RqPR+vTp02v5AKCcyFXeSZMmyTsXAAAAik8ZS14qlSohgEajwdFrAMgauco7cODA/Px8eacDAABAwSljySv56DUqlQpHrwEga+QqL5S8AAAAeoHSlbwlJSVtbW0SAgiCgFVeAGSNXOU1MjJqaWlpbGyUd0YAAAAUmdKVvIWFhWw2W0IAl8uFVV4AZI1c5UVSL/Tm5+cTBIH/KOVwOGJjPv30Uxzz3XffkYPnzp3T0tL69ddfyREWi0UQBDmJaIDyKC0t1dXVdXR0lPzz19tKS0tjsViRkZHkiLu7O0EQOTk5nQVIOY+ozz//nCCIhISEd08bAKDAlK7k7fLoNTabDau8AMhaN0peUk1NzfXr10XHuVzu2bNnRcezs7ObmprS09M7m1BswO+//37//n3ps3qvcDicDRs24HV0yRYtWlRfX79nzx7JDzm8rZ07d1ZUVJD/ltbU1KSlpVlaWlpbW4sNQAix2exNmzYJ7ZsuGiZq/fr1xsbGixYtqqur68FbAAAoGr6SsbOzk/yFEATB5XLlnSYAio/JZNbW1vL5/DVr1vzwww9dxufl5SGExowZgxCaP3++aACug93d3RFC3377LTleU1Oze/fu4uJicgTvvc1mszsLqK6uptFou3bt6u7NyVl8fDxC6J9//pEcdvXqVYRQQEBAjydw7969I0eOcDgc/PLo0aMIoZUrV3YWwOfzv/32W21t7Y6ODgnzdGbXrl0Ioa+++qrn7gAAoGiUbpW3oqJCcgCDwaBQlO5rAaD3kQu9gwYNwuWsNIYOHWpgYHD+/HnRDqW4uDgqlTp+/HihcV1d3aVLl5qYmHQ2p2jA0aNHOzo6pEzpfcPn82NiYqSJ3L17N0Jo4cKFPZ6Dk5NTeHg4uXKMS3DBDemEAtLT03/44Yfx48erqqpKmKczYWFhampqv//+u+RHNQAAykzparva2lrJAVpaWr2TCQBKrnv7lLW1tQUGBor2NuCuBg8PDw0NDaFL9u3bRxBEVFRUZ3MKBtTW1vr5+a1cuRIhtGzZMtwZfPLkSYRQe3v7H3/8MW7cOD09PVVVVWNj45CQEKFeKRaLhQu7HTt2WFhYUCiUmJiYSZMmEQSRlJQk9LkzZ84kCOLChQtis3r27NmKFSsGDx5Mp9PV1dUdHR2jo6NF087IyMjPz/f391dXVzc3N8/IyLCzs8MNHnZ2djh/saesl5WVJSQksFgsPz8/0XfZbLaOjk5gYGB5efnSpUtNTU0ZDIaVlVVsbCxC6MGDB8HBwUZGRnQ6/aOPPhK6tW3bthEEsX37dnKqK1euaGtr4wV4oYCCgoIJEyaMGjWKzWafPn0aJ5yYmCg6D0Lo1atXGzdudHJy0tLSotFoFhYWUVFRPB6PyWROnTq1urr63LlzYr9MAABQrpK3rq6uyxVcJpPZO8kAoOS6t09Za2trcHAwQuj06dOC4ykpKVVVVTNnzmxvb3+XrPh8vqenp5eXF0IoICBg8+bNmzdvtre3Rwg9fPhwzpw56enpzs7OQUFB6urqp06d8vDwaGlpEZyhtLR069atkZGRWlpaw4cPHzx4cEREBEII182ktra2y5cvM5lMf39/sZmsXLny119/VVNTCwwMdHd3/+eff5YsWYJ/wRf06NEjLy+ve/fuOTg4uLu7q6iohIWFmZub4xlw/mL/kk9NTeXxeO7u7mLXUFNTUxsaGpqbm93c3KhU6pdffunj4/P8+fP58+dv2LBh/PjxJiYmq1ev9vT0fPLkyaxZswSffsNrupMnT8Yvb926VV9f7+fnR67gCgbU1NS4u7vT6XQKhbJp0yacMP7+heZBCE2ZMmX9+vWqqqqTJ08eOXLkq1evNm3adObMGYQQviQlJUXslwkAAMrVy/vkyRNtbW3JX8ioUaPknSYASuGTTz45cOAAn88vKiqiUCjNzc2S43Hzw/Tp0zkcjqGhoa6urmDf58cff6yiolJZWbl582b0315evDj6zTffkCNCvbyiAd988w1CSLSX9+zZs2Se7e3tTk5OCKHjx48Lzqyurq6vr3/z5k1ysK2tTVdXV1tbu62tjRw8f/48QmjevHmd3e/jx4+zsrKE4gcPHix0X7q6uiEhIS0tLYLXurq6oq56eZcvX44Q2rlzp9h3V6xYgRAyNjbOz8/HI1wuV11dHSHUv3//oqIi8tZwxYzbsvl8flVVFZVKtbS0JKfCS+ZHjx7tLODx48cIIVdXV8EERMP4fP61a9cqKirIlxMnTkQIbdu2jZxkyJAhEm4ZAKDMlGuVt7S0lCAIyTGGhoa9kwwASo5sbDA1NdXQ0JCyC5PP51Op1MDAwNra2mvXruFBDodz7tw5Ly8vWZ8WPm3aNFz2IYRoNBpeb3727JlgTEtLy2effUb+iI8QotPpM2fObGhouHz5MjmI1yZnzZrV2WfZ2to6ODiQL6dMmaKpqZmfn8/j8QTDeDxedHS0mpra294LTtvGxkbsu3jPrwMHDlhaWpIfhD86JibG1NQUD+LFXSaTqaOjg0cSExO5XK7g0mxCQgKVSiUXs0UDRFdzxYYhhMaNGyf4TzRewhgyZAj+vwRBPH/+XOj7AQAATOlK3i73nsTLPwAAWRPcp8ze3v7p06fSXztjxgwk0NuQnJxcXV09c+bMHk9SLD6f39TUVFdXhxsGRIt1nJ4g3Ntw4sQJ/JLNZsfHxxsaGo4dO7bLj+vo6Kivr6+rqzMwMODxeELP1fn4+HSvHau6uhohJHb/r5ycnBcvXtja2go+cPbw4cO2tjZHR0cPDw9y8P79+1wu19PTk1xNEKpfc3Nz8/PzR48eTX6QaIEr+nCb2DASl8vFX8j9+/dpNJqnpydCiEqlMplMHo8HW5UBAMRSrpK3pKSktbVVQgBBEH379u21fABQZmpqauTBBPb29tnZ2dJf6+HhYWhoSO7bEBcXp6qqOm3aNJkk+i8ul7tr167hw4fT6XQtLS1dXd1FixaJjezfv7/QiLOzs5WVVUJCQlNTE0IoOTm5rq5uxowZEvYiyM3NDQ0NxY+IMZlMXV1dsduKi36WlHBpqKurK/oWLjdDQ0MFB/G679y5c0UHyWoVP6mmo6Pj5uYmOBVZuYoGVFVV3b17t3///ra2tuS0omH4swICAvr27Uuj0fAX8uLFCw8PD7JTGd8LlLwAALGUq+QtKCiQvMpLo9HgHAoAeoe+vn5WVhb+76FDhz569Ej6a3FvQ11d3dWrV9ls9vnz5/FGCrLJ9P98+umny5cvb25u3rp1a2Ji4o0bN7788kuxkTQaTXQwIiKitbUV78+Ad1SQ0NWQm5vr5OR06tSpyZMnnzp1Kjk5+caNG2LbNsR+ljRwh0Zzc7PoW7iQnTp1quAgLl4DAgKEBgmCwD216N8n1Y60K0cAACAASURBVAT3GhP7KJtgwOXLl3k8ntBqrlAYh8OZP3/+5MmTy8vL16xZc/ny5Rs3buBvT/BCfC/d6PEAACgDFXkn0Ku6PHoNSl4Aeo2Xlxf5J+jQoUOl3EqWFBwcvG/fvtOnT1MolJqaGtxWKzulpaW//fabtrZ2amoq2U768OFD6WeYM2dOVFTUqVOnQkNDExISzMzMXFxcOgv+5ZdfGhsbV69ejZ/Gwzo7Zrl78F8IuL1BED4pbcCAAYJtviUlJZmZmR999JGFhQU5+Pz58+fPnzs5ObFYLDwiVOCSU5GHrnXW1SBU8goN7tq1KyYmJiIiIiYmBndQ8Pn8pUuXov+2Q9TU1KBOWjUAAEC5VnlLSkokB1AoFFkvFAEAMD09vaamJtyZ+rarvAghd3d3IyOjCxcu/PHHHzQaTWhJ8h2pqKgghARPuygsLOTz+UOGDBF8fOqtSl5TU9OxY8dev3797t27ZWVleFPezoJfvnyJ/j1JjkxA+p/sRfMXmw+eVmj88uXLos+NCTUwYKLVapdPqgkFcLnca9euMRgMwTsVCuPxeNu3bycIYuvWreQ3dv78+adPn9ra2pJ9HaWlpWw228DAoNvL3gAAxaZcJa80J87DCgEAvcbY2LisrAwhpK6ubmxs/OLFC+mvJXsbjh8/7uvr27M7auNlS8EqHFe6+fn5jY2NeCQ1NfXYsWNvNe3cuXNbW1u3bduGJHY1kB9HNn60tbXhrb66nb+o0aNHI4Tu3LkjNI6rW8nLrmIHu3xSTTQgLy+vvr6exWIJ1qlCYQUFBWVlZWpqauRV169fx48DCuZz+/Zt9O951AAAIEq5St4u10h4PB6s8gLQa8iSF3VroZfcGKHHuxr8/f1VVVWPHDkyZcqUWbNmxcXFDRw40NfXt6amxtHRccGCBb6+vl5eXkuWLHmraadNm6alpXXx4kUrKytHR0cJkZ9++ilBEOvWrZs6dWpYWJiVlVV+fr63t7eUHzRlyhSE0LJly2bPnj1t2rTnz5+LxuCF1Vu3bgkOstnspKQkwZPSEEItLS3Jycl9+vRxdnYmB2tra+/cuWNmZobP6UDinlQTmkq0bsZnAxUWFk6aNGnWrFl41zmhMB0dHSqV2tLS4uLiMn/+/FGjRs2dO9fX11doKnwjQqvFAABAUqKSt6amhtxLsjMdHR2wygtArxEseauqqoQO1O2Sh4cH3tAAV3g9yNzc/Pjx40OGDElKSrp58yZ+iOrYsWMRERGNjY0nTpyorq4+derUzz//LHq+sQTq6upBQUE8Hi8kJERypKur659//mlra3vlypVr1655e3vfvHnTx8dHyg+aNWtWVFSUjo7O6dOnnz59Sj4rJuijjz5ycHDIycl58OABOSj6eBlC6Pr1621tbf7+/oKnVyYmJnI4HPLBNSSy11hnj7IJdkcMHjx42bJl+vr6ycnJz549s7OzEw3r06dPTEyMpaVldnZ2SkqKs7Nzdna2iYmJYAnOZrPxrh1dfrEAAKVF8Pl8eefQS548eeLi4tLQ0CAhxsLCostH3AAAPWXp0qW2trZ4rTQxMXHnzp1JSUnyTkq2/Pz8rly5kpuba2VlJe9c0KFDhxYsWLBw4cL9+/fLO5d3EhcXN3PmzNDQ0LdtNQEAKA8lWuWtqKgQXKIQS5pmXwBATxFc5fXy8rp586Z885G1srKy5OTkkSNHvg/1LkJo9uzZtra2R48e/aD/1OdyuT/88AODwVi7dq28cwEAvL+Uq+Tt8ui1t/qNEgDwjgRLXgaD4eDgcPfuXfmmJFNr167lcDiffvqpvBP5P3Q6PTY2lsPhrFixQt65dF90dHR2dvbmzZvxycMAACCWEu3LW1FR0d7eLjkGn9gOAOgdgiUvQsjLy+vGjRuCz0gpho0bN+bl5T169Ojhw4fOzs7h4eHyzuj/GzZs2NOnT5ubm3k8Xpe/g72fxo8f//Dhw6FDh8o7EQDAe+2D/Aeue4qLi4XOphelo6PTO8kAAJBIyevp6fnXX3/JLx1ZycvLO3ny5MuXL+fOnXvp0iUJhwzLxcCBA+3t7T/QehchNGjQIHt7ewmbHAMAAFKqVd5Xr151GQPbNQDQm4RK3iFDhly/fl2O+cjIkSNHjhw5Iu8sAABAqX2of9Z3Q5dHryGExB5hDwCQERaLVV5eTr40MzOzsbE5f/68HFMCAACgkJSo5JVmNwbBo0QBAL1AaKH3u+++++OPP+SYDwAAAIWkRCVvdXW15AAKhWJgYNA7yQAAMKGSNygoKC0tTXAEAAAAeHdKVPJKPoQCIUSn03V1dXsnGQAAJlTyIoSCg4O/+uoreeUDAABAISnL42vV1dU0Gq2trU1CjIqKCpS8APSyvLy81NRUwXNrf/7555EjR4aHh3/Q5yOA90RwcPCyZcvknQUAQP6UpeStqKjosuSlUChMJrPXUgIAIIRcXFxYLJbgCIVCefDgweLFi6dMmaJ4e/SCXmZiYiLvFAAA7wVlKXnLy8u73HWSIAhY5QWglw0ePLiyslJ0fN++fc+fPx88eHDvpwQAAEDxKEsvrzSnDfN4PFjlBaCXmZmZvX79WuxbUO8CAADoKUpU8nZ52jCbzYZVXgB6mbm5uTTHxAAAAADvQllK3pKSki5PG+7o6ICSF4BeBiUvAACAXqAsJW9hYWGXMRQKhUajyT4XAMD/169fv+LiYj6fL+9EAAAAKDJlKXmlOW1YQ0OjFzIBAAiBhV4AAACypiwlrzSnDWtqavZCJgAAIVDyAgAAkDVlKXm7PG0YIaSjo9MLmQAAhEDJCwAAQNaUpeTt8rRhhBA8uwaAXEDJCwAAQNaUouTFpw13Gaavr98LyQAAhEhZ8ubn5xMCtLS0HB0d161bV1tb+7afuG/fPoIgoqKiyJH//e9/urq69+7dk3yhs7PzoEGDGhsb3/YTpVRaWqqrq+vo6NjlPuI9Li0tjcViRUZGvj9T8Xi8ESNGMJnM4uLid88KAKDklKLkxacNdxlmYGDQC8kAAIS81Sqvpqbm+PHjx40bZ2pq+vjx4++//97BweHdS6L09PS6urrHjx+TIy9evNi2bZtgTEdHR2ZmZn5+vjTPBnTPokWL6uvr9+zZQ6VSZfQRndm5c2dFRUWP/OXfU1NRKJQ9e/Y0NDQsXLjw3bMCACg5ZSl5CYLoMszIyKgXkgEACOnXr9+jR4+kDDY3N09KSrp27VpOTk5ubu6IESNev3795ZdfvmMO0dHR+/btCwsLExwRKnlpNNqlS5fOnDljaWn5jh8n1rVr1xISEiZPnuzi4iKL+SX74osvjhw5snr16vdqqlGjRgUGBiYmJiYmJr77bAAAZaYUJW95eTmPx5McQ6PRoLEBALkYOHBga2trN9p5LS0tf/vtN4RQfHw8h8N5lxysra0XLVpEp9Pxy5aWlhMnToiG+fj4BAYGvssHSbB7926EkLxWNJ2cnMLDw3tkdbkHp0IIffLJJwihPXv29MhsAAClpRQlrzSnDauqqjKZzN7JBwAgiEqluri45ObmduPaoUOHqqmptbS0VFZWkoOvXr1asGCBqakpjUbr16/f4sWLS0tLJc8TEhJCEMT169cRQrGxsRYWFqWlpdXV1bhveMiQITiMxWIRBCFUXqempgYEBBgYGDAYjAEDBoSEhJAfd/bsWQ8PDwMDA01NTVtb28WLF2dnZ4tNoKysLCEhgcVi+fn5ib7LZrN1dHRCQ0PZbPamTZssLS0pFMqff/5JBpw9e3bcuHFMJlNDQ8PNze3vv/8WvLytrW3btm2Ojo7q6urEf6WmpiKEtm3bRhDE9u3byUsmTJigp6fX3t6+ZcsWGxsbBoPRt2/fr776isvllpWVrVixYsCAAXQ6vV+/fkJr4WKnYjKZjY2N33//vY2NDZ1O19fXj4iIaGpqErrNzMzMWbNmsVgsOp1ua2t76NAhhJCPj4+JiUliYqI026sDAEBnlKLklea0YSqVCjs2ACAvVlZWz54968aFBEFQKBSEEIPBwCNPnjwZPnz4oUOH+vXrN336dBMTk/379w8fPjw/P1/KOZlM5sqVKxFC6urqmzdv3rx58xdffNFZcGxsrJeXV3x8vKGhoaurK4fDSUhIwH8/Hz16NCgoKDs7e8yYMePGjevo6Ni/f//Tp0/FzpOamsrj8dzd3cUujqampjY0NFhZWXl7e//4449GRkZjxoyZOnUqQqi9vX3mzJlBQUEVFRV+fn4DBw68ffu2r69vRUUFvrapqWns2LFRUVEODg4bN26cMWMGQkhLS+uTTz7ZtGmTq6srQig+Ph4hNHnyZHxJc3NzSkqKvr6+t7d3VlbWwoULP/nkk/Ly8u3bt69Zs8bBwaGqqmr58uXz5s0rLi5etWpVZmYmmarYqWg0mpOT0/379xcsWLB69Wo+nx8bGytUK//4449OTk63bt1ydXV1d3d/+vTpggULLl26RKFQPDw8eDzeX3/9Jc3/+wAAQDy+EsD/xEvGZDJv3Lgh70wBUFJ79uxZsmSJ5Ji8vDyEkK2treAgLrb69etHjowcORIhFBsbS47gxUI3NzdyJDo6GiH0zTffkCMzZ85ECF27dg2/ZLPZCCF9fX2hHHDHP5vNxi8rKirU1dXpdPqVK1fIGHx+Mp/PHzZsGELoxYsXgtm2t7eLvbvly5cjhHbu3Cn23RUrViCE9PT0vvnmm9bWVsG3pk+frqKicvToUTJzR0dHhNDhw4fxSEREBELo3Llz5CV9+/al0+kcDge/rKqqolKplpaWZMC5c+cQQlQq9eLFi+QgXn6m0+nJycnk4JgxYwQn72wqgiBOnDhBDv78888IodDQUHJk165dCKHly5e3tbXhkWXLliGE5s6dy+fzccvH4sWLxX45AAAgDaVY5ZXmaW4+nw+rvADIy5AhQ7rR2FBUVIQ7Xz/++GM8kpmZmZ6ePnLkyDlz5pBh8+bNGzFixK1bt6R/SE5KsbGxLS0t8+fP9/X1JQdNTEzwf+DlZ1VVVfItR0fHznaPwYvcNjY2Yt9NSEhACIWHh2/cuJFcz0YIxcTE/Pnnn+vWrSMfvFNRUbG2tkYItbW1IYSKioqOHTs2atQovCSMEOJyuY2NjZqamuRycmJiIpfLJddlyY9buXKl4CBu51izZs3YsWOFBi0sLCRP9dlnn4WEhJCD+HliMzMz/DI3N/fLL7/09vbeuXMn2U5tZ2dH3gX+WnJycsR+OQAAIA0VeSfQG6TZUYjL5UIvLwDyIn1jw+vXrydNmsTlcsvLy//55x8ul+vn50duDpCWloYQcnd3F7rKy8srIyPj5s2bQ4cO7cG07969ixASrHcFBQYGZmRkjBs37pdffvH395c8FT4hUuxDtDk5OS9evDA2Nt66davgOJvN/vbbbxFCz549W7x4MTmOGwBwmZiWlsbhcEaNGkW+GxcX19jYuGbNGnJEqBWBz+dfunRJRUVFcB8MDoeTkZFBo9GWLl1KDra2tmZnZ+vr65PfamdTCe3egL83T09P/PK7775rb2/n8XiCd/HgwQPyLvDXUlNT09m3BwAAXVKKklea04Y7OjpglRcAeTExMamvr29qatLU1JQc2djYiPs7dXV1PTw85syZM2fOHHLBsry8HCHEYrGErjI2NkYIdfkQ29vC/bJ9+/YV++6qVauampq2bds2YcIEa2vrr776Kjw8HC/9iqqrq0OdnAGJ68glS5YIrRBfvny5qKgIIXTs2DGhS7S1tZ2dndG/ey9euXIlPT3d0NAwJSVl5cqV9vb2X331FY5ks9lXrlzR0dFxc3PDI+np6eXl5T4+PoJf4+3bt+vq6qZNm9anTx9yMDk5ubW1NSgoCN+UhKkEvyIOh3PlyhVNTU1c8lZVVZ09exYhdOPGjRs3bgjdCP5zAn8t+CsCAIDuUYrGBmlOG2az2dra2r2QDABALCkXenEvL5fLraqqSk5Onjt3ruDzXvgXcz6fL3QVHpFmf+63InlaCoWyadOm/Pz8lStXvn79et68eR4eHqLbFGDq6uoIoebmZtG3cG/A9OnThcYvXryIEDpy5Ihoy1p9fT1uqPD09IyIiHj27JmTk5OFhcXixYtnzZqVnJxM/qh169at+vr68ePHkw0Y+OPIRggMl90BAQGig+SarpRT3bp1q66uzsfHB/cwJCYmstnsefPmie29w+vT+GtRU1MT+9UBAIA0FL/krampkeboNfjHFAD56l47rxDcR1tWViY0jkfwWm8PMjQ0FPtxgszNzXfs2JGfnz9u3Ljbt29v2rRJbJienh4S95NUTU1NWlqapaUluVEaKT09HSH00UcfSfj0nJycO3fuREREJCcn//3335WVlfv27RNsnxAqW8mRSZMmCc4THx9PoVAmTpxIjvD5/ISEBFVV1fHjx7/tVIJh0tyFhK4PAACQkuKXvOXl5dKUvF3+nAoAkKlu71MmyMPDAyF08+ZNoXE8grcXkAaVSiUIAu/bIAFuHkhOTu5yQhaLhTclENoxl2RqaooQKiwsFBq/fPmy0ANhpC5/6G9vb58wYYKJiUlMTMzYsWOdnZ1Ff8tKSEigUqlkq3FxcfHDhw/t7e3JZ8sQQs+ePcvLy3NychI8lT0zM7O0tNTd3V1HR0f6qZBI9SxNuwI+pgR/RQAA0D2KX/JWVFR01jwnCLoaAJCvf/75B/9S/y6sra29vb0zMzNjYmLIwaNHj6anpzs5OeHdu6RBEIShoWFDQ4PkM+HmzJnDYDAOHDgg2ISal5fX1tbW0dGRkpIi2GKRlZWF/l0YFjV69GiE0J07d4TGcW+A0EIp1r9/f4TQb7/9Rn4KbpMlH9hNSkoqLCwcP358Z60Xubm5+fn5o0ePJhdQ8ccJVdiiy7eig1JOhcOcnJzI7wHfxfHjxwWbOh4+fCi41+/t27fR2/zFAgAAohT/8bWKigoul9tlGGzXAIB8zZ49++DBg+8+z8GDB93c3ObPn79//35LS8uCgoK///67T58+gkWwNKZMmXLgwAFPT093d/empqYzZ86IxhgbG+/Zs+fjjz/29va2s7PT1dV9/fp1QUFBWVmZpqamt7c3i8VycnJiMpmvX79OTU2l0Wj4kAtReJeJW7duCQ6y2eykpCRtbW3RPSgQQitWrEhNTY2Ojk5KSrK0tGxsbMzJyaHRaOQhFPjUyQ0bNly8eJFKpVIolD59+syYMWPWrFk4oLNWBLHVreT+hLeaSnBw3rx5v/zyy4MHD8zNze3t7dlsdn5+fllZmeAxdfhrEfslAACAtGSy2+/75JdffpGmscHX11femQKg1MrLy42MjCQEiD2KQqzS0tJFixaZmJioqqqamJgsWLDg1atXggFdHkXB5/Pr6uqCg4P19PQYDIanpyceFDqKAktJSfHz82MymVQq1djYeOHChS0tLRwOZ+vWrQ4ODhoaGjQazdzcfOrUqXfv3pWQtoODA0IoIyODHMEtEzNmzOjskri4uJEjR6qpqdFotP79+4eFhZFH6hQXF69Zs0bsb1yJiYk4Bm+t8OTJE/yyubmZwWAYGRnxeDzyI2pqaqhUqpmZmeDn4rN/ra2tyRFppiLDsrOzBQezsrImTJigo6OjoqLCYrEmTJhw6NAh8t2HDx8ihOzs7CR8dQAA0CXFL3kFt5aUYPbs2fLOFABlZ25uXlhYKO8s5Ob3339HCC1cuPDdp0pKSlJXVw8JCcnNzcWnrHE4nLKyMtxBGxMT8+4f0Ws+/fRThNCBAwfknQgA4MOm+L28klvxSIKPZQAA5GLUqFH37t2TdxZyM3v2bFtb26NHjxYUFLzLPE1NTWFhYWZmZseOHbOyssKbuFGpVBaLhbcPEzyZ4j33+vXrI0eOWFtbCx6nBwAA3aD4JS/+AU4yKpUKJS8AcqfkJS+dTo+NjeVwOCtWrHiXeW7evFlVVWVnZyfU1bB3794LFy6EhobiE4k/CJGRkR0dHbGxsYLHLAMAQDcoxeNrXcbQaDQ4eg0AuRs1atSqVavknYU8DRs27OnTp83NzTweT5qtZsTq27cvQRBnzpwJDAwcOnRoW1tbeXl5SkpKUVHRtGnTfvvtt57NWXb4fP7atWs3b948aNAgeecCAPjgEXyRY4oUjK6ubpf7PmppaR04cCAkJKR3UgIAiMXhcOh0eklJieiJweCtnD59+ueff37y5ElLS4u6urqFhcXo0aNDQ0PxvsUAAKCEFL/kpVKpPB5PcoyOjs6pU6fIM4QAAPIyY8YMXV3dAwcOyDsRAAAACkXBe3mlPG2YIAjYlxeA98G+ffvOnj0r7ywAAAAoGgUveSsqKqQpeblcLvTyAvA+0NfXHzlyZFJSkrwTAQAAoFAUvOQtLy+X5hEQDocDq7wAvCdmzJhx9OhReWcBAABAoSh4ySvlacPt7e2wygvAe2LcuHEnTpzosgUfAAAAkJ6Cb1LW3Nzc0dHRZRiVSsU7tAMA5M7MzCw8PHz+/PkvXryQdy7gvRYcHLxs2TJ5ZwEA+DAoeMmbn5/f3t7eZZiNjU0vJAMAkNLhw4cXLlwYFhZma2sr71zA+8vExETeKQAAPhgKXvIWFxdLE1ZdXS3rTAAAb+XAgQP37993cnKSdyIAAAAUgYL38paWlkoTpqWlJetMAABvC+pdAAAAPUXBS943b95IE6ajoyPrTAAAAAAAgLwoeMkrZccCbNcAAAAAAKDAFLzkra+vlyZMX19f1pkAAAAAAAB5UeSSl8fjtba2ShPZp08fWScDAAAAAADkRZFL3srKSgaD0WUYhUKBVV4AAAAAAAWm4CWvNAdM0Gg0OG0YAAAAAECBKXjJSxBEl2EqKiqwYwMAAAAAgAJT8JKXx+N1GUalUmGVFwAAAABAgSl4yctms7sMIwgCVnkBAAAAABSYIpe8FRUVbW1tXYbx+XwoeQEAAAAAFJgil7xFRUXShHG5XCh5AQAAAAAUmCKXvKWlpdKEsdlsKHkBAAAAABSYIpe8b968kSaso6MDSl4AAAAAAAWmyCVvVVWVNGE0Go1CUeTvAQAAAABAySlyqVdfXy9NmJqamqwzAQAAAAAAcqTIJW9jY6M0YZqamrLOBAAAPgimpqYEQUj5E1m3/e9//9PV1b137967TLJq1SqCIM6cOSP6VkpKCkEQM2fOfJf5RVVVVTk4OAwbNqzbM1y4cIEgiC+++KIHswIASElhS97q6moGgyFNpJaWlqyTAQAAQEpPT6+rq3v8+HG3Z7h3795PP/3k6+sbFBQk+m58fDxCaPLkyd1PUZzTp09nZ2fr6+t3e4YpU6ZMmDDhl19++fvvv3swMQCANFTknYCsVFZWqqqqSrMvLzy7BgAAvSk6Ojo1NTUsLKzbM0RGRnK53C1btoh9Nz4+nkqlTpgwodvzixUQEMDn80NCQt5lki1btly+fHnlypXvuMgNAHhbilzySvlQmq6urqyTAQAAQLK2tra2tu725VlZWWlpaSNGjHB0dBR9Nycn58WLF2PGjNHT03uHHMUwMTFZsmTJO05iZ2fn7Ox89+7djIyMESNG9EhiAABpKGxjQ2VlJZ/PlybyXX6lAgAApcLn82NiYkaPHq2lpaWpqenq6nr8+HGhmIaGhsjIyP79+6uqqhL/1dTUhBAKCQkhCOL69euCV6WmpgYEBBgYGDAYjAEDBoSEhHS2t/rBgwcRQvPmzRP7bkJCAhLpanj06NG8efPMzc3pdHrfvn2XLVsm+rBHXFyct7c3k8kUynnDhg0Iofv37xMEMXHiRMFLysvLv/7666FDh6qrq+vq6jo5OZ09e5b8En777TdfX98+ffqoqKgYGhrOmjWrurqazBzfBQCg1yhyyctms6WJ7NOnj6yTAQAAxfDpp5/Onz8/Ly/P19d3/Pjxubm5s2fP/vLLL8kAPp/v7++/Y8eOoUOHbt26dfHixaqqqgihOXPmrF+/nk6ni502NjbWy8srPj7e0NDQ1dWVw+EkJCQwmUyxwTdu3EAIeXl5iX1XtJF369atw4YNu3DhgqOj45QpU9hs9u7du+fMmSN41fLly2fOnKmiohIVFfX555/TaDSEUHBwcFRUVEREhNhpr169amNjs3nzZjabPXr0aCaTmZ2dbWVlhd9dt27dJ598UlJS4u3t7e/vX1tbe/LkyXXr1pGZp6SkSP6qAQA9jK+gNmzYQBBEl7dPoVC+//57eScLAADvBRMTE/Tvr2SiLl26hBBydHSsq6vDIzU1Nfb29gih5ORkPILXbv38/Mir8Crp4cOHyRG8l8K1a9fwy4qKCnV1dTqdfuXKFTKmuLhYbA7V1dUEQejp6fF4PLHvUqlUS0tLcmTHjh0IoeDg4MbGRjxSXl6ura2NECI/IiYmBiG0YsUK8qrQ0FCE0K1bt8gRfJtFRUX4ZVpaGo1GMzMzu3v3rticMzMznzx5Qr7cvn07QmjChAn4pYGBAUKovLxc7D0CAGRBYVd58T89XYbRaLTOFhIAAAAI2rdvH0Jo48aN5FO/urq6mzZtQgjt3r0bjzx9+hQh5ObmRl7l6uqKELpz505n08bGxra0tMyfP9/X15ccxMW3qOfPn/P5fGtra7GLGpcvX+ZyuZMmTSKTWbVqlb29/R9//EHuR2lkZIQ3Gnv16hUe2bJlC4PB+O6778h5amtrkcDDzUVFRdnZ2Q4ODqampgih9vb22bNnEwSRmJg4atQosTk7Ojra2NiQL3GRPWTIEPwStzLn5OR09p0AAHqcwpa8JSUl0oSpqKjAjg0AACCNtLQ09N9yFiHk6elJEMTNmzfxS0NDQ4RQRUUFGYD/W8KhP3fv3kUICda7EuB22M6ewRBq5P322287Ojo2bdqEmytIzc3N6N8ytKKi4tmzZ4MHDyaXP169enX9+vXRo0fb2dmJnfa3334rKChYvHixYFErFp/Pb2hoqKurw18deY84/5qaGmluGQDQIxR2xwbBf3AloFKpUPICAECX2Gx2MfKp/wAAIABJREFUdXW1hoaG0F7meKSmpqa9vZ1Op0+cOJHFYh06dMjFxcXFxaWgoGD9+vWSD4bA/1z37dtXmjTq6upQJzvtsNnspKQkbW1td3d3hFBlZeX58+eNjY39/f0Fw9rb2x8/fqympob7bnV0dBgMxrNnzy5evOjo6Pj06dPIyEgNDY29e/eSl+BGXnLxGD95tnjx4s6SfPjwYXR09NWrV0tLSzs6OvCgpqamp6cn/m+cP74XAEDvUNhVXilPDyIIAkpeAADoEm4kENswhgdxgKamZlJSkomJSUhIiJmZmYeHR2VlJa6AO5tZ8PIuqauro3+XaYXcunWrvr7ez88Pr+leuXKFw+FMmDBBaMPK69evt7a2kmEMBmP37t0dHR1TpkwxMzPz8/Pr169famqqg4MDjm9pablx4waLxRo5ciRC6PXr19nZ2YMGDSK7FIQcPHhwxIgRSUlJERERf/75540bN7Zu3YoQ8vX1JZ/ew/nDcfcA9CaFXeXFnVhd4vP5UPICAECXVFRUjIyMKioqGhoacEsA1tzc3NjYqKenh3c5wCorK5cuXRoYGMhkMj/66CPBt0ThXoiysjJp0sC77eL2BiFCa7GZmZkIIVynCjpw4ABCaOHChfhlQ0PDmTNnRowYsXHjRjU1NUtLS6H15mvXrrW1tU2cOBEX5ffv30cIdbalblZW1sKFC4cOHZqamkr+j0tcXJxgYqir9gwAgCwo7Cqv6J6LYnG5XHh8DQAApOHh4YEQItt2MfxyzJgx5EhUVBSXy92xY8fYsWOHDRsmud5FCDk7OyOEkpOTpckBP0BWWFgo+lZCQoLgoWv19fVI5Ej5mzdvXrx40cPDw8/PD48sWrTo7t27iYmJvr6+bm5uov0VQpX0mzdvUOcLtHhBNyoqiqx3S0pKjhw5QqFQBPf0xU/O4XsBAPQOxSx56+rqhB5W6AybzYZVXgAAkMZnn32GEFq7di35M1p9fX1UVBT6b2NrUVERhUKRcmd0hNCcOXMYDMaBAwfwhrtYXl6e2BPjLS0tDQ0NCwoKhFaFc3Nz8/PzR48eTS6d9u/fHyF09epVMiY9PX3mzJkGBgaHDx/GIzU1NXFxcSNHjuxswZXP51++fJlOp/v4+OARXBNfvXqVbJ/jcrnk3gt4Ywpy64aXL1/6+fm1tLQ4OTnhxWyE0Js3b/Ly8vT09N7lCDoAwNtSzJK3srKyy3UFrKOjA0peAAAQ5Ofn5/xfxcXFCCE3N7evv/46Ozt74MCBQUFB06dPHzhwYFZW1tKlSwUfERs/fnxtba2lpaWrq+uYMWO8vLzCw8OvXbvW2ccZGxvv2bOnvb3d29vb3t7e09NzwIABgwcP7uzpLrxlxK1btwQHRY+KmDNnjqam5pEjR0aMGDF79mw3NzdnZ2dNTc2UlBQLCwsc09HRwefzr1275ujoOGbMGDc3N39//82bN7e3t+OAjIyMsrKysWPHamhokHfXr1+/4uLiAQMGeHl5jRgxQl9fn6yhcekcGhq6YMECPz8/e3t7vP4tmBjO3M3NTcr2ZQBAz5DbjsCydOfOHSkLWTqdLu9kAQDgfdHZbrh5eXlkzMmTJ0ePHq2hoaGhoeHs7HzkyBHBGZqamr7//nsVFTEPipCnUQgdRYGlpKT4+fkxmUwqlWpsbLxw4cKWlhaxSZ4/fx4hNGnSJMFBXAcLnv7A5/Pv3r3r6empoaGhrq4+fPjwbdu2NTU1ke+2tLTs3r2bXHwVtGrVKhyzdu1ahNCePXsEp83LywsMDNTV1aVSqUZGRmFhYS9evMBvZWRkjBgxQk1NzcDAYPr06Y8fP8ZHEGdnZ5OXT506FSF05swZSf+fAAD0NIIvxXkNH5wLFy5ERETgRi7JmEymlA+6AQAAkKy9vd3Z2bmoqOjkyZPu7u40Go3P5zc2Nl66dCk0NNTFxUXCgRTS4/F4lpaWRUVFhYWF3W6HffXq1dixY7W1tffs2TNixAgy1R07dnz33XcRERHkwm3PKi0tNTc379u378uXL6lUqiw+AgAglsI2NkjZRkaexwMAAOAd3bp16+HDhxEREePGjcPdZQRBaGtrBwcH0+n0ysrKHvkUCoWyceNGLpe7cePGbk+yePHioqKi8+fPu7i4CKaKH2jGT9TJwubNmzkczoYNG6DeBaCXKWbJ++bNG7HPPYgSepgXAABAt+FjF3Djr6Do6Oj29nbR/cK6bfbs2UFBQQcPHszIyOjG5U1NTUlJSXp6ev369RMcT01N3bhx48CBA8PDw3so0//Izs6Ojo6eOnVqRESELOYHAEigmPvylpSU8Hg8aSLh2TUAAOgpXl5eVlZWcXFxJSUl7u7uVCq1qqrq1q1bT548MTEx2bRpUw9+1uHDh6OiosR24naJTqcbGBhUVFS4uLh4e3tTKJSqqqq///47Ozvb1tb2woUL+MCLHmdoaJiRkWFpaSmLyQEAkilmL+/UqVMvXLggTaS/v//ly5dlnQ8AACiJqqqqzZs3JyQkvHr1isvl6ujoWFtbT5w4cfHixe/VJugPHz5cu3bt3bt3a2traTRa3759hw0bNm3atOnTp0u5xyUA4MOimCWvs7PzvXv3pIkMCws7evSorPMBAAAAAABypJi9vOQO4V3q06ePTDMBAAAAAAByp5glr5T7jlEoFDjiHAAAAABA4SlmydvQ0CBNGI1Ge696ywAAAAAAgCwoYMnb1NQk5SmOKioqsGMDAAAAAIDCU8CSt7Kykk6nSxNJpVKh5AUAKC0pj+wBAAAFoID78lZWVkp5qg1BEFDyAgCU0Llz5w4cOJCRkTFkyBB55wL+T3Bw8LJly+SdBQAKSzFLXikj+Xw+lLwAAGWzbt26PXv2fPbZZ9988428cwH/n4mJibxTAECRKWbJy+FwpInE26TLOh8AAHh/3L9/Pykpqbq6Wt6JAABAr1LMkre9vV2aSA6HAyUvAECpuLm5nT59Wt5ZAABAb1PAx9dKS0ulXOVtb2+HTcoAAMrjn3/+sbKyCggIkHciAADQ2xSw5C0uLpYykkajUSgK+A0AAIBYe/fuXbJkibyzAAAAOVDAxoaysjIpI9XU1GSaCQAAvD94PN7+/ft5PJ68EwEAADlQ6jVOTU1NeacAAAC9ZPny5R999JG8swAAAPlQwJI3Ly9PysjBgwfLNBMAAHh/1NXVrVq1St5ZAACAfChgydvY2ChlZHNzs0wzAQCA90dCQsKkSZPknQUAAMiHopW8PB6vra1NymDYoQwAoCRu375tZ2fX5T96pqamxL/U1NQGDRq0cOHC3NxcwZiQkBCCIK5fvy67bM+dO6elpfXrr7/K7iNWrVpFEMSZM2e6PYO7uztBEDk5OT2YlZDdu3draWmdPXu2e5eXlJRoaWmNGjWKy+X2bGIAfIgUreStrq5mMBhSBuvq6so0GQAAeE+81RLv2LFjx48fP2TIkLKysoMHDzo6Ol65ckWm6QnJzs5uampKT0+X0fz37t376aeffH19g4KCujdDTU1NWlqapaWltbV1z+Ym6Pvvv29qajIwMOje5SYmJuvWrbt///6PP/7Ys4kB8CFStJK3qqpKVVVVymA9PT2ZJgMAAO+J2NhYLS0tKYNPnTqVlJSUlZVVUlISERHR1tb28ccfs9lsmWYoaMWKFbt3796yZYuM5o+MjORyue8y/+XLl7lc7uTJk3swK1F79+69evWqm5tbt2dYuXIli8Vav359TU1NDyYGwIdI0Ure6upq6bfa7dOnj0yTAQCA90Fra6u6uvqYMWPe9kIdHZ39+/ezWKzi4mLZrbmK0tXVXbp0qYmJiSwmz8rKSktLGzFihKOjY7cniY+PRwjJuuQNCgry8fF5lxlUVVXnzp3b2tp66NChnsoKgA+UApa8fD5fmkhVVVVtbW1Z5wMAAHLH5XIrKiqGDh3ajWvpdLq9vT1C6NWrV4LjFArl4MGDQ4cOZTAYhoaGYWFhpaWl+K0ff/yRIIjVq1cLTRUdHU0QxOeff45fnj171sPDw8DAQFNT09bWdvHixdnZ2fitffv2EQQRFRUleHlBQcEnn3xibm5Op9NNTEx8fHxu376N38rKypoxY0a/fv3U1NQsLS2DgoISEhI6u6ODBw8ihObNmyf23W3bthEE8ddff23atMne3l5DQ4PJZIaFhdXW1pIxbDb7ypUrurq61tbWkZGR/fv3p9Fo5ubmP/zwAxnj5uZGEERaWprQ/P7+/gRBpKSkIIRqa2t/+OGHYcOGMZlMBoNhZ2e3ceNG8rnqCRMmEAQh9JfGxYsXAwICjIyM6HR6//79Q0NDW1paEEIcDichISEkJKRfv340Gk1bW3vs2LGZmZnkneK7BkCp8RXL77//rq6uLs2Nq6ur79+/X975AgCAzCUmJvr5+UkTiRdWKysrBQcnTpyIEDp79ix+OXPmTIRQQECAqqqqj4/P9OnTjY2NEUJWVlYdHR18Pr+0tJRKpVpYWAhN7u3tjRC6d+8en8+PjY1FCOno6EydOnXKlCkDBw5ECB0/fhxHRkdHI4S++eYb8tpHjx7hpy9MTU3Hjh1ra2uLC1M+n//kyRMtLS0VFZVx48bNmDFj2LBhFApl4cKFnd3jkCFDEEJPnz4V+y5eCzcwMHBxcfnhhx9+/PFHvJnxnDlzyJjk5GSEkJOTk7GxsaOjY0hICPnnRHJyMo6ZMmUKQujKlSuCk584cQIh9PHHH/P5/KKiIisrKyqV6unpOXPmzJEjR1IoFC8vLy6Xy+fzm5qa6HQ6i8Xi8Xj42tbWVtx5rKWl5ebm5uzszGAwQkND8bvnzp1DCPXt23fq1KnTp0/Hv2Ha2Njgd/v27YsQKi4u7uw7AUAZKFrJu23bNhUVqY6U09LSOnHihLzzBQAAmYuKivr++++liRQtedva2vDjUy9evMAjuOTV0NDIzMzEI7W1tf3790cIxcfH4xF/f3+EUFpaGjlPVVWViorKgAED8Mthw4YJzsnn8zMzM9vb2/F/i5a8w4cPRwht2LCBLAFLS0txdRgZGYkQOnToEBlcVFTUWXlXXV1NEISenh45j6CqqioqlYoQWr16teBsuDonR1auXInXTcj75XA4uOo9cOAAHsFrq2fOnCGvqqurY7FYxsbGtbW1fD5/1qxZFArl5s2bZEBhYWFZWRn+b1zCLliwAL/k8XgBAQEIoc8//7y5uRkPNjY21tXV4f8uKytLTk4mbwqvDaurq+OX06dPRwidPHlS7HcCgJJQtMaGsrIyDocjTSSFQpH+YQ4AAPhw3b59uxuNvAih5ubmTz/9tLKy0svLa8CAAYJvhYeHk72wTCYzNDQUIfTo0SM8EhERgRA6efIkGX/hwgUOhxMSEoJf4ocuBJ82dnR0pNFoYtPIyMh48OCBjY1NVFQUQRB40NjYGE8iOpWpqWlnfcDPnz/n8/nW1tbkPILwQ2nDhw/ftGmT4GwMBkNwx3fcNfHrr7+Sm2BQqVS81G1mZoZH9PX1EUJNTU3kVatXry4vL9+zZw+TyWxtbY2Li+vTp4+7uzsZYG5uzmKxBD+C7BU+ePDgxYsXw8LCfv75Z/KXTE1NTXLXORaLNXbsWPKmcNseXs9GCNnY2CCEZLqfGgDvPwUseaWMJAgCenkBAMrgzp07rq6u0sfPnj174sSJLi4uRkZGMTExAwYMEH34acSIEYIv8U/nZF04ZcoUJpMZFxfH4/HwCN4Bd9asWfhlYGAgQmjcuHGJiYld5nP37l2EkI+Pj9g6derUqRQKZenSpXv27Glvb5c8VXV1Nfq3HhWFC83Vq1cLPgZdXV3d1taGK1qEUG5ubn5+fv/+/efPn0/G8Pn8hw8f0mg0FxcXPIJ3BCJL3rt37+7fvz8oKGjatGkIIQqFoqmp+ebNmyVLltTV1QmlwefzL126xGAw8LNrbDb7u+++o9Pp27dvl3x3CKHm5ua6urrU1FSEkK+vLx7E9wubNgAlp2glb0VFhZSRfD4fSl4AgMI7ceKEvr6+9Ls3IoSuXr2amJj45MmTIUOGbNiwISsry8LCQijG0NBQ8CWuEfn/Pj3MYDBmzpxZXl7+119/IYTq6+uvX79ua2uL+2IRQqtWrfr6669fvnw5YcIEGxubw4cPk8WxKPwPO66qRbm6up44cUJFReWzzz4zNTXdsGFDfX19Z1Ph+lLspuxsNjspKUlbWxu3EJDwI2jOzs74Jd6rYe7cuYL194MHDyoqKtzd3ckfD3GVif8G4HA4ixYtYjKZu3fvxu/S6fR9+/bR6fTo6Oj+/ftv2bJFcA+49PT08vJyLy8vvKB76dKlsrKyqVOnkmvAQkpLS7/77jtbW1stLS1NTU1dXd1PPvkECSwS4/sVra0BUCqKVvJWVVVJGcnlcqGxAQCg8J49ezZ+/Pi3uqSyspLH49XX12dkZKxdu1bs6kCXh/7g3gb8wNalS5c6OjrIJV6EEIVC2bRpU35+/sqVK1+/fj1v3jwPDw/BNgBBuJIWu8SLBQcHFxYW/vTTTwwG49tvv7Wzs3v8+LHYSFxEij1tPjU1taGhYezYsUL9FefPn0cIkXUwLnlnzJghGCO6ZxkuefEH7dix49GjRz/99JNgzRoSEvLs2bO5c+c2NDSsWbNm8uTJ5B8MQl0NFy9eFExAyP379+3t7X/++WcPD4+YmJiUlJTExEQKhWJgYECW6TgNNTU1sTMAoCQUreQV3EdGMg6HA6u8AACF9+rVK09Pz97/3NGjRw8ePPjMmTMcDgcXbWQjL8nc3HzHjh35+fnjxo27ffu2YAetILyiLLlvTUtLKzIyMj8//4svvigqKsLLnKJwvwFubxCCC02yTMRqa2tPnTrFZDJxJ0Znh66Jlrz4g1pbW4uLi9evX+/t7S26LZq5uTkuUlVVVa9cuUL22uLZyEbh+/fvI5FOEqy1tXXKlCmtra137tzZu3fv9OnTvby8GhoaeDyev78/2Z4huZ0DACWhaCWvhN+zhLDZbCh5AQAKLysr613OXHgX4eHhtbW1t2/fvnr16siRIy0tLcWGsVisXbt2IYT+/vtvsQG4DMV72UpGp9N//PFHFouVnp4u9lFmU1NThFBhYaHoW7jQFDqi6Ntvv21ubv7iiy80NTURQomJiaKHrhUXF2dlZdna2uJtKzBcX1IolFWrVvH5fAnb4np4eFhYWOB1WTzbw4cP7e3t+/XrhwPevHmDOlmjPXLkSHl5eUREhJ2dHR7hcDjbtm1D/62/8Z7K+N4BUFqKVvKK/bmqM509HQwAAAojOzsbnyXR+8LDwykUys6dO2trawW7Gjo6OlJSUvgCxwZlZWUhkf5gkpOTk4ODQ3Z29tatW8mrqqur8eEXKSkpbW1tZHBhYWFNTY2enp7YDSstLS0NDQ0LCgqE1oxzcnJevHiBEMJ77mJ79+7dtWuXg4PDV199hUeE1l8xoT4EDK/y5uXlnThxYuPGjWQ1XFdXJ3Q+xfHjx/Py8gIDA3HJKzobbmI+fvw4OVJSUoKfRbtz5w5CiNyeoqGhYc6cOQ8ePKDRaILdLPjMju7t2gGA4pDX7miyUFdXR6fTpbxxTU1NeecLAACylZWV5eDgIH282KMohOB9ea9duyY4iHfSxSuagry9vSkUCoVCKSkpIQfxQ10sFisgICA8PNzT05NCodBotDt37gjOJrgvb1ZWFpPJRAiZm5t7eXnZ2NioqqrivdWHDx+uqak5bty48PDwyZMna2hoIIS2b9/eWf74QIdTp04JDm7duhUhFBkZSaVShw8fPmvWLLxuamtrS2be0dGho6Ojra2NT9wgTZgwASFEJo/hQ9EoFIqTkxPePxg7duwYQsjS0nLGjBmhoaF4f+LRo0dXVVUJzoYP7MDIh95sbW09PT0HDhxIp9PxjrwrVqxACGloaMyZMycwMFBPT++zzz6jUqk+Pj7k5ZWVlQRB6OrqCqYBgBJSqFXe6upq6Z9KhkZ+AIDCk2NXAzZnzhwej+fm5ia434KamtrWrVtZLFZycvLJkycLCgoCAgJSU1PJHb5EOTg4ZGRkhIeHs9ns1NTU0tJSf39/fD7F119/7eTk9ODBg+PHj6enpw8fPvyPP/748ssvJaSEEDp69KjgIF5bXbRo0eHDh2tqas6ePctms9evX3/v3j0y81u3btXX148fP17wf2haWlpSUlL69Okj1ASspqZGp9OpVOpvv/0muOWZhYWFt7d3TU3NuXPnkpOT1dTU9u3bl5KSghsh8GxGRkYjR44kL1m6dOnOnTutra3z8vLu3buno6Pzyy+/4B15v/nmmylTplCp1AsXLlRXV8fExGzYsIHL5QquQx87dozP54eFhQmmAYASIvgCPy196NLT0318fKRs57WwsCgoKJB1SgAAIEcrVqywtLRcvny5vBLYsmXLmjVr9u3bt2jRInnlIITH41laWhYVFRUWFuL21pqaGkNDQzMzs5cvX/bUp8TGxkZERKxdu3bDhg09NWf32Nra5uTk5ObmDh48WL6ZACBfCvU3n9iHcDsDO5QBABTerVu38EG48vLHH38wGAyhLb3ki0KhbNy4kcvlbty4EY/gQ9eEmnHfRWlp6eeffz506NCoqKiemrN7zpw58/Tp04iICKh3AVCokreqqorL5UoZTJ7TCAAACqmoqCgnJ8fJyUleCRw9evTJkyfBwcH4Wa73x+zZs4OCgg4ePJiRkYE6ef6s26qrqwMDA1tbW48dOybfh6RbWloiIyPNzMx27twpxzQAeE+IeaD1w1VdXS14gI1kUPICABRbTk6Ou7s7PnyhN505cyY+Pr60tPT69etMJvOHH37o5QSkcfjw4aioKENDQ/LQNQ8Pj3eZ8O+//967d29ra+v169fb29svXLhAHjUnL1wu98KFC0ZGRrAjJwBIwUreysrKLg9YJ4k9cBIAABTG06dPbWxsev9zq6ur//zzTw6H4+npuWvXLnILrfeKpqamg4MDQiglJaW+vn7GjBlvdSazqBs3bsTFxdHpdB8fn02bNg0ZMqSHMu0+LS0tfI8AAKRgJW9JSYn0wXAODQBAseXk5OA9DXrZwoULFy5c2Puf2z1jx47tkce4v/7666+//vrd5wEAyIhC9fJWVFRIGYk3KZRpMgAAIF85OTlC5+ICAIDSUqiSF5/KKA0ajQa9vAAAxSavxgYAAHgPKVTJiw9glIaKigq08wMAFFhlZSWFQoEOLgAAwBSq5JXyEAqEEJVKhX15AQAKDLoaAABAkEKVvPjcdmlQKBRY5QUAKDBpSt6WlpbeSQYAAOROcXZsaGtre6unbqHkBQAosAcPHlhaWnb27unTpz/77DM+n29lZdWbWYH3SnBw8LJly+SdBQC9RHFK3qqqKjqdzuFwpAnm8XjQ2AAAUGCXL1/+/PPPO3s3JCTk0KFDEmpioAzezy2TAZARxSl5q6urVVSkvR0ulwurvAAABaaurh4QECA6/uTJE3t7+4aGBg0Njd7PCgAA5EVxenmrq6sJgpAymMPhQMkLAFBgL168ELuIO3fu3Js3b0K9CwBQNgpV8nK5XCmDOzo6oLEBAKCoCgoKzM3NKRThf+H37NkzaNAgV1dXuWQFAABypFCNDVI28iKEVFRUqFSqTPMBAAB5EbvEu2/fvnXr1r18+VIuKQEAgHwpzipvVVVVW1ublMFqamoyTQYAAORIbMm7d+/ev/76Cw6eBAAoJ8UpeUtLS6XfpExdXV2myQAAgBzl5+cPHDhQcOT27ds6Ojp2dnbySgkAAORLcUresrIy6YM1NTVllwkAAMiX6Crv1q1bw8PD5ZUPAADIneL08r5580b6YHh2DQCgwIRWeZuamhISEuLj4+WYEgAAyJfirPJWV1dLHwzdbAAABSa0ynv16tXAwEBpLjQ1NSUIgiCIzMxMsQGnTp3CAZ6enj2Sao/Izc0lCGLMmDEy/RRnZ+dBgwZJf7L92yotLdXV1XV0dJR+96EPQkpKCkEQM2fOlN1HpKWlsVisyMjIbs/w+eefEwSRkJDQg1mB943ilLx1dXXSB0PJCwBQVGVlZTo6OoJPLPz555/Tp09/q0ni4uLealwav//++/3797t9uXx1dHRkZmbm5+e/1S+Kb2XRokX19fV79uzp/Q2FCgoKtmzZIqPJ8c8LkydPltH8CKGdO3dWVFTo6+t3e4b169cbGxsvWrTorWoJ8GFRnJK3oaFB+mA9PT3ZZQIAAHL06NEjBoMhOHLmzJmgoCApLx8+fLiamtrp06dF32pqakpMTHR3d+9GVjU1NUuWLPlwS14ajXbp0qUzZ87I6JTma9euJSQkTJ482cXFRRbzS8Dn8xcsWJCamiqj+ePj46lU6oQJE2Q0P0Loiy++OHLkyOrVq7s9g7a29tdff11aWrp58+YeTAy8VxSk5OXxeNLvUIYQepe/BQEA4H1WXl4uuA/jyZMnXV1daTSalJez2Wx/f/+XL18+ePBA6K34+PjW1taJEyd2I6ujR492dHR048L3h4+Pj5T9Id2we/duhNDChQtlNL8Ev/76640bNyZNmiSLyXNycl68eOHi4iLTlSYnJ6fw8PB3XB0PCwtTU1P7/fff36qcAB8QBSl5q6urhVY1JKBQKEwmU6b5AACAvKioqDg6OpIvf/nlFysrK+kvb2pqmjFjBhLXwxAXF6epqdnZKm9KSoq3t7eWlpampqanp2diYiIer62t9fPzW7lyJUJo2bJluBX45MmTCKH29vY//vhj3Lhxenp6qqqqxsbGISEhBQUFgtOyWCw3N7enT58GBQUZGhoyGAw7O7uDBw+KJkClUl+9ejVr1ix9fX0Gg2Fvb3/kyBH8VkdHBx6sr68XvITH4xkbG6uqqlZVVSGEsrKyZsyY0a9fPzU1NUtLy6CgIMHmThaLRRCE0JlHMTExrq6u+K5tbW3xbeJP/OmnnxwcHLS1tfX19UeOHPntt99WVFSI/erKysoSEhJceHgCAAAgAElEQVRYLJafn5/ou2w2W0dHJzAwsLy8fOnSpaampgwGw8rKKjY2FiH04MGD4OBgIyMjOp3+0UcfJSUliU4eGRlpaWlJp9NNTU1XrVpF/u1x7do1BwcHnPPSpUsJgqDRaPgrevXq1caNG52cnLS0tGg0moWFRVRUFI/HI6e9f/8+QRDbt28/duyYh4eHrq6uuvr/Y++8w5rIugZ+JgkhEHpHQIpdUQEV26pUXbFhoVjRtb1WXJddXyvqqrg21LX3dVXsih0bVlBBsGMFRHrvLSTz/XE+55k3CTEgLsLe3x8+yZ0zd869ieHMmVPU+/Tp8/z5c/bVcQOHDBly7tw5FxcXLS0toVDo6ur65s0bFLhx4wZFUX379pVSOzIyksPhODs70zQtkUgOHDjg7OxsYmKCykyfPp35nqxZswY1YZ+enp6+YMGCDh06qKur6+rqOjo6nj59Gg9VtzQdHR1PT8+cnJwzZ87I/ZgIDR66URAXF6d8EQaBQPDnn3/Wt8oEAoHwTVi5cuWCBQuYt61bt46Li1PyXDMzM1NT0+LiYjU1NWtra/ahwsJCgUAwcuTIhw8fAkCfPn3YRw8fPkxRlJaW1sCBA93d3QUCAUVRu3fvpmk6JycnKCjI2dkZAAYPHhwUFBQUFPTq1Suaph88eAAAWlpa/fv39/HxsbGxAQALC4uSkhJmZjTmNDU1nZ2d161bt3LlypYtWwLA8uXLGZm4uDgA6NSpk5mZmbW1tY+PD2OXHzx4EGWmT58OAPv372erfffuXQDo378/TdMvX77U1NTk8Xhubm5eXl4ODg4cDmfKlClsTQBAJBIxI5MmTQIAFRUVR0fH3r176+rqOjk54aGffvoJAKysrLy9vfv27WtkZMTlcpOSkuRuO94AeHt7yz16/fp1AOjbt2/z5s1nzZoVHByMHlkul7ts2TJ9ff05c+Zs2LABrUYdHZ2qqir2uXp6ehoaGj/++OOwYcMwwvvXX3/Fo6dPn549ezYA2NjY4Oeyd+9ePNSxY0cej9ejR4+RI0cysRbHjx9nZl60aBEAGBoaWltbL1y4cNOmTaiVlZUVW4FevXoBgKenp7a29qBBg4YMGYJFQnv16oUCsbGxANC9e3f2kisrK21tbdXU1N69e1dZWTlkyBAAsLOz8/X17dOnj4aGhpGRUXp6Ogpj2iL7Sx4WFqarqwsArVu3dnFxsbKy4vP5L168+OLSdu3aBQCTJk2S+0EQGjqNxOTFKutKmrwaGhp//fVXfatMIBAI34TJkyfv2rULX6ekpDRp0kT5c83MzAwMDGiaxif4UVFRzKFDhw4BwJkzZyIjI6VM3uzsbC0tLTMzs+TkZByJjY1VUVERCoW5ubk4snDhQgCQdTecPn2aMXArKiocHR0B4MiRI4wAGppDhw5lRvLy8szMzFRUVBgLEk1eABg3bhxjb23atAntYHyLlnrfvn3ZV0cHJ/5FwHz/ffv2MUc/ffrErIiWMXkxK8vGxiY+Ph5HxGJxWloaTdP5+fkURTVv3ryiogIPVVVVsTdTCrQ7N23aJPeov78/AJiamr5//565EBqv1tbWnz59wsHy8nJ8sp+Xl4cjjx49UlNT6927d0ZGBo6cOnUKrVJmcgyoWLhwodRFr127xpxF0zRGs6xZs4YZ6dixIwC4uLgwH59EImnRogUAxMbG4khOTg6qNGjQoPz8fBzEMHHma/nx40cAaN++PfvqmEv3xx9/0DS9c+dOAFixYgVztKCgAG+ZaJrOzs7mcrnNmjVjjkZERPD5/KZNmz548IAZZH+OCpb24sULNJRpQmOkkQQ24DMpJeFyuaQuL4FAaKx8/PjR0tISX1+/ft3Nza1Gp9M0DQDe3t7wv7ENx48f19TUlPvkPSQkpLCwMCAgwMzMDEfs7Oz69etXUlIi+5xdiqFDhzLFJfh8Pl6XeerNwAQMAICOjs6ECRNEItHJkyfZMhRF/fHHH0xA59SpUzkczrNnz/Cto6Nj69atb968mZWVxZxy+vRpgUDg6ekJABwOBwBUVFSYo+bm5syKZNmxYwcABAUFWVtb4wiHwzExMWGm4nA4jDJcLrdz587VTYXrbdu2rdyjGBuwa9cuJnNOIpFgjMH+/fvNzc1xEEub6ejooAOosrJy9OjRampqoaGhRkZGKIPt99ixqtWVU3Bzc2POAgAtLS0AaN26Nb799OnT06dPhULhoUOHmI+PoijUkKnjdunSJbFY3KJFi2PHjjFuKVS4adOm+BZTa4qLi5lrJSYmLl++3MHB4ZdffgGAAwcOAAA7ilpLS6tNmzb4+vLly2KxmNG/oqJi9OjRFEVdvny5a9euzCnsz1HB0lq3bk1R1Nu3b9khHIRGQyNpRZGTkyMVX6UAfPr2TfUhEAiE+oJt8t64ccPV1bUWkwwcOFBNTe3kyZNr1qwBgMLCwrCwMC8vL7lZE/fu3QOA69evv379mhmMj48HgA8fPihzOZqmS0pKqqqq0B8hmz9ka2vLfovBylIJdubm5mhxIqqqqvr6+llZWRUVFaqqqgDg5+c3f/78EydOYJBDVFRUUlLS8OHD8S+Cp6fnhg0bZsyYUVBQMGnSJDxFARiVIRuECgCampru7u5Xr14dMGDA+vXr27Vrp3gqrCsvN68a07/atWvHTi978uRJeXm5vb19nz59mMFHjx6JxWInJyeKogBg37597969a9WqFbuOAbYpZWzr4uLiW7duGRkZoXNdFrFYXFxcTNP0o0eP+Hw+U4wZrfDx48ebmpqy5ZOTkwGAGUSxBQsWsPMpseQzM5VQKFRVVWWbvNOnT6+srNy7dy/eMOCnM3Xq1H379km10QYZk33Pnj0JCQn+/v7V3T8oXhqXy9XR0cnLy8vPzyeVnRoh9etkrivWrFnD4ylrvmtraz969Ki+VSYQCIRvgkAgKCsrw9empqbVxY/KxczMTF9fH19jXTP8tcRMqXPnztE0LRvYoKAHBPPEXG5gQ1VV1ebNmx0cHNi+VQCYN28eI4PhBJWVlewTb926BQD9+vXDt0wsr9Ry8FxmN5KTkzkcDhNFOm/ePAA4ceIEI3/s2DE0dAwMDJYtW8Y8i2fPhoEN6GRRU1Orbiezs7MxAhUA3N3db926VZ0kTdNoySUkJMge+uOPPwBg5cqV7MHAwECQCYRAn+iePXvwrZ2dXXUfyurVq1EGM7omTJggddHz588PGjTI1NQU3dXMKhiB/v37A0BkZCT7rLy8PA6HY2BgIJFIaJqurKzU1tbW0NBAy5IBAwnu3bvHjJiamgoEAnx97NgxAJg/fz5z9Pnz5+ij5XK5kyZNYsck4CW0tbWZrweGWygIXv/i0jCg/MOHD9XNQGi4NBKTt0Y9V7S0tJRP5iAQCIQGRHp6urGxMb4ODw9nLAklYZu8mFMVEBBA0/TAgQN1dHQwMlXW5O3Zs6esASSFXJN38uTJANCqVasNGzZcvnw5PDw8ICBArslbWlrKPhEzuqRM3p49e0pdVMrkpWm6b9++FEXhbUCLFi00NTWlZi4sLFy/fj0+fLewsHj+/LnUbGjyikQiAFBXV1ewZJqmHzx4MHz4cLSu5syZU51Yhw4dAIDJr2KD6V8vX75kDzo4OMiayC1btqQoCoOJk5KSAEAqAVGWCRMmAMDp06eZEZFIhINdunTZvHnzlStXwsPDR44cCQCbN29GmeLiYoFAoKenJxaL2bPhfRFjQN+4cQNkcvJKSkoEAoGBgQH7XHThV1VVFRQUmJqatmzZkv2R0TRdVFS0bNkyfALQtGnT1NRUuZfAsOAWLVrIXawyS6M/f8rMJQiNiUZi8uK3VknU1dVTUlLqW2UCgUCoex4+fOjo6IivDx06NHr06BqdzjZ5sW6DlZVVXl4en8/38/PDcVmTF1u7hYSEKJhZ1uRNSUnBMDO23y44OFiuyZuYmMieDauPjRkzBt8qb/IePnwYANavX4+njB07Vq625eXl6DTt1q2b1GxM+hrWBCgoKFCwaiQ6OhpDTa5cuSJXAJ+q3759W2oc079sbGzYgxg8YGtryx7EaGDmo8c0tUGDBinQSiwWGxkZqaqqFhUVMYMbNmwAAD8/P/TU0jQtkUgwSIDJ0jt79iwA/Pjjj1ITYvUDxn2L4dd///03WyY0NBQAxo0bxx7E8hrFxcX+/v4URcnuA5KUlISxwlu3bpV7CUyMGzlypNzTlVkaTdP4wIHJOyQ0JhpJ+lp6errywiKRiMTyEgiERgk7kDciIuJrWnkJhUIPD4/ExMSFCxdWVlZiYplc0BOJUZXVgbFn6BxF0Ipt3bo1O5foyZMnck9HO5sBAxs6deqkzELYDB06VEtL6+LFi5cuXQIAX19fuWKqqqrr1q0zMTGJioqqLlGkW7duAICORsV06tQJA2qlVsGATuXExESpcUz/ksotwwBZqc4RUiGtynTNjYmJyczM7NmzJ1YNAwCJRLJ27VrMAsSAYAA4e/bsq1ev2rVrx2Tp4bUMDAzYs50+fToiIsLV1RVd/qinbNM1udlyGMT85s2brVu3Tp06tbrCzxYWFi4uLgDARGzjJTDKAgCwFzQ7bphByaWlpqaKRCJDQ0PlW7cQGhCNxOStUcUGkUjE/A8nEAiExgTb5I2MjOzevfvXzIZm7rZt23R1dd3d3asTGzNmjLa29tGjR0NCQpjBioqKI0eOMG/RTGHqJwAAWrrv379nEvzv3LmDXlhZVqxYkZubi69jY2NDQkJUVFTQu1wj1NTUvL29Hzx4EBYWpq+vz17UzZs32WlziYmJubm5enp61SWKYKe0efPmYRQBANCfq1wlJCSwM/lQZ2bJsuDHdP/+falxtG6lDES5VqPUINpwt27devfuHSOTkpISFhbGvI2OjgZW5QRUOy0tTU1NjUmku379up+fH3tmmqYvXrwIAJGRkaWlpTgYERExceJELS0trGIBAK9fv37//n337t3ZSWB4Lp/P79evH1t5PT09gUCwaNEiExMTjF1GwsLCsAwF8urVqxMnTpibm6MZzVyC0bZJkyYAcPXqVcYkEIvF6M5XZmnwORFTQWw6oWFTv07muoKp0qIMNQ1uIxAIhIbCjBkztmzZQtN0WVlZLX7r2IENNE0XFxdjCaqffvqJGZQNbKBpOjQ0FEsctGjRws3NzdHRUSgUcrlcRiAxMVFFRYWiqMGDB/v6+h47doymaSx30KxZs59++snd3Z3D4WCFWqnABl1d3UmTJunp6Q0bNmzQoEFYNeL3339nZJQPbKA/t5/gcDhTp05lj3fq1ElDQ8PNzW3cuHGDBg0SCoUAsHbtWqnZ2K0oxo8fDwB8Pr9Hjx7du3c3MjJq1aoV/blkb+vWrb29vUePHo2huubm5kyVYimwY1mbNm3Yg5ibpaWlxU7dkxsLm5uby+PxmjZtyoyIxWJMX1NVVe3Zs6ezszNG+rIz3rDerZqa2qhRozw9PTMzM7OysrBIQpcuXSZMmODo6GhmZoZZjPfv38ezsLyxr6+vhYWFpaWlr6+vk5MT9jQNDw9nJsdCH1hYl+HRo0fwv7liyG+//YbhzufPn2cGMX7DwMBg0KBB48aNc3Fx4fF4JiYmjx8/ru4SpaWlFhYWAKCpqenk5NSpUydtbe3ffvuNpmlllkbT9MyZMwEgODhY7sdEaOg0EpO3RnV2dXV161tfAoFA+CZ07doVrcmbN29is9YaIWXy0p/jdNlBqHJNXpqmnz596uPjY2xszOVydXV1+/Tps337drbAiRMn2rRpw+fzTU1NMWUqKyvLz8/PyMhITU3NwcHhxIkTVVVVQqFQyuTlcDgikej333+3tLTEtrpMrw2kRiYvTdPoBGWbaDRNnzp1ysXFRVdXF02r3r17Hzp0SHY2tskrkUh27drVpUsXdXV1FRWVli1bbtiwgabp9PT0OXPm2NjY8Pl8dXX1li1bTp06ld0NQRa0UKOjo5kRDJnw8vJii2EsrFQIMrrGp02bxh7MzMycNGmSiYkJFt7q0aPHqlWr2DUo8vPz3d3dhUKhlpbWsGHDcPDgwYPNmjXj8/mWlpazZ8/Ozs6ePXs228JevHgxAOzcuTMqKqpz584CgaBJkyaTJ0/++PEj++pys+7wXNmOG0FBQWhGswdTUlJ8fX1NTU15PJ6Ojk6HDh0CAwMxOU/BJd69ezds2DBdXV0ul2tsbDxmzBim9sIXl1ZZWWlkZKSiosK+CqExQdE0rbyx+N3C5XKVLxyNLYK+qT4EAoFQLwgEgujoaFtb25UrV5aVla1YsaK+NfpaTExMMjIyRCKR8pUoFVNRUWFsbCwUCj99+sSuVFW/7Nu3b+LEiVOmTEHn63eLvb39kydPPn78yI6I+BoqKirs7e0zMzPj4uIMDQ3rZM7acfz4cR8fn1GjRlUXXUNo6Hwv/9u/hoKCAqmajoohgbwEAqFRUlJSwuPxsORTSEhIjZIc/j2cO3euoKBg9OjR34+9CwCjR49u167d33//nZCQUN+6VEtycvKTJ086dOhQV/YuAAQGBsbFxW3ZsqV+7V2xWLxq1SqBQICuaEKj5Dv6D19r8vPzmU6GykDKNRAIhEZJcnIyk9hgamrKZLITGEpLS3///XcM5K1vXf4HVVXVgwcPVlVV+fv717cu1SI3ne5rOHjw4Jo1a8aOHVtd6Yx/jO3btz99+jQoKIhpqkxofDSGhsPZ2dkVFRXKy2NSJ4FAIDQyPn36hOk7APD69eta1PBqxGAR3zt37iQnJwcEBGCF1+8KBweHV69elZSUSCSS78oDzSC3WEQtmDt3bk5OTlxcXFRUVP/+/Xfv3l0X2n0V/fr1Qwd2fStC+IY0BpOXqVyjJHUVEEYgEAjfFYyXNzs7u7y8vEalbBo9ERERnz59MjQ0XLlyJbYa/g7BzsPfJ6WlpTdv3jQ2NnZ0dPyaeUpKSg4fPpybm2ttbb158+Zp06Z9D3+UW7RoUd8qEL459f89+3ry8vKUz10DAGyZQyAQCI0MxuSNjY21t7evb3Xqhhp1GlJAfHx8nczzr0VdXb2srOzr5xEKhRkZGV8/D4FQU77HRyc1JTc3t7ruOHJhV8YmEAiERgNj8sbExDg4ONS3OgQCgfAd0RhM3pycHOVjeTkcTo1y3QgEAqGhwMTyNiYvL4FAINQJjcHkzcrKUj6wQUVFpUZ9KwgEAqGh0CgDGwgEAqFOaAwmb1pamvLCPB6P1OUlEAiNEjR5c3JyEhMTW7ZsWd/qEAgEwndEYzB5s7KylBfmcrnEy0sgEBofpaWl5eXlenp6t2/ftrKyUuaUwsLCb6wUgUAgfC80hooNNSpSxuFwiJeXQCA0PpiohszMTBcXF8XCP/zww5s3bzgcDnEGEwhfxNvbe9asWfWtBeFraQwmb15eXo3kiZeXQCA0PhiT9+XLl+3atatOLCMjo23bth07drxw4YJIJPoHFSQQGipmZmb1rQKhDmgMJm+Nns1JJBJi8hIIhMYHY/K+ePFi+PDhcmXy8vI6d+68cePGsWPH/rPaEQgEQj3TGEzekpIS5YUlEgkJbCAQCI2Pe/fu4SOvFy9e2NraygoEBARcuXLl2LFjPXr0+Me1IxAIhHqmwZu8FRUVNWq9JhaLiZeXQCA0PjgcTpMmTTIzMzkcjoGBgdTR+/fvP3jw4MWLF/WiG4FAINQ7Db5iQ25urqqqqvLyIpGIeHkJBELjg8fjtW/fvjoX78CBA52dnf95rQgEAuE7ocGbvHl5eTxeDXzVEolETU3t2+lDIBAI9UJaWpqpqanc3LVXr16pq6tPmTKlXhQjEAiE74EGb/Lm5uZyODVYRY1cwgQCgdBQQJM3Nja2bdu2UofCw8OHDh2KvYgJBALh30mDj+XNzc2laVp5eYFA8O2UIRAIhPoCTd5Dhw6NGjWKPV5ZWTlnzpz8/Pz6UoxAIBC+Bxq8lzcvL08sFisvr66u/u2UIRAIhPoiNTVVRUVFR0fHzc2NPT5//vwJEyYIhcL6UoxAIBC+Bxq8yZubm1tZWam8PPndJxAIjY/c3FwNDY2EhIRWrVpJHTpy5Mjy5cuVnMfc3JyiKIqiYmJi5AocO3YMBZycnL5GYWTOnDkURR06dIgZ6datW4sWLYqKipQ5/cyZM5qamps3b/56TZRBIpH06NFDKBQmJSX9M1dUzLZt2yiKCgwMxLfZ2dl2dnYODg5Knh4REWFiYjJ37txvpuAXWLJkCUVR27dvry8FkJrumyyhoaEURf3yyy91qBXhW9DgTd6cnJwambykXAOBQGh8YFTD69evW7duzR4/fvx47969TUxMajrh8ePHazReJ1RWVsbExLx//z4zM1MZ+adPnxYXF0dFRX07ldhs2LAhMjJy0aJFTZs2/WeuqJjz588DwKBBg/DtiRMnnj59qq+vr+TpmzZtysjIUF7+a0hISFi9erXUIOo/cODAf0ABBdR032QZMmSIh4fHxo0bIyMj61AxQp3T4GN509LSaiRPivISCITGR2pqapMmTd68eSPl5Z00adKff/5Zo6k6der06tWrEydOyNooxcXFly9f7t279507d75WY3nw+fyLFy8WFRU1a9ZMGXl/f39DQ0NPT89voYwU+fn5gYGBhoaG9egWZVNSUhIeHm5qatqpUyccGTx4ME3Tvr6+Ss7wyy+/DBgwYPTo0d9Mx/+HpumJEycKBIL//ve/zOCnT5+ePHliZ2dX71mVNd03uaxevfrSpUtz5sx5+PBhXSlGqHMavJc3IyOjRvLa2trfSBMCgUCoL+R6eZ8/f960aVM/P78aTSUSifr37x8fH//48WOpQ+fPny8rKxswYEAdaAwAABRFSY24u7sPGzZMydN1dXVnzJhhZmZWV/ooYP/+/aWlpX5+ft9J2Z9r165VVFQMGDCA2UMzM7Pp06fr6ekpOYOjo+O4ceO4XO430/H/2bx5c3h4uJQ398KFC8ByUdcjNd03ubRv375bt26PHj2Kjo6uK8UIdU6DN3lzcnJqJK+jo/ONNCEQCIT6Ak1eKS/vwYMHx48fX9OpiouLvby8QF4Mw/HjxzU0NHr37i33xJs3b7q6umpqampoaDg5OV2+fFlKICEhwdfXV09PTygUdurUaceOHbJV0k1MTCiKqqqqYg/u37+/Z8+eOHO7du3mzJmD4zt27KAoatGiRYykr6+vqqpqWVnZmjVrWrduraqqamRkNGbMmNTUVKkLffjwYfTo0YaGhgKBoEOHDps2bVLcyHP37t0AMGHCBLlHPTw89PT0KioqVq9e3bZtW4FA0KRJk99++00sFqelpfn7+9vY2KiqqlpYWKxZs0bq3PLy8g0bNjg4OKirq+vq6vr6+spq+/TpUx8fHwMDAzU1NXt7+yNHjty8eRNYJuOjR48oipK6G6moqNiyZcsPP/ygra0tFArbtm27bNkyPLRmzRqKotauXYtvRSKRtra2p6dnYmLi1KlTLSws+Hy+paXlqlWr2BNWVVVduHDB19cXBbS0tFxcXKoL+waAa9eu2dnZ4ec1Y8YMiqL4fH5BQQF8Nnn79++/ceNGOzs7NTU1fX19Pz+/4uJiqUliYmJGjhxpYmKiqqrarl27ffv2SQlUVFRs3bq1Z8+eWlpa6urq3bt3DwsLYwvg6kaNGiUSiVauXNmsWTMOh3Py5Mnq9i09PX3BggUdOnTAT8TR0fH06dN4qLCwcM+ePX379jUwMODxeEZGRiNHjkQ7BL8b+D0hfKfQDZyWLVvWaL3+/v71rTKBQCDUMbNnz964cSNFUexBQ0PDzMzMGs1jZmZmampaXFyspqZmbW3NPlRYWCgQCEaOHImPbvv06cM+evjwYYqitLS0Bg4c6O7uLhAIKIravXs3I5CUlGRsbAwAHTp0GDlyZJcuXQAAGyP//fffjBjKiEQiZmTSpEkAoKKi4ujo2Lt3b11dXScnJzyEmU8LFy5khH18fADghx9+aNq06eLFi9euXYs951q1alVZWcmIvXz5UldXl8PhODk5eXp6GhoaAsDYsWOr25b09HQAMDY2lnu0uLhYVVW1efPmPXv29Pb2Dg4OnjlzJjpff/31VyMjo1GjRgUHB0+dOhX/DD1+/Jg59+PHj+3bt+dwOL169fL19W3SpAkAdOnShT3/vn37VFRU1NXVBw4cOGLECD09PYqi9PX1BQJBSUkJyqDdv337duashIQErNDcpEkTZ2fn9u3bUxS1a9cuPPrDDz8AQFxcHL69fv06AHTs2NHIyGjSpEnBwcHTpk3DJdy4cYOZ88yZMzihp6fniBEj8ONr27Ztdft2+vTp2bNnA4CNjU1QUFBQUNDevXtpmi4pKREIBAYGBvhJeXt7u7i44OYsXryYPcPatWu5XK6ZmdmwYcOYUiQXLlxgBOLj47HdYOfOnUeOHNmzZ08A4PF4UVFRjAyubunSpb169dLR0enevXuvXr3wOya7b2FhYbq6ugDQunVrFxcXKysrPp//4sULPOrv749L9vb2HjhwIHbCmj59Ok3Tb9++BYDmzZtXtxuEeqfBm7z4+6g8S5curW+VCQQCoY7x8vIKDg5u06YNM7J582ZbW9uazmNmZmZgYEDTNEYXsO0GrKtw5swZzNFhm7zZ2dlaWlpmZmbJyck4Ehsbq6KiIhQKsXQ6TdNjx44FgGnTpjFnBQcH48+yApMXM5xsbGzi4+NxBP2m+Lo6k9fExCQrKwtHJBIJmtfnz59nxHr06MHlchljLicnB73jV69elbst6OQbOnSo3KNoCHK53HPnzjGDP/74IwCoqqqyTUY0NM+cOYNv8/LymjdvbmJiEhMTgyNpaWkqKioAkJiYiCOXLl3icDi2trafPn3CkcTERD6fDwADBgxgZu7YsSMAMDI5OTlWVlYCgeDAgQMSiQQHU1JS8HV2djaXy23WrBlzOlpyBgYGjG1H0/TgwYMBgLGSUb0bN24wE2LioLq6utxtQbZs2RJf3a8AACAASURBVCL1GdE0ffbsWfzoly1bVlVVhYMzZswAgFGjRjFiGIY+e/bs8vJyHJk1axYAjB8/Ht/m5uZaW1traWldu3aNOWvevHkAMHnyZKnV6enpLVy4sKysjK2J1L5FRETw+fymTZs+ePCAkWG+1TRNx8TEvHz5knmLbnIPDw98i/dO6enpCjaEUI80+MAG2YcgCuDz+SR9jUAgND7S0tLKysrYUQ1nzpxB/2hNoWkaALy9veF/YxuOHz+uqamJlpwUISEhhYWFAQEBTFitnZ1dv379SkpKrly5AgCVlZUnT55UUVFZuXIlc9bs2bPNzc0VK7Njxw4ACAoKsra2xhEOh/PFAhTjx49HByQAUBSFUaTPnj3DkZcvX0ZERAwcOJDxLOrp6U2ePBkA8GG3LG/evAEA2bZ2CD6jnzNnDjsyFWMz5s+fz1yFGbSyssK3/v7+79+/P378uL29PY6YmJig2VReXg4ApaWlkyZNUlVVDQ0NZfbK0tISd4OJjv306dPTp0/t7OwYmZkzZyYmJm7ZssXPz48J9m3SpAm+vnz5slgsZmuLS9i5cye7WzUKs8tTmJiYuLi4MBNqaWkBgFSRECmkykqwB8eMGbNkyRImmBiVZy73+vXrgIAAV1fXTZs2MfHT7du3ZzYHAGbNmpWQkLB79252Ler+/fsDQGJiotTqxo0bt2LFCnY7Kql9q6ioGD16NEVRly9f7tq1KyPGDha3t7dnfw2kdqBNmzYAEBcXp2BDCPVIg6/YUFpaqrwwj8cjJi+BQGh8pKamvnz5kp1Zdf/+fTQ3a8fAgQPV1NROnjyJsaeFhYVhYWFeXl5yG1jeu3cPAK5fv/769WtmMD4+HgA+fPgAAHFxcWVlZfb29vjIGOFwOJ06dUpOTlagxoMHDwCgb9++NVLezs6O/RaNSKbW7927dwEgKSnpP//5DyODeuK/smCwptw6VjRNX7x4kcfjBQQEMINVVVXR0dF8Ph89l0hZWRkWw+rQoQMAvHr16tChQ5qamocPHz58+DAjlpqaKhAIbGxsAGDXrl2pqak///wzvmWuiHnbjMkrlQr2/Pnzo0eP2tnZTZw4Ue5ypMzQuLi4Dx8+tG3blp04SNP0w4cP+Xx+jx49ZGcoKSkRiURYuEPBp1NcXHzr1i0jIyNHR0f2zJcuXaIoigksRjAmmKn3vHTp0oqKColEwv6YMKUSjc7nz58fOXKkc+fOeHvG1g0+G6PM6kxNTf/44w8p9aT2bc+ePQkJCf7+/tXd27CXUFRUJJFIIiIi2DuA35Dc3FzFpxPqi4Zt8ubn5/P5/IqKCiXluVwuqctLIBAaGVVVVcnJyenp6RjICACXLl1yc3PDx9+1QygUenh4nDp1KioqqkuXLqGhoRUVFVK2BUNKSgoAXLx4UfYQOuSwzq6pqanUUTRGq0MsFufk5KipqdU07ZhtWMNnbyX9uTU9ahsbGxsbGytXW1mwXbPUtEhUVFR6erq7uzvb93zv3r38/PyhQ4cyzmYAuHHjRllZ2fDhwzkcDgDs3r1bIpEUFRXt3LlTak4nJycMb8BUrSlTprCPvnnzJj8/397envHpShW4xXhZJnRYCpFIFBYWpq2t3atXL/bpUtXKHj9+nJ6e7ubmxviJUlNTd+3adeLEiaSkJPbzVQVVF2TLSgBAdHR0Wlqas7Mz246vqqq6du0aJj4CQHZ2NgaThIeHh4eHS02LJiYuU9asx5oJjOMcVzd9+nTZ/w5S+4aZZ2wLW4onT55s37796tWrqampTEMARmf4/A0hzb2/Wxq2yZuXl6eioqK8ycvhcIiXl0AgNDJevnwpEAgkEgnzp/fMmTNDhw79ymm9vLxOnTp1/PjxLl26HD9+XEdHp1+/fnIl0ZqMjIzs1q2bggllS5LJ9RlLTSt71hdBm1LxtEFBQewysYrBTvXoPpQCPYVStYHRlsJYWKlBxkA8d+4cAHz8+LG6xhZJSUnPnz9v0aKFVOTAjRs32POUlpaGh4ebmJhgyDIzs9TVGe7evVtQUODt7Y1WteIlsCtCDBgwoKKiYsyYMS4uLvr6+mjL6uvrK/jQFUQ1YFUQtlZ4k4BPKi5fviwSiSZMmCBbn4EB1ZbtZCF1URQbMWKElJjUviUlJT19+lR2txl27949bdo0MzOzCRMmdOrUSVNT89GjR/Pmzevbty/zdAW/IbJ1SAjfCQ3b5M3Nza1RTUGKoojJSyAQGhkFBQV2dnYfPnxgOjgcPnyYHTVbO5jYhoULF169enXkyJHVuY3RfZuYmFid9YPeXNmeallZWQoU4PF4urq6eXl5hYWFzHPqr4fRVvlTsGir3JqYcluInT9/nsPhsEtf0TR94cIFFRUVvG3Izc2Nj4/X1NRU0MgNgzrYIQEIWoHMFa9du1ZeXs54UrOyshISEkxNTbH4Q3UKMxZhbm5uRESEjY2N1NN8tlhZWdmQIUPKysoiIyMxmhYAjh8/LpFI+vfvX90NhkQiuXjxoqqqqru7u+zMsjvG1goT47AUg1wKCgo+fPhgYmIiFQ4eExMTHR3dtWtXjB7B1TVr1kzWkJXat0ePHgFA586d5V4uNjZ2ypQpHTp0uHPnDlPdHyPd2QtREABD+B5o2OlrNY2YoWmaBDYQCIRGRkZGhpGRUWpqKtpPJ0+etLS0NDIy+sppMbYhMTFx4cKFlZWV1UU1AAA+IkerRS5t2rQRCATPnz9nPxAXi8X3799XrAPa0OjXrCtQ2ytXrkhV/1UA2lWyVnJycvKTJ086duzItlzfvHnz7t07R0dHdthGTExMampq79690WDCZ9+KHdgYgCHVIiEkJCQmJsbExIQxzqQsSLyLUOBovHDhApfLxRwvALh06ZJUKhuuKzY2tl27dpgn99dff6Wnp/v5+TH2blVVFQZ5K4hqiImJyczM7NmzJ/vPLu6YbNM1qZuEL8YGFBYWgkw7VZqmf/31V4qigoKCFKyOuSKw9g3vx6rbN4wDXrRoEWPvpqSk/PXXX1I3Nh8/foTP3xbCd0jDNnnz8vIUFw+XQiKREC8vgUBoZKSnpwsEgubNm+PbmJiYcePG1cnMaOZu27ZNV1dXylfHZsyYMdra2kePHg0JCWEGKyoqjhw5gq9VVVWHDx9eXl4eGBjICGzcuFFx7hp8DmOdN29eUlISjtA0/eLFi9ouCACgQ4cOvXr1+vjx49y5cxmrl6bpW7duVef67d69OwDIGuhyW4gpeJrPDDZp0kRVVbWwsPDYsWOMTFFR0YkTJ5i3aCk+ePBALBbjyPXr16dNmwYAAwcOZAKUL126xPakmpqaUhSVkJCAteQQZsdev379/v377t27M55IuUuQGsSFM4ULCgsLx44d+/jxYz6fX12sC3yOqZVyY8uNRkCtHB0dmfs0NLWPHDnCDiZ58uQJ0/bCxMRETU0tISHh3bt3OFJRUTFjxoybN28GBARgMebqLid339ApfvXq1ezsbBwRi8VM7QWpHYiPj//xxx9LS0vZOmdmZr57905PTw/rNhC+R/7homh1y/bt22sUNKOurp6SklLfWhMIBEJdsnDhwrFjxzJVWh0cHNjNDmqEmZmZvr4+87a4uBjDWH/66SdmULYuL03ToaGhGNHYokULNzc3R0dHoVDI5XIZgcTERPR62tnZjRo1qnv37jo6Or/88gt8qRUFNpDD0gHdu3c3MjJq1aoVHqquLi+7SisjNm/ePGbk/fv3aL4YGRm5uLj06tULDZe7d+/K3RaJRIKJaFIlVz08PADg4cOH7EHsTvf06VP2oIODAwB8+PCBGcEeDRRF2dvbu7m52dnZ8fl8dunfjx8/Yqyzra2tj49Pp06drKysMFsrNDQUZfBxfP/+/dnXwrhVHo/XrVu3Hj16mJqaOjo64iF0zf7xxx/4trKyUltbW0tLi92ng1nX/fv38S3WtRUKhWPHjh02bJient7MmTO5XK67u7vc7UIwLU9NTW3UqFGenp7YFUXujqFWK1euZEYSEhIwlEVfXx8/IAxHYe8q1ujV0tIaNmzY8OHDjY2NKYqaN28eUza4utXJ3bfS0lJ0PGtqajo5OXXq1ElbW/u3337Do1i+19LS8qeffurXr5+GhgZmubF1xgp3Q4YMUbAnhPqlYZu8K1asUJymIAWfzy8sLKxvrQkEAqEumTRpkq+v7+zZs2mazszMNDQ0rPVUUiYv/dl+unLlCjMi1+SlaRqb4hobG3O5XF1d3T59+rCbWtE0/f79++HDh+vo6GB93xcvXmBjWMUmr0Qi2bVrV5cuXdTV1VVUVFq2bLlhwwY8VGuTl6bpjIyMOXPm2NjYYMsMOzu7RYsW5efnV7cz2DV33bp1zAi2EDM2NmZsLJqmMcOkadOm7HMxRIHdKISmaZFItGLFihYtWmBntfbt28+ZM+fdu3dsmYsXL7Zv315VVdXS0vKXX37Jy8vz8/NjN11bvHgxAGzdupV9VlFREdY85vF42traffv2ZdphYFAH00wBI0a8vLzYpzOt0cRiMY5kZmYOGTJES0tLS0urT58+oaGhGFW4adOm6raLpun8/Hx3d3ehUIhWaXU7xmgldZMQGxvr4eGhra3N4/FMTEw8PDz27dvHFqioqJg/f76FhYWKioqpqamvr29kZCRbQO7qFOzbu3fvhg0bpqury+VyjY2Nx4wZw9yiREdHd+7cWU1NzdDQcMSIES9evMCCEmydMf/v1KlTCvaEUL9Q9Oe6LQ0Rf3//zZs31+iUBr1eAoFAkGXQoEEURbm5uc2ePfvvv/++du3awYMH61upxsb79+9btmzZsmVLdu1hAgFJTU21tLRs0qRJfHx8jbLqCf8kDTuWF/ueK8/XVKkkEJCZM2dSFCVb8uZfBdkEALC1taUoCvupIvfu3dPX15fbn+ybkpGRkZubi7G8q1evlkoMItQJzZs3nzhx4ps3b44ePVrfuhC+O4KCgqqqqpYvX07s3e+Zhm3yKi5wI4viGpCEf5KAgACKBYfDEQqFzZo18/T0PHjwoPKZ1ATC98PNmzdzc3PDwsLy8vL+yetmZGSkpaVhhbLU1FQMEiXUORs2bLCysgoICKhRo3tCo+fp06fbt2/39PT08/Orb10IimjwdXlrJE8KRH+H4D0xTdOlpaXx8fHx8fGhoaH79u0LCwtjd08lEBhomra0tBw8eDDbw/o9MHTo0NDQ0M6dO8tt0/XtSE9Pl0gkzZo1e/Hihbm5OYbDEuocTU3NyMhI3O361oXwHWFkZBQdHc1UxSZ8tzRsL29NXSlCofAbaUKoHX369KmqqqqqqhKLxSUlJY8fPx47diwA3L59u6ZR2oR/D/fu3fv06VN9ayGH9u3bP378WLZ/7DclPz9fIBBwOBwulxseHs6UZyJ8C0xMTOzs7OqwLwahEWBqampnZ0dKoH7/NGyTF4tRKw8xeb9n1NXVHRwc/vrrr65duwLA9evX61sjwvcITdMKepD+C8nIyNDX1zcwMKAoipi8BAKBUB1fCGz466+//hk9akdRUVGN5MvLy7/PFXl5eWHxSwJFUXZ2dg8fPpRqZ5+VlbV3797Q0NC4uLiSkhJs7D537lwsgckWW7169Y0bNz5+/FhWVmZmZta7d++xY8c6OzuzGx19/Phx5cqVly9fzszMNDAw6Nu37/z581u2bCmlTGFh4cqVK0+cOJGammpkZOTh4bF48eIahVs8fvx4w4YNt2/fzsrKwrJNAQEB2NKdTfPmzT98+FBUVJSdnb1kyZKrV6/m5uaampoOGDBg6dKlX2yj9eOPP4aFhS1cuHDFihXs8Vu3bjk7O3O5XHZs9KRJk/bu3Xv+/HlnZ+eVK1ceO3YsOTlZW1u7Z8+eS5Yssbe3/5pNoGn64MGDu3btevbsGU3Ttra2EyZMmDRpEjul49ChQ2PHjl2wYAF+BEuWLHn27BmXyy0oKFBRUQGA0NDQHTt2xMXFpaWlqaur29raDhs2bPTo0UZGRjdu3JgzZw7W1d+6devWrVsBgL3AuLi4nTt3Xrt2LTExUSwWN2nSxNXVdeHChVZWVl+z4e/evVu6dOn169cLCwttbGxGjhwZEBAguwm4NDMzM3aHhZpe68KFC5s2bXr8+DEWzGIfmjp16o4dO6Tk09PTsfgoAISHh5P7AQKBQJCLIpN306ZNhw8fluq7/V0xZMiQGsnjg79vpEytSUxMjI2N3bhxY30r8r2ANYB69OjBjIhEog4dOmCBDg0NDS0trYyMjNDQ0PPnz4eGhjKddbKzs7t06YItH3V1dQUCAQYHP3jwgGmiAwARERE//vgj3i/p6OikpqYeOHDgxIkToaGhrq6ujFhubm6vXr1evXoFAFpaWgUFBTt37jx58mTfvn2VXMiWLVuwVCqPx9PV1c3NzT1+/PiJEyeCg4OxtLsUZ8+enTVrVn5+vq6uLofDSUpK2r59+9WrV2NiYur8QWpMTMySJUtiY2OFQqGqqmpWVtbZs2evXLly9+5ddpf5Gm2CWCweNWoU9p1XV1evqqp6+PDhw4cPz58/f/bsWR7vf35tUlNTz549O3z4cAyLtLS0RHt37dq1v/32GwCoqKjo6urm5eXdu3fv3r17WHfz1atXZmZmWVlZGRkZTZs2xS5HjD394sULpiGqnp5eZWVlQkLCnj17zp49Gx0dbWlpKaWwkhseFRXl6uqKXxh9ff34+PjFixefO3cOFVYSJa8VHBw8d+5cAOjWrZuRkdHDhw8zMjIAQENDw9ra2tbWVnbmjIwMPp9vbW196tQpQ0NDHR0d5bUiEAiEfxEKavYuXbo0MDDwGxcGJtB79uyZOHFifWvxT4Ndl6Sq2cfHxwcEBABAs2bN8vLy2Ic2b968ZMmSN2/e4NvExESMf2jXrh0jg9XFW7duzZRzT0hICAoKCgkJYWTy8/Oxiw/aHzRNp6amYl9NAwODgoICRvKnn34CAF1dXaYIf0REBJOgMHz4cMULvHPnDvZJWbFiRVFREU3TpaWlmzZt4nA4FEVdv36dLYzT8ni83r174xpFItGBAwdwBqZVUnVgz092QX4Eb/DYHbBomsbuTTwez9zc/MaNG1gTPiIiwsTEBGTaONVoE7ANvaWlZXh4uEQiEYlEJ0+exKapq1atYsT+/vtvALC3t9fX1x88ePDjx49zc3OTk5Npmi4uLsYc0zVr1mDDpPLy8kuXLk2ePJndP2n48OEAMGPGDNmtmDZt2vbt27HPE03T9+/fx8aqUsLKb7hIJGrVqhUA2NnZMZKHDx9mcmH//PNPqaWZmZnV7lqZmZlYVWbv3r04UlBQgH53qZr5bDZv3ty1a9fAwMDevXv//PPP1YkRCATCvxxi8tY//2aTF2uTIegF7Nix46JFi9imZ3UwHeRzc3NxxMvLS67lxwY7Wzo7O7MH8/Pz0Te2bds2HMnOzkYfHmN8IFFRUUqavBhS6efnJ3fh3bp1Yw+iVaSjoyNl6A8bNgwA+vXrp/hatTB5ASA8PJw9jvmC6urqTMulGm1CWVmZnp4eANy+fZstvHTpUgBg91tCuxAA3N3dmWshL1++BACKoioqKhSsV4HJK0tQUBAAdOjQgT2o/IaHhobiHr59+5YtuXbt2hqZvMpc69ChQ3jPwBbDWKwuXbpUt8AFCxY4ODgcOHDA1NQ0NTW1+p0gEAiEfzUNO32N0NCRSCQln8FwzPT09OTk5MzMzC+eiw+1ASA7OxtfmJubA8CFCxek4oDZYBt07ErKoK2t7eDgAAB37tzBkRs3bohEIlVVVV9fX7Zk586dW7du/UXd8vLybt26BQDjx4+XOoR+0wcPHmAABptBgwZJPZV2dHQEAOxWWrdYWFg4OTnJXqu0tJQphFKjTQgPD8/NzTUxMZGKrnZxcQGAjIyMt2/fSp0SGBgo1TDc1NSUw+HQNH3ixIlaL00K/J4wXxI2ymz4lStXAKB79+4tWrRgS2JpEeVR5lqpqakAIBV23LRpUwB4//59dTNnZGRgH1dVVVV8gvFFMJikrKwsJydH+SUQCARCg6Zh1+UlNHT69OmDpiEAlJWVvX79eseOHbt27Tp69OjRo0elYrULCgrCw8Pfvn1bWFhYVVUlEolwXCwW44s5c+bs37//6dOntra2S5cu9fX1lUowEovFT548AYBly5YxXjoEIyaZfn6YINWqVSvZtEJbW9svdhx98uQJTdMAYGdnJ3WodevWAoGgvLz80aNHUtGl7dq1kxLGh/Ll5eWKL1cLqrsW+3I12oTo6GgAyMvLwx5gDMzHlJ6ejhECiKqqardu3aSm1dXV9ff3Dw4OHjt27PXr1+fNm6fMDYYUSUlJd+7c+fjxY0lJiUQi+fDhA7C+JGyU2XDcBLwjYmNsbGxgYCDXkpaLMtfCVDap+z18qyCYOyYmJisrKzMzkx3+LheJRBIWFrZ+/fq8vLxnz55RFKWnpydlyhMIhLrF29t71qxZ9a0FAYCYvITvBzU1NXt7+507d6qoqGzdunXq1KkeHh5MhtDvv/++atUqxcZf06ZN79y54+fnFxsbO378+P/+97/+/v6zZs1iitPl5+dXVlYCQFpamtwZGPsMu5wYGBjIyuDje8Wg84zL5crmEnE4HD09vdTUVFlPdnWJR/T/pu3XCQqSnJjL1WgTcDkVFRVoYsrC7C0zg9zOnGvWrNHW1l61atWBAwf++usvDw+PhQsXdu/eXdFiPlNUVDRp0qQTJ04ouWPKbLjiTVDe5FXmWv369VNRUYmLi7t+/bqbmxsAVFVV7dq1CwAUNDHOz8/Py8t78+aNYpN3z549z58/P3z48IQJExwcHOzs7LS1tePj45XUn0Ag1A4zM7P6VoHw/xCTl/Dd4ePjs3Xr1oyMjJiYGMxRW7du3ZIlSyiKmjNnzvjx41u2bKmmppadnW1oaCh1LvYCCA0NXbdu3f379+fPn4+lzbDwCGNe3Lx5U3H5UpRk1zVjUKaFOp5YneFV3eR13pxdQd9mZa5Vo01A4d69e9++fVsZ3aRCGhh4PF5gYODkyZODg4N379598eLFS5cuzZs3D0NyFTNy5MiLFy9qa2svW7Zs8ODB5ubmKioqJ0+exCBvZVYhy1d+E2okbGJismrVql9//bV///4DBgwwNDS8d+/e69evLSwsAgMDqzurqqrKwsIiIiKCidKWory8/Mcff0xJSenSpcvTp0/Zf4CbNGmi/BIIBAKhQUNieQnfHVg6AD77SgFgw4YNADB79uzg4OCOHTtisryU15CBoihPT8979+5dv37dysrq/fv3w4cPR+NPR0cHLY8vRsdiw1i57f3y8/O/uAR8Qi2RSGR7YjODsvZ6nVPT9oRS1GgT0A9aV2HHTZo0Wbt27cePH2fOnEnT9OrVqzG1SwFv3769ePEiABw4cMDf39/a2hofEVT3PVGSr/wm1JSAgIAlS5ZUVVWdO3du//79JSUl06dPj4qKUhCkm52dbWlp+fLlS9maysjy5cv19fVv3Lhx5MgR4nAiEAj/WojJS/juSEpKwhcY7Jifn49xCFJ+2YSEBMXzuLq6Xrt2DQBev36NIbw8Hq9Dhw4AcO/ePcXnYuTlmzdvZA2mLwbyAoC9vT3a1jExMVKHXr58WVFRAQCdOnX64jxKgiHLsuY1xqHWmhptAka7xsfHVxc0Ugu0tbX//PPP0aNHA8DRo0eZcblOdCweDDX/nigGN+H58+dS4wUFBUzkdx0SERHxxx9/uLu7Y0JnUlLS1q1bjY2Nq5MvKyvDMOXqbGIfH59Hjx6dOnUK0+AIBALhXwsxeQnfHSEhIQAgFAox94vP5+N4WVkZW2zTpk1fnMrCwgJrnzGP+L29vfESmB1fHa6urjwer6SkBGtUMbx79w6tZ8VoaGgMGDAAAGRbYeGIvb29jY3NF+dREnTdPXr0iD1YVVWFO1lrarQJrq6uenp6NE2vX7/+ay4qC24UO0gDa/1KVRuQ+z0pKyvbvXv311wdg2hv374t5cBWPmK4Rqxdu7aiouK///0vU/dXMVlZWWpqampqavjFZvP+/fsFCxZgZHCd60kgEAgNju/F5H39+rWVlZWVldWbN2/qWxdC/VBVVfXq1atp06bt3bsXAPz9/dF5qa6ujpG4GzduRCuntLR01apVp06dkoqPHDdu3NGjRxlnZ0FBwdy5c6uqqjQ0NNC5CwDTp0+3srIqLCx0dXUNCwsrLy8Xi8UpKSnHjh3z8PBgCmkZGRmhc3HmzJlMTYmnT5+OGDFCSUNn2bJlKioqISEhgYGB2LirvLx88+bNWP522bJlX7db/wM2jXv8+PHKlSsxPy8lJcXX1/crHZw12gShUIjxpuvXr583b15SUhJN06WlpVFRUYsXL/7555+VueLp06fnz5//5MkTrKIFAPfv38f8LXZuFtYdu3btGpqhIpFIIpE4ODhgfPDy5cvRPk5LSxs3btxXep0HDhzYvHnzyspKLy8vTPYSi8Xnzp3Dnil1TmlpKQCcO3cuOTk5Pz8/Pz9fQcU9AMjKyiopKUlOTpbqYv33339PmTKlpKTk2bNn30JPAoFAaHgoqNmruBXFjRs3Ro0aZWVlpaqqKhAITE1NnZ2dlyxZguWZago2CACAoKCgWpzeoPk3t6IAAO5n2F/LIUOGsLttMd5KPp9vYWGBlg02nQKAuLg4FENnJ0VRRkZGpqamOCdFUVKdFJ49e1Zd1g4zFU3TWVlZTIUsbW1tzLg3MzPDHm9fbEVB0/ShQ4cwnJTH4xkZGTFuyN9//11KErsV7N69W2ocPZTNmjVTfKGqqiqmpgGPxzMwMKAoSkVFBXv/ym1FMXr0aKlJ3r17hzN8+vSp1pswe/ZsuRvr4+PDyMjt18BeLwCoqak1bdoUvbkAYGtrW1hYyIglJSVh3TQVFRULCws+n5+VlUXT9NSpU1FeS0sLI8LV1dWvX7/O5XKNjY1rveEPHjxgNDEwMMBLu7m5jRgxD6c+8gAAIABJREFUApRuRaHktc6cOSOb2Kejo+Pn55eRkSG7Y5cvX1ZTUzMzM0tMTGQGw8LCOnfurLgnC4FAIPzbqI2Xt6qqys/Pz9XV9ciRI4mJiRUVFeXl5WlpaeHh4cuXL7ezs6tF4ZuOHTtSFEVRlGz9S0LjRvwZDodjYGDQr1+/kJCQM2fOMOXJAMDX1/fYsWMODg4URRUWFvbo0SM0NHTWrFlShV337dvn5eVlZmaWn59fUFBgY2MzYsSIO3fuYPcHhvbt27948WLx4sXt27dXV1fn8XimpqYDBgw4ePAg00oXAAwMDCIjI+fOnWtpaVlWVqahoTF16tTHjx+7u7srubTRo0fHxsaOHTvWyMgoLy9PV1d3+PDhd+/eXbRo0VdsmBy4XG5YWNjPP/9sbW1NUZREIhk0aFBkZKSXl5eCMFBlqOkmbNq06erVq56ensbGxlwuVygUtm/f/pdfflm+fLkylxsyZMiKFSscHBx4PF5KSopQKHR0dPz9998jIyM1NTUZMQsLi4sXL/bs2RMLd9jZ2WGf3i1btixfvtzGxgYjXIcNG/bo0SNXV1dbW9uv2YSuXbtGR0f7+PgYGhoWFxdbWlquXLny0qVLzKODuqK4uDgmJgYT5tjk5+f/9ddfzs7OsiU4MjMzy8rKKisrmRrPWVlZN27c0NXVXbFiRd2qRyAQCA0aiq7+Ke2yZcvoz/1C2SxatGjlypUAoKurO2HChNatW3O53KSkpMjIyNu3b/fq1QtzhmpKXFwcRVG1qDzf0Nm7d29kZOSePXvqWxECgVBvlJWVOTo6vnjxYunSpf/5z3/wXoWm6eLi4rCwsLFjx5aXl4eHh0v1zFuyZMm6detcXFwuXLiAIx8+fGjfvj0GSBAIBAKBocZe3vLyckwbsrS0fPv27fr16ydPnvzTTz8tXbo0LCwsMzOz1skibdq0+RfauwQCgQAAR48effHiRf/+/QMDAxnfPEVRmpqaI0aMwO7Esm0+EhIS+Hw+O5C3W7dukZGRtVbD3NyckoeCjscAsGPHDoqivvj44tdff9XV1X348GGt1VOMRCLp0aOHUChkqr7UCVgUfPv27YrFRo0apaurm5ycXLurpKSkaGpqdu3aVW6nQAKB8PXU2OR9+fJlcXExAMydO1e2I5GWlpZUg3gCgUAgfBGMB5Pb5k0sFn/69AnkdY5ISUmpqqrCRM+MjAxvb+/ly5d37NjxK5Xp2rVrz/+FXUFi7969UuVBlCQqKio/P/8ra+cpYMOGDZGRkYsWLap1RbaEhITVq1dLDZ4/fx4ABg4cqODEuLg4TDlQ0NdQMWZmZkuWLHn06NG6detqNwOBQFBMjU1eJn24Rm170tPTFy1a1LlzZ21tbT6f36RJEw8Pj23btmF2OQBcuHABfQly6+mkpKT4+/u3aNFCTU1NT0/PxcXl4MGDTE43A05ibW0NAIWFhQsXLmzdurWampqWlla3bt22bNlS3d0zTdPnz58fMWKEubm5qqqqhoZGx44dZ86c+fTpUynJBw8ejBw50szMTFVV1dTU1MvL6+7du3LnvHnzpre3N+bWqKurN2/efMyYMRcvXlTQEItAIPxrwbIk58+ff/DgAXs8OTl5zJgxCQkJFhYWsi0D09PTuVwulrCwsLBo06bNtGnTvl6ZCxcu3PtfmB4Wubm506dPr53Ju3379h07dowZM+brNZQlPz8/MDDQ0NBw7ty5tZuBpumJEyfeuXOHPfjp06cnT57Y2dlZWFgoOLdZs2bbt2+PiIhgMh1rwZw5c0xMTJYtWyZbY5tAINQBClLb5FZsYNK6ly9frmSK3MWLF7W0tGQvbWxsXFVVhTJ4Gw0A165dkzr92rVr7MwVhr59+xYXF7MlcRIOh5OYmCi36OmQIUMkEonU/MXFxUOGDJG7OexcbJqmV61aJbfv6IIFC6TmVJCsc+zYMSnhf2fFBgKBwEYkEvXp0wd/Jdq2bevm5ubk5NS8eXP8zTExMYmKipI9y8TERCgUpqWljR8/fv/+/V+vBpq2WAFDLhs3bpT9bcSH/vVbIwJ7NAYEBNR6Blza1q1b2YPbtm0DgMWLF3+1gkrx3//+FwDWrl37z1yOQPhXUWOTVyKRYOiCoaHhu3fvvniBp0+fYjI1n8+fMmXK4cOHQ0ND169f7+Hh8csvvzBi1Zm87969w5JAzZs3379/f3R09M2bNxknwfjx49nCzCQ2Njaqqqrz58+/c+dOdHT03r17mR62R44ckdJw1KhReKh9+/YbNmw4e/bsoUOH0KnMrgp0+PBhFHN3dz99+nRsbOzZs2exSBYAHDhwgJF8/vw5/pXq2rXrqVOnnjx58uDBg507d7q6upqZmbFrbyHE5CUQCDRNY6ZEz549dXV1uVyuQCAwMzNzd3cPDg5m12hjo66urqWldfXqVWtr66tXr369DgpM3tzc3H79+kndw4eEhNCfTd4lS5bcunXLyclJKBRqamq6urpGRESwZ/Dx8ZH9kY+Pj580aVLTpk3xAaCbm9vdu3fxUExMDD58EwgENjY2w4YNO3/+fHWao6v75cuX1QmUlZWtX7/e3t5eTU1NR0fHx8cnJSUFD129elUqGkRFRSU/P5+maQ8PDwCIiIjAbucCgUBPT2/cuHFFRUXMzJWVlVpaWtra2lI/76GhoYMGDcIChVZWViNHjiwpKaFpWiQSnT9/3sfHx9zcXEVFRVNT09nZ+fHjxzRNY2X6li1bVrcKAoFQa2pTl/fgwYP4o6Ctrb1u3TopV6sULi4u+PPB/IrJpTqTd/DgwQBgaWnJ/n2haXr48OEAQFHUq1evZCcBAKlfxmfPnqEZOmjQIPY48wxr0KBBIpGoOvXKy8uNjIxAphBpaWkpPu0yNzdnTg8ODsY5ZetolpaWyk5OTF4CgVA7KIrq1q3b7t27nZyc6mRCBSZvTk5OUFAQBlcMHjw4KCgoKCgIf4HR5PXw8ODxeF26dPHx8cFGzQKB4M2bN8wMsibvs2fPsCibubm5i4tLu3btKIq6desWTdMvX77U1NTk8Xhubm5eXl7YamTKlCly1cbmz1LVl9l8/Pixffv2HA6nV69evr6+GJjXpUsXPHr69GksKW1jY4PrwmLeJSUlAoHAwMDghx9+aNq0qbe3N/5Fk/L7Yjweu/h0WVkZ/pHS1NTs1atXt27dBALBqFGj8OiZM2cAoEmTJp6eniNGjMAA7rZt2+JR1C05OVnxJ0UgEGpKLVtRrFq1iqmXrq2tPWvWLPbvGgPTSm3q1KmK9ZBr8n769AmvsmfPHin5+/fvozy7qj8zSe/evWUv0blzZwCwtrZmD44cORIAuFyu4t+XI0eO4Mzv37+XOoT12gCAsen/+OMPHMnOzla8amTPnj0DBw588eJFVlaWbNwFgUAgyKWsrIyiqJkzZzZv3vzt27d1MucXAxsWLlwI1QQ2AMCuXbtwRCwWY6sO9tM8WZO3U6dOALB8+XLmpy81NVUsFtM0jSG5+/btY4Q/ffpU3Q/16dOnAWDo0KFyj+bl5TVv3tzExCQmJgZH0tLSsPI308Jjy5YtIBObcfbsWVzXsmXLmDC8GTNmAABjv9I07e/vDwB///03vpVIJOis+fnnn9GtS9N0UVERuo3x6jdu3GCWHBUVBQDq6ur4Fvft6NGjctdCIBBqTS0bDmPMALYALSgo+PPPP9u0aTN69OjU1FS2GNOkFP8P15Tw8HDMUfvhhx+kDuEzLACIjY2VPbF///6ygxiPkZeXxx68ffs2AHTr1o1JzpAL3sSbmJiwWxVUpwmWEwKAiRMnFhYWKpiW4d69ez169EA3hlAoNDU1bdOmTe/evb28vGbPnh0UFLRnz54LFy7cunXr6dOnHz9+LCgoUGZaAoHQiME+edu2bRs1alSLFi3qcGZDQ0N2hTI3NzdlzmrTps3kyZPxNYfDwWZ4CjoeR0dHP378uG3btosWLWLSJExNTdHTgf+yW9KYm5tX90ON7hVMAZTF39///fv3x48ft7e3xxETExNDQ0MAKC8vxxH0mAwaNIh9Ig6OGTNmyZIlTIdIc3NzAGAXhbhw4QKXy8UQCADYvXv3uXPnxowZs2HDBgzMAwANDQ1tbW3m6i4uLsySMdeFqdGJq4iLi6tm2wgEQi3h1frMnj173r9//+HDh1u2bDl27JhIJDpy5EhYWNjFixeZCFfGy1u77kfMb6WCer05OTmyg7KGKQAIhUIAEIlEzEhRURHa6F9UDzVJT0+Xm74mpYmTk9OIESNOnjwZGhrarFkzf3////znP3JrDzFUVlaWlpaifVxaWlpaWpqenv769Ws8yuVysauz5DMikaiiokJNTU0oFGIMma6uLgbD6evr6+joaGpqampqamlpMf/q6OigvGw7UwKB0BDBal8LFixYtmxZ3c7cu3dvtq2pZFNMfJLGgA/oi4qKqpPH2hTu7u5yf1c9PT03bNgwY8aMgoKCSZMmYSG26sCfX319fdlDr169OnTokKam5uHDh5mUDABITU3FEGEAKC4uvnXrlpGREeOwAACapi9dukRRlNT2xsTEAADTEyQuLu7Dhw+9evXS09MDAJFItHTpUlVV1bVr1ypQGCkpKRGJRBhf17dvXxzEVZCiDQRCnVN7kxfp2rVr165dV6xY8dtvvx0/fjwnJ2fIkCHv3r3DGguMSxV/C2qKXHNWCrk1v+QWiJBFefVqqklISIitre2aNWuys7MXL168atWqCRMmzJ8/H90DNUUsFqMdLDVeVlZWVlaWnZ0tNc7lcvl8PpfL5XK5FEXRNC2RSHR1ddPT00UiER4VCAQCgUBNTU1dXV0oFOrp6amoqKipqTEj+FpVVRWtbS0tLbFYzOPxuJ/hcDg8Ho/D4XA4HD6fLxaLFdwPODo6sv+CEgiErwcfu//+++91PvOpU6cU36XLBRMeGPDumq6+wWdGRgZUX++yZ8+eISEh06ZNmzlz5tKlS2fNmuXv7884SqXIz88HANlezQCwe/duiURSVFS0c+dOqUNOTk74u3Tt2rWKiooBAwawf8Sio6PT0tKcnZ3Z9X+qqqquXbumoaHBmLxSVXsvXryYlpbm4+PD5ExLkZqaumvXrhMnTiQlJWGRe4RxMOMqcEUEAqEO+VqTF7G0tDx27JiFhcX69eszMjJCQkKmTJkCAEwd3Np5FpnT37x5w+fz5cpgOQgpmCdQSs7/RfVQ0t7eHiPG5MKuQM7j8QIDA6dNm7Zx48bt27fn5+dv27btyJEju3fvrl2MR40Qi8VlZWXMWw6Hw+Vys7KyeDwen8/H33SRSCQSiZikQA6Hgya73PAXANDS0sKfYOZPAr7Af3V0dNg/0FK2L03TdnZ27FL2BALh60EvaWZmppStWV/I/TVWAP62KLhV9vb27t+//+7du4ODgwMDA/fs2XPp0iW5D+UwfoApG8/m3LlzAPDx40cF/SkURDV4eXmxB+/evZufnz906FDG64ytnplz8XIYyyvLo0ePBgwYUFFRMWbMGBcXF319fTS19fX1u3XrhjK4CvKDSSDUOXVj8iILFixYv349AERFRaHJy9xz5+XlYeDU/7F3ngFRXN/fP7OdhaWzLEUJIhYQAbGggKACghJRREBjiTVGozGWaOzGrlGj0cTYe41G7IqKFRsiRkVsiAoqRZTedpnnxf1nnvntLrgosLI5n1fMnTtnztxZdr9z5txzqwUTfBWLxR8XH60atnsf9OTFixd5eXnVWltOKpUuWLBg2rRpK1eunD9//vv376Oioq5fv05mbFQGn88nwVQOh0MS6Yju1NfXf//+vVwul8vlHA6Hz+cLBAISgiVYWlqWl5czkVqCvr6+WCwWCoXm5uZlZWUCgUAgEJBj2X+LRCIul8vj8Xg8HnFA6W8+n0+c0fzyGV69ekVWlkIQpAa5efPm+PHjv/rqq5iYGG378jEQpf769esq+kgkkvHjx48ePXratGlkfXu1yymTHwvV13E5OTkpKSkSiaQKvVtRUXHs2DGhUBgQEMBuV7vompI4zsnJiYuLc3BwYCZ1kEU6lHI8CMXFxaGhocXFxVevXnVxcSGN+/btq6ioCA4OZiIvVSRpIAjyKdSk5DU1NTUyMsrNzWVCjI0bNyZ/JCUlMVXWNYeUuQGAxMTE2pC8xsbG5ubm2dnZSUlJH/QkMTHx2bNnubm5lb1Zqwx9ff2pU6d27NjRz89PoVBs2LBBVfLa2tq2b9/ewcFBoVDo/y9EtpI6l0KhUCwW6+np1a98XGtr62qt1YcgiCY0adJk/Pjxr1+/3r59+4ABA+rmpDweD/53UsRHQ+Ka586d+2BPoVD4yy+/7Ny58+bNm3K5nPjAhvxApKamKrUrvZtSS0JCQmZmZufOndkLp6WlpalddO3IkSMcDqd79+5k8/jx4wqFgi2LMzMzoZIY7datW9+8eTNq1ChG78rl8iVLlsD/BpifP3/OXBGCIDVITSqnrKwsMgGL+V9lZC5T6qVadOnShXxVkbXLa4OOHTsCwJUrV7KysqroRp7+Kyoq9u3b93En8vb2btKkCQCkp6er7vXx8dmyZcuMGTNmz549adKkUaNGDRo0KDw8PDg42NfXt3Xr1s2bN7e1tbWwsMD5ZwiCEMirM4FAwNTGqQNIimoVdRg0p23btm5ubnfu3Fm8eDGT8vv27Vsyq/jcuXNMOQUASE1NzcnJMTU1VdW7ANC+fXsAYIpXMlhbWwuFwry8vL179zKN+fn5+/fvZzbj4+PhfyswwL/pCkoh3uTk5CdPnrRt25bJJFHKaoB/U5OZupYAkJ6eTuaiEfeYohN5eXkDBgy4deuWQCBgr/Fx+fJlUFenCEGQT6Ta4unWrVtkzoESCoXihx9+IF9bzBOwi4sL+SZau3YtmeXK5oNxAnt7e5IRtXv3bvYyEwwPHjwoKyur7iWwIWV0ysvLx44dqzrNgvEwMjLS0tISAGbMmKH2HT37B+Dly5eqHd6/f5+WlgYAVlZWn+IwgiAIgaIoLpdrZmZ26NChEydO1KDlkJAQ7/+FeVYPDg7m8/lbt24NDQ3t27fvR0cBCJs3bzY2Np4yZYq9vT1ZisLKyopUMPjxxx8tLCwCAgIGDRrUo0ePFi1alJWV/fjjj2rtNG/e3Nzc/NGjR0o/TyKRiHzJ9+3bt1WrVgEBAe7u7ubm5uwwCgki7N2796uvvurVqxcJf1SR3cs0lpeXnzx50tDQkIROCKRM25QpU1q0aNGpUydHR0cHBwcyvYTkKixYsGDgwIG9e/e2t7c3Nzfncrm+vr5kwjcAZGdnJycnm5iYfFyZIwRBqqDaiQ379+9funSpp6enl5eXk5OTsbFxaWnpw4cP9+7dS9IDQkNDfXx8mP6//vqrt7d3SUmJt7f3N99807FjR5FI9Pr16wsXLiQkJNy9e7fq061cufLChQtkusBXX30VFBRkYmKSl5eXnJx8+vTpuLi4nJycyma2aUJgYGBoaGh0dPSePXtSUlKGDBnSsGHD4uLipKSkgwcPfv/994MGDQIAkUi0du3aXr16ZWRktGrVauTIkZ6enkKhMDs7OzEx8ciRI2KxODExkdgcMmRIbm5uz54927VrZ2trS9P0/fv3Fy9eTIr1kGLsCIIgn46ent69e/fi4uKGDx9+6dKlBQsW1IjZ69evK7Uw6Wp2dna7du2aOXPmyZMnzczMIiIiPuVEbm5u8fHxP//885kzZy5evCiRSIKDg0nq19SpU9esWXPr1q3z58+bm5t7eHiMGDHiq6++UmuHoqj+/fv/+uuvO3bsmDBhAnvXsmXLpFLp1q1b7927x+fzHRwcRo0aRZaTIERGRv71119xcXFHjx719/e3sLAoKio6d+6cpaVlmzZt2KaUsnsvXbqUm5vbp08fdjma0aNHKxSKtWvXPn78OCUlxcnJacKECSQdbtq0aampqbGxsdHR0e7u7ps3b/bx8Vm9ejU7lrxz506apvv3749v8xCk5qlimQq1q69Nnjy5Cmu9evViFpth+Ouvv9QmNtnZ2TF9KltwmKbp+Pj4KjJBmfVsqjZC0zQRr/r6+krtubm5SrMWGDZv3szuuWnTpspqQ7q5uTHdunTpUpm306dPV3UMFxxGEOTjsLOz43A4ZWVlNE37+vr+/fff2vZIazx+/JiiqKZNm2rbkU/CycmJoii1q5kiCPKJVPs5cvLkyb/99lvPnj0dHR0NDAy4XK6BgUHTpk0HDhx49uzZgwcPMovNMPTu3fvhw4cTJkxwcXHR19fn8XhSqbRHjx7MGpVV4+Hh8fDhw8WLF3fo0MHExIScsWXLlmPGjLl161Z1J5OpYmhoePLkyb1793bv3t3S0pLH44nFYmdn51mzZjGr6RAGDx788OHD8ePHOzs76+vrc7lcU1PTDh06zJkzh2R0Ef78888ff/yxdevWxFtS7bxfv34XL16sjQqaCIL8ZzE3N9fX1yfVys6fPx8REfHs2TNtO6UdGjduPHTo0IcPH+7Zs0fbvnwkBw4cSEpKGjRoEJn4gSBIzULRldcJnzNnDk3Ts2fPrkN//ots3Ljx6tWrGzZs0LYjCILUM3r06HHmzJmwsLAdO3YAQG5urkwmY5fl/k+Rn5/fsmXL8vLy5ORkdvmFekFRURGpdHb37l0NV1NCEKRa1GSRMgRBEKQusbOz4/F4zNKPRkZGp0+flkgkVSzzq8NIJJKrV6++efOmoqJC275UG4VCER0dbWlpiXoXQWoJlLwIgiD1lcaNGxcXF5PEBoKPj8/mzZtdXFw+ODlYJ5HJZJWt9PuZI5FI3NzctO0FgugyOCcUQRCkvmJra8vhcEpKSthrmAUHB+fl5fXr10+LjiEIgnxuoORFEASpr0ilUoFA4OTkxA706uvrnz9//vjx44aGhmSdBQRBEAQlL4IgSH1FKpWWlZWZmZldvXqV3W5vb5+SkvLLL794enpu3LhRW+4hCIJ8PqDkRRAEqa9IpdKKiooGDRooSV4AMDU1HT58uFwuP3DgwKxZswoLC7XiIYIgyGcCSl4EQZD6iomJiUKhqKioiIuLU91LURQA/PHHH5s3b3Zycvr666+fPHlS5z4iCIJ8FmDFBgRBkHqMoaFhbm4uABQWFurr66t2sLOze/HixR9//HH37l0PD49OnTo5OjoaGxsHBQXZ2tpaWlrWucsIgiBaACUvgiBIPcbU1PTJkyeenp537tzp0KFDZd2+/fZbABgxYsT69etTUlKuXbu2fv360tLSxo0b16GzyMcTERExZswYbXuBIPUYlLwIgiD1GBMTk9TU1P79+1+8eLEKyUtwc3Nbs2YN+fvVq1cpKSm17yBSM9jY2GjbBQSp33xA8t65c2fr1q1148p/lri4OIVCoW0vEASpl5SWlr5+/drHx2fNmjVTpkzR/EBra2tra+vacwxBEOSzoirJO27cuG+//TY2NrbOvKkuZ8+eJUlsGsLhcHr27Fl7/nwccrl8zpw52vYCQZB6SXBw8MuXLx0dHS9evKhtXxAEQT5fqpK8RkZGu3btqjNXPoKAgIAzZ85o3p+iqI0bN3K53NpzCUEQpC6RyWQSiSQjI6NRo0Y3btxo27attj1CEAT5HKnfRcqsrKyq1V8oFFYrKowgCPKZI5PJBALBs2fPmjRp8s0332jbHQRBkM+U+i15GzRoUK3+PB7v/fv3teQMgiBI3WNpaUlRVEpKypYtWx4/fqxtdxAEQT5T6rfklUqlQqFQ8/5cLhejvAiC6BIymaysrOzZs2f6+vouLi7Xrl3TtkcIgiCfI/Vb8lpYWPD5fM37UxSFUV4EQXQJmUyWn5+fmJgIAP7+/tWa3oAgCPLfoX5LXnNz82rNRaNpGqO8CILoEubm5gUFBXfv3i0tLQ0ICIiJidG2RwiCIJ8j9V7yVqu/QqFAyYsgiI4hk8kcHR0fP37csWPHq1evlpeXf5ydgoICiqKq+71aNZ6eno6Ojvn5+TVoszY4dOgQRVHDhg3TtiOacuLECYqi1K7Hlp2d7ebm1qpVq5o6V40brD1+//13iqJmzZpVN6eLi4uTyWTjx4+vcctFRUV6enpWVlY0Tde48WqxevVqiURy8ODBjzs8PT1dIpG0a9fuc1h/oH5LXgsLC7lcrnn/8vJyTGxAEETHkMlkVlZWT548AQC5XH769Glte/R/lJWVJSQkPHnyJDMzU9u+6BS5ubnDhw+XSqVz585V3bt///47d+6YmZnV1Olq3GDtceTIEQD48ssva9xyeXn5/Pnz37x5w25cuXJlRkbGJ46MWssxMTElJSXdu3enKOpTjH86c+fOLSgosLCw+LjDbWxsZs6ceePGjV9++aVmHfsI6veCw+bm5qWlpZr3Ly0tRcmLIIiOIZPJRCIRKdcQEhJSUVGhbY/+D4FAcOzYsfz8fAcHB237olMsWbIkPT19+fLlxsbGqnt79OhB03RUVFRNna7GDdYShYWFsbGxVlZWHh4eNW58/vz5K1as+PHHH9mNEyZM6N69+1dffVXjlmtPu1eX33//3dDQ0MfH56MtjBs3bvny5XPmzBk+fLipqWkN+lZd6neUV09Pr7oPQFlZWbXkDIIgiFaQyWT6+vokyhsSEnL06FFte/T/CQgICAsL07YXH0brsTTNKS0tXb9+vVAoHDhwoNoONjY2o0aNqkFtUeMGa4mYmJjS0tLaiIzevHlzwYIFXbt2VZox37Zt24EDB37K+lZqLdM0fezYMZFIFBAQ8PFO1xC9e/f+RDf4fP7XX39dXFy8adOmmvLq46jfkhcADA0Nq9U/Ozu7ljxBEATRCpaWlnw+n4ny1qDkPXPmDEVRquE9pZTftWvXUhQVHx//5MmT4OBgsVhsZ2dHdslkMoqi2BloBw8e9PX1tbCwMDAwcHZ2Hjly5J07d9h2Tp8+vWzZMhcXF7FYbGZm1q9fv+fPn7PPLpPJQkJCAGDFihVffPGk1DicAAAgAElEQVQFh8PZvHmz5t4CQEFBwaRJkxo0aCAUChs3bjxlyhS1iYb5+flTpkyxt7cXCoV2dnbjx49/9+4dszc5OZmiqJ9//vnu3buhoaEmJiYikcjV1XXr1q2qpjZv3uzl5SWRSMhVjxs3jtlF0/Sff/7p5uamp6dnbm4eGRn54MEDVQsM0dHRWVlZPXr0UPs+/caNGxRFde/enWkZO3YsRVG7d+9mdzt8+DBFUUOHDmVaunXrZm1tDQD79+/38PAQCATfffedWoPv3r1bsGBBq1atjI2NRSKRi4vLvHnzCgsLGTv6+vovXrwYNWpUo0aNRCKRra3t7Nmz2TmpxOa6desyMjKGDRtG6o2+evVKc28B4M6dO5GRkebm5np6eu7u7rt27Tp37hyoREYTEhL69u0rk8mEQqGzs7OS6lqyZAlFUQkJCVu3bvXy8jIwMNDX1+/SpcvDhw9Jh2fPnnXr1q1du3bl5eX79++nKIqiqBMnTjDHLl26lLH2/PnzefPmtW3bViKRCASCL774Yvr06ZW9danCcnx8/Js3b/z9/Z8+fRoVFUXGx8XFRfVfu6SkZPny5a1atRKLxSYmJlFRUWQY2fzzzz+DBw+2s7MTCoXW1tZjxoxRyq0nF/Lo0aOEhITg4GCJROLi4sLcTYqibt68qXQ7evToYWlpKRQK7e3t+/XrV1RUBAByufzo0aNRUVENGjQQCASGhoadO3dOSEgAgMGDBwPA+vXr1Q5F3UHXcxo3blyt6/X399e2ywiCIDXJqlWrBg8e3KBBA7Lp7u6ekJDwEXbID6GZmRnTQuo/REZGKvVU6vbHH38AwMaNG21tbU1MTNq3b9+/f3+yy9LSEgDKy8vJ5rZt2wDAyMioZ8+eoaGh5At8165dbDvm5ubGxsYTJkxYuXLl4MGDORyOtbX169evmdNZWlq6u7svWrQIAFq0aNG6devLly9r7q1cLidvaa2trSMjIwMCAvh8PtHEQ4cOZboVFhaSCVstW7YMDw9v0qQJADg5OeXl5ZEORJi6uroaGhr26dNnxYoVkyZNkkgkALBt2za2A2RWHJ/Pb9u2bceOHU1MTPz8/Ji9ZM28Bg0a9O7d29PTEwAkEsnt27cru00jR44EgN9//13t3unTpwPAH3/8wbTY29vzeLx3796puvT333+TzYKCAqFQGBISMm7cOD6f36ZNG1dX1wcPHqgafPnyZdOmTblcrp+fX2RkZJs2bTgcTqdOnRQKBWNHKBQaGxuHh4cvX7581qxZRJpv3LhRyclt27bZ2NjY2tq2b99+9OjRmntL0/SmTZv4fL5YLA4JCQkPDzc1NaUoyszMTCQSFRYWMt2WLl3K5XJtbGzCwsL8/f2JDDh69CjTwdvbGwB8fHzc3d3nzp27aNGiFi1akBbSIT4+fuHChSKRiMPhzJ8/f+HChQsXLiwuLmaOJaNEcHV15fF4HTp06Nu3b4cOHcjp9u3bp/ZOVWF5xowZANCtWzexWNypU6c+ffrY2toCgFgszs/PZyw8f/7cxcWFw+H4+PhERUWRJ5Y2bdqwz7Jo0SIul2tiYhIaGtqnTx/yOQ8NDWX38fb2NjQ03L17t1AodHJyatu27ZYtW5i7KZPJKioqSM/i4uLevXuTj6iPj4+np6dIJOrXrx/Z+/fff5N/q549e4aHh5NzOTk5kb3EvbS0NLWjUTfUe8nbrl27akleDw8PbbuMIAhSk+zbt69Pnz5CobCkpISm6YiIiCFDhnyEnU+UvCTIVFRUxO6pJHmJiHz69CnTISEhobS0lG2Hy+WyJfuSJUsA4JtvvmHbJAHgCxcusM+lobcbN24EgNatWxcUFJCWq1evCgQCJck7depUACDhSZqm5XI5iR9PnTqVtDCx2Llz5zJHkTgc+4eGJGU2atQoJSWFtCgUCkbBE5+DgoKI1qFpes2aNQDQoUMHuhJatmwJAHfu3FG719XVFQBevnxJNu/duwcAvr6+7D4VFRVWVlZCoZAZASJWTE1NQ0JC2E8Xqgb79u3L4XDYI5+amsocQuxwudwjR44wHbZv364ks4jNhg0b7t69m30uDb09fvw4h8Np0aIF41Vqaiq5g927d2cO/O233wBg7Nix5P+CpmlS4OLrr78mm9nZ2SQnYdSoUYyqI1FJa2trJa+8vLzYXpFjHRwc2I0xMTEZGRnMJgmNL1myhK4EtZZpmnZzcwMAR0fHhw8fkpaMjAwOhwMAjx49Ii3v3r1r3LixTCZj/llev35NsiNSU1NJy4oVKwAgIiKCEcpv3rwh78YZ6UkuRCKRODk5KT0qk7vJ/FNUVFT06NEDAH744QfmuSI/P//9+/eMA2fPnmVGksSGxWIx2QwPDweAPXv2VDYadUC9l7yhoaHVkryOjo7adhlBEKQmuXjxoo+Pj7Oz871792iaPn78eOPGjT/CzidKXiMjI6XgHK0ieVu3bg0AL168UOsAsdOpUyd2Iwk1GRsbMz+lxOasWbOUDtfQ286dOwMAW5PRNN2vXz+lX3cLCwtTU1O5XM70iY+PB4AmTZqQTSJ5+Xw+E/elaVqhUPB4PD6fz7QQ3bN37161l0xiZuyYrkKhMDIyAoD09HS1h5A1R8vKylR3kQwQd3d3pmXhwoUA8Msvv7C7ES0SFBTEtJCcgXbt2jGPH4QXL14AgJubG9ksKiricrlSqVStYzRNDxkyhEgidiNZEbBz585smxRFsaO2mntbWFhobW2tp6fHfnCiabpp06bAikY/ePBAKBR26dKF3WfdunUAEBUVRTaJFndzc2Pf5du3bwOAp6enkleLFi1imyLHjhs3rrKhoGm6b9++AHD48OHKOqi1/PLlSwAQCARJSUlMo0Kh0NPT43K5jO4nmdwXL15kH0siqcnJyTRN379/XyAQuLq6Kn1U/Pz8AODKlSvsCzE1NWUeyRjIp+LQoUNk888//wQA5gXOByHJIa1atSKbM2fOVPtvW5fU74oNAEBusObk5eXVkicIgiBaQSaTvXnzxtnZ+fHjx87OzsHBweXl5c+fP2cSauuGgIAAtQUE2ISFhcXHx/v7+//666/BwcFq+5A3ywz6+vpNmjS5e/fu06dP2Zlsffr0+Tg/SRivY8eO7MY2bdrs2rWL2Xz48GFWVpalpeXo0aOZxuLiYgBISUlhH+jg4ECSGQgcDsfU1DQzM7O0tJRoUyL4AgMD1Tpz+fJlAFizZg17ChSZfZWSkqL6A1dYWFhaWmpoaKh25VESYyaJzpW1gEo1AJqmjx07BgDr168nsVKlw5meHA7HwMAgMzNz1KhRCxYsULrdNE0fP36cx+MpFR9IS0sDACsrK7bNsLCwnj17ftB/VW/XrVv36tWrH374oVGjRuxTZ2RksI+dPXt2aWlpRUUFyQMh3Lp1CwCcnJzYln/66Sf24JP7RXQhu1vVXrFRKBREmN64cUMgELBNKaHWMhmEvn37Nm/enGlMTk4uLi729PTU19cHgKSkpB07dkgkkp07d+7cuZPp9urVK5FIREZm1qxZZWVl8+fPV/qokKxrZh4U8WHOnDn29vbsbvT/TqErLy+fPXu2UChk5y5XRmFhYXl5+cWLF4H1ySf5LTk5OR88vBbRotyuEebNm0ei/RpiYGCgbZcRBEFqkqSkJD6fP3HixKVLl5KWSZMmVfE6tTI+Mco7adIkVZtKUV6FQjF16lQejwcAzZs337x5M8kBZdthMgcYiGi4evUq2yY7ZVNzb8vKyoD1spVhx44dwIryVr1uM7kcEuVt37692ksmiQpk3p6enp7qyDB7KyMmJkb1ECIf7ezs1BokTxE3btwgm9nZ2RwOR/Xdpru7OwA8f/6cbF6/fh0AlAKibIPXr19nWkjGJwAYGxsvXLiQHUEkdrp27apkhKzU8Ntvv7FtXrp0Sambht6SmVXsDFr633vBhLezsrLUPhIQrl27RtN0WVmZkZGRRCJhUkoIJCpPssOJKQ6HY29vz+5DjjUyMmJf/pEjR7788ksrKyu2JgkICFAd1Sos0zTdrVs3AFBK2iFCc968eWSTPf1RCRIOz8zM5PF4VlZW7P8vmqZLSkr09PT09PSI5+RCDA0NmeAxA7mbwcHBZJMkOaj+czGkp6fPmjXLycnJwMCA7Q8TTiZ5/AMGDKjMQh1Q7ys2WFhYiEQizfuTx3QEQRCdwdbWls/n//PPP+RnCQAiIiL27dtXS6crKSlR264UIFQLmanz5MmTcePGvXjxYvDgwb6+vgUFBew+qkJQrTTU5HSVeataxErpd4SmaVCn3ghEsjNXVMXZiZ3KamaRufxCoVDtWZjpVmzEYjH8G6hTorCw8Ny5czKZjGSPAMDx48crKiqUgohPnz69fft2y5YtGzZsSFpIWJGkWrIpKiqKjY2VyWRt2rRhGqOioh4+fPj111/n5eX99NNPX375Jf1vKQYSL1QN3kdHR1MURQKijE0vLy+lbpp4++LFi7t37zo6OjZr1ozd7ezZs8CKuZ44caK8vHzw4MFqB5ZMAbp06VJubm5QUBD71hcVFZ09e9bc3Lx9+/Zsr5SiueRYprKYXC4fMmTIl19++ebNm59++un48eOxsbEkq6GKwrpqLRcVFZGbqFQHVymofPjwYWA9BrAhNR9OnToll8u7deum9Pk8c+ZMcXFxUFAQ8ZxcSHBwMAkes1EK8JMzklxeVW7cuOHq6rp8+XJfX9/NmzefO3fuxIkTHA7HwsKCzMiEfz+0enp6lQ1IHVDvJa+5uTn72+eDUBSFqhdBEF1CIpFwOJwvv/yS0QGtW7dOSkoib/A/BaLVlKosffpSanZ2ditWrHjy5Im/v//ly5fnz59ftf309HQA+OD6T5p4y+fzjYyMCgsLlVSjUsl28hY+NTVVg6upCh6PZ2JiUlRUpDanjlSKKC0tVVp5qwqMjY05HA7JmVbaRarShoSEMAqbqBYSNWQgVbrYSkvt63WofAEwOzs7Imv4fP6pU6eYaXzkdIzEYYw8ffq0S5cuJM2mikXFNPGWZB20bdtW6VjSjbkEkv6rlCGjhNrMhDNnzpSUlLCVotpuSo2//fbb5s2bBw0adP369TFjxnTt2tXX15eU3lMd1aodUDs+OTk5V65cadiwIZm5mJOTk5KSIpFImIcWVcj/PvtZhUCymUeMGMH2Qa2TSrtu3LgBAMzTFJvi4uLQ0NDi4uIrV678/vvv4eHhnTp1ysvLq6ioCA4OZkby7du38G96g7ao95LXwsKiWokNAoEgNze39vxBEASpe6ytrc3MzB49esS0DBs2bO/evZ9olmSpKlX6VCrS+dHIZDIyp/7q1avsdqXNFy9ePHv2zMTEhJ27+SnekqoRSme5cOECe7N58+ZmZmYPHz5kD+nHQSQgCUOqQoJ5RF5oAkVR1tbWCoWCTHJioyqhzp8/DwDspcgyMzN///13YEmZtLS0xMRENzc3UgZL1WBlos3X15cURSaPIi9fvkxMTAQAdgnk8vLyKVOmAACZuqTWyWp5Sx5+lBbF2L17d0JCAju8rckyq0ePHuVyuUoKW8k9hUIRExMjEomUMr/JsSSeXVFRsXTpUoqiFi9ezOjUQ4cOJSUlOTs7KyXIMlRhGVTG58SJEwqFgmkkV1f1chtE57CzzAHgwoULhw8f9vX1DQoKqmIQ4N9Phaura4MGDUgLeXRUG6PdunXrmzdvBg0axFTzlcvlpNAK+0LI3ErVj1md8lHpEJ8RSUlJ1VqNwtDQUCkHCEEQpL7j5+cXHR3Nzq9NTU2tLOOzMlRzefPy8vh8PpfLTUxMJC1v374lwTPVXN5p06ap2mTn8paWlrJrGNE0TWaM9enTh20HAP7880/SolAoSC2Fb7/9Vq1NNhp6S+rhe3l5MfXUrly5QvJT2UXKSG1Ub2/v7OxspjE5OZnJ8iTRTdUKU+xcXvrfJEhHR0fmNXRFRcXdu3fJ30QKW1pasouOZWVlRUdHqw4mgczbY4oZMzbJutNMijNZHQAA7t+/T1rS09PJO32pVMqkeJIxnz59utJZlEqDvXv3jknKJJBZU+Hh4WSTaFP2vSsuLh4wYAD73qmWG2PQ0FsSpGzTpg1TYyEmJoYUuBg2bBhjjShsDw8P9olu375969Yt8je5d97e3qqXLBAIlEovf/HFF+xuSseSVQ/FYjHzgYyJiSFac8qUKXQlqLVc2fhERkYCwMmTJ5mBJR9XdsGvvLw8dgHguXPnAsCgQYOYlhs3blhaWlpYWDx79qyKQSCofipIXTl2cYm0tLS3b9/SNN2/f38AmD9/PmnPzc0l5fzYI0nTNJmNV1lxvbqh3kvezMzMaqWGGBsbk9R1BEEQnaFfv347d+40NzfPyspiGv39/dVOgaoMVclL0/Tw4cPJL3pISEivXr1MTEz8/f1tbW0/QvIS+zKZrEePHgMHDvTz8+NwOAKBgNFSxM7IkSMlEkm7du2YSes2NjZKS1GolbwaelteXk6WCbC1tY2KigoKChIKhT///LOS5C0pKSHT5sRisY+PT6dOnRwcHIBVhVdDyUvT9Ndff00UQIcOHdq3by+VSps2bcrsJXFQDofj4eHh7+/fsmVLHo+ndjIZgYTG2c8A9L+Tjbp168ZuJAUfpFJpeHh4165dxWLxoEGDAICd5EoifOwJagTyIpuZvUQEroODQ58+ffr160ci5e3bt2eeB0jIc9KkSVwuNzAwMDIykpw9PDycuVNKNpXQxNvnz5+T1NsWLVpERkZ6eHh88cUXpJYW+yHh2bNnJBZmZmbWuXNnHx8fkqnC6C0Sg1y8eLHqJbMnnDFrsHXv3j0qKur06dOqx2ZlZZGCD23atBk8eHDbtm1tbGxI7TmlhwQ2ai2rHR8yw8zAwICpLkzT9NixYwGAoih3d3d/f383NzeBQNCrVy+mQ2pqKplG5uHh0a9fP29vbw6H4+DgwDxrVTYIBNVPxerVq4nDzs7Ofn5+jRs3FgqFpCLv999/DwD6+voDBgwICwszNTX97rvvuFwueySzsrIoijIxMVGaTlfH1HvJS6vkM31Q8jKPSgiCILoBKdfg4+PDrtM5c+bMdu3aaW6E5JtaWlqyG0tKSiZPnmxnZ8fn821tbSdOnFhQUNC1a9ePkLxyuXzx4sVubm76+voCgcDOzq5nz57sGASxM2fOnMTERH9/fwMDA7K8hdI0nSokrybekiv94YcfrK2tRSJRq1atoqOji4uLyZK27G6lpaXLly93d3fX09MTCAQODg4jRoxgVgfQXPJWVFSsW7euTZs2YrGYz+c3adJk+fLl7EMOHTrUuXNnIyMjMsu+V69eVTyrZGRkCAQCc3NztgZSXXSNpunz58+TpYONjY1DQkIuXrx44MABADhw4ADpUFhYKBKJLC0t2aF3Aglyr1mzhmxeuXKlS5cuJiYmPB7P0tLSy8tr7dq1zDUSOyTjYsKECRYWFmKx2NPTc9u2bWzLSjaV+KC3hGPHjrm4uJAloCdMmPDu3btBgwYpLbpG0/Tt27e7detGhlQmk3Xr1m3Tpk3MXpJPwkSU2e6tXLmS3ThmzBiyqJu7uzt57lI9dtu2bQ4ODuQjPXbs2Ozs7LFjx5qbm1ct71Qtqx0f8h6ALWdpmi4vL583b56joyNZgs7FxWXcuHGPHz9m97l27Zqfn5++vr5YLPbw8FiyZIlS8FjtINCVfypWrlzZvHlzgUCgp6fn4eHBfNgyMzNDQ0MNDQ0NDQ19fX2jo6NJJTL2SP76668AMGbMmCoGpA6g6GpKxs8QAwMDtdNX1WJoaLh+/fqIiIhadQlBEKQuWbFixcuXLwsLCz08PJi5KQAgFovT0tKUch8r459//nF1dSXrFdeap1Wxdu3ab7/9dtq0afPmzdOKA/WFgQMHbt++fdeuXaQsAAC4ubnduXPn5cuXWsmVjI6O7tmz5+DBg8k0MgRRwtnZ+cGDB8nJyWThbm1R76evAauisibI5XKcvoYgiI5hbW396tWrZs2aJScns9unTp1KFh2tghcvXpSXlz958mTUqFFQ5Rxz5DNhxowZYrF4wYIFpHxbamrqnTt32rRpo625QVVMSkOQAwcOJCUlDRo0SLt6F3RD8lar5kV5ebkmczkRBEHqEUTyNm/enKkYRfjxxx+XLFnCzA1Si5OTk0AgcHR0vHLliouLy8SJE2vZWeRTcXR0XLx48b1791atWgUAv/zyCwCwlxmrS2iaPn78uFAoJMt0IQiboqKi8ePHN2zYcOXKldr2Ber9gsOgQbFGNuXl5e/evas9ZxAEQeoeKyur169fq0pegUAwYcIEU1PTytaPAIABAwY8efJEIpF07Nhx+PDhqkXpkc+Q0aNHi8Xiffv2HT16NDY2NjAwkEz2qnvi4+Nfv34dFBSktOwWggCAQqGIjo62tLSs1gv5WkIXJC+zcreGfHoddQRBkM8KEuW1s7MjGb1s2bpgwQJ9ff3p06dXliDLlAZD6hEURWVnZ8fGxpqamk6ZMmXGjBmkbkDdg1kNSBVIJBI3Nzdte/F/6ML0tR9//JEsP60hoaGhhw4dqj1/EARB6h5jY+Pnz5+3a9duw4YN3t7eSntNTEySk5NJMQEEQZD/ILqQyyuTychq0RqCiQ0IgugeJNDr6en59OlT1b2zZs1SXaYVQRDkv4MuSF4LCwuyEomGoORFEET3IJLXxcXl7t27qnvHjRsXGRk5f/78uncMQRDkc0AXJK+5uXm1cphIuXUEQRBdomrJCwDjxo3btGlTfHx8HTuGIAjyOaAjkrda/QsKCmrJEwRBEG3x8uXL6OjoKiSvtbV1mzZtFixYsGPHjjr2DUEQROvoguS1sLBQKBSa99d8qTYEQZD6QnBwsImJiZWVVVlZ2du3b9X22bNnD4/H279/f4MGDbZv356WllbHTiIIgmgLXShSZm5uXlpaqnn/KupTIgiC1FOaNGly9epVACCBXj8/P7XdSCXXCxcu/PHHH5MnT3ZwcKhTLxEEQVhERESMGTOmbs6lC5LXwMCgWqXWhEJhbm6ukZFR7bmEIAhSxzRo0ODly5fwIckLACEhISEhIa9evUpJSak7/xAEQVSwsbGps3PpguQFAIlEonkdBh6Ph5IXQRAdg5G8IpEoOjr6g4ETa2tra2vrOnENQRBE++hCLi8AGBsba96Zx+O9f/++9pxBEASpe6RS6fv378vKyvz8/Ij2RRAEQRh0RPJWq2gDRVG5ubm15wyCIIhWsLW1TUtLCwgIePbsmbZ9QRAE+bzQEckrlUo170zTNEpeBEF0D5LbwOfzmzVrVlmpMgRBkP8mOiJ5q5WRVlFRgYkNCILoHkw6r4eHx61bt7TtDoIgyGeEjkheW1tbiqI07FxeXo5RXgRBdA+UvAiCIJWhI5LXwsJCJBJp2LmsrAzXHEYQRPdAyYsgCFIZOiJ5ra2tNZe8FRUVb968qVV/EARB6h6UvAiCIJWhI5LX2Ni4WqtRZGRk1J4zCIIgWoGRvAKBQCaT/fXXX9W1QJLEsrOza8G7anDixAmKotSWFs7OznZzc2vVqlWNnCgiIoKiqNjY2NowXgWrV6+WSCQHDx6sok96erpEImnXrp1CoahtfxDkv4COSF6pVFpRUaF5/6ysrNpzBkEQRCswkhcAQkJCdu/erV1/Po7c3Nzhw4dLpdK5c+eq7t2/f/+dO3fMzMw+/UTl5eWnTp0yNjb28fGpceMMz549W7RokVLj3LlzCwoKLCwsqjjQxsZm5syZN27c+OWXX2rQHwT5z6I7kresrEzz/pov1YYgCFJfMDU1LSgoSE9PB4DvvvvuwYMH2vboY1iyZEl6evqUKVPUrjHUo0ePNWvW7N2799NPdOHChby8vKCgIB6PV+PGCTRNDx069OLFi0rtv//+++nTpxmpXRnjxo2TyWRz5szJycmpKZcQ5D+LjkheMzOz0tJSzftjkTIEQXQSe3v7uLg4AGjevHlGRka9k0qlpaXr168XCoUDBw5U28HGxmbUqFGmpqaffq6jR48CQEhISG0YJ6xatSo2NpZ9CkLv3r0DAgI+eDifz//666+Li4s3bdpUUy4hyH8WHZG8ACCRSDTvjBUbEATRSfz8/BiZ6+XldeXKlRo0XlpaumPHDn9/f1NTUz6fb2VlFRUVxaz0tnbtWoqivv32W/YhLVq0oChq6tSp7EY7OzsOh6M2Yzg6OjorK6tHjx5qswtu3LhBUVT37t2ZlpYtW3I4nFevXrG7jR8/nqKo7du3sxsPHTrk5+dnYGBgZGTk7+9/69atc+fOcbnc4ODgyoyTS169erW3t7eRkZG+vr6Tk9OcOXPIrry8vA0bNgQGBpqbm/N4PKlU2rdv37dv35K9MTExbm5u48aNA4DRo0dTFCUQCEh9zG7dulEUdfPmTfaJjhw5EhISYmFhIRQKmzVr9uuvv5IJKoMHDwaA9evXq44GgiDVgqdtB2oMExMTzYVsYWFhrTqDIAiiFb744ovU1FTyt7e39+XLl7/88suaMp6YmDhgwABDQ0MvLy9DQ8ObN2/u3bs3Li4uOTlZLBb7+voCwLVr15j+aWlp9+/f53A4MTExCxYsII3p6ekvXrxo0aKF2oXiyUyyTp06qXXgyJEjAMBc0fPnz+/evevh4aG0GtGRI0e4XG63bt3IJk3T33zzzfr162UyWbdu3QoKCs6ePRsQEJCXl+fl5cXEdJWMA0Bqamr37t2TkpKsra09PDyys7Pv3bvHnGvmzJkrV650cnLq0qVLUVHRyZMn9+zZY2pqumbNGgAoKCjw9fW9c+dOo0aNhg8fDgBSqdTIyKiwsPDcuXMymax169bETklJSf/+/Q8cOGBvb9+lS5f8/PyzZ8/+8MMPADBu3LgmTZpYW1s/evQoPT3dxsZG01uFIIgqtK7AfH1oSEVFhbZdRhAEqWF2794dFRVF/r58+bKXl1e1DieiKisrq7IOBw8eLCwsJJ8hUoYAACAASURBVH+Xlpa2bdsWAHbt2kVapFIpl8tlOmzYsAEAIiMjORzO27dvSSPJlP3uu+/U2m/ZsiUA3LlzR+1eV1dXAHj58iXZXL16NQDMmjWL3YdkMLMvfMqUKQDQt2/foqIi0sJM7Fu6dGllxt++ffvFF1+IRKItW7Ywvxfp6enM3wkJCffv32cOX7p0KQB069aNaSHuTZs2je3e33//DQBDhw5lWnr27Ek8USgUpOXEiRMA4OjoSDbDw8MBYM+ePWrHBEEQDdGdxIZqrTksEolwBhuCILoHO8pb44kNANCrVy+xWEz+FggEERERAPDw4UPS4uvrq1AomFf2J0+etLCwGDhwYEVFxdmzZ0kjcamyOC4x1bx5c9VdL1++vHPnjpubm62tLWlRjcvCvxm6TGNCQsKSJUtcXFy2bNmip6dHGv38/MgfTJatqvHvvvsuNTV19erVgwYNYlb3tLa2Zv52d3d3cnJizmtoaAgAzZo1Y1o0cW/Dhg2HDh369ttvJ06cyOH83y9yYGAgh8Nh7iM5Sz2djIggnw+6I3mZ7ylN4PP5KHkRBNE92JIXAJycnHbt2lXjZ6FpuqCg4P3792QSRUlJCWln5zYoFIozZ8506NDB29uby+XGxMSQPnFxcRRFkZ5KFBYWlpaWGhoa8vl81b1KYrGwsPD8+fPW1tZKZXSVhObPP/9cUVExb948gUDA9CGrETVu3JhRqErG7969u2fPHjc3t6FDh35wKPLy8t6/f09mDQYGBpL2goKC8+fPS6VSEghnOh87dkwkEpG5a2VlZbNmzRKJRD///DPbZnFxcUVFBdHQAEDSmuvdTEQE+dzQKcnL5XI17MzhcFDyIgiie8hksnfv3jEVbAYPHnz79u2aMq5QKH777TcPDw+hUCiRSExMTL755ht2B7bkvX79+vv37zt37mxoaOjm5kYkb1FRUWJiYosWLdTOTiO1dExMTNSenWhZJi57+vTp0tLS7t27M2FXAHj37t2VK1caNWpEIqOZmZnHjh2ztrZWqplAPGTHX5WMb9y4kaZppatjk5iY+M0339jb24tEIiMjIxMTk61btxoYGDDx45iYGFX3bt68+ebNm06dOpFI+fHjx1+9ehUaGqqU1kxWznN3dyebZECw0BCCfCK6I3ktLS01X3MY8OsDQRAdhR3oDQgIYMKrn8633347duzYwsLCxYsXnzhxIjY2duLEiewOzs7O5ubmN27cAIBTp04RBwCgS5cuqampjx8/jo+Pl8vllWU1ECGodnpxUVFRbGysTCZr06YNaVEtMQYAf//9t0KhYBpPnjwpl8u7d+/O5AwQSJYFI3lVjR8+fBgAevToodbP9evXt27d+uTJk4MGDfrrr79iY2MXL14MAIGBgUKhkPTRJKtB7SWoHksGhMnKQBDk49Cdig1SqZQpJ/5BaJrGKC+CIDoJkbxNmzYFAFdX19evX2dmZkql0k80++rVqw0bNhgaGl68eJGxlpiYyO5DUVTHjh0PHjyYlZUVGxtra2tLsnL9/f2XLFly4cIFUqWLCYUqYWxsTF7B0TTNDo4CQExMTElJCRM0JRkCAoHA39+f3W3jxo3AEoskmstOLQCArKysI0eOGBkZMStBKBnPysp69uyZlZWV2ikit2/fHjFiRMuWLS9evGhkZEQa9+3bByzxWlFRcezYMaFQqFR8VymWnJCQAACMziaUlJRs27ZNX1+/X79+pIUUPqvZNeEQ5D+I7kR5q/WFLpfLUfIiCKKTKKXz1lSgNzU1labpZs2asb9slSQv/JvbcOvWrZs3bzKCz9vbWygUxsXF/fPPP5Ul8gIARVHW1tYKhYJZNplBSSwmJydnZGQ0a9aMmUsHAKdPn46Li5NIJIx9shCd0tIS06dPLy0tZS+6pmScrEhfWVSVBHSnT5/O6N309PStW7dyOBympm9CQkJmZqaXl5eBgQFzYFpaWmJioqura4MGDUgLeQBQKiq/ePHizMzMiRMnMtkOz58/h2rOV0EQRBWdkrwKhULDzmVlZZjYgCCITqIkefl8PolBfiJE6T558iQ/P5+0XLx4cefOnUrdSAR33759JSUljOTV09Pr0KHD9evXk5KSWrZsWcXyZu3bt4d/qzow0DR9/PhxdtCUXGBOTg6TtXz16tX+/fsDQNeuXZnZb0RxMtZoml62bNm6deuAFQlWNW5lZUVR1LNnz65evcr4cO/ePfIHscaUyE1JSQkKCioqKmrbti3zMBAfHw8ADRs2ZF+FUlYDANjb28O/GSCEzZs3z507t0OHDtOmTWMaL1++DADe3t6VDRqCIJqgU5JX8zWH5XI5eY5HEATRMZQkr7e3t9p1zqogKCjI839JS0tr3LhxYGBgTk6Ou7v70KFDAwMDO3XqNGrUKKVjXVxcTE1NDx48SFEUO+vA39//4cOHycnJlWU1EDp27AgAly5dYjfGx8e/fv26c+fO+vr6pMXBwQEA0tLS3Nzc+vbt26FDh+DgYJKowNaUoaGhALB8+fLOnTv37t3b0dHx1KlTPj4+7EXXVI2bmJj07t2bpumOHTu2b9/ey8vL2tqaKd1AEgz69es3dOjQoKAgV1dXIkbZ5yWpw3v37v3qq6969epFfm5Us3vJ6A0fPrxr1679+vVzcnIaMmRIly5dTpw4waj27Ozs5ORkExOTFi1aVDFuCIJ8GO2UA64d2DVoPsiAAQO07S+CIEjNs3HjRgcHB2azpKREKBRqeGxl63s9fvyYpumsrKxBgwZJpVI9Pb1WrVrt379fLpfr6+tPnjyZbYQITXd3d3bj9evXiam///67CgcyMjIEAoG5uXlJSQnTOGPGDABYs2YNu+eaNWvs7e35fL61tfWwYcOePXs2ZswYDoejtI7GokWLbG1tRSKRi4vLb7/9plAo7OzsfHx8qjaen58/duxYW1tbHo9nZGQUGBh49uxZsis+Pr5169Z6enoWFhbh4eH37t07ePAg/O/yGe/fvw8ICNDX1zc0NAwLC6NpurCwUCQSWVpaKq2CtHXr1hYtWggEAhMTE39//927dyt1+PXXXwFgzJgxVQwagiCaQNE0/Wma+TNCKpVqHrsNCgoiK9wgCILoEhcuXBg2bNjjx4+ZltDQ0KFDh1ZWf+BzY+DAgdu3b9+1a1ffvn217Yv2cXZ2fvDgQXJycpMmTbTtC4LUb3QnsQFU5ihUDZb1RhBEJ3F2dlaaqxAREVEj6bx1w4wZM8Ri8YIFC+RyubZ90TIHDhxISkoaNGgQ6l0E+XR0SvJaWlpq3hkrNiAIopOYm5uXl5eTagCEwMDA3bt3a9GlauHo6Lh48eJ79+6tWrVK275ok6KiovHjxzds2HDlypXa9gVBdAGdkrxqayhWRl5eXu15giAIokUcHR3ZiQ0WFhb+/v6kZm29YPTo0YmJiaprNPynUCgU0dHR165dY1YeRhDkU9ApycsUO9SEgoKC2vMEQRBEizRu3PjJkyfslhkzZmzZskVL7lQbiqJcXV3/42/zJRKJm5ublZWVth1BEB1BpySvjY0Ns9jjBykuLq5VZxAEQbSFquT19vYuKChQXTkCQRDkP4JOSV6pVKp5nTIej8cUVEcQBNEllBIbCE2aNBk8eLBW/EEQBNE6uiZ5uVyuhp35fD7OYEMQRCdRjfICwN69e3Nycq5du6YVlxAEQbSLrkneiooKDTvzeDyUvAiC6CRqJS8A/Pnnn0OGDEHViyDIfxBdk7zl5eUadqYoSql0JYIgiG4glUqLi4tVc7eCgoIiIiKGDRsWFxenFccQBEG0ha5JXs0npVVUVGCUF0EQXaVBgwbx8fGq7bNnz753715QUNDUqVNTU1Pr3C8EQRDtwNO2AzUJRVEGBgYaVh9TKBQoeREE0VUsLCy2bt3aqVMntXtv3749efJkLy+vRo0a1bFjSE0RERExZswYbXuBIPUGnZK8AGBsbKyh5C0vL0fJiyCIrjJq1KgDBw5UttfBwWHVqlUpKSl16RJSs9jY2GjbBQSpT+ia5DU3N09LS9OkZ1lZWU5OTm37gyAIohWcnJzmzp1bRQdra+tqrViJIAhSr9GpXF4AkMlkmnfOyMioPU8QBEG0iJOTU1JSkra9QBAE+VzQNclra2ureefMzMza8wRBEESLcDicJk2aJCcna9sRBEGQzwJdk7wNGjSgKErDzm/fvq1VZxAEQbQIBnoRBEEYdE3yWlpaikQiDTvj9DUEQXQYlLwIgiAMuiZ5pVKpQCDQsHNubm6tOoMgCKJFUPIiCIIw6JrktbS01Lyz6tJECIIgOoOzs/P9+/e17QWCIMhnga5JXqlUWlFRoWHnoqKiWnUGQRBEizRv3vzevXva9gJBEOSzQAclb2lpqeb9S0pKas8ZBEEQLcLn883MzC5duqRJZ09PT6pKyLelTCajKEoul9ey7/9HHZ+uaiZPnkxRVBULfChRVFSkp6dnZWVF03StOkY4d+4cRVGRkZE1brlfv34mJiYa1ryvFh07dqQo6sGDBzVuuVrExcXJZLLx48d/3OEVFRWtW7c2NjaujSFCahBdW4rC0NBQ8yivQCB49+6dlZVVrbqEIAiiLYKCglJTU318fD7Y09XVlcf7v1+ErKysR48eWVpaNm7cmOnA4ehaiKRaXL9+fdmyZYGBgb1791bbYfPmzc2bN/f09GRaYmJiSkpKunfvrnkdoU/hyJEjAPDll1/WrNkHDx7s3r3b2NjY2Nj4U+zExsZmZGRERUUxLTk5OXFxcQ4ODs2bN/9kNz+JlStXZmRkmJmZfdzhHA5nzZo17du3HzFixPHjx2vWN6QG0cGvMENDQw178ng8LNqAIIgO4+7ufvv2bU16/vnnn5f/ZcaMGQAQEhJymYXmM4N1kvHjxysUikWLFqndGxsbO3ToUKWnglrSoJVx5MgRLpfbrVu3mjXr4ODwxx9/xMXFGRgYfLSRrKysiIiIFy9esBuPHz+uUCjqbHyqYMKECVu3bp0yZcpHW2jXrl1YWNiJEydOnDhRg44hNYsOSl5TU1MNe3I4HJS8CILoMK1atUpISNC2F/We27dvx8XFtW7d2t3dXXVvTk7O8OHDpVJpmzZtmEaapo8dOyYSiQICAurAwwcPHjx9+rR9+/aa/wJqiEAgGDly5KcEYsvLy0ePHp2dnR0SEsJur+NHgipo27btwIEDuVzupxgZPnw4AKxZs6aGnEJqHh2UvBYWFhr2pGn6/fv3teoMgiCIFtE8ylstSkpKJk+e3LBhQ4FAYG9vP3Xq1LKyMqU+586d69Kli0QiMTAw8PPzU41+0TS9efPm9u3bkz5eXl67du364Kk1OSovL2/8+PH29vZ8Pl8pI/nixYsURbHTDwgPHjygKEqtogWA9evXA8DgwYOV2uVy+fDhwxs0aPD06dOMjAwOh0NR1OTJkwEgPj7+zZs3/v7+T58+jYqKkkqlQqHQxcXl6NGjSkZKSkqWL1/eqlUrsVhsYmISFRX16tUrpT7//PPP4MGD7ezshEKhtbX1mDFjlCoOEbNK8jEjI+Onn35ydnbW09MzMTHp2bPn48ePlSzfvHkzMjLSysqKy+WyB6pz584AUF5ebmRkZGxsXF5ezozthg0bAgMDzc3NeTyeVCrt27dvFes6rVy50s7Obv/+/QDg7OxMUVS7du2I5VOnTpmYmDRv3pzcLIFAYGdnt2DBAlUjBw8e9Pf3NzY21tfX9/HxuXr1qlKHD17pjRs3KIpat25dRkbGsGHDyO0g47xkyRKKopYuXcrun5iYOHToUHt7e6FQaGVlFRAQwBQ/iY+PHzNmTLNmzUQikZ6enqur6+7duwEgICDAxsbmxIkT6enplY0GomVonaOyRCtVDAwMtm/frm1/EQRBapFGjRo9ffq0Wods374dAIYOHaq6iyz3ExgYSIRF9+7dxWIxAAwZMoTdbefOnRRFGRoahoSEBAQEiEQiiqLWr1/P7vPNN98AgJmZWVhYWFhYGAlPTpgwQel0AFBeXq75URUVFR06dACAHj16LFu2bOTIkXw+HwAGDBgwZ86csrIyJycnAEhJSWGfaO7cuQCwePFitQPSrFkzAEhKSlJqz8zMXLhwITE4YsSIhQsXLly48OHDhzRNk+SQbt26icXiTp069enTx9bWFgDEYnF+fj5j4fnz5y4uLhwOx8fHJyoqytraGgDatGnDPsuiRYu4XK6JiUloaGifPn3Mzc0BIDQ0lN2HpGuzPTx16pSpqalAIOjSpUvfvn2bNm0KALa2tuyz7927l8vlNm/efO7cufPmzSMzW7y9vSdNmnTp0iWaps+cOQMAkZGRzCHff/89ADg5OUVERISEhJD871GjRqkdN5qmf/31VxLc9ff3J+MTExND0/TZs2cBoG3btlZWVu7u7lFRUS1btiQ/zWfPnmUOLykpiYiIAIAWLVpERkaSPgYGBm/evKnWlU6fPh0Atm3bZmNjY2tr2759+9GjR5Nd3t7eAPDgwQOm88KFC7lcLo/Ha926ta+vr6mpqYODQ1FREU3T5M2wRCIJDAyMiopq0qQJAPB4vFevXtE03a9fPwDYsWNHZaOBaBcdlLzjxo3TUPKKRKJVq1Zp218EQZBaJDw8fP/+/dU6pGrJS/TH27dvSUtCQgLRB+/evSMt2dnZhoaGNjY2aWlppOX27dt8Pl9fXz8nJ4e0HDt2DADc3d3fv39PWnJyclxdXZUUj5Lk1eQootKCgoIYIz///DMAbNmyhWwuXrwYABYsWMC+Ljc3N4qiUlNTVS/57du3FEWZmppWVFSo7lUoFCRkyBZYxCAAODo6EgVM0zQJAwPAo0ePSMu7d+8aN24sk8kSEhJIy+vXr4lAZzxZsWIFAERERDD237x5Q6asMMP79u1bLpfr4ODAnP3q1asikcjZ2ZlR9uXl5V5eXgCwc+dO0vLo0SMej9euXbvi4mLSsm7dOgCYO3cuY4cIXHZsKCEh4f79+8wmCY5269ZNdWQYwsPDAYAoXQbySy0Wi48cOUJa5HI5UbTr1q1jH8vj8RgHysvLSSSeuZuaXClN0+RD0rBhw927d7PdyM7OVhq6ZcuWAUDnzp2Z4ZXL5a9fv2Y6HDhwgMhfmqZLSkrIQ9eNGzdoml69ejUAjBw5sorRQLSIDiY22NjYkK+MD1JaWoq5vAiC6DYtW7aMj4+vWZszZsxgckbd3d09PT3lcjmz0tvu3bvz8vImTpxoY2NDWtzc3Lp27VpYWHjy5EnSsnbtWgCYN2+ekZERaTExMZk/fz4AEN2gFk2OIm6wi1QQAXTlyhWy2b9/fw6Hs2fPHqZDSkpKYmJi+/bt7ezsVE9KFGrz5s3VFl64ceNGZmZmp06d2LO70tLSEhMTBQJBdHQ0CQQCgLm5uVAo5HK5JJQLAN9///2TJ0/27dvHJFTIZDKSm0dKwiUlJU2ePNnV1XXHjh2MfUtLy1atWgHA8+fPSQuZB8ZkypaWlpJrPHLkiL29PWnk8Xgkqzg1NZW0LFu2TC6Xz549WyQSkRbyg8iMLQAcPXpUaUqcu7s7iWoTiPgmUXC1lJWVnTp1ytDQ0NfXl91OMjFWrVrFuM3lckmYuWHDhqRl8+bNf/3118yZM/v3789cBckqJuOj4ZW+fPnyzp07FEWtXLmSXTICAE6cOMGeQnf//v3Jkyc3bdr0yJEjzKeXy+XKZDLmkLCwMD09PfK3UCgUCoUcDofcZTIyWq+5hlSGrhUpAwDywM0kHlUBTdMZGRl14BKCIIi2EIlEv/32W2WlBj6O1q1bszeJhmOySy9fvgwAZ86cSU5OZvqkpKQAwNOnT8lmXFwc/K8wBQA/Pz+Koi5cuFDZeTU5SiqVAgD7u538zcgUa2vrgICAU6dOPXjwgOgnUmq3b9++ak9KElUrq2BF5mApTcwieq5v377sWV/JycnFxcWenp76+voAkJSUtGPHDolEsnPnzp07dzLdXr16JRKJGjVqBACzZs0qKyubP3++UhynsLAQWOWJlBJ5N2zY8PTp04kTJzIqUO1RZMRIZi0AyOXybdu2GRgYfPXVV6SFTInz8fFRnRJH03R+fn5FRQW5I4GBgWoHh5wlPz8/PDycfQnJyclPnjyxt7cfMmQI2yZ5TiB5KeXl5bNmzQKAhw8fjhw5kul2/vx5+FdcanilZHzCwsJ69uyp5J7SFLrZs2fL5fJFixaRdJ0qKC0tLS4ufvPmzevXrz09PclzAvmQ5OTkVH0sojW0G2SuDU6cOMF+SK2a8PBwbfuLIAhSi5SVlfH5/God8sHEBqWX+GT5gxMnTpBNkhyplmnTphGXAEBfX1/VPpEpJSUl7NORxAYNj8rPz5fJZAYGBnv27Hnx4sWFCxeaNm1KUdSVK1eY/mS+0YwZM8hmu3btuFwuOz2UzY4dOwBg0KBBaveSd/HPnz9nN5Kw6IULF9iNJAdg3rx5ZLOKHDySlZGZmcnj8aysrBQKBdtOSUmJnp6enp5eWVkZGRYjIyNDQ0OySf/7Ep+dnEro1KkTAFy+fJlskrDrvHnznj9/fvPmzR49elAUtWnTJqY/yQBh5zffvn17xIgRX3zxBbtinYGBAXO/VBk7diwAbN26ld24ZMkSAJgzZw678ebNmwDg7+9PNg8dOlTZ+DAXq+GVBgcHAwDJTmZDhs7IyIhYy8zM5PP5qgPOUFhY+Oeff7Zv317p+Wf+/PmkA6nC1qBBg8pGA9EuOih5b926pbnk9fPz07a/CIIgtUu7du2uXbumef8PSl72fDJaRfKSRIKrV69WZp+8hROLxaq7JBIJAJSWlqqeTvOjEhMTyRwmgqmp6ebNm9n9i4uLjYyMGjduTNN0WloaRVGM0lLl4MGDUEl8hKQWtGzZkt1YWFgoEolkMplS7m/Hjh0B4M6dO2STxHGVtDKbyu4CiVn26tWLbJJ5YBEREWSTLADGTk4l5OTkCAQCqVTK3LubN2+ypZuTk9OhQ4fYhyhNiVu3bh2Xy23YsOGsWbMOHz4cGxtLNHFYWFhll0DTtL29PYfDycrKqsIyYebMmQCwcuVKskkCwEpamY2GV1rZ7aBVhm7r1q0AMHz4cLWny8jIaN26NYfD6dmz59atW2NiYmJjY0kU/59//iF9SEpDkyZNqhgQRIvoZmKD5qtTYi4vgiA6j4+Pz6VLl5hX2LUNychMTU1VrQVG4PF4lpaWGRkZeXl57MWDCgsL8/Pzyez7TzwqKytr9OjRYWFhxsbGLVq0UDIoEokiIiLWr1+fkJBAJh5VltUA/9Z6V1uHS21lWbWLruXk5Fy5cqVhw4YkKpyTk5OSkiKRSJi8VVVIQWV2rV8CmWQ2YsQItg9MZsWtW7fUHrVly5aysrLBgweTGgs0Tf/99988Hm/fvn0WFhZWVlZNmjRRcpi9NBqJ77Zs2fLixYtMUGnfvn2gktTB5v79+8+ePfPy8iJVJtRaZlAaTBL0bdGiRWXGNbzSKtbAUzrjjRs3QCVph2H48OHx8fGbNm1iatWlp6c/fvzYzs7OxcWFtFSdA4NoHR2cvmZpaVlcXKxhZ6zLiyCIzkMkb12eDv7VE5VB3qorpe2SzSryIjQ8avr06QqFYsWKFZ07d27VqpVaAT1o0CAAOHbs2PHjxwUCQVhYWGUnJcXFmLlQbEix4a5du7Ib1ZbIVZomRX56ql6IODc3FwBIAJt9sYcPH/b19Q0KCmJOx55hpvao7OzshQsXmpmZTZo0ibSsW7duwYIFu3fv7tOnj5+fH8n9YB+iNCWOBHSnT5/O6N309PStW7dyOJzu3btXdglqx0dpKAhpaWm3b992dnZmsnI/+Ous4ZVWseAFGTqS9gAAmZmZwMr5ZvPgwYPDhw87OTmxazMvWbJELpezFT+J+pMPDPIZooOSl8/nC4VCDTsrVfNGEATRPepY8vbv39/IyGjPnj0kZZZQWlrKXjPiu+++A4AZM2Ywr9pyc3NJ8VT2XCUlNDzq5cuXHA6n6knMXl5ejo6OFy5ciI2NDQoKMjY2rqyng4ODVCp99uzZ69evlXaRUhjsSC1N08eOHRMKhf7+/uyeSsLL2tpaKBTm5eXt3buX6ZOfn0+WbCAQ8Xf69GmmhSwbYWFhsWXLFtJC5oGxs0vJURcvXiQ1DQDgzZs3oaGhOTk5mzdvZrqtXbtWLBaTXAu1KAl3Uu+CKWKQkpISFBRUVFTUtm1bMl9QLarjA1VO+GMLU3IhGzZsoGmatMjl8lOnThFhquGV0jR9/Phx1duhdujILMx9+/YxL4oLCgrIow6ZpcdcvkKhWLZs2apVq5R8JhM3q3hmQ7SMVtMqagvyWk0ThEKhtp1FEASpdRwcHG7duqVh50/M5aVpOjo6moQeHB0d/f3927Ztq6+vz+Vy2UdNnToVAExNTcPCwnr37k3efTMLBFR2Ok2O+vHHHwFAJpN16NDBy8vLz89vwIABp0+fVrqWuXPnkkK5SrVaVSErHO3du1epnfzWtGrVauDAgcuXL6dpmrwcDw4OZncj06SUpnmReV1kyTd/f383NzeBQMBk6NI0nZqaSgqTeXh49OvXz9vbm8PhODg43L17l+lD5oGxZ5gpFAoyqcvOzi4qKio4OFgsFhsYGOzbt4/tEkkqaNSokZeXl5eXV+fOnb///vv09HS2w6pT4uzs7IYMGdK1a1cDAwPyjMHM3FILSRextbUdOHAg+TipWiaQKDV7iiEpowEA9vb2/v7+7dq1MzQ0NDc3Z+aWaXKl/4+9+46Polr7AH62ZEt6I51UQgmEEIl0SAgEIYHQSxAJiCiCCKKv5SPXa0FpUhVBUQIoRRAQCAQMEKUbQug9tEAaCZC2LVvm/ePcO3fc7G6Wkl3Y/X3/Ys88M/vMbIxPzp5i8OMw9ujy8/PpshKBgYE9e/Zs3bq1WCzevXs3wzDbtm2jH9aAAQNefvnliIiI7t27x8bG6n2mdBjGqVOnTDwTsCLbFm97EwAAIABJREFULHlNjP7Rw+PxNBqNtfMFAGhcCQkJxtYcqO/JS16GYc6cOTNy5EhfX1+6c1h8fPzy5cv1rrZx48bOnTs7OTk5OTl16tSp/lwlg29n+qza2tovvviCjuPUw+5fQNEOPEdHx9raWtMPhK4e0L9/f732NWvWBAcHOzg4RERE0JKabrq2bNkybhidJsUtZxmGUavVs2bNioyMdHBwcHR0jI6Onj59+rVr17gxx48fT0hIcHJycnR0bN++/bx58/RSpWNIuHtDMAxTXFw8evRoT09PsVgcGRk5bdq0wsJC9qhOp9u6dWv79u3rP5+OHTtyEx4+fDh7Vl5eXlxcnFQqbdKkybBhw86fP09n9bGz8QzKz8+Pjo4WiUR+fn5z5swxeGXmvzPMuOUstWnTphdffFEqldJ9rceMGZOTk2P+nTJGPg4Tj+6PP/7o0qWLo6Mj/UxnzJhBK1qNRvPuu+/6+flJpdLo6OgFCxao1eq2bdtyP9PTp08TQqKjo008ELAu2yx5e/XqZWbJK5FI9GaSAgDYnoMHD3bv3t3aWTQ6pVLZrl07Ly+v7OxsuoCDTqerqqqiYyq6dOnCDT527BghZNSoUQ1eVqvVhoaGCgSCO3fuNFbqFqFUKpOTk728vDZu3FhdXU0b5XL53r17CSEhISFWze759uabb5J/bh0HzxobHMtLOANuGuTg4IBFGwDA5nXv3v3cuXM2P2H30KFDp0+fTk9P7927N521xuPxXF1dR4wYIRaLy8vLucG0M/uVV15p8LJ8Pn/WrFlarXbWrFmNlLllLF68ePfu3UuXLh05ciQ78UsqldLedGMrbECDCgsL16xZ06pVK3N+nMBabLPkNbHsix4+n4+SFwDsQWpq6o4dO6ydReOi21XQFVu5li9frlKpuAtaXb58efXq1WFhYezSB6a9/PLLQ4cOXbly5VPfvdmSfvvtN0JIu3btuI2FhYWvvfaaWCz++OOPrZTXc2/GjBl1dXVr165ld2+GZxCP+e9cSFuybNmy9957j53FaYKbm9umTZtMbJYIAGAbtmzZsmHDBlr02CqFQhEbG3vlypWuXbv26NFDIBBUVFQcOnTowoULgYGBhw8fViqVX375pUKh2LNnj0wmy8zMNLHGlp7a2tqCggIfHx86tf95NGjQoO3bt4eEhAwdOtTFxeXBgwcXL148ePCgi4vLzz//zK50Bo+EYZizZ886OjpGRkZaOxcwxQa3oiCE+Pj4iEQic0pePp9PN+MGALBt1dXVdOK5DZNKpYcPH549e3ZmZubChQu1Wq2bm1urVq1mz549adIkd3f3s2fP7t69u7q6OjIycvbs2ebXu4QQZ2dnvf7R587KlSt9fHz27NnzzTff8Hg8b2/vNm3azJ07d9y4cR4eHtbO7nnF4/Ho2hHwjLPNXt6//vpr0KBB5oxak0qlCxYsoKPOAQBsm0QiuX//vpOTk7UTAQCwNNscy+vv72/mbhQqlaqioqKx8wEAeBa89dZbK1assHYWAABWYJslr4+Pj5kTk3U6XVlZWWPnAwDwLJg6derixYtVKpW1EwEAsDTbLHnd3d21Wq2ZwaWlpY2aDADAMyIkJKRnz55+fn7WTgQAwNJss+QlhJjYMF0Pu2E3AIDNW7t27ZIlS+gOugAA9sNmS1668bo57t+/36iZAAA8U8aOHfvXX3917Njx2rVr1s4FAMBCbHORMkKIv7//5cuXzYm0+e2IAAD0VFRUvPHGGz179gwLC7N2LgA2bsSIEVOnTrV2FmC7Ja/5G7BVV1c3aiYAAM+gf//739gcFcACAgMDrZ0CEGLDJW9oaCiPZ9aqw3K53AL5AAA8UwICAp7fXcQAAB6VzY7lDQgIkEql5kQKhUJ09AIAAADYMJstef38/BwcHMyJFIlEmMEGAAAAYMNstuT19/c3M1IgEDx48KBRkwEAAAAAK7LZktfPz0+j0ZgTyePxUPICAAAA2DBbLnkVCoU5kVqtFgMbAAAAAGyYzZa8Dg4OEonEnEiNRoNeXgAAAAAbZrMlLyHE09PTnDClUoleXgAAAAAbZsslr6+vrzlhDMOUlJQ0djIAAAAAYC22XPKav99JWVlZo2YCAAAAAFZkyyVvSEiImZHl5eWNmgkAAAAAWJEtl7xNmzY1czeKioqKxk4GAAAAAKzFlkvegIAAsVhsTmRVVVVjJwMAAAAA1mLLJa+fn59QKDQnsrq6urGTAQAAAABrsfGSV6fTmRMpk8kaOxkAAAAAsBYbL3nr6urMiRSJRBjbAAAAAGCrbLnk9fLyUqvV5kQ6ODhgAzYAAAAAW2XLJS8hxM3NzZwwgUCADdgAAAAAbJWNl7xeXl7mhPF4PPTyAgAAANgqGy95/f39zQnTarUoeQEAAABslY2XvMHBweaEqdVqDGwAAAAAsFU2XvKGhobyeLwGw1QqFUpeAAAAAFtl4yVvQECARCJpMIxhmNLSUgvkAwAAAACWZ+Mlr5+fn4ODgzmRKHkBAAAAbJWNl7z+/v7mDGwghJSXlzd2MgAAAABgFTZe8vr5+Wm1WnMiMZYXAAAAwFbZfsmrVCrNiaysrGzsZAAAAADAKmy85JVIJEKh0JzImpqaxk4GAAAAAKzCxkteQoinp6c5YTKZrLEzAQAAAACrsP2S18fHx5wwkUiEsQ0AAAAANsn2S96AgABzwhwcHLDnMAAAAIBNsv2SNyQkxJwwgUCAkhcAAADAJtlFySsQCBoM4/F4WKcMAAAAwCbZfsnr7+8vlUobDNNqtejlBQAAALBJtl/y+vn5mdPLq1arUfICAAAA2CS7KHkZhmkwTKVSVVRUWCAfAAAAALAwuyh51Wp1g2EMw5SWllogHwAAAACwMNsveX18fMzccxglLwAAAIBNsv2SlxDi5uZmTlh5eXljZwIAAAAAlmcXJa+Xl5c5YVikDAAAAMAm2UXJ6+vra05YVVVVY2cCAAAAAJZnFyVvcHCwOWHV1dWNnQkAAAAAWJ5dlLyhoaHmhMnl8kZOBAAAAACswC5K3sDAQIlE0mCYSCSqrKy0QD4AAAAAYEl2UfL6+fmJRKIGw0QiEWawAQAAANgeuyh5/f39+fyG75TP52PPYQAAAADbYxclr5+fn0ajaTBMJBLV1NRYIB8AAAAAsCS7KHn9/f29vb0bDFMqlcXFxRbIBwAAAAAsyS5KXkdHR3M2E1apVBUVFRbIBwAAAAAsyS5KXkKIOb28dXV15lTGAAAAAPB8sZeS19/f35ywoqKixs4EAAAAACzMXkrekJAQc8LQywsAAABge+yl5I2IiDAnrKysrLEzAQAAAAALs5eSNzg42JwN2LAuLwAAAIDtsZeSNzAwUCwWNxhWVVVlgWQAAAAAwJLsqOQ1J0wmkzV2JgAAAABgYXZU8qrV6gbDxGLx/fv3LZAPAAAAAFiMvZS8/v7+crm8wTAHBwfsRgEAAABgY+yl5CWEuLu7NxgjEAhQ8gIAAADYGDsqeX18fBqMYRgGJS8AAACAjbGjkjcoKKjBGI1GU15eboFkAAAAAMBi7KjkDQsLazBGqVSi5AUAAACwMXZU8oaHhwsEAtMxWq0Wew4DAAAA2Bg7KnmDgoKkUmmDYXfv3rVAMgAAAABgMXZU8gYGBgqFwgbDysrKLJAMAAAAAFiMfZW8Wq22wTCs2AAAAABgY+yr5FWpVA2GPXjwwALJAAAAAIDF2FHJ6+LiwuPxGgyrrq62QDIAAAAAYDF2VPISQjw9PRuM0Wg0dXV1FkgGAAAAACzDvkpef3//BmPEYjGG8wIAAADYEvsqeYODgxuMEQqFKHkBAAAAbIl9lbzNmjVrMIbP52MDNgAAAABbYl8lb3BwsFgsNh2j0+nQywsAAABgS+yr5A0MDGyw5K2rq0PJCwAAAGBL7K7kbXCdMqVSee/ePcvkAwAAAAAWYHclr0ajaTCsqKjIAskAAAAAgGXYXckrk8kaDCsuLrZAMgAAAABgGfZV8vJ4PFdX1wbDMLABAAAAwJbYV8lLCPHx8WkwBtPXAAAAAGyJ3ZW8gYGBDcZUVVVZIBMAAAAAsAy7K3nDwsIajKmpqbFAJgAAAABgGXZX8kZERPD5Ddy1QCBA1QsAAABgM+yu5A0KCpJKpaZjRCIR9hwGAAAAsBl2V/IGBAQIhULTMQKBADPYAAAAAGyG3ZW8gYGBDMM0GIaSFwAAAMBm2GPJq1KpTMdotVqUvAAAAAA2w+5KXnd3d51OZzpGpVJhLC8AAACAzbC7kpcQ4uXlZTpArVaXlpZaJhkAAAAAaGz2WPL6+vo2GFNUVGSBTAAAAADAAuyx5A0ODm4wpqSkxAKZAAAAAIAF2GPJGx4e3mAMxvICAAAA2Ax7LHnDwsJEIpHpmIcPH1omGQAAAABobPZY8gYGBkokEtMxVVVVlkkGAAAAABqbPZa8AQEBPB7PdIxMJjNnxwoAAAAAePbZY8kbGBio1WpNx0ilUuxGAQAAAGAb7LTklcvlpmO8vLwwnBcAAADANthjySsUCqOiokzH1NbWFhcXWyYfAAAAAGhU9ljyEkKUSqXpAJ1Od+/ePcskAwAAAACNyk5L3gZ3o6irqysrK7NMMgAAAADQqOy05G3evLnpAKVSWVpaaplkAAAAAKBR2WnJ26xZMwcHB9Mxt2/ftkwyAAAAANCo7LTkDQkJkUqlpmOKiooskwwAAAAANCo7LXmDg4Mb3I0CAxsAAAAAbIP9lrxqtdp0zP379y2TDAAAAAA0Kp7dbqsrFApN78EmEolUKpXF8gEAAACARmKnvbyEEE9PT9MBDMPIZDLLJAMAAAAAjcd+S97AwEDTAWKxGLtRAAAAANgA+y15w8LCTAcIhULsRgEAAABgA+y35G3ZsqXpRRsYhkEvLwAAAIANsN+SNywszPTSvGq1Gr28AAAAADbAfkve4OBg0xuwKZVKlLwAAAAANsCuS17TC7TpdLrCwkKL5QMAAAAAjcR+S96mTZsqFArTMXfu3LFMMgAAAADQeOy35HV2djY9sIFgz2EAAAAAm2C/JS8hxMfHx3RAeXm5ZTIBAAAAgMZj1yVvcHCw6YDKykrLZAIAAAAAjceuS95mzZqZDpDL5Vqt1jLJAAAAAEAjseuSt3nz5kKh0ESAVCrFbhQAAAAAzzu7LnlDQkJM70bh4OCApXkBAAAAnnd2XfI2bdpUIBCYCODxeCh5AQAAAJ53dl3yBgcHq9VqEwEajQYDGwAAAACed3Zd8jZt2lQmk5kIUKlU6OUFAAAAeN7ZdclLCPH09DRxVK1WFxUVWSwZAAAAAGgM9l7yBgQEmA4oLCy0TCYAAAAA0EjsveQNCwszHVBcXGyZTAAAAACgkdh7yduiRQvTAZi+BgAAAPC8s/eSNywsTCKRmAh48OCBxZIBAAAAgMZg7yVvcHCwWCw2EVBdXW2xZAAAAACgMaDkDWYYxkSASCRCRy8AAADAcw0lb7BKpTIRIBKJMJwX4EnodLpff/11+PDhdItvDw+PNm3avPLKK5mZmVqt1trZPSt0Ol2XLl2cnJywSoxp3377rYuLy9atWx/vdJ1OFxcX5+7ufvfu3aebGAA84+y95HV3dzcdwOfzsRsFwGO7fft2XFzcqFGjfvvtN7Va3aZNm4CAgGvXrv3yyy9vvfWWtbN7hixcuPDYsWMzZ84MDg62di7Pips3b86ZM0ev8YsvvqitrW3SpMnjXZPP5y9btqy6uvr1119/4gQB4HnCM/21vj0IDg6+c+eOsaOurq4//vjj8OHDLZkSgG2oqKiIjY29e/dujx49Fi1a9MILL9B2lUp14MABnU6XkpJi3QyfEZWVlYGBgU5OTnfu3DE9u8B+MAzTq1cviUSye/dubvuWLVtcXV2TkpKe5OLDhg3bsmXL7t27+/Xr92RpAsBzw957eQkhQUFBJo7W1dWhlxfg8cyYMePu3buJiYnZ2dlsvUsIEYvF/fr1Q73LysjIkMvl6enpqHdZS5cuzcnJ6d+/v1770KFDn7DeJYRMnDiRELJs2bInvA4APEdQ8pKIiAgTR5VKZWlpqcWSAbAZxcXFGzZs4PP5K1euFIlEpoNXrFjB4/Hy8vIKCgr69evn6OgYEhJCD92/f3/u3LlxcXHOzs4ikSgiIuK9996TyWTsuZcvX+bxeLNmzbpx48aQIUPc3NycnZ27du36xx9/EEKys7MTEhJcXFycnZ179ep14sQJ7vv6+fn17t27trZ2+vTpAQEBEokkKipq6dKlDMMUFBSMHDnS29tbLBa3bdt23bp13BNVKtUvv/zSu3dvT09PBwcHf3//UaNG3bx5U+/itGJbtGhRaGgon8/PyMgwePsrV64khIwfP97gUaVSOW/evNjYWEdHR94/HTx4kBAyb948Ho939erV/Pz8fv36ubi4REdH03Nv3749a9asDh06uLi4iESi0NDQmTNn6nQ69uK5ubk8Hm/p0qX5+fmDBw/29PR0cnLq0qULfVC//fZbYmKim5ubo6Njr169rl+/zp6oVqvd3NyGDBlSWlo6ZcqUoKAgiUTSokWLtWvXEkJOnjw5YsQIX19fsVjcpk2bPXv2cO+ourr6xx9/7NOnj7e3t1Ao9PHxSUtLu3//Pj2anZ3drl276dOnE0KmTJnC4/FEIlFVVRUhJDk5mcfj6X2Ip0+fnjBhQlhYmFgs9vf3T0pKunDhAj2Ul5c3derUli1bSiQSqVQaExOzYcMGQkhSUlJgYGBWVha2lAewI4zd++KLL/h8U6X/mDFjrJ0jwPNn9erVhJDExERzgpcvX04I+emnn4KCgjw8PDp37sz+d0dHcwYFBQ0ZMmTIkCEeHh6EkCFDhrDnXrp0iRDSu3fvoKCgcePGLVq0aPz48TweTygUfvbZZyKR6LXXXlu0aNGYMWMIIS4uLnfv3mXP9fX19ff37927d2Ji4oIFCz788EMXFxdCyHvvvefp6fnSSy8tXLjw//7v/xwdHQkh27dvZ088fvw4IcTV1bVfv34jR44MDw8nhDRt2lQmk3EvHhsbS/Nv06ZNXFzc4cOH6987/aPa19fX4JOpqanp3Lmzg4PDuHHjFixYQAdZubi4TJw48csvv9RoNAzDdOvWzdXVdcOGDWKxOCoqqkOHDqtXr6anx8TECIXCLl26pKWldenShf5O27RpE3v9mTNnEkLS0tIiIyNnzpw5b948Wi63bt16zJgxkZGRn3zyydy5c1u2bEkIGTBgAHvivn37CCF9+vRp1qzZ1KlTFy1aROt7gUDw2WefeXl5TZ8+feHChX369CGEuLu701SpadOmEUKioqJGjBjRv39/oVBICJk8eTI9unXr1rfffpsQEh4ePnv27NmzZ//0008Mw9TW1orFYj8/P51Ox15q9uzZAoFAKBTGxcXFx8d7enpGRETI5XKGYR4+fEifVZ8+fUaNGtW8eXNCiFAoLC4uZhhm9OjRhJBffvnFyI8kANgalLzM2rVrnZ2dTZS8CQkJ1s4R4PlDe+k++ugjc4Jpyevh4TFq1Char7AqKyt37dql1Wrpyzt37tCqtKioiLbQkpcQsnjxYvasqVOn0sZVq1axjbQbdc6cOWyLr68vIWTQoEFsy5YtW+iJY8eOZRvXrFlDCOnbty83sa1bt7IFrkql6tChAyFk/fr13Is7Ojp6eXn99ddfJu6dLj4wePBgg0fT09MJIdu2bWNbAgICxGIxW0FWVFQIBAIXF5eoqKj8/Hy907Ozs8vKytiXdDDJvHnz2JaYmBj6W469F1rNE0L69++vVCppIy1wY2Ji2BNp2erv719QUEBbtFot/dsgLCzszp07tFGpVAoEAkLIw4cP2XPz8/MvXLjAvpw/fz4hJDk5mW359ttvCSEff/wx9162bdtGCJkwYQLbsmDBAvpnFftnjEajKSkpYQO2bNnC/jgplUpPT09CSG5uLvsWkyZNYgDAPmBgAwkODqZ9DMZgLC/AY6ioqCCE0JqS9f777ydw7Nq1i3tUp9MtX75cKpVyG93c3JKTk9mvYoKCguLj4wkhV69e5YaJxWLuHPzk5GRCiLu7Oy0ZuY3nz5/XS5X2KVLsfCZa0pk4cfDgwbTCI4SIRKIRI0YQQq5cucKNkcvlb731Vo8ePYhx9JSoqKj6h+7cubNu3bqOHTsOGjSItmi12pqaGmdnZ1pHEkKysrK0Wq2Dg0NmZmZsbKzeFXr37u3j48O+dHV1JYTQLlt6/TNnzjg7O69fv569F41GQwjx9PRcu3YtO7aYNoaGhrKXyszMJIT88MMP7NgwnU5Hh0xkZGSwcyToOnTu7u5ubm7subGxsdz71cuKELJz505CyIABA7j3Qt+Rbbxw4cIHH3zQokWLnTt3BgYG0kaBQODn58eeMmTIEPbHSSwWi8ViPp9Pu3tpAuzfSwBg80yVenYiODiY/jY3hh1hBgDmYxiGEMLj8biNZ8+e/euvv9iXdLABKykpycS6gRqNRi6X63Q6OrZBqVRyj0ZERHBrZW9vb0IIHUGr11hbW6t35TZt2rD/lkqlTk5OMpmMO8rf2In0NmUymUajoX3PelkRQhpc74X+hvHy8qp/6OjRoxqNpmPHjmzLpk2bampqPvroI7aFVoefffZZWFiYsbfQarW1tbUMw+Tm5opEooSEBNpOi8j09HR/f382+NixY7SRPmduY8+ePenLS5cuXb9+vXXr1tzpZadPn1YqlbGxsfRvEio3N1er1SYkJOj9JBBCGIapqanR6XRHjx4lhNAhEISQ2traP//808fHh3acs8G7du2SSCTs3LVPP/1Uo9HMmTOHLdaNUalUCoWitLS0pKSkU6dOtPimDxw7DQHYD5S8JDg4mDsVpj46bQIAHgn9EllvJxd2GtP06dOXLFmid4rBou3hw4dfffXV1q1bb968yRhfVJHbiaiXg576F6l/Lp/Pr9/IPVGr1X733XerV68+d+6cWq02lhUxclNclZWVhBBufcmifeR79+49ceKEj4/PgQMHpk+fHhMT8/7779MAtVq9d+9eV1dXg1PfMjMzf/jhh7y8vLKyMnbKWlJSEq3OyX/LZTqqlXsWIWTcuHH1G9kC97FPJIScPn16+fLlf/zxR3FxcV1dHW10dnZmC/Hs7GyVSpWSksKtkk+cOFFaWkqnNhJCysvLt2/f7u/vn5qaWv/GCSFyufyXX35ZvXr11atXud0WbCcxfeD04QOAPcDABiIQCAz+z5Ll6empUCgslg+AbaCzoE6dOmX+KfUXdlCpVPHx8V9//XV4ePhPP/2UnZ2dk5PTu3fv+ucanIRav2fRoPrnNnjim2+++fbbb8tksrlz52ZlZeXk5Lz33nsGIxtcrYLWcAb/8E5ISEhPT79y5UqHDh1CQ0MnTZqUlpa2f/9+ti/80KFDVVVV/fr1c3Jy4p6o0WheffXVAQMGlJaWfvTRR7t3787JyUlLSyOcmk8ul+fk5Pj6+nbu3Jk98eHDh0eOHAkNDW3bti3bWFxcnJ+f36pVK7bnmxay7HALitbBejXozp07eTweuyDdypUr4+Li9uzZk56e/ttvv+Xk5MydO5cQ0qdPH3YQhTmjGrKystRqdf/+/Q1+7vfu3YuPj3/zzTd9fX0XLlxIf2xatWrFvQJ94HqjaADAhqGXlxBC4uLi6OQMg2pra4uLi02vZQYAeuiX4Pv27SstLeUOr3wk27ZtO3fuXJcuXfbu3csWN4sXL35qWT6W4uLiH3/80dXV9eDBg+xI2dOnTz/e1WhXtMEBVJcuXTpy5Eh6evrYsWMdHR2joqLosFcWrQ7rL177zTffZGRkpKenZ2Rk0PKdYZgpU6Zwg7Ozs5VK5ejRo7n1fVZWlkaj0btgZmYmwzBssfjgwYOjR4+Gh4dzx+MWFRXl5+e3adOGO9736tWrV69e7dChA/0BOHXq1Ouvv962bduDBw+yHQ2bNm3iZqXT6Xbt2iUWi/UW39W709zcXEJIXFycwUc6ceLEvLy8VatWsZ3fRUVF165dCwkJYZdvMzGeBABsEnp5CSHE9PLvAoGgpKTEYskA2IZmzZr17t27rq5uypQp3IVgH8mNGzcIId26dWPrXYZhzp49+9SyfCy3bt1iGKZly5bcmWGPXfLSmV63bt3Sa1epVMnJyYGBgRkZGYmJiZ06ddKrdwkhmZmZAoGAzq5j6XS6+fPn83i8uXPnsuXs77//fvHixdatW7MDLQx2phqsofUid+/erdVqDfbCmj6RdujOnDmTrXeLiorWrFnD5/PZbuD8/Px79+517dqVu5DO3bt3T58+HRMT07RpU9pCB8wY7KO9dOnSjh07oqKiuIM95s2bp1fK3759mzS0FREA2BKUvIQQ0qZNGxPfY+p0uuLiYkvmA2Abli5dKpFItm7dOmDAAL3lDuhE/gbRmpI7OmLu3Ll6Oz5YHs2qoKCgpqaGthw8eFBvrwrz0XEFR44c0Wvfs2fPrVu3XnrpJWO/nS5fvlxQUNC5c2e9Ics3b94sKSmRSqVsF+a+ffvoyhVs9ckwzO7du/U6UzUazZ49e7jDagkhCoVi//79Xl5e7PgHvTEGlIkCmm2k98iurnDjxo2+ffvK5fIOHTqwfzzk5eURQoKDg7nXqf+OAQEBhJBNmzaxk49ra2vpnw10Mhz7LlqtdsGCBUuXLtW7wuHDhwkh3bp103+sAGCjUPISQkjz5s1NzPlVqVQoeQEeQ6tWrXbt2uXh4bF79+7o6OjAwMD27dt37NgxNDSUroraoKFDh/r6+mZnZ3ft2vXVV19t3779l19++eqrrzZ25qY1a9asT58+Dx48iI2NnTBhQp8+fXr27Dl58uTHu1qrVq28vb2vXr2qtx6iSqUihHz++eedO3fu1q1bjx49hgwZQjcPowxWmYQQNzc3gUAgl8u7dOny6quvduzYcdy4cXQ9BDY4Ly+vpKQkMTGROwj40KFDlZWVSUlJ3C++9u1twR8vAAAgAElEQVTbp1AokpOT6bJoarV6z549rq6u3JXX5HL5/v37vb29O3XqxDbSYcHBwcF06V/y31EEo0ePnjBhQt++fWNiYmjFyb0F2p3/66+/vvzyy4MHDy4vLzd4p+np6XRdttDQ0MTExDZt2nh7e9MVx+i77Nu3LzU1dcyYMS1atNi+fXtsbKxeKX/o0CFCiOn14wDAlqDkJYSQ8PBwBwcHY0dVKlVhYaEl8wGwGYmJiVevXp05c2ZsbKxMJjt9+vSFCxccHBwGDBgwb968+oNQ9Xh4eOzdu7dXr17nz5/fsmWLt7f3kSNHuOvvWsu6devS09Nramo2bNhw//79X3/9deHChXpzyMzE4/HoYm2//PIL21hUVHT69Gk+n69UKo8fP37kyJFDhw5t27Zt9OjR7KoXxgbyent7Z2RkREREnDlz5sCBA506dTpz5kxgYCC3JDUxgMF0I50w99JLL3F/Z+7bt0+pVPbr1487mYwOC2ZHLBBCfvrpp7i4uHv37u3cudPFxeX48eO0EOe+48iRI5OSkvh8fmZmJp/Pb9KkiVwuP3DggK+v74svvsiGxcbG7tq1q0uXLg8fPjx8+LBSqZwyZUpiYiIhZMCAAe+++66vr+++ffvOnj07efLkAwcOaLVabil/5syZ8+fPR0dHt2vXrqHPBwBsBM/Eoj/2o7CwMCoqysRSZQMHDvz9998tmRIA2I+CgoLmzZs3b9788uXLhJC9e/cOGTIkNTX1008/bdasmUAg0Gq15eXlr7322q5duzIyMvQWAoNHNXny5OXLl//www8TJ060di4AYCHo5SWEkODgYNPLkN25c8diyQCAvWnWrNmECROuXLmycePG2traMWPGBAcHr1u3rkWLFnQ4Ad1UjHascnemgMdQWFi4Zs2aVq1avfLKK9bOBQAsByXvf3BnXtdXWlpqsUwAwA4tXLgwNDT0vffe27t3b0VFRXR0tN6Ks99999327dtHjx5N15eFxzZjxoy6urq1a9dKJBJr5wIAloOBDf/RqVOnv//+29hRiUSC3SgAoFGVlpaWlpbW1tb26NGDx+MNHDiwbdu2SqWytLT0wIEDd+7cGTx48Lp167B7wpOgi9w5OjpGRkZaOxcAsCiUvP8xfvz41atXGzvq4OBQWVnZ4E7uAABPbvPmzQsXLrxw4YJcLnd0dAwNDe3cufPo0aPj4+OtnRoAwPMKu6/9R1RUlIODg1qtNnhUIpEUFxc3a9bMwlkBgB0aPnz48OHDrZ0FAIBNwVje/4iIiDDxdSE2YAMAAAB4fqHk/Y/w8HBswAYAAABgk1Dy/kd4eLiJCWpKpRIlLwAAAMBzCiXvf7i6uprYgK2urg4bsAEAAAA8p1Dy/k9AQICJozdu3LBYJgDPnbKysq1bt1o7CwAAAMOwYsP/NGvW7Nq1a8aOYgM2AGPS09O3b98eHh6+aNEia+cC8ERGjBgxdepUa2cBAE8fSt7/adOmTVZWlrGjZWVllkwG4HkxceLEhw8fXrx4Ed+EgA0IDAy0dgoA0ChQ8v5P8+bNHR0d5XK5waMPHjywcD4Az77Lly8fPnz40qVLpKGhQQAAAFaEsbz/ExYWJhKJjB3VarUymcyS+QA8+9LS0kaPHm3tLAAAABqAkvd/wsPDNRqNsaMSiQS7UQBwXbx4UavV9ujRw9qJAAAANAAl7/+EhYXV1tYaOyoQCLA0LwCXs7NzVVVVfHy8tRMBAABoAEref2jSpImxQ1qtFiUvANfatWvHjh1r7SwAAAAahulr/xASElJeXm7wkEqlwsAGAJZSqczIyNizZ4+1EwEAAGgYenn/oWXLlsYO1dXV3b5925LJADzL3nrrLYlEEhkZae1EAAAAGoaS9x9at24tFBrt+cayowCs8+fPr1q1ytpZAAAAmAUl7z9EREQ4OjoaO3r37l1LJgPwzJLL5efOnevYsaOZ8V988QWPxxs5cuQjvcu2bdtcXFyWLl1qOmzFihU8Hm/mzJmPdHELMDN/07Kysng8XmPsBzZ69GgPDw/Tv9bkcrlUKvX392cY5qkn8ITMyd/2VFRUtGvX7oUXXnjsK2zfvp3H47377rtPMSuA5wJK3n8IDw/n8XjGjmIDNgBq//79vXr1Mj9+3bp1hJCdO3fW1NSYf9aZM2dqa2tPnDjBtmg0ms8///zevXvmX8Rifvrpp9zcXG5L/fwfVVVV1cSJE318fL744osnTvAfLl26tGHDBkKIu7s725iRkXH8+HFuWHZ2tlKpTElJMfGL0QLqJ2Ywf9tT/8Y3b9585swZLy+vx77mwIEDk5OTFy9efOzYsSdOEOB5gpL3H8LDw5VKpbGj9+/ft2QyAM+sAwcOJCYmmhl88uTJK1eutGnTRqFQbN261fx3mTZt2rfffjtnzhy2Zc+ePf/+97+fwZL3wYMHkydP1it56+f/qObNm1dUVPThhx8+9cIuIiJi+fLlR48edXZ2pi05OTkTJkzg8//xP4WdO3cSQgYMGPB03/2RGEysfv62x+CNp6amLlu27Ndff32SK8+ZM0en002fPv3JEgR43jDwT1Kp1NizcnBwqK2ttXaCANYXHR199uxZM4PfeecdQsjOnTv5fH5SUtJjv6lOpxsyZAgh5Ny5c9z25cuXE0I+/vjjx77yk1u8eDEh5JtvvnmK11QqlU2aNBGLxRUVFU/xsgbdv38/IiLC19dXp9OxjTqdzs/PTyKRyGSyxk7gkRKzB4194506dSKEnDhxojEuDvBsQi+vvoCAAGOHJBIJluYFKC8vv3TpkpOTkznBOp1u48aNfn5+KSkpXbt2PXDgQGlpKTfg8uXLPB7v66+/lslkkyZN8vLyEgqFBQUF5J+DdPPy8qKjo2kncXR0NI/H4/F43EsJBIK//vqrZ8+ezs7Orq6uvXv31vvedtSoURKJRKPRfPvtty1bthSLxUFBQdOmTautra2urn733XdDQkJEIlFISMjMmTP1NmJkGOb7779v166dVCr19vYeOXLkpUuX6KGHDx/27duXdphNnTqVJrZx40ZiZJDxzZs3J06cGBISIhaLAwMDk5KSDh8+bPDRbd++vby8PDU11eC32BqNJjMzc9SoUU2bNhWJRK6uromJifn5+dyY5ORk+gtt8+bN7du3F4lEb731FiFErVa7ubm5u7ur1WqNRjNx4sSmTZtev369rKyMz+fzeLwPPviAPvPS0tLevXtfv3591KhRPj4+YrE4Ojo6MzOT+y7z5s3j8Xhnz57dvHlzt27dnJycPDw8hgwZUlFRoVQq58+fT5+bp6fnuHHjFAqF3o3k5+enpaX5+fmJxeLWrVuzcyJNJMbNn72OSqX69ttvu3Xr5ubm5uTkFBUV9dlnn9FD1dXVP/74Y58+fby9vYVCoY+PT1pamulv7XJzc3k83vz589etWxcfH+/h4eHo6BgfH3/u3DlznjB9elOnTm3ZsqVEIpFKpTExMXQkBpfBnE3cOM0qJSWlwYs0eOPjx48nhKxcudLEQwCwMViXV19ERMT169cNHqIbsGFVJrBzfD5fKpWGh4ebE3zgwIGSkpLJkyfzeLwRI0YcOnRo48aN9b9RLS4uHj58+P79+9u1a1dXVxcSEqIXIBQKx4wZs2LFitu3b0+fPt3X15cQ4uLiwgbk5eV99dVXsbGx/fv3P3/+/P79+48cOXLmzJnmzZuzMSqVasKECcePH3/llVf4fP7q1auXLl1aWFhYXFwsk8neeOMNnU73008/ffnll3K5fOHCheyJb7755vfff9+0adOUlJSioqJNmzZlZWUdPHiwXbt2DMMkJCTU1dXl5OSkpqZ27tyZEBITE2PwaZw7dy4+Pv7hw4dBQUHdunUrKyvbv3+/sYl3OTk5hJCePXsaPJqZmTl48OCAgIAOHToIhcI///wzJyfnlVdeuXDhAg2QyWQHDhxISkp65513li1b1q5du6ioKFqQHTx4sLq6euTIkQ4ODuXl5REREaGhoRcvXnz99dfDwsIIIbQ3nY5q0Ol0nTp16tixY0JCwrFjx86fPz9y5MiysjJ2RAENW7BgQUFBQWpqap8+fRYvXrxt2zaRSHTlyhV3d/fRo0fLZLJFixatWbOmbdu2M2bMYO/i66+//vDDD/38/Lp27VpdXb1v374JEyb4+vqmpKQ8fPjQWGLc/Ol1bt26lZKScvHixYCAgPbt21dUVJw/f57tv/jkk0+WLFkSFRXVq1cvuVy+Z8+ejRs3enp6Llu2zOCzZW9q/vz5zs7Oo0ePHjp0aHZ2dmZmZmpqakFBgUAgMP2EKysrX3zxRRcXl86dO8fGxubn5589e3bs2LEJCQn+/v6mczZx4/XHmTz2jdOfqwMHDhh7AgA2yNrdzM8c+iWsQS4uLuvXr7d2ggBWtn///sTERDODx40bRwj5888/GYYpKSnh8/lxcXHcANpd6uHh0bp168LCQu6h+iMWunbtSowMbCCE/PDDD7RFq9UOGzaMEPLuu++yYXS9iODg4KqqKtpSXFwsFosJIS1btmS/u79+/bpAIHB2dlar1bQlOzubENK3b1+FQkFbaKnUpUsX9uIff/wxqTewoX7+7du3J4R8/vnn7LfVxcXFWq3W4KNr27YtIeTMmTMGj5aUlOzfv5+9Dp0k5+joyAZs27aNEOLp6dm/f/+SkhLuudOmTSOE/Pzzz+zjoj24NTU13LB27doRQiIjI69cuUJbaKcjIeTq1au0paKigtZ/77//PnsiO3x51qxZbCOt7KdNm8a2fPPNN4SQt99+W6lU0ha6MMW4ceNMJ6aX//3790NDQyUSyerVq9kHUlRUxP47Pz//woUL7Onz588nhCQnJxt8sBT9oyUxMZH9wdDpdLS/49SpUw0+YYZhtmzZIpfL6b+VSqWnpychJDc315ycjd04zerOnTtP5cbpbqOlpaUmngOALUHJq2/FihXGhvOKRKIFCxZYO0EAK5s7dy63vjFBoVC4urr6+vqyVR3tW2JLKOa/JS8hJCcnR+/0Ryp5W7VqxW2kdSp36DAteT/55BNuGF1nTe+/69atWxNCCgoK6MuhQ4dyCx2GYbRarZubGyGkqKiItphT8tKqNCoqyszRmbQcr6urMyf4ypUrhJAXXniBbZkwYQIhpGPHjiqVSi84IiJCIBDcv3+fvqQjQPr27cuNuXPnDv2ld/HiRe6NS6VSgUDAzmr4+eefCSEvvvgi96ZmzZpFCOnZsyf3gh9++CEhZNGiRfTlpUuXxGJxr169uDE//PADIWTUqFEmEquff1paGiHkxx9/bPApUd9//z0hZMaMGcYCCgsLCSFOTk7FxcXc9r59+xJCDh48SF+aeML1+fv78/n8yspKc3I2eOM0K/rFgjkXqU/vxnv06GHwvzsAW4WBDfrCw8NFIlH9AWeEkLq6ulu3blk8I4Bny8mTJ2kV2KCdO3dWV1ePGTOGnXU+cuTInJycdevWscMNKW9v74SEhCfJKi4ujvuSfrdbf020Nm3a6L0vISQiIqJ+Y21tLX1Jx9ouW7aMdmdSdNGuGzdumBj9r4euNpWUlGTOgl8ymUylUrm6urLf3ZuIVKvVBw8eJIT06dOHNjIMs2vXLkLIypUrRSIRN/7SpUvXr1/v3r077Xck//26vH///twwOmA3LS2tVatWbOPly5cVCkWnTp3Ykdz03Pfff597U7Ri0xu+QhvZcRqffvqpSqXS6XSTJk1iY06ePEkIiYqKMpGYXv7nzp3buHFju3btaAFqAsMwNTU1Op3u6NGj3GdVH733cePGsYMQKLoGMG008YS5VCqVQqEoLS0tKSnp1KkT/UupwZxNfCLsqIYnv3E6RvzBgwemTwewGSh59YWHh2u1WmNHb968aclkAJ5BeXl5s2fPNieSLse7Z88eOj2cECKXywkh69ev1yt56YDFJ+Hj48N9SYtspt4GCrTm0MMWf1z0XK1WSxfk/vHHH+vHmFjTsD56HTNL5MrKSkKIh4eHwaPFxcU//PDD5s2bCwsL2dKccOqhEydOlJaW9urVKzo6Wu/c+uWUXi3FDXv11Ve5jbt37+aeq1ar9+7d6+Liwj1XoVAcOHCgSZMm3FlWlZWVR44cadq0Kf1qvqKigs5EzMnJoUOWudiazERibA4//fQTwzBvvPGGwQdFCDl9+vTy5cv/+OOP4uLiuro62ujs7GziTyz6FmPGjOE2VlZWXrx40dvbm/6BZOIJy+XyX375ZfXq1VevXuVOkmNvpMGcLXPj9KeL/qQB2AOUvPrCw8O5/wvRY287/QDoefjw4cOHD82Zu/bw4cOsrCxCyI0bN/Q26y4oKPj777+5m7eZ6Cczk0QiMSdMb5VTykS3q06nI4SIxeJHqm4NojW0mXs60G0gZTJZ/UO5ubkpKSkqlWrMmDGJiYleXl4qlSolJcXLy4v904LWTHRAsx69cqqwsPDs2bNt27YNDg5mY+Ry+YEDB/z8/Lp37849V2/61KFDh6qqqoYPH07HYFD79u1TKBQjRozgdopnZWVpNBq2XMvKylKr1ePHjzexZ7XBxOrnv2PHDkJIamqqwYusXLnyzTffDAwMHD9+fPv27V1cXHJzcz/44IM+ffpwc+aSyWQ5OTmenp4dOnTQu3edTjdgwAD6CRp7wvfu3UtJScnPz09NTZ00aVJAQIBQKJw8efKlS5fMzNnYJ5KTk+Pn5/fiiy8+rRunP10m1uUEsDEoefXxeDwvLy9j69dgAzawcydPnqRzsBq0efPmurq6Dz74QG8vhp9//nns2LHr1q0zf79iK3JwcPD29q6oqCgtLfXz83uSS9F+6JKSEnOC3d3d+Xz+w4cPGYbhVskKhWLgwIEKheLYsWNs/+KmTZt0Ol2/fv3Ygt7gN+OEkAcPHhw9ejQiIoIdrmBwswmDm649ePDgyJEjwcHBdF6dsXPNaaTDmvUGmegxeB29/MvLy2/evOnv72+w7/zUqVOvv/5627ZtDx48yPbub9q0yeCTYe3bt0+pVCYkJOj9dbRixQpCCDuKwNgTnjhxYl5e3qpVq+gqYISQoqKia9euhYSE0M/LdM7GblzvE3kqN07/N/ckG7kBPF+wLq8Bep0KXPiDGOzc119/rVKpzImkoxpGjRql1z5w4ECxWPzrr7+aGEFkjFAoJIRwV2O1ANrTSQsRY8xJjHbBmrksFI/HCwgI0Gq1dBoZa82aNaWlpenp6Wy9q9Fo5s2bRzhF0t27d0+fPt2uXbugoCC9y+7evVur1XLrHtoT/9JLL3HDDH6xnpWVpdVquY2ZmZkCgSA5OZltYRgmMzNTJBJxR8pqNJqsrCwnJyd2xz5zvkw3mJhe/uXl5cT4r+W5c+cSQmbOnMmWfUVFRWvWrOHz+XpL23LRD5qO52Zt3br16NGjvXr1ohMojT3hS5cu7dixIyoqiq13CSHz5s3j9nCbztnYjetV2E/lxm/fvk0Iqf9DAmCrUPIaQFfWNKioqAgjn8Ceubq6Tp48ucGwwsLCQ4cOtWzZki50pXeFvn373rt3jy6q8EhoP+vZs2cf9cQnQVda/de//sV934qKCvrNsvmJdejQoV27dmfOnJk7dy47yPj+/fvGNrihv4iOHDnCbaQvAwMD6cvq6upXXnnl5MmTIpGILZJowWqwI7N+LZuXl0f++Xc+nZglFot79+7NPVev9/Hy5csFBQWdO3fmdhOePHmypKQkPj6eu2Ty4cOHKysrk5KS2K/U6dDt9evXc0dunD59mruVRv3E6ufv7+/P4/Fu3rzJ3Xbk/PnzBp/VjRs3+vbtK5fLO3TooDfyW+/eCSHHjh2j484JIUePHp0wYYKrqyvt6CXGnzCdH8a+o1arXbBgwdKlS83P2eCNMwyze/dusViclJT0tG783r17165d8/T05E5PBLBtKHkNCAsLMzZLWiwW63W6ANiVy5cv0zW8TNuwYQPz33XB6hsxYgT5bzfwIxk4cCAhZOrUqS+//PLgwYOvXr36qFd4DImJiR9++GFZWVlsbGxcXFxSUlJMTIy/vz8tZah+/fo5ODisWbNm4MCBaWlp9Evk+jIyMtzd3T/88MOwsLDExMTWrVv7+/vTxRbqo2tIHTp0iNtI68uvvvpq7NixQ4cODQsL8/b2FggE3CrT4DfjhBC1Wr1nzx5XV1d6ZYp+fT9kyJD09PRFixYRQvLy8kpKShITE7kb7NFzuZOfTAxg0KsF60eOHz/e1dX15MmTISEhvXr16tGjR0BAQGxsLO0sN5ZY/fw9PDyGDh3KMEyPHj06d+7ctWvXgIAAduwBfVajR4+eMGFC3759Y2JiunXrZvDJsOiktFGjRtXV1UVFRaWlpfXs2ZN282/fvr1Zs2amnzB9x3379qWmpo4ZM6ZFixbbt2+PjY3VmzRmImczP5Env3H6c9W9e3czB5cD2ALLron2fNi5c6fBad2EEDc3t8zMTGsnCGA1fD7f2NYJXPRr90uXLhk8WlNTI5VKnZ2dZTIZXZe3a9eu9cPqr8vLMMzMmTODgoIcHByaN29+48YNY2H0sh07dmRbaP2dnZ3NDaPf8x46dIjbGB8fT/65EC/DML///ntiYqKbm5tQKPT39x88eLDepTZv3tyqVSuRSOTv779161ZjiRUUFIwdOzYgIEAgELi7u6emprLbOugpKysTiUTe3t7sTg0Mw9y7d2/gwIGurq6urq7x8fHbt2+ni0wtWbKEBshkMolE4uvrW3/13/379xNChg8fzm1cs2ZNcHCwg4NDRETEH3/8wTDMv/71L0LIsmXL6p87ePBgtoUWgtydDhiGiY2NJYRcv36d2xgZGUl3h+Y2njp1Kjk5mT5PPz+/5OTkVatWmU7MYP41NTVvv/12UFCQUCh0c3Pr06fP/v376aG8vLy4uDipVNqkSZNhw4adP3+eLhNhbHcP9t6///77EydOxMXFSSSSgICAiRMn3r59m40x8YQ1Gs27777r5+cnlUqjo6MXLFigVqvbtm3LfW6mczZ44wY/kSe88UGDBhFCtmzZYuxRANgeHlNvER+4du1a+/bt66/oSQiRSqULFy7kLiQJYD8uX748ePBgdvMIaGxjx479+eef169fTzcdgMYWGxt7+vTp27dvm5jRYQOKi4tDQkICAgJu3LjBXVgDwLZhYIMBkZGRxtYpUygUesstAdiPixcvstsEgAX861//cnR0/OqrrzQajbVzsX10Ulr9ZdFsz+zZszUazeeff456F+wKSl7D9Dbd4aIbewLYoQsXLpgzkBeelsjIyLlz554/f547bhgaicF1KmzPmTNnli9fPmjQoPT0dGvnAmBRWJfXsBYtWhibRo09h8FuXbhwYfDgwdbOwr5MmTKle/fuWB7RAoxNSrMxPj4+eXl5eptsA9gD9PIaRidhGGSsFAaweejltTwejxcTE9O8eXNrJ2Lj6IZzvr6+epuu2R5/f/927dpxV5EDsBPo5TWsTZs2Tk5OBnf7NLYxG4BtUygUGMsLtsrR0VGhUFg7CwBoROjlNaxFixbcFSK5pFIpOnrBDq1fvz4gIEBvF1YAAIDnAv7vZViLFi2M7aoqEokKCwstnA+A1VVVVdEtJAAAAJ47KHkN8/LyMrZ6i06nwwZsYIeuX7+OKS8AAPCcQslrVGhoqMF2hUJx+/Zty+YCYH0FBQXshqsAAADPF5S8Rhmbma5WqwsKCiycDIDVmS55y8rK/vzzTwumAwAA8AiwYoNRL7zwwtatWw1uenTt2jXL5wNgRQzD3Lx5Mzw8vP6h6urqhISEGzduBAcHu7m5WT43AHhaRowYMXXqVGtnAdAoUPIa1bJlSycnp6qqqvqHMH0N7I2JLt62bdt++OGHqamp2Isb4HkXGBho7RQAGgtKXqNatGih0+kMHiotLbVwMgDWZazk7dat2wcffDBp0iRCSEBAgMXzAgAAMAvG8hrVokWLmpoag4cUCoWxJcwAbJLB5Rq+//774uLiN9980yopAQAAmA8lr1E8Hs/Pz8/gIUdHR4xtALtisJc3Ozt7/vz5VskHAADgkaDkNSUyMtJgu0AgQMkLdqV+yXvu3Llz584NHTrUWikBAACYDyWvKbGxsQbbNRoNdqMAu3Ls2DGlUsltGTNmTPfu3a2VDwAAwCNByWtKdHS0o6Nj/XaZTHbr1i2LpwNgNc7OznorVZeWln711VfWygcAAOCRoOQ1pUWLFiKRqH47wzBXr161fD4A1lJeXh4SEsK+zMnJad26tY+PjxVTAgAAMB9KXlNatGhhbGWG69evWzgZAGspLy93dnaWSqVsy8aNG0eNGmXFlAAAAB4JSl5TTHRi3b1715KZAFjR7du3uV28hJC1a9cOGzaswRODgoJ4PB6Px8vPzzcY8Ouvv9KAhISEp5Iqq1OnTpGRkcbWGTSHTqfr0qWLk5PTMzhX9dtvv3Vxcdm6dau1E7G0J7zxoqIiFxeXjh07arXap5sYADz7UPI2IDg42GB7RUWFhTMBsBa9knfjxo0BAQGenp7mX2HTpk2P1P6E6urq8vPzCwoK7t2799gXWbhw4bFjx2bOnGnsl4AVffHFF7W1tU2aNLF2Io0oJydn48aNeo1PeOOBgYGffPJJbm7u119//cQJAsBzBiVvA/Sm7LD4fP6DBw8snAyAVeiVvNeuXXv55ZfNPLd9+/ZSqXTz5s31D9XW1mZlZfXo0ePpZMkhEol27dq1ZcuW+ttnmKmysvLf//53kyZNZsyY8XRzeyq+++67P/74w4ZXzCgvLx8xYkT9/vUnv/Hp06f7+fl99tln+AUOYG9Q8jbghRdeEAgE9dvFYvEz+HUnQGPQK3n37dvXu3dvM89Vq9X9+vW7cePGyZMn9Q7t3LlToVCkpKQ8tUQ5kpKShgwZ8tinZ2RkyOXy9PR0sVj8FLN6WoYOHZqUlGTtLBqLWq2eMmVKRUVF//799Q49+Y07ODiMGzdOoSYKtDUAACAASURBVFCsWrXqSa4DAM8dlLwNaNWqlZOTk8FDWJoX7AS35K2rqzt+/Lj5XbO1tbXDhw8nhsYwbNq0ydnZuf6lZs6cyePxVqxYwW38888/eTwedwBxaWnptGnTIiMjpVJpYGBgYmLid999p1ar6VE/Pz8ej6fRaLgXycjI6Nq1q4uLC11zbfr06cbSXrlyJSFk/PjxBo8mJyd7enqqVKo5c+ZERUVJJJKAgID3339fq9WWlJRMmzYtPDxcLBY3bdp03rx53BO3bt3K4/HeeOMNbuODBw+EQiG3Q/rhw4dfffXVCy+84O7uLpFIoqOjZ82aJZPJ2Hfn8XgnTpzgXmTHjh2pqam+vr5isTgsLGz06NFyuZwQotFoMjMzR40a1bRpU5FI5OrqmpiYaGxoNaVWq93c3FJSUo4dOzZ06FA/Pz+xWNy6devffvuNGzZv3jwej3f16tX8/Px+/fq5uLhER0fTQ7dv3541a1aHDh1cXFxEIlFoaOjMmTN1Op3eGxnMecmSJSEhIfRrgdatW/N4vI4dOz7dG6cfK/2IAcCOMGDS+fPnXV1d6z83iUTy7bffWjs7AEto167dqVOn6L8zMzNTUlLMPDEwMNDf37+2tlYqlYaFhXEPVVdXSySStLS0v//+mxASHx/PHvr4448JIcuXL+fG5+TkEEKGDh1KX8pksjZt2hBC4uLiRo0a1a1bN0dHxxYtWuh0Ohrg6+tLCFGr1ewVXnvtNUKIg4NDhw4devTo4eHhkZCQYDDt0tJSQoivr6/Bo7W1tWKxuFmzZl27dh0xYsSiRYveeustHo9HCPm///s/Hx+f0aNHL1q0iK1rT548yZ47btw4QsjOnTu5F/zll18IIdOmTaMv79y506JFC4FAkJCQMHLkyBdffJHP5/fs2VOr1bLv7ufnx96pQqGge+C5uLh07969U6dOEolk9OjR9Oi2bdsIIQEBAYMGDRo2bJi3tzchJCoqyuCtUfv27SOEeHl5ubm5TZkyZcmSJVOmTOHz+UKh8PLly2xYt27dXF1dN2zYIBaLo6KiOnTosHr1anooJiZGKBR26dIlLS2tS5cu9Dls2rSJPddEzosXL6adu7179549e/bs2bOzs7Of+o0HBAQQQu7evWviOQCAjUHJ2wC1Ws3nG+4LnzFjhrWzA7AEDw+PBw8e0H8PGzbsvffeM/PEwMBAb29vhmHoGIMTJ06wh2idt23btmPHjj1Gybtjxw5CyNixY9mAmpqaCxcusC/1St6dO3cSQsLDw2/cuEFbaI+swbTpggCDBw82eJTWUgKBYMeOHWxj3759CSFisXj//v1sY7du3eg9su/o4+MjlUrlcjn3giNHjiSE0MKOYZi0tDQ+n//XX3+xAbdu3WJTpe8+YcIE+lKn06WmphJC3nnnHZlMxj6KyspK+u+SkpL9+/ezZSLtInV0dDR4a9S0adMIIf7+/tevX2cbJ0yYQAhZtGgRfVlRUSEQCFxcXKKiovLz8/WukJ2dXVZWxr6kY1fmzZtnZs60L599II1x4/QtNm7caOI5AICNwcCGBgiFQi8vL4OHsBsF2IOamhqNRuPh4UFf/v3334mJieafzjAMIWTEiBHkn2MbNm3a5OLiQivFx0D/EHVwcGBbnJ2do6KijMXTYRKzZ88OCwtjr+Dn52cw+MqVK4QQY1fLzMwkhEyfPn3AgAFsIx1B8dFHH3EfDm0MDQ2lL3Nzc+/du9erVy/uCscajWbv3r2urq7x8fGEEIVCsWnTJm9vb+54j5CQEDZV+u7sW69cuXLHjh1jxoxZuHAhu1Wks7Ozm5sb/befn19iYiLthCaE0O+sWrZsaexBsW+xYsWK8PBwtjEyMpIQwi76lpWVpdVqHRwcMjMz62/M3rt3b+4Kj3pvajrnuro67gPRy+pp3Tj9cC9dumTiOQCAjUHJ2zD6u76+mzdvWjgTAMvjDuTV6XRFRUX9+vV71Iv0799fKpWyg0Grq6v37t07cOBAiUTyeFl16dLF19d31apV77zzTnl5eYPxx48fJ4T06dPHnIvfv3+fEGLwb12GYXbt2iUUCt977z22UaPR5OXliUSiKVOmsI0KheLMmTNeXl5t27alLbRo05uSdejQocrKypdeeomW73w+39nZ+d69e5MnT66srDT47hKJhE7hUqvVn376qVgsnj9/foM3JZPJKisrDx48aPo5XLp06fr1623atKF9qCy6Erm/vz99SXvNP/vsM/ZPiPq0Wm1VVVVlZWVubq5IJKJLLzeY819//VVTU9OnTx/u3zNP/cbph4tFGwDsCkrehnXq1MlgO/c3MoCtOnDgADuD89ixY507d36Mizg5OSUnJ9+8eZN+v7x9+3aVSkW7fh+Ph4fH3r17o6KiFi9e3LRp0wkTJpjYEFGr1d6/f18qlbq7u5tzcVprsh3bXCdOnCgtLe3Zsye3h/jw4cOVlZUpKSl0wCi1f/9+hULRr18/dmQULRP1Sl69RrFYvGLFCrFYvHz58rCwsDlz5rAT8rjvTvs1d+3aVVJSMmjQIGPd1cXFxZ9++mnr1q3pjD0PD4+JEycSTl9pfTSf+ovQHT16lPz3l6FaraYdsQan92VmZqampgYEBIhEInd3dw8Pj+vXr8fHx7u4uJiTs15vbiPdOP1w6/9RAQA2DCVvw6KiotgvzrjOnj3L/b8RgE06efIk+0XH8ePHjf0F2CDuug2bNm1yd3d/6aWXzD9dqVTqtcTExJw5c2bz5s1t27ZdtWpVdHR0/Z0LKDq4gv2Ou0H0v3d2hQQuWpANGjSI20jLRL1uUdrI1liFhYVnz56NjY0NDAzkJrZ161Y+n5+cnMw2jho16sqVK+PGjauurv7oo48GDBhA8yf1ykE6oFnvfVm5ubkxMTELFy6Mj4/PyMg4cOBAVlYWn89v0qSJiQ+Rpq3XkV9YWHjq1Knw8HA6ZfDQoUNVVVX9+vXTW81Go9G8+uqrAwYMKC0t/eijj3bv3p2Tk5OWlmZ+zjQBvQfSGDdOP1zuCBMAsHkoeRsWExNjsEPX2dnZRMcSgG1gGIb9Ovixe3kJZ2xDZWXlH3/8MXDgQJFIZDCS1qZ6a1oZ3EdNIBAMGzYsNzd3/fr1Wq12woQJBr+qFgqFHh4ecrm8urranFTpxnJ0eIMeYz21fD6fu8AwwzCZmZkODg5sWb9r1y5CiF4lt2/fvtu3b3fu3JnbPUwICQkJobWag4PD3r172SGneu+em5tLCImLi6ufp0KhGDhwoEKhOHLkyHfffTds2LCePXtWV1frdDpux7OeBw8eHDt2zMnJiV1ujPr+++8Zhnn11VdNPARCyDfffJORkZGenv73339PnTr1pZdeio+PP3PmjJk5E0IuXLhw8+bN+g/kqd+4ibErAGCrUPI2rG3btrW1tfXbeTweSl6weXfv3g0KCqL/fpKSl45tuHXr1scff1xXV2diVAP9Bry4uJjbqLcaq560tLTBgwfL5fKzZ88aDKDde/v37zcnVXq/t27d0mu/e/fu6dOnY2JiuFsQX7ly5dq1ax06dODugpufn19cXNyjRw92NtWff/5JCGnfvj0bo9PpPv/8c2KodqTi4+NDQ0Np9yT33Zs2bUoD6J8BBrsq16xZU1pamp6ezhavGo2GLhJsYlTD7t27tVqth4cHtyYuLCxcsmSJl5fXW2+9RVsyMzMFAoFe+a7T6ebPn8/j8ebOnct2qP/+++8XL15s3bo1O+TXRM6EkKysLEKIXvd/Y9z47du3yX8/aACwEyh5GyYSibizj1lKpRIlL9i8oqIi+l38jRs3eDweXdD08dAy97vvvvPw8DCxhxad77Vhwwa2U/bUqVMZGRncmBMnTlRUVLAvVSrVxYsXCSEG/1MlhLz++uuEkA8++IDdNJFhmPPnzxsMpmX9kSNH9NoNDjPVG8BgrJEW0CUlJfSlUqmcNGnS4cOH2bDKyko6Xpa1fv36a9euDRkyhJa89d+dfhbr169nW4qKimg/N02eHURRXV39yiuvnDx5UiQSmRhPQtMuLi5m+5WLi4sHDhwok8mWLVtGy/fLly8XFBR07tz5/9k774AozufhP3t33MFRjl6VLgooRQFFEMECiojGQrOgYu9RE40aWzTYEjXRGMWoGGNij4oFUYmNSJGiSJFmQbpIr3e37x/PN/vub+9AqhfNfP5iZ5/n2dnnjr3ZeeaZwb5wiry8vMLCQgUFBcp1euvWreDg4LbrjBBKSEhACNHfKLrpxvHM4yxyAAD8V5BRcrSPjJZ+JObNmydr1QCge+Hz+Tjv6dq1a01NTdvV18DAQENDgzqsqanBYbKzZs2ihJJ5eZubmy0tLRFC+vr6kyZNwpv38U4pKi/vypUrORzOwIEDp0yZMnnyZGzi0GtkSJaiwGUguFzu4MGDnZ2dtbW1e/fuLVVtsViMF9aLiorocuzXjI2NpQtxNrGUlBS6sH///gghel5bHNLK4/F8fHywFevn58fn86kKHb/99htCyMzMbPLkyUFBQXgEZ2fnsrKylq6+f/9+/CCytrZ2d3c3Nzfn8Xg4MS3OrauoqDht2rQJEyaoq6svXryYzWaPHDlS6i2TJNnU1CQQCHr27Onv76+iojJhwgRfX19FRUUWi/Xdd99RzbDHdMeOHYzupaWluDa7o6PjzJkznZycDAwMcKmIhw8ftkVnapZ69Ogxffp0KgVvl994aWkpQRBqamq4ugcAAP8RwORtE5s2bcJPcwaurq6yVg0AupHy8nI1NTX894YNGzZv3tyu7gyTl/ynBMCNGzcoiaTJS5Jkfn5+YGCgpqYml8u1trY+ePCgUChUUFCgTN7Hjx8HBATo6uriOF07O7tvvvmmvr6eGkHS5BWLxYcPH3Z0dOTz+XJychYWFt9//31LmuNaxLt376YktbW18vLyOjo6VHUDkiTLy8vZbLahoSG975s3bxBClpaWdOHr16+9vb2VlJT4fP6gQYOOHTuGc6stWbIEN3j48OHw4cPV1NQ4HI6Ojo6Li8vPP/9M3ZHUq5MkuW/fPktLSy6Xq6CgMGDAAKp+R0lJybhx41RUVHCC20uXLmEn6L59+1q6ZRz1ERgYWFpa6uvrq6SkpKqqOnbsWLrBSpLkkCFDEEL0qh8UJ06cMDMz43K5RkZGS5cuLSsrW7p0qaamJsOybElnkiQTExP79evH5XJ1dXW3b9/eTTe+d+9e+swDAPAfgSD/2QsMtMLly5enT59eWVnJkOvr6+OfNwD4JElNTQ0MDHz69ClCaPz48TNnzhw3bpyslfoQZGdnW1hYWFhYZGRkyFqXD8Tnn3++d+/e8PDw6dOny1qX7sXa2jo9PT0jI8PCwkLWugAA8OGAWN42YWNjIxKJJOXFxcUfXhkA+GDQ964lJyfb2dnJVp8Phrm5eUhISGZmZkuJzz49IiIiJLODfXqcP38+LS0tODgY7F0A+K8BXt62oqCgIJkZVFFRMT09ndpHDACfGEeOHImNjQ0LC6uoqDAxMXn37p2sNfpwVFdX29jYNDc3Z2RkKCkpyVqd7iUjI8PS0tLFxQXv6/pUqaurw2HiT58+xSWIAQD478CRtQIfDebm5pL7u+Xk5HJycsDkBT5VqHQNKSkptra2slbng6KsrPz3338XFRUxMgR/kkjNO/HpIRKJLl26pKOjA/YuAPwHgcCGtuLo6CgpbG5uhjxlwCcMFdjwn4pqoNDV1bWzs/svmEf/EZNXWVnZzs5OT09P1ooAACADwORtKwMHDpTMfF5XV5eZmSkTfQDgA0CZvP9BL+9/inv37pEkaWVlJWtFAAAAugsweduKjY2NZH1UkiTxZnYA+CShAhvu3LnDKBAAAAAAAB8RsH2trdTW1qqpqTU3NzPk5ubmWVlZMlEJALobdXX1nJwcNTU1OTm56upqeXl5WWsEAAAAAB0BvLxtRVFRUU1NTVIOeXmBT5Wqqir8ppeXl9ezZ0+wdwEAAICPFzB520Hfvn0lhSRJUgXiAeBTIisrCyfnSk9Px6mdAAAAAOAjBUzedjB48GAWizljPB4PkjYAnyQNDQ3Y0m2LyVtcXJySkvJB9AIAAACAdgN5eduBvb29kpJSVVUVXSgWi3NycqSmMAOAj5qioiJdXV2EUFpamouLS0vN3r175+Dg8PbtW319fQ0NjQ+oIAB0MX5+fkuWLJG1FgAAdAtg8rYDGxsbyd1+dXV1sH0N+CQpLCzEGUzT09Nnz54ttU1qaurPP//s4OCwZ8+e3NzcD6sgAHQxOD8JAACfJGDytgNzc3PJmsMikUiyKhsAfAJQXt6WAhv+/PPPtWvXTp48ef/+/QghfX39D60iAAAAALQNMHnbh5mZWUZGBkMoKQGAT4DCwkIXF5eioiJ5eXlVVVXG2ZqamqCgoOvXrw8dOlQm6gEAAABA24Hta+3DwcFBUvjq1asPrwkAdDfYy5uWlia1KJeFhcVXX30F9i4AAADwUQAmb/sYOHCgZHbS6urqxsZGmegDAN0HjuWNjIxUVFRknEpPT1dVVf36669lohgAAAAAtBcweduHra2tpMmrqKgIecqATw/s5c3IyDA3N2ecWr9+/datW2WiFQAAAAB0ADB524eNjU19fT1DSBAEmLzApwf28opEouHDh9PlSUlJt2/f1tbWlpViAAAAANBewORtHwKBQHKRt6GhITs7Wyb6AEA3QaVryMrK6tWrF/0Uh8PR1NR0dXWVkWoAAAAA0G7A5G031tbWDEljY2NaWppMlAGAbqIVk/fEiRPz58+XkV4AAAAA0BHA5G03gwcPJgiCIQSTF/jEwFENOTk5pqamjC/8oUOH5s2b15ZBevToQfwDn883NzefMWNGcnIyvc3PP/9MEMT69eu7Uvuu44svvlBTU4uNje3MIKtXryYI4vz58+3qtWHDBoIgDh482JlLdx9BQUFqamr5+fkd637p0iWCIFauXNm1WgEAALQEmLztZvDgwWpqagwhBDYAnxjYyyvp4l28eLG+vr6ysnLbhxo2bJiXl9eAAQPKysrCw8MdHR0vXLjQ1foihFBOTs7OnTu7dsz4+PiKiorOlJuJjY397rvvPD09J06c2K6OV65cQQj5+Ph0+NIUx44de/ToUefHoUhPT//9998RQpIJm9vIuHHjvL299+7d+/fff3ehYgAAAC0BJm+7GTBggGQNtvLyckkhAHy8YC9vdnY2I11DZmbm7t272zXU6dOnb9y4cf/+/Tdv3ixZskQoFC5YsKA78vodPHiwy03egwcP/vzzz1OnTu3wCCtWrBCJRNu3b29Xr9evXycnJ9vZ2fXs2bPDl8ZER0eHhISwWF35tDczMzt48GBMTIySklKHB9m+fbtYLF6+fHkXKgYAANASYPK2GwMDAx6PxxAqKipCbAPwKSHVy1tTU/Po0aMO+x0VFRX37NljZmZWUlLSyVABSerq6rDfsWuxtLScN2+e5L98G0lKSoqJiXFwcLC3t29Xx4iICITQ2LFjO3ZdivLy8jlz5mhrazs6OnZyKDpcLnf+/PlSy1C3nX79+g0aNCguLi4hIaGrFAMAAGgJMHk7guSPh0gkevbsmUyUAYDuIDY29u3btwyT99y5c5MmTerMsGw228bGBiH05s0bhvzu3bseHh5KSkoqKiojRoyQXO+urq5es2aNiYkJj8czMjJasWLFu3fv8KkTJ04YGxsXFBS8ffsWhw736dOH6vjy5cuQkJAePXpwudyePXvOnz+/oKCAPjKOJ05ISMjOzh49ejSfzzcyMsKnAgICCIK4desWvf29e/d8fX21tLTk5eVNTU0DAgIYA1KEhYUhhGbOnCn17M6dOwmCeP78eWJi4ujRo5WVlfv164dPYZN39OjRe/futbOzU1BQ0NDQCA4OrqmpYQySmJgYGBioq6vL4/Gsra2PHj2K5UKhcM6cOT179szJySkuLmaxWARBrF69mup45coVHx8fLS0tHo/Xp0+fvXv3kiRJH9nb21tfXx8hdPbs2QEDBnC53MWLFyOEmpubBQKBqqpqc3Mz1bixsXH//v2urq44rY2VldXmzZvxqaqqqiNHjnh6empqanI4HG1t7cDAwLdv31Izg2cJAACgeyGB9rN7924ul0ufRoIgVqxYIWu9AKDLGDJkSFhYmLm5eVZWFiX09va+evVq2wcxMDBACJWWljJGRghdunQJH+LtWd7e3hwOx9HR0d/fHydFkZeXz8zMpHrV1tb2798fIWRjYzNp0iQLCwuEkJWVVVVVFUmSly5d2rZtG0KIz+eHhoaGhoYePnwYd0xNTdXQ0EAIDRo0KDAwcODAgQgh7MCmBsc6/PLLLz169FBTU3N2dp46dSo+5e/vjxCKioqiGoeHh+MgASsrq2HDhvXs2VNRUbG2tlbqDGDLOy0tTepZV1dXFRWV33//ncfjWVlZOTk5HT9+HN+svLw8zgRnaGjo5+c3bNgw/Kj5+uuv6SPs2rWLzWYbGBhMmDBhxIgRuE1ERARJkiUlJaGhobhY9Ny5c/G04Cmtr6/HgcUmJib+/v7e3t7Yjb1nzx5q5JqaGh6P5+Pjs3z5cjk5OUdHR1tb2/T0dJIk8QuAv78/1TgvLw9fSF9f38PDo1+/fgRBUB/BsmXL8HT5+fn5+PhwOByE0MKFC0mSfP78OULI3Nxc6vwAAAB0IWDydoSYmBiBQMB4eRgyZIis9QKALsPNze3u3bv0t+LCwkKCINo1iKTJ++7dO2VlZQUFhZKSEiyhMhJQFpJIJMK+5JUrV1Id165dixDatGkTPhQKhQEBAQihtWvXYgn2OGpoaDB0wGsyJ06coCTYD0r/h8U6qKmpBQQE1NXV0bszTN7i4mI+n8/j8SIjI6k2+fn5Um8fu5zV1dXFYrHk2bKyMjabraysbGVllZiYSD/1559/4jnZvHmzUCjEwkWLFiGEgoKCqGY//vgjQmjp0qUNDQ1YsmTJEoTQjBkzqJnU1tbm8XjV1dX08cePH48Q2rVrl0gkwpLr168jhHr16kW1uXjxIkJIXV3dx8ensLCQ3h2bsL/++it1m8bGxvLy8sePH6fu9M2bN9TfiYmJz549o7rv2rULv+TgQy0tLYRQUVGR1DkEAADoKsDk7QhisVjSX66lpSVrvQCgy+jTp8+pU6f4fD4l+eOPP+iOvbZAN3lramqio6Oxk3XDhg1UG2xuWlpa0jtGRUUhhEaOHIkPxWKxlpaWuro6Zf+RJIkDQC0sLPChVJP38ePHCCFHR0eGYg4ODgihlJQUug4CgeDdu3eMlgyTF5trCxYsaMvt49gMFxcXqWd//fVXbFPm5uYyToWEhCCEKE8zJjQ0FCG0Zs0afJiens7j8YYPH05vc/jwYYRQQEAAXYFRo0bR2+AoAsYtiEQiFoslJyfH0GHgwIGNjY0M9czMzNhs9tu3b/FhYGAgQujIkSMtz8T/4dChQwghalnMzc0NIRQdHd3G7gAAAB2DI2m6Ae+FIIjevXtnZmbShe/evWtoaJCXl5eVVgDQhZSUlPD5/EGDBlGSyMhILy+vDgyF3XgYBQWFzZs3b9iwgdEG26AUOIS0uroaH2ZmZpaWluro6GBPJwaX/s7NzW3l0jExMQghbFTR8fDwSEhIuHv3Lg4sxowcOfK9Kbdwqi9PT8/Wm2FwuCoOq5AE5yDbvHmziYkJXU6S5LVr1wiCoGJhMYmJiQghd3d3fLhp06bGxkaxWEwvC4JNfBxjgKSlOWtqatq4caO8vPyWLVvog9fX14vFYir9IkmSV69eRQiFhYUxgrjS09NzcnKGDBmirq6OEHr69Okff/xhZ2eHTeRWIEmyurpaLBbjD4WaQzw/5eXlrXcHAADoJGDydhB3d3eGyauoqPjs2bMBAwbISiUA6CqEQmFVVRVesKaEkZGRW7du7cBonp6ecnJyL1++TE1NnT9/vqS9ixDS1tamH+JgWfKf3VR4r1txcTF2EDJUFQqFODxUkqKiIoQQLiNHR09PDyHE2HPGMD2lUlxcjP6xyN9LRUUFQkgyjTdCqLm5OTIyUkVFRXJnW0JCQmFhoYeHh6mpKSUUCoVRUVFKSkrY5C0rK8O5jaOjo6OjoxkjUNakZNqHa9euFRQU+Pv7a2pq0rtgW5lKKxEfH19UVDR8+HBqOx0Fw4z+5ZdfSJJspTRJcnLywYMHb968WVBQ0NTUhIXUjVDzg+cKAACg+4CMDR3Ew8ODkY0fkjYAnwwlJSXa2tp5eXmUFZiamqqurt5GU4/Bb7/9FhERcevWLYFAsH///vT0dMk2rS+PYNvXy8tL+lpVC/YuQgjXjSP/byICSsKoKsdwZ7aiiWT9Ranw+XyEUG1treSp+/fvV1ZWjh49WlFRkXEK25STJ09mtK+oqBg5ciTeZ3b9+vXm5uaZM2dKnRAcPfLq1asnT57Y2NgYGhpS42AjWDLNHL4oZRzjZlKzczDM6MuXLyOEfH19pc5AWFiYg4PDjRs3goODz507Fx0dvWPHDoSQp6cnlfcNz4+CgoLUEQAAALoKMHk7iLOzMyOit6amhlFJFQA+UoqLi3V0dOgm78mTJz08PDozpo6OzqZNm5qbmxcuXNjevtgp++LFi/Z2xMHEhYWFDDmW4GHbBfZGSw4oFbz0j8MbGLRSWU3qKYZJGh8fjxDq27dvK1dndMHg6AhGmsWGhoYTJ04oKioGBQW1rl55eXlMTIyZmRnOyFtaWpqXl6enpyf1XSgpKWnu3LnW1tZPnjzZtGnT2LFj3d3d8YdIH7n18A8AAICuAkzeDmJoaCjpW4KE6sCnAfbyvnjxggps2LVrF96l1BkWL15sZWX1119/hYeHt6ujpaWlhoZGZmYmzmklFTabTRAEPVMsQmjo0KEIIZx6gg6WuLq6tksNhBAObr59+3ZbGvfo0QO1YKlHRESw2Wxvb2+GPD8/X2rRtStXrrBYrDFjxuDDtoQB4CQMov0JNwAAIABJREFUjPDryspKhBBjhWrHjh0lJSWrVq3C0Q6UDlh/OteuXROJRJTBWlpailp20GKH7vr166n8Nm/evMEp3qgbQQi9fPkS/TNXAAAA3QeYvB2HseEGIZSRkSETTQCga2EENjx69MjJycnZ2bmTw3I4HJxX64svvmjXdiUWi4V9wyEhIXSnaWZm5sOHD/HfBEFoa2tXVVVhEwpjaWk5fPjwxMTEY8eOUcJff/01Pj7eycmpvRXREELTpk2Tl5c/fPgwPYI2KytLar1xMzMzPI0Mr3BGRkZ2drazszN2A9ORGniA2zs5OVERz/hzOXXqFD1qIjk5GTtxMfgNnB7VQHWMjIykJMeOHfvmm28GDx68bt26VnSgn6I8x3p6egRB5OXl0euGpKam4j/wR4Md7Qih3NzcUaNG1dXV0W+kpKQkKytLXV29k4XcAAAA3guYvB1n5MiRjOC/d+/e4V3kAPBRU1xcrKWlVVpaihes7927J5n0oGMMGzZs0qRJpaWl9DJgbWHdunXu7u4PHjwwNDR0c3MbNmyYubl5nz596KbnuHHjEELu7u7BwcG41AJCKCwszMDAYNasWYMGDZoyZcrgwYOnT5+uqalJN4Lbjp6e3oEDBxobG4cPH25ra+vu7m5qamphYdGS2xXX3bh//z5dKDXkoJVTksKZM2eqqKg8fvzYyMho+PDhbm5u+vr69vb29KUnvAVwwoQJwcHBe/bswUL85jBnzhwvL6+goCArK6tZs2YNHz78+vXrcnJyravX3Nx848YNFRUV6sugpqY2ceJEkiTd3NycnZ1dXFz09fWp1A04ViEoKCgkJGTUqFG2trbYrU4fGc/MkCFD2hgeDQAA0HG6Pu/Zf4b79+8zUhoJBIL4+HhZ6wUAneWLL7744osvqJS3Pj4+V65c6cA4UquvvXz5ks/nEwTx8OFD8p+cuOvWraO3wVvcBg4cSBc2NjZ+//339vb2CgoKXC7XzMxs7ty59AptFRUVfn5+6urq8vLy7u7ulLygoGDevHkGBgZycnIGBgYhISEvX76kjyxVB4xk9TWSJO/cuTNq1ChVVVU2m62npzd37lxGAQsKXFTCx8eHLsR2ML06AwYXXdPR0WGUrsDtqSzCmKSkJG9vb4FAwOFwdHV1vb29jx49Sm8QHh5uaGgoJydnZmZ28+ZNurxv375cLldNTW3EiBG///47/XIt6UCSJA7nmDx5Ml1YXV29dOnSHj16cDgcgUDg6el5+/ZtfCohIcHBwUFBQUFLS2vSpEmpqak4ywT9RnBRjPPnz0udPQAAgC6EICX2MgNtRCgU8ng8+iY2JSWl/fv3BwcHy1ArAOg8wcHBOjo6KSkpeAVcIBC8fv1aRUVF1np9fIjFYjMzs9evX7948QLCVRkUFBQYGRnp6+vn5uay2WxZqwMAwCcOBDZ0HA6HY2ZmRpdA0gbg06CkpKShoQHHfT558sTY2Bjs3Y7BYrG2bt0qEok6ltL40yY0NFQoFG7ZsgXsXQAAPgBg8nYKvB+cDk4eBAAfNSUlJdXV1Thdw8mTJxlboIB2MWXKlIkTJ4aFhUFGFzopKSkHDx4cP348LIsBAPBhAJO3U0gWpGCUZAOAj5Hi4uKMjIzGxkaEUGxsrIuLi6w1+rg5fvz448ePO1bI41NFW1s7ISHhxIkTslYEAID/ClBwuFM4OzszgqErKyvr6upw1SUA+EgpKSnR19fX0dFBCBUVFU2YMEHWGn3cKCkp2dnZyVqLfxd6enodKAUCAADQYcDL2ylMTEwYuXX4fH5aWpqs9AGAzvPu3TslJSUOh2Nra1tRUVFSUmJhYSFrpQAAAACgU4DJ21kGDBhAPxSJRM+ePZOVMgDQeYqKirS0tF69emVoaBgbGztw4EBZawQAAAAAnQVM3s4ycuRIKoU7QqimpiYpKUmG+gBAJ7l48WJlZWVBQYGBgUFcXJyTk5OsNQIAAACAzgImb2cZOnQoLkxP8fr1a1kpAwCdR0NDY/jw4ThLA3h5AQAAgE8DMHk7i4uLS2lpKV1y48YNWSkDAJ2nrKyMw+GAyQsAAAB8SoDJ2wXgcqAUbDY7OztbVsoAQCcpKysTi8WGhoa5ubmVlZVcLrf19rgAYXFx8atXrz6IggAAAADQbiBJWRfg7+8fGxtbV1eHD0mSjI2NNTc3l61WANAxSktLhUKhiYmJUCjU1dVtve7amTNnUlNTt2/fzufztbW1cV4zAOgkfn5+S5YskbUWAAB8UoDJ2wV4e3uvXLmSOqypqfnrr7+mTJkiQ5UAoMOUlZXJyckZGhqmpaUxEpIwmD59+oMHD0xNTS9cuNC/f//c3NwPpiTwaWNgYCBrFQAA+NQAk7cL6Nmzp5qaWm1tLSW5d++eDPUBgM5QVlamoKBgaGgYHx/fSgGFs2fPFhUV3bt3r0ePHlgC1cUAAACAfy0Qy9s1jBs3jl6T4vnz54yqbADwsVBWVlZWVmZoaJiUlGRvby+1zeHDh5csWXL69GnK3gUAAACAfzNg8nYNn332mUAgoA5VVVVjY2NlqA8AdJjS0tKCggJDQ8Pk5GSpXl4fH5/169cnJSWpqal9ePUAAAAAoAOAyds1DB8+vLq6mjqsr69/9OiRDPUBgI5RX19PkiRJkiKRqKamBqcqo7Nw4UJ9ff1nz57p6enJREMAAAAA6ABg8nYZLi4u1N+NjY23b9+WoTIA0DHKysqUlZUVFRWlungjIiLOnz9/+PBhLS0tmagHAAAAAB0DTN4uw9/fX0FBgTqMi4uToTIA0DHw3jV9ff1z584ZGRkxzm7YsAEqrQAAAAAfI2Dydhne3t5sNps6rKqqKisrk6E+ANABSktLlZSU+vfvHxERMXz4cPqp3bt3FxcXg38XAAAA+BgBk7fLMDY2pift5/F4/8EdbH379iUIYv/+/bJWBOggZWVlLBbLwMCgoKAgICCAkovFYqFQOH78eEjRAAAAAHyMgMnblfj6+lKpympqah4+fNixcRwcHAgaHA5HIBBYW1vPmDHjr7/+6jJ1AUCCsrIykiRZLFavXr3oqxYsFmvr1q07d+5s12g9evQgCELqcoexsTFBEEVFRZ3VWNZkZGQQBOHq6tp6s4sXLyorK//www8fRqsu5Pr16wRBSK2FVldXp6CgoKen91/Oyejm5kYQRHp6eittysrK7Ozs+vfv/8G0kiQmJkZXV3fFihWttPn8888JgoiIiPhgWgHAhwRM3q7ks88+oxy9IpGokzvYCIJgs9lsNlssFldVVaWlpYWHh3t4eKxZs6YrlAUAKZSVlTU1NdXX1/fr148u9/DwGDp0qKKioqwU+9hJSUmpqamJj49vY3uhULhly5aSkpJu1eq9VFZWzpkzR1tb+5tvvpE8GxUV1dDQMGbMGHpW8v8U5eXlMTExZmZmlpaWrTQ7e/ZsSkqKhoZGG4fNy8vbvn17Vyj4/9m3b19xcXHrOmzevFlPT2/evHkVFRVde3UA+DcAJm9X4unpWVVVRR0+efKkM6Nt2LBBKBQKhUKxWPzu3buoqCgnJyeE0I4dO9r+wwkA7aK0tLSurq68vLxv376UUCwW37t37+rVqzJU7GNn2bJl+/fvb7sdc+PGjY0bN8rc5N25c+ebN2/WrFmjqqoqefbKlSsIobFjx35wvf4tXLt2TSQSvXcGfH19Dxw4cPr06baMSZJkSEhIl5fwXLlyZXh4eOseExUVlbVr1xYUFISGhnbt1QHg3wCYvF3M4MGDqb/l5OQyMzO7ZFhVVdURI0ZERkZyuVyE0K1bt7pkWABgUFZWVlVVlZ+fT/fyhoaGfvXVVzLU6hNATU1t0aJFBgYGbWlMkuSxY8e6W6X30tjYGBYWxuPxpk+fLnmWJMmrV6/Ky8uPHDnyw+v2L6GNRr+BgcHChQvV1dXbMuYPP/wQHR3t4+PTBfrRcHJymj59Oj1aSSpTp05VUFD45ZdfGhoaulYBAJA5YPJ2Mf7+/vLy8vhvkiS7dgebqqqqiYkJQqi2tpYuT09PX758ubW1taKiory8vKmp6Zw5c168eMHo/uTJkylTpvTp04fP5yspKdna2q5Zs0YyBO3Bgwfjxo3T1NSUl5fv06fPunXrpC5yZWVlTZkyRUdHR0FBwdraeuvWrQ0NDTwer+23c+7cuVGjRuELmZmZLV269M2bN4w2+fn5BEE4ODgghP766y8vLy9VVVUFBYW+ffuGhoYKhcL3XsXc3JwgiJqamufPn/v5+WlqaiooKPTu3fvrr79ubGxECMXFxU2cOFFbW5vH45mbm3/11Vf19fWS49TW1m7ZssXKykpBQUFdXX3MmDGSLx6zZ8/GkXBVVVWff/65oaEhj8fr0aPHzJkz8a0VFhYuWrTI2NiYx+Pp6elNnz791atXHZ6ckydPEgSxbt06hND169cdHR15PB6fz4+Pj8dR4JLfAYTQ0KFDCYJYvny51OtiL29WVhbl5Y2Pj9+2bVt3h9PcunWLIAj6hjkMQRCamprU4c8//0wQxIMHD6KiogYPHszn8zU0NCZMmJCTkyMWiw8cOGBjYyMvL6+pqTl16tTi4mL6UJmZmcuWLbOwsMCzZG9vf/DgQXoDPPi9e/cuXrzo7OzM5/NVVFRGjBjx999/v1d/Npv98uXLwMBADQ0NeXl5W1vb8PBwycHXr19PSYqKipYtW9arVy8FBQUDA4Nhw4b99NNPzc3NCQkJ/fr1u3DhAkKoX79++KOkgp5ramo2btxoaWkpLy+voaExbtw4xpoPji3evXt3bW3t/PnzNTQ0OBxOeno6VqyyspLeWCwW6+npycnJSY23vnTpUmlpqa+vr9TV8ISEhKKiohEjRuTk5AQEBOD/oH79+jEiQZcuXUoQxO+//04XXr58mSCIkJAQSuLt7a2urt7Y2Lh9+3YrKyt5eXl9ff0vv/xSJBIVFhYuW7bM1NSUx+P17NmTEVBeVVV15MgRT09PTU1NDoejra0dGBj49u1bqkFzc7NAIBg/fvyLFy/mzZvXs2dPLpdrZGT07bff0scRCoUREREBAQG4gYqKyrBhwxITEyVvnD5yZGSkmpqapaXlihUrTExMpI4cFxdHEMSYMWMoyfPnzxcvXty7d2/8HXNzc8PflqioKDs7O/y/uWjRIoIguFwu9ZE1NjYeOHDAxcVFRUWFz+c7OztHRkYy9BEIBEFBQc3Nzdu2bTMzM2OxWOfOnUMI7dy5kyCIXbt2UY1fvny5detWJycnZWVlLpdrbGy8fv16sVisqqo6fvz4t2/fXrx4sZV7B4CPEhLoUnJycnr27ElN76pVqzowyIABAxBCGzduZMhra2tx6t+rV69SwqdPn1KXU1dXV1JSwn9ramq+ePGCanbv3j1si7NYLC0tLSqF8Lx58+iX2LdvHw7L43A4ysrKuI2ZmVl+fj69WVxcHHUW/5QihBwdHQcOHIgQ+vHHH1u/QaFQGBgYiLtjAwVfVCAQ3Lt3j97y9evXCCElJSVs3rFYLE1NTRbrf69qkyZNeu9kmpmZIYSOHz+urKxMEISGhgbV3dfX98yZM9jtgS0DLPfy8mIMUlRUZGVlhc8KBAJqhNDQUHoz/BO+adMm7CIVCATUO0CPHj0SEhKw6aCkpMTn87FcX1+/tLS0Y5Pz66+/IoRmzJhx8eJFSiUjIyOSJLHBylCPJMmSkhLc8u+//5Y6XRYWFnp6elwul5IYGxsfOnTovfMsFezUZNwgBif9LSwsxIdRUVEIIX9/f0Yz/NFQh9hIDQoK0tDQ+OKLL3bv3j1s2DCEkLGx8dy5czU0NNasWbNr164hQ4YghAYMGCAWi6m+o0aNQgjZ2NgEBAR4eXnhz/2HH35gDO7q6qqoqLhkyZI9e/YEBwcTBCEvL5+ZmdnSPeKXxgEDBhgYGJiYmPj7+7u5ueHP4sSJE4zB161bhw9ra2vxZ+Tg4BAQEODq6srn83v37i0Wi5OSkkJDQ/H8LF++PDQ0NDQ0tKamhiTJmpoavAXK3Nw8ICDA09OTy+XKyclduHCBoc/nn38+evRoLpfr5ORkZ2fX1NS0cOFChNCxY8foyt+/fx8hNHr0aKm3Nn/+fITQTz/9JPXs119/jRDy9vbm8/keHh6TJ0/G2Tz4fH51dTXVzMTEhMPhvHv3jt539uzZCKGLFy/iw5qaGvzC6eLi4ufnt2fPnsWLF+Ov/RdffKGtrR0UFLRnz5558+bhiX38+DE11LJlyxBCVlZWfn5+Pj4++L944cKFVAP8ampra6utrT179uw9e/YsWLAAD3779m2qGbbw9PX1x48fP2nSJPyiZWVlJfXeMXi3hpOTk56enr29fUBAgI2NDdaQPjJ+zzl48CDVS1lZWVlZeezYsRMmTOjduzdCaMOGDSRJXrhwYenSpQghU1NT/Ln/8ssvuFdubi71hQkMDMSVjzgcTnx8PONON23aNGTIEFVVVWdn5yFDhjQ3N5MkibdXpqenU41tbW05HM7gwYMDAwOpxckzZ86QJHn48GGE0OzZs1u5dwD4GAGTt+uhrwjTf63bjqTJ29zc/Pjx49GjRyOEJk6cyGi/YMGCgwcPlpSU4MOHDx9i02rRokVUG2wE+Pn5lZeXkyQpEokSEhKWL1+emppKtfn7779ZLJa8vPyRI0caGxtJknz8+DF+Int6etKVwUI7OztsCjQ3N//222+UGf1ek3fr1q0IIRUVlfPnzwuFQpIki4uLZ8yYgRDS0tIqLi6mWmKTFz/cFy1ahPMJ1NbWLliwAMtjY2NbvxY2eTkczpgxY7DhXlNTQy3UcjgcV1fXrKwskiQbGhq+/PJLLL979y59EDzzHh4e2dnZeISNGzcihLDHkWqGTV4Oh9OrV6+4uDiSJIVC4U8//URdS09P786dO3j+z507JycnhxDavHlzxyYHm7z29vYaGhq+vr6PHz8uLy/H94g9Yba2tozZCAsLwwZiS9MlLy9vYWHRv39/fHjr1q3hw4e3PsOt0E0mL4vFoowekUhka2uLEOJyudQvulAo7NWrF0Lo0aNHVN/U1NSkpCTq8M8//0QIWVhYMAZHCNFfLVatWoUQWrlyZUv3SK2TTJ8+HX9eJEnu27cP28GMwSmT9/Lly7gL1aC6uvrZs2fUIbZpnj59Sr/WF198gRCaM2eOSCTCkuTkZGVlZYFAgP+vKX3U1NSsra1fvXpF9cUrTvR/ZJIksUMxPDxc6q1hAy4lJUXqWVycr1evXtT7QHFxMX6hev78OZakpqYihIYOHUrviF3LPB4P2/HkP+Ymm82+fPky1Qy/ovB4PLr5iE03ylYmSTIxMZE+b9iR6e3tTUmwTaypqUl/1vn6+iKEDh8+TEkKCwtv375NvSNh3zmfz5d67xg8e3w+/8qVK1giFArxpNFHxt/P169fkyTZ2NhoZGRkYGBQVFRENYiPj6e+OTjDI/U9wZSXl5uYmKioqERFRVHC1atX4y8D407V1dXXrVtHVQ4nSbKsrIzNZpuZmdHHjIqKoj9MsBN6586d5D+fWp8+fVq5dwD4GAGTt+s5ceIE5WoVCAQt/WC0AjZ55eTkFBUVFRUV+Xw+i8UiCGLEiBEHDx6kO65aAm8+sLGxoSS4ggD9iSmJt7e3pAVGpUVLS0vDkkuXLuHfJ+qHDUOtmrVu8lZXV+O8FgyHk1gsdnR0RAitWbOGElImr7u7O71xY2Ojnp4ekubIZIBNXg0NDer3lSTJqqoq/BkpKCjQn/tCoRA76emTgAvpaWhoUFYFxt3dHb9FUBJqoZZuaZEkSbn96L/oJEkGBQVhS7pjk4NNXoTQyJEjKRsIU1BQgL2YdL8O+Y/tvnr16pamS1FR0djY2NzcHB+OHz+ebl60l/eGrnbM5HVzc6O3wb/9Y8eOpQsXLVqEEDpy5Egr6ikpKbFYLGrq8OCDBg2it7l79y6e4ZYGwSYmQRDUvZAk2dDQwGKx5OTkGJpTpgxe/Q8JCWlpWEmTVygUqqqqysnJMTym2Cjft28fXR+EUHR0NGPMPn36cDgc6t2YJElDQ0Mc7SBVB7xG0dTUJHkK/2NyuVzqsUCSpEgkUlBQYLPZ1P8afhDt3r2b3hdbk6NGjaIk+B+H8V4xYsQIhNCmTZvowkGDBiGE6K8uDA4dOoQQWrFiBSXBT4Dz58/Tm40bNw4hdOPGjZbGwdswqHc/qZibm0t+x7y8vOgj48glOzs7fIhDEegPDQa4O+MBMmXKFITQ6dOn6UL8ZKZ/M/GdLl++nDEmflBIyunglSX8gBIKhXhJjfFUAYCPHYjl7XqmTZtGBf7X1dV1OCKqubm5tra2tra2rq4Om7n5+fmvXr2qrq5+b1+cMYcen4fXHPG6ldQuNTU1+HHs7+9Plw8ZMgQ7I6kdxLjkrLOzM3akUUybNq0t93Xr1q2qqip5eXnGhQiCwL7MP/74Q7IXY3Aul4t9J5IRrlIZM2YMPcGWsrIyVt7d3V1bW5uSs9ls7LsqKCighDgYbuTIkWpqavQx8ZK65MZqQ0NDHOBBgd9hlJWV8UsFQ06/VscmZ+PGjVRgA0ZPTw9bDPT2VVVVeClWMmSWoqGhQUtLa+bMmQihN2/e3LlzB/+ud4bBgwcPlaBdYd8M6NkkEEJ4DRr/3jOENTU1kt2bmpoqKysrKiq0tLTEYnFTUxP9LP4CUOB3xff+0/Xo0UNXV5c65PF4Ghoazc3NOF5cksGDB+vo6Bw9evTzzz8vLS1tfXBMenp6RUWFjY0NI3mCh4cHQgib5hSampr4lYxOcHCwUCg8e/YsPoyPj3/16tWYMWPoNXQoamtrGxsbVVRU8L8/A2yyBwYG0pNzZWRk1NfXOzo6Uv9ruBljJxZjyxdJklevXuVwONh2xwiFwoSEBC6Xi19dMPX19TjVFxU/QEGSZFVVVUVFRUxMDELI09MTy9PT03NycqysrCZMmEBvHBsby+Vy6buN6TdeUVGB/6+pcSTJyMjIzs42MTGZNWsWfeTk5GT6yHgGqJvFU33p0qWffvpJcitCTU3NX3/9pa2tjZPzYJ4+fXrq1CkHBwc/Pz+GntSA1J3q6ent2LGDMWwre+xEIhH+X4iLi+Nyufg7w2azVVVVxWIxpCoDPjHA5O0WZsyYgU2Q5uZmxr6NtkMFNuBHT1RUlK6ubmhoqJWVVW5uLqPxq1evTp48uW3btrVr165Zs+bkyZMIIZFIRDXYtGkTQigsLGzo0KFSEwYnJSXh9t7e3uY0LCwsxGIxQojaQIOXvSTTquvo6NB3GrVEUlISQqh3795UIASFvb09QujFixeSRoC1tTVDgoM32ritmIrEpcC7py0sLKTK6ZZKQkICQujGjRvm/5cff/wRIYR9Zm25lqmpKWO7tOS1OjA5PB4Pu74Y4OANel6kq1evNjU19e7dm2HVUVRXV7PZ7IaGhj59+iCE0tPTeTwew77sAJcuXfpLArqB2F4EAoGkUOp2ePpHk5GRERQUpKOjw+PxVFVV1dTU8vLyJLswXmxw0GdLL4oU9BcnDH4CtNRRTU0tMjLSyspq7969PXv2DAkJycnJaf0S+B9Qct7wcgf9xQkhhPe5Mpg2bRqLxaLegs6fP49afv/B5g5jNiiwFUW39hBC165dQzQD9+3bt3///XevXr1wHBSjL9UsPj6+qKjIw8ODfmsPHjyoqKgYM2YM/ZFy+/bt+vr60aNHUy94ycnJ8+bNMzExkZeXFwgEampq4eHhSkpKlLmPr4W9pBSPHz8uKipyc3OjNiQUFBRs2rTJ2tpaWVlZSUlJTU1tzpw5qNVUDHjkGTNm0HMSP378uLi4mD4y42YHDRq0dOnSxsbGRYsWWVpa4k2KFFFRUY2NjYw8xzicl77VD4OfS/ixQF1o4cKFOKsPBd5jJxAIcGwbJiIiwtfXV19fn8vl4v+FnJycoUOHUmrjzx1MXuATA0zebmHZsmVUbMOrV6/a6MVpCYIgBALBiBEjbt68aWdn9+bNGxzSh6murvb39zc2Np42bdr69etDQ0N37NiBHZN0fH19z58/r62tff/+/REjRtja2v7+++/032MqA2hubm7O/wWbws3NzbhBeXk5+seLxqAtWXjwZmqp3SmhZDpSqWlBURtskda7Ux9TK8NiZSoqKhjTgj9WsVhMf7Xo5LU6MDnq6upSEw/hwigZGRnJyclYghccGP5jOuXl5XJycuXl5djkTUhIkPyh/ZC09D7DcGljWq+GkJGR4eTkdPr06bFjx54+ffr27dvR0dFS51nq4O+FytPSdmxtbVNSUs6ePWtjY3P06NF+/fpJdeFTtGR8Ywnj9hl2D8bAwGDEiBEPHjzAYQkXLlxQVlamZxKgg3dYMpLDYOrq6u7cuaOrq0u3opCEN/HatWtisZjh4s3JyUlKSrKxsTE0NMQS7AcdP3685FA44ral8cPCwhwcHG7cuBEcHHzu3Lno6Gjs4PT09KTWEFoZnBonLi7O1tb2+++/Hzp06LFjx+7cuXP9+nW8zVfqyyR9kMmTJ7cycl1dXXR0tK6uLo5Kwuzbt+/hw4dubm7Z2dkTJ06kp3eQ6o6V6imXbIybTZo0idHs/v37lZWVXl5e2FsvFApnzZo1duzYoqKir7766tq1a9HR0TiqgX5d/LlLvngDwEcNmLzdQt++fakoRhaLhbeqdB45OTm8PHf9+nVKGBgYeObMGRUVlb179+bm5uLAO2rtks6ECRPy8vJ++OEHExOTJ0+eBAUFjRo1ilr5pX44W4rfwtuqUAs/sZj3Jn1ErbrNKKHk4G0ZuRU60x1rhbdUS4VK9dD5a3Vgcloy0RQUFPDvHzakGhsb8demlaiGt2/fkiRZUFCATd7w8PDg4OCO3Uh7wTeF1xMourAQw969e6urq7/88ssjR474+fkNGzbM3d29LUnuuhU2mz1p0qS4uLhTp06JRKKQkBD8PikV/EgpLCxkyLEE+3rfS3BwMH4+ZGRkZGUbOWDzAAAgAElEQVRljR8/viWzRlVVlcVi4bhhximpRdfKy8sfPnxoaGhIRR1gI4wRzHP06FH0f60rhh+UErJYLLo5TpJkRESEnJwcjnZNSkqaO3eutbX1kydPNm3aNHbsWHd3d5yVjxoKl0YzNTVlLLzQjcX6+vpx48bV19c/fPjwp59+mjRpkoeHR1VVlVgspruTGbRUdI1hhrZUnW7w4MF3797Fxu7evXuxUCwWX716lcfj0fMcV1ZW5uTk6Orq4sg0isTExISEhIEDB+LZpvTB/7mtqPTjjz8eO3YsODg4NjZ2yZIlXl5eQ4cOTUlJYXwE+HvY9nJxAPBRACZvd7Fq1Srs1autrf3tt9+6ali89ldfX19XV4cQev78Oa6Jdfz48WXLlpmYmOBXecojy4DP5y9ZsiQrK+vAgQOKioo3b96k8rNijxe2eFrXAa95vXv3TvJUWxbC8BKwVM83JcQBlP8S8My0MWi4k3Tt5ODYBuzyv3XrVk1NjY2NTSuVUcvLy+vr642MjFgs1m+//cbj8SR/QbsJvKLK+O51YZVBHAtE7SNECL148eLfs24bGBj42Wef1dXVUSUb8XsU/R+5d+/eOjo6T58+ZZjFOIoXpzJ4L9j3f/XqVRyE0Mr7D0EQ+vr6IpGI2kJKwYhPxVy/fp1Rhwzvr8IB65iSkhKcwISyrvLz85OTk21tbSmnL0IoMzMzKyvLycmJ/lVPTEwsKChwc3PDYS3Yobt+/XoqyuXNmzfh4eF0Q1lqabT8/PykpCRra2sc+xEeHl5UVBQcHExl2hEKhTjhSStRDZI3KzkyasGap8Dvk1Q4R2JiYklJiYuLC305CJfzpOINMCRJfvHFFwRBUDXSWikCFxERwWaz8b5VsVi8a9cugiB27NhBWeF//vlnWloaXe2CgoLm5mYtLS2pawUA8PECJm93MWvWLGyVIomdJZ0B7/+Vl5fHy45paWlYjrewUEgNUqRgs9kLFy7E3gVqLdXOzg67NB48eNC6Djislp4PGFNZWUnF+7YCXuN7/vy55JopTvzes2fPf5XJi6OW3zstXULXTo6bm5uxsXFOTk5qaip+NWrFxEEI5efns1gs/Ns/d+5cet2E7sbS0lJOTu7Ro0fY4YQQKi8vxwHoXQJ+l8Ch0gihhoaGlopxfBji4+Ppu0sbGxvx/zIVE4wtIXrRcoIgFi9eLBQKV61aRcXSpKamHjp0SElJaerUqW25roKCgp+f36NHjyIjIzU0NFovnObs7IwQevjwIV2Id5vxeDy8P5KC4U2sr6/HTnrKLV1QUODr61tRUUHfniXVepa6vs8QYq2oxbTc3NxRo0bV1dU5OTlRcyh1cIaQMU5VVdW0adMeP37M5XKxO1kqUm1ZxsgkSV67do3y2j579oxeGoYkyS1btiCEqGTDODaXbvojhHR1dRUUFPLy8rKysrAExwHfuXNn1apV1GO/peAHvMfO2dkZ+2vz8vIKCwsVFBQo9+2tW7ew5U2fJfysa+NLFAB8RIDJ241MnToVv0krKyvTQxE6TFNTE95xQj2MqLdwesGw+vp6nH61dUxNTRFC1NquqqoqfjTv2bOHEZzKAOfLvHv3LsPxefbs2bZE1np4eGhrazc2NjKc3yRJHj9+HCE0ceLE9w7yIcEbpTMzMxllpbqDrp0cgiBwpoubN29KTcfBIC8vj8vl9unTJyoqysXFRTIusPtQVlaeMWOGSCQaPHgwTtFvbm4uuZ7bYXD1gQ0bNowfP37q1Km9e/fOzs4ePnx4lwzeAU6fPq2npzdo0KCpU6f6+fmZmZk9ffp0zJgx1BI8zqK1ZMmSKVOmfPbZZ8+fP0cIffnll+7u7seOHevdu3dgYODo0aMdHBxqa2vDwsLa/iIUHBxcV1d369atSZMmSc3GQIGd4rhcBUVCQkJhYeGwYcPoKVCam5tv3LhB3zemoKCgr6+PEMJVKkaNGtWrVy+8aDBmzBgqYKAV67b1PA/YaAsKCgoJCRk1apStrS1+KlINsEq4ttl7x/n222+nT58+ceJEExMTTU1NNptN38vFoI0jMyZq3759ZmZmjo6O06ZN8/PzMzExOXTo0Pz586kU43hOTp8+jT9xvKojJyc3e/ZsoVDo4OAwceLESZMmGRkZ/fzzz6tXr6YyM7Skj6RKAoGAzWbX1dUNHjx41qxZAwcOnDFjBs5KQf8I8CcuORoAfOyAyduNfP755ziDTGVlJX3jfAeor6+/f//+qFGjcNJNavta//798YNyy5Yt2HgtLCycPn06I+Dv5cuXwcHBt2/fpvYD5eXl4WIK9DQ9W7dulZOTi4uLGzduXEpKikgkampqyszM3L9/P93h4ePjY25u3tTUNHnyZLxeLBKJLl++TM8x1Ao8Hm/Dhg0IoZUrV545cwarXVpaGhISkpiYKBAIVqxY0eGJ6g4GDRr02WefIYSmTJly5MgRHN1YXl4eFRU1Z86cEydOdOG1unxycGzDhQsXcnNzHR0d8XtOS+Tn57PZ7D59+pw5c4aREekD8OOPP65evVpLSysyMjI+Pj4kJOTPP/+UzNTRMVxcXM6dO2dtbR0ZGRkVFTV8+PC7d++27uPsVoKCgiZNmvTy5cvTp0/funVLS0vrm2++oe86DQwMxKv2Z8+eTUtLw7Ypl8u9cePGtm3bcMW1uLi4ESNG3Lt3r3XnPQNXV1cTExOxWPzeXn5+flwu9+zZs/SkIlLtUbxHauTIkfTcc6dOnRowYEBFRcWtW7fk5ORu3LiBt6NRffE2OB0dHfrurnfv3jFighFCBQUFiYmJlpaW1Bf4l19+cXBwKCkpuXLlirKy8qNHj7DpRg3O2LZFv6Kmpia1L23dunXjxo1js9mXLl16+/btsWPHtmzZIhKJWopGaPvIkrka7Ozs0tPTz5w5Exsba2trGxERcfDgQcr69/f3HzlyJIvFioiIwJvnsHz37t1fffWVQCC4cuVKTEyMh4dHTEzM9u3bqcgEqfpI1UFTU/PYsWNmZmYpKSl37twZNGhQSkqKgYEBXe3m5uYzZ87Iycm160sFAB8H7UniC7QbKnOtmppa23vh6DeCINj/QH1eBEFs376d3phaF1NRUcGLoXw+/9atW2w2W0dHB7ehFsU4HE6PHj2oVS2BQMBI6n7q1Cmp8VvUUJhHjx7RKxvjKIsRI0Zgv+B7q6+JxWJc/hQhJC8vr6WlhZ/7fD4/MjKS3pKKI8QF0ujgxEOtJPPH4HStYWFhDDn28DGqHJEkiZf58C4finfv3jE2p1NQdUTJfxIJTZkyhTHmN998gxBycXFhyI8dO4b+KRFM0fbJwRnmDQwMWp8B6q3mu+++a73l6NGjFRUVHz58qKysXFVV1Xpj4COloaFBIBDgON33NsarBKdOnfoAigH/BrB3JigoSNaKAEDXA17e7mXlypV4VUssFlO5otoISZKif5CTk+vZs2dQUFBMTAyuNUWxf//+LVu2mJqa1tfXi0SiCRMmxMXFDR8+nJ5O1dDQ8Jdffhk2bJiqqmpRUZFIJOrXr9+CBQuSk5MZKVoDAwOfPHkye/ZsY2NjLpfL4/FMTU2nT5/OyC48cODAhIQEf39/LS2tmpoaIyOjbdu2Xbt2TTJFvFQIgjhw4MCVK1e8vLz4fH5VVZWRkdGCBQvS0tJayf0uQ1RVVe/cuXP48OEhQ4bgxUE1NTUXF5edO3d2uTe0yycHO3oJgmg9qgEhVFpaWl9fHxsbq6OjQ1+2Bj4lLl++XFlZOWXKlLakY/v666/5fP63334r8+wWwAdAJBJ9++238vLyX3/9tax1AYBuQNY29yeOSCTC22Dl5ORw+XIA+MDs27cPITRkyJD3tsQZP7788ktXV9cPoBjw4amtre3Xrx+LxcrOzm5jF1xy5b1LBMAnAP6s9+zZI2tFAKBbIMi2ZfIHOszx48eXL19eWVmJS5bLWh3gP4ezs/OjR49++uknaqNMS2hqatbV1QUHB9va2s6fP//DqAd8GKZOnUqS5L179/Lz81etWrVr1642diRJ8smTJwoKCpKlCoFPjKysrLq6Ohsbm9YLuwDARwqYvB8CNze3Bw8e8Hi8FStWbNu2TdbqAP8hkpOT7e3tFRUVCwoK8GbKVlBQUDAwMKirq3v8+HEbSxsAHwumpqavX7/W0tJavHjx6tWrO1nbBQAA4KMDTN4PQWNjo6KiokgkIgiCUV8KALqPnJyczz777OnTp8uWLaOKPLUCm812cnISiURxcXEfQD0AAAAA+GDA9rUPAY/HO3TokEAg4PF4a9eulbU6wCdOamqqurq6rq6uubn506dPTU1NqWLRrSMWi2tqanChJgAAAAD4lAAv74fD1dU1JiYGIQSOXqBbycnJcXV1LSsrU1FR8fLy2rVrF1VcqhWKi4t1dXV5PF5GRoaxsXH3qwkAAAAAHw6OrBX4D3Hz5k1VVVUWi/XVV19RtdEBoMsxMzNj1CJpCxkZGQRBjB49GuxdAAAA4NMDAhs+HHw+f//+/Vwud/v27bLWBQCY4KxVEyZMkLUiAAAAAND1gMn7QZk7d+7SpUuVlZV1dXXz8vJkrQ4A/H9wLeuJEye2vUtTU1N5eXlZWVlOTk5xcXF1dXW3aQcAAAAAnQJieWXAvXv35s6dm5ubGxQUdPz4cVmrAwAIITR48ODY2FicOvrNmzfPnj2rq6u7du2aWCx++fLlmzdvNDQ0MjIyxGIxm80uLy/ncDjNzc0sFktOTq65uVlRUVFdXb0tQcMAAHyM+Pn5LVmyRNZaAEDHAZNXZty9ezcwMFAkEkGCd+DfwMOHDxFCioqKuMqxQCDQ0NAQiUTu7u4qKiosFqt37948Hs/ExEQgELBYrJ49e9KLEhcUFOTm5spOfQAAuhcDAwNcTBQAPlLA5JUxYWFhlpaWstYCAJCHhwdC6NSpU/379zcxMWGxIOoJAAAA+HQAkxcAAIQQGjBgQHJyMtTEBgAAAD5JwJEDAABCCNna2pIkmZOTI2tFAAAAAKDrAZMXAACEELKzsyNJ8tKlS7JWBAAAAAC6HjB5AQBACCErKyuE0KFDh4RCoax1AQAAAIAuBkxeAAAQQqhXr14Iodra2iNHjshaFwAAAADoYsDkBQAAIYQ0NDQIgvD09Pzzzz9lrQsAAAAAdDFg8gIAgBBCSkpKCKHa2tqYmBioowYAAAB8YoDJCwDA/+BwOBkZGZMnTz579myXDNijRw/iHzgcjq6u7ujRo3/55Zfm5mZGy4sXLyorK//www9tH/znn38mCGL9+vVdomorDBo0qFevXt33GlBQUKCmpmZvb9/2DHE//fQTQRAbN27Eh2VlZXZ2dv3792/Xdd3c3AiCwIWmu4+YmBhdXd0VK1Z0rLtYLHZwcFBVVc3Pz+9axQAA+K8BJi8AAP+Dz+e/fv3a1dV13759XTjssGHDvLy83NzclJSUbty4MXv2bDs7O0aptpSUlJqamvj4+C68bgfIycnZuXMnXdLU1JSYmJidnV1SUtJNF503b15lZeWBAwfYbHYbu1y5cgUhNHbsWHx49uzZlJQUDQ2Ntl+0vLw8JibGzMysC0vhNDc3b9u2raioiC7ct29fcXFxu3Sjw2KxDhw4UFVVNXfu3K7QEQCA/zAkAAAASZIkaWxsjBASCoVKSkr5+fmdH9DAwAAhVFpaSknS0tJGjhyJEDI2Nq6oqKDk5eXl+/fvb9dFDx48iBBat25d5/WkWLlypYaGBkN48+bN8+fPd+FVGIMjhHx9fdvepaamhsfj6enpicViLMnPzz9w4MDbt2/bPsivv/6KEFq+fHn71G2VjRs3qqioNDU10YWxsbHh4eFCobAzI0+cOBEhdO3atc4pCADAfxrw8gIA8D/U1dX5fH5qaur8+fN///337riEpaXltWvXhg4d+uLFi02bNlFyNTW1RYsWYRNZVtTV1Um965EjR06YMKGbLrp//36EULtcmFFRUY2NjWPGjCEIAksMDAwWLlyorq7e9kGwn9jHx6c9yrZGfHz8t99+6+XlJScnR5c7OTlNnz697Q5sqcyZMwchdODAgU6pCADAfxsweQEA+B9aWlpycnJZWVnBwcHh4eHddBUOh/P9998jhI4cOdLQ0ICFkoG5mZmZy5Yts7Cw4PF4fD7f3t4eu3Vbp7q6es2aNSYmJjwez8jIaMWKFe/evWO0uXfvnq+vr5aWlry8vKmpaUBAQEFBwYkTJ4yNjQsKCt6+fYuDj/v06YPb6+rqEgTBSFcsdRB86sKFC0OHDtXS0lJSUrK2tp4/f35KSopUbQsLCyMiInR1dUeNGtXSHaWkpPj7+2tqaiooKNjb2586derOnTuIFtUQFxdHEMSYMWOoLlVVVUeOHPH09NTU1ORwONra2oGBgW/fvqUaNDc3R0ZGqqiouLm5UcKGhobvv/++f//+fD5fTU2NfkcUycnJISEheHr19PRGjhz57NmzvLw8b2/vgQMHNjc3nz17Fs/e9evXEUI7d+4kCGLXrl3vHQSfSkhIWLJkSZ8+feTl5RUUFGxtbfFLyMiRIw0MDK5fv/7mzZuWJgoAAOA9yNrNDADAv4UZM2YoKytv3ryZJMl+/frFx8d3ckDJwAYKU1NThNDdu3fxoWSUArYCbWxsAgICvLy8sJvwhx9+oBpIdqmtrcVbuGxsbCZNmmRhYYEQsrKyqqqqotqEh4ezWCwsHzZsWM+ePRUVFWtray9durRt2zaEEJ/PDw0NDQ0NPXz4MO6io6ODEGpubn7vICRJnjhxAiEkEAjGjx8/btw4c3NzhNCpU6ekzs8ff/yBEPLz82tpAo8ePSonJ8fn8318fCZNmqSurk4QhIaGhry8PL4cSZL4PeHgwYNUr2XLlmHd/Pz8fHx8OBwOQmjhwoVUg9u3bzOu+/Lly379+rFYrCFDhgQEBOjr6yOEHB0d6cqEhoay2WwOh+Pg4DB06FB1dXUzM7O6urqEhITQ0FB5eXkWi7Vt2zY8e/X19SRJurq6IoTS09PfOwhJkvjlRFlZ2dPTMyAgAH98HA6noKCAJMmgoCCE0MmTJ1uaKwAAgNYBkxcAgP/x9ddf83g8f39/kiSnTJni4ODQyQFbMXlxqABlqEnar6mpqUlJSdQhzhZsYWFBSSS7rF27FiG0adMmfCgUCgMCAhBCa9euxZLi4mI+n8/j8SIjI6leVAAxziMhGcvLMHlbHwTb3Dk5OdSpxMTExsZGqfOzdOlShNC+ffuknr127RqLxerbt+/r16+x5MWLF1wuFyE0ZswYqpmtrS1CiGqDr/js2TPqEDtZvb29Kcny5csRQr/++is+fPfunbm5ua6ubmJiIpYUFhbi+IQXL15gyXfffYcQGjZsGHWnQqGwsLAQ/52amooQcnFxoetfVlbGZrPNzMwoSeuDkCR5/vx5bP6SJNnQ0IBDNeLi4kiSxBEg8+fPlzpXAAAA7wUCGwAA+B8mJiaNjY3YfAkPD09KSuq+a+Et/JJRBxTW1tZ2dnbU4bhx45SUlLKzs8VisdT2JEmGhYWpq6tT0RFsNnvVqlUIoXPnzmHJiRMn6urqZs2a5enpSXVsbwBx64Ng7y89ntXe3h7bqZJkZmaif0o9M6irq5s9ezaPx7t06VKPHj2w0MjIyMTEBNFicF+/fp2SkmJnZ0e1wVekj6miooIQouI0EEIRERFsNnv06NH4cNmyZdnZ2WfOnLG3t8cSXV1dLS0thBCOPHn27Nnq1at79+595coV6k7ZbLauri7+m5FBAnP9+nWRSEQJ3zsIQmjChAkKCgr4bx6Px+PxWCwW5a1HCHV3SjUAAD5hOLJWAACAfwsaGho8Hi8jIwMhxGazZ86ceeTIkdmzZ3fHtXAOWsZWJ6k0NTXhVXItLa28vLympiZ5eXnJZpmZmaWlpTo6OosWLaKE9fX1CCEqIdqjR48QQnRTtQO0PsiECRMSEhJGjBixd+9eyqZsCRxfKzWB1+HDhwsKCj7//HMcAYIhSbK4uBjRTN6IiAgkYWtSjaurq8VicUxMDF3hjIyM7OxsV1dXfN20tLSTJ08qKyv/9ttvv/32G9W9oKAAhykjhDZt2iQUCrdv387n86XeiNTNcAw7+L2DUDQ2NtbX1xcVFRUWFg4aNEggEFCzVF5e3npfAACAlgCTFwCA/6GhoaGkpMTlcrOysnr16jVr1qyFCxd2k8mL09y2kq41IyNjy5Ytt2/fbmNCXLyxqbi4+NChQ4xTQqFQKBRyOBxsL+JA1Q7T+iCrV6+uqanZuXOnt7e3paXll19+OX36dOz6laSiogIhpKamJnnq6NGjSCKTQ2ZmZkVFhb29PeXTlbQ1k5OTDx48ePPmzYKCgqamJixUUlJyd3end6Es0bCwMLFYXF1dLTlv7u7ucnJypaWlly5d0tPT8/X1lXoXZWVljx49MjExsba2poR4h5xAIBgyZAhC6L2D1NXVnTx58vjx48+fP6fvtKP0xLOEZwwAAKADQGADAAD/Q0NDQywWa2lppaWlIYScnZ1fvnyJE7h2LSRJJiQkIIRwHKokGRkZTk5Op0+fHjt27OnTp2/fvh0dHa2pqdn6mAghLy8vqSFceAsXbkPl9uqw8q0MgrdwZWdnL1++/NWrVzNnzhw6dGhNTY3UxtjfWVtby5C/evXq6dOnvXr1okcjIITwtjPKCqyrq4uOjtbV1XV0dMSSsLAwBweHGzduBAcHnzt3Ljo6eseOHQghT09PHo+H2zBM3suXLyOEXr58KTlpOOvC9evXm5ubfXx8WjLcr127JhaLGZ7m+/fvV1ZWUjnLWh+kpKRk6NChCxYs0NHR+f7776OioqKjo3GNDGpYPEtU2AMAAEB7AS8vAAD/Q0NDo6mpSVFRMS0tbdy4cQiho0ePhoeHT5s2rWsvFBUVVVRUpK2t3ZLJu3fvXpxuLDQ0lBIy0oQx0NPTQwi9ePGilTba2toIocLCwg7o3K5BjIyM9uzZs3r16mnTpt26dQvnMZBshrdn0Z2aGBw74eTkxJBj1y/l042KimpoaKAS9CYlJc2dO9fGxubevXs4GAAhdObMGXoXXHTN1NQUG5Tl5eW5ubnKysqGhoYt3UtcXBxC/4+984yL4uoa+Jld2F167yAgogjSBJEi0gQRQbBR1OijiBp7S0whMUVFTWxRH40aa/IYe68oWFGRYBcRFUWlIyJ9WZj3w30zmcwWV0FJOf8P/pg7Z849984gZ86cey54enrKE5CZyMtpVKwkKSkpKytr48aNo0ePJi3Pnz/Py8uztrZ2dnYmLQqSQBAEQZQBo7wIgvw/BgYGdXV15eXlGzZsIC0xMTG3bt16+PBhG/ZSVVVFKhVMmjRJ3g4FJPuWXTX28ePHij9qd+3a1cDAIDc39/79+/JkvL294fdYqTR8Pp+iKFK3QQGKlbAxNTVduXIlAFy6dEmmAMlPkHbTSZIGZ2uJ7du3Z2dnm5qaMo4jJ6uBBHSTk5MZf/f58+eknhpTtZezpIxMqeKwN0kskRdebW5uTk1NFYlE7JsFUivkFCjJyck5ePCgo6Mj4+8CwOLFiyUSCTth48mTJ/D7jCEIgrwF6PIiCPL/8Hg8iqLU1dXJGn+Ck5PTwoUL20Q/TdOnT5/28/PLzc11cXH5+OOP5UmSSCpTMqKhoYHU1VIAj8ebOHEiACQmJrLjprm5uRcvXiQ/f/DBByKRaN26denp6YxAXl4eqUtAUZSxsfGrV6+IdyUPBUrEYnFaWhrJfCCQIZDhSOPj4wMAjHkMmpqaAHD58mWyyA8ATp069eGHHwJAZGQk8VBpmj569KhQKCQbODN6mGIIjx49Cg8Pr6ur8/LyYgzgBF/Nzc2FQuGrV6927NjB9F5dXb1r1y7mkGQt79y5k4my19TUMG56Xl5eVVWVqakpuyoFWSHn4+PDBGUVKCGr6xizm5ublyxZ8sMPP8CfI8cXLlwAAFLoF0EQ5G14B4XPEAT5u2JlZWVjY8Pj8ZiWtWvX+vj4iMXit9BG/Jjg4OC+ffv6+voyybi9evUqKSlhS3KK7F64cIGiKD6fHx0dPXz48A4dOjg5OYWEhAAAqd4gfQlN0w0NDWSRlrq6ur+/f1BQkJ2dHQB8++23jMxPP/1EtgdzcXEJCAggNb+Y0rBkuZiNjc3IkSMHDRpEGqW3opCnpLq6GgBMTU0HDBgwcuTIwMBAHo8nEAguXrwoc35u3boFAF27duW0P3nyhFSl6NatW1xcnIeHh42NTWJiIgAcOHCAyJBUgX79+jFXkSwRa2vrMWPG9O3bV1NTc8KECQAwf/58IiAWi3V0dLS1tdl3k0TcKYpyd3fv06ePm5ubQCAYOHAgI5CdnU3ycS0sLIKCgpycnIRC4dGjR8lZUmcNAPr37x8fH3/y5EmaphcvXgwAixYtUkbJvn37iAFRUVHDhw+3s7Pz9/d3d3fX1NRsaGhgNHTr1g0A2KWaEQRB3gh0eREE+YOePXsKhUInJ6fbt28zjebm5s+fP38LbeySt2pqajY2NnFxcfv3729paeFISvuve/bscXFxEYlExsbGo0ePLi8vJ8FmBS4vTdONjY1Lly51d3dXU1MTCAR2dnbjxo3Lzc1ly6SlpYWHh+vq6vL5fDMzs3HjxjHbH7x8+TI2NlZfX18kEgUGBpJGaZdXnhKJRLJo0SI3NzcNDQ2BQGBtbR0TE3P58mUFU0RqD2dlZXHajxw54uzsTLZNnjVrVmVl5ahRo9ibrn3xxRcAsHr1auaSrKwsT09PNTU1IyOjIUOG3L59e+/evQBw48YNIkCSMYYOHcruqKmpad68efb29mSbN2dn5+nTp+fl5bFlTp486evrq66urqqqamdnN3PmTLYzOmXKFLIhnLu7O3l5IFUa2NthKFAikUhmzZplamqqpqbm7Oy8ZMmSpqYmFxcXttt9/fp1AHB2dlYwkwiCIIqhaNY3OARB/uVER0enpaX17SzppfsAACAASURBVNt38ODBCQkJpHHjxo2//PLLoUOHXltRFXlTNm7cmJiYOG7cOOkaYQjDxIkT16xZs27duqSkpPa2BUGQvyuYy4sgyB8YGhrq6upaWlrevHmTaRwzZoyOjk5MTEw7GvZPZfjw4U5OTtu2bcvPz29vW/6iFBQUbNmypWvXrm1eOQRBkH8V6PIiCPIHRkZG6urqBgYGbJcXAPbu3SsUCsleX0gbIhQKt27dKpFIpk2b1t62/EWZOXOmWCzeunWrzF33EARBlATr8iII8gdGRkZCoVAkEnFcXgCwtbVNSkpqZVFbRJru3bvfvXu3tra2paVF3nYP/1pomv7iiy9SUlLs7e3b2xYEQf7e4H+vCIL8gaGhIZ/Pr62traure/HiBfvU559/3tTURDZmQ9qWTp06ubq6or8rDUVRrq6u6O8iCNJ68H9YBEH+wMjIqLm5+fnz5y0tLb/88gv7lImJyaJFiz7++GMM9CIIgiB/O9DlRRDkD4yMjGpqaq5evTpq1CjpDX4TExODgoK8vLzadj82BEEQBHnXoMuLIMgfGBoaNjQ0lJSUeHh4MJufsZk1a9aTJ086deokFovfv3kIgiAI8nagy4sgyB8YGRm9evWqurraw8Pjt99+kynD4/EePnyorq5eWVn5ns1DEARBkLcDXV4EQf5AU1OzublZKBSamJg8evSooaFBpljHjh1//fXXgQMH5uTkvGcLEQRBEOQtQJcXQZA/YWhoaG5uXlBQ0L179+zsbHliQ4YMcXZ2DgkJ6dq1a3JycklJyfs0EkEQBEHeCNxwGEGQP9G9e3ddXd2pU6empaXZ29tPmTJFgfDFixdv3LiRkpJSXV2tq6trZWX13uxEEACIjY1V/IgiCIIQ0OVFEORP9O3bV11dPSgoSFtb++zZs5s2bVLmqsLCwry8PD6f/67NQxA2FhYWtra27W0FgiB/A9DlRRDkTwwfPpyiKBMTk969e48aNerly5ftbRGCIAiCtBbM5UUQ5E8YGRkJBIInT55ER0fX1dVhMTIEQRDkHwC6vAiC/AkjIyMAKCgoAABfX99Lly61t0UIgiAI0lrQ5UUQ5E8YGho2Nzc/efIEAHx9fTMyMtrbIgRBEARpLejyIgjyJx49epSWllZVVdXY2Ojj44NRXgRBEOQfALq8CIL8iaioKGtra2tr6ydPnqDLiyAIgvwzQJcXQZA/YWxsXFZW1qFDh4KCAkNDQ11d3QcPHrS3UQiCIAjSKtDlRRDkT5iYmJSUlOTn56elpQGAqanpjh072tsoBEEQBGkV6PIiCPIndHR0GhoavL29TU1NASAgIODKlStvoaempoaiKENDwza0zdvb297evrq6ug11vgumT59OUdTPP//c3oYoy5w5cyiK2rNnj/SpjIwMU1PTmTNntlVfba7w3REbG0tRVHp6+vvp7t3NTFpaGkVRcXFxba75TRk2bJient6zZ8/e7vIDBw5QFDVr1qy2tQr5l4AuL4IgXExMTCwsLIqLiwFgxIgReXl57W0RAIBYLM7Ozn7w4EFpaWl72/KP4sqVK0uWLAkLCxs8eLD02RUrVpSUlBgYGLRVd22u8B3R1NR04sQJXV1df3//Nleen5+/cOFCTmObzExTU9P8+fPJLy/DoUOHACAqKqo1mltPTk7O9u3bAUBXV/ftNERHR0dERCxfvhzXGCBvAbq8CIJwMTEx0dbWzs/PBwAHB4fKysqSkpL2NgoEAsGRI0f27NljZ2fX3rb8o5g5c2Zzc7O0B0aYNWvWli1bPvnkk7bqrs0VviPOnj376tWr8PBwFRWVttVM03RiYuK5c+c47W0yM/Pnz1+8eDHHbz506BCfz4+IiGiN5tZjZ2e3Zs2ajIwMTU3Nt1aycOHClpaW6dOnt6FhyL+ENv5NRhDkH4CJiYlIJCIuLwAEBgaeOXPmr/BVNDQ0tL1NUAqKotrbBGW5du1aRkaGp6enu7u7TAEvLy8vL6827LHNFb4jDh8+DACRkZFtrvmHH35IT09fvXo1p731M3P16tUFCxbExMSoqqoyjTk5OQ8fPvT399fX12+N8tYjEAgmTJjQSiXOzs7e3t6XL1/Oysry9PRsE8OQfwkY5UUQhIuxsbGKisqjR4/IIXF5W682OTmZoqi1a9eyG8+cOUNR1JAhQ5iW+Ph4EgRKTU11d3dXUVEZNWoUOWVqakpRlEQiIYdisXjJkiVubm7a2toGBgY9evSYO3cuE5COj48XCASFhYVTp061sbERCoUdOnT45JNP6urq2AaYmpoSt2bZsmU2NjY8Hm/Tpk3KWwsA+fn58fHx+vr6GhoaHh4ea9euVVNTkx7+w4cPhw8fbmRkJBKJXFxcVqxY0dLSwpxdu3YtRVHnzp3bt2+fj4+Purq6trZ2nz59pD/g1tTUzJ0718nJSU1NzcDAoGfPnhs2bGDO0jT9448/urm5qampGRoaxsXF5eTkyL0lAOvXrweA0aNHyzy7ePFiiqK+++47psXFxYXH4xUWFrLFZs6cSVHUtm3byGFTU5OOjs6wYcPIR3Y7Ozsej7d7926ZCu/fvz958uQuXbqQIffu3XvLli1sPf3797906dLgwYNNTU2FQqGTkxNRxZCZmUlR1Lp160pKSsaOHWtsbCwUComFylhL2L9/f2BgoKampo6OTp8+fX777be0tDQ+n9+vXz+22N69e/v06aOrq6uhoeHv78+5OxEREbq6utXV1d9++62jo6NQKDQwMBg1alRNTQ0RSE1NdXNzIxHKSZMmURQlEAiqqqpkzkxWVtaUKVMcHBxEIpGampqrqyvJCpBJfn5+REREz549m5qadu3aRVEURVHHjh2D33336OjogwcPBgcHa2tra2hohISE5ObmcpQUFRXNnDnTzs5OKBRaWlrOmTNHer/xQ4cORUZGGhkZCYVCBweH5cuX0zTNmQRzc3MA2LVrl4eHh0AgmDx5MnM3dXV1m5qaGOHGxsZVq1b16tVLR0dHQ0PD0dHx66+/JqdevXq1YcOGsLAwQ0NDFRUVY2PjhISEiooK+P1xJY8ugrwBNIIgyJ/59NNPFyxYoKGhUVNTQ9N0Tk5Op06dmpub30gJWWRmYGDAtHz++ecAsGbNGrYYWRs0ePBgpoWEkw8fPqyqqtqhQwcfH59ly5aRUyYmJgDQ1NREDseMGQMANjY2sbGxYWFhxsbGfD6/oKCArcfQ0LBz585ff/310qVLg4ODAaBPnz4tLS1MdyYmJu7u7uSzfrdu3Tw9PS9cuKC8tQUFBcQqFxeXhISEHj16kE4BYNu2bYzYnTt39PT0eDxeYGBgTEwM2dX5gw8+YATWrFkDAL169dLQ0JgyZcqyZctGjRpFUZRIJMrNzWXEampq3NzcAEBbWzsgIMDLy0soFH711VeMwPjx4wHAyspq8ODB3t7eAKClpXXt2jV5t8nBwQEA7t69K/Nsr169ACAnJ4ccPn78GAA8PDw4Yp06deLz+eXl5eTw1KlTAPDVV1/5+/vr6ur6+Pj4+/uTu8ZRePr0aS0tLS0traioqEGDBnXp0gUAvvzyS7YeAwMDHR2dSZMmrVixYtKkSTweT0VF5d69e0zvycnJALB161YLCwtLS0sfH59JkyYpb21LS0tSUhIAmJqaDh06tF+/fgKBQE9Pj8/n9+7dm7mqoaEhNjaWPCRxcXEuLi4AoKmpWVxczNwaoVBoZGTk4OAQGRn5/ffff/nll3p6egDwxRdfEJm9e/dOnToVADp27JiSkpKSkvLTTz/JnOrKykpy78LCwuLj4zt37gwAKioqhYWFMu9UVlZWSkqKSCTi8Xjz588nyuvr62maJrnIMTExOjo6UVFR0dHR5JXS39+freHUqVP6+vqamprh4eGDBg1SV1cHgI8++ogRqK+vJ9netra2cXFxERERQqEQAJhfT2YSIiMjp0+frqqq2qNHD1dXVzIocjfj4uIY4fz8fEdHRwAwNzcPCgpydnYmry7k7LRp0wDA0dExNjY2MjKSpJdMnDiRpun79+8DQKdOnWROBYLIA11eBEG4LF++fNq0ac7Ozjdv3iQt+vr6q1evfiMlrXR59fT0li5dytHJdnlfvnxJUVSnTp0aGxvJWYlEcvXqVY4ea2vrqqoqprF///4AsH37drZOdXV1AwODs2fPsvtS0toPPvgAAD788EOmZdmyZSSgwHZ5fX19+Xz+6dOnyWFFRQVx706ePElaiMsLAOfOnWOumj17NgDMmjWLaSFr1SMjI6urq0lLTU1NZWUl+Tk1NRUAwsPDia9D0zT5eu7r60vLoqKigqIofX199jsAQ3l5OZ/Pt7OzY1pWrVoFAHPnzmWLkSiyn58f00KcFX19/c8//5yxRFphY2OjtbU1WSjJyFy9elUikbD1mJmZPXz4kBFITEzkuFmurq4A0KFDB/ZtVd5akjubkJBQV1dHWphg6nfffceIDRkyREVFhbmnTU1NJBVk8+bNpGXfvn0AQFEU24ylS5cCwLBhwzhWff7552yrpKeapuk9e/YwJjU0NJC0hMzMTFoOt2/f5gyNpumKigo+nw8AUVFRL1++JI27du0ijiYjlpmZqaam1rt375KSEqZ38j7JyMTExJA5Yd5+SRTZ3t6ekSGToK+vHxkZWVRUxLaE3E1mAisqKmxsbEQi0ebNm5nH7/nz58zP2dnZd+7cYS4n8e+IiAhySF4a2U8OgrwWTGxAEIQLKc1ra2vLpPOuWrXqwoUL79MGFxeXGTNmKBDg8XjkX/IXHQD4fL50bt+YMWO0tbWZQ6KT84G4rq5u8uTJvXv3flMjxWLx7t27VVVV58+fzzROnTrV0tKSLXbnzp2MjIzIyEgSZgYAfX19ElnkfKP39vZm1wcgS+xv3rxJDpubmzds2MDn89etW8csANLQ0GDWv5M0DBLtIy0TJkzQ0dHJyMjgfNwn3L9/n6bprl27ykw+PnbsWHNzM3uZv8yF/+S7ObuRtIwcOXLevHmMJdIKz5w58+TJEz8/P/ImQ/D09GRuKNGzdu3ajh07MgL29vYAwBSqe/r06Y0bNyiKWrFiRXx8PNswZazNzs5evHixs7Pz5s2bmXSUwMBA8gOTyLtp06bdu3d/+eWXI0aMIC0qKipdu3YFgIaGBrbmyZMns80gE9uhQwfFVklPNQAMGjSIMUkoFAqFQh6PR8K9MpGp+ejRo83Nzfb29jt27NDR0SGN5PlkrBKLxcOHD1dTUztw4ICxsTFpdHZ2Zo9uw4YN+/fv//DDD2fPnk1+9QAgLCyMx+ORaDp7Euzt7ffs2UOqHLJPsZfQTZ48+fHjx6tWrSJfM0ijubk587O7uzuJARPIbzH5KAEAZPIVJ+0gCAdcvoYgCBfi8rq6ujLpvBERER9++OH7tGHo0KGKBbS0tEJDQ0+ePNm/f/8lS5Y4OTnJFOvWrRv7kETmfvvttzftTiY5OTn19fXu7u7k+zWBx+N5eHiwK4+eP38eAAoKCthrdx4+fMj8y0CSFhhIKItx73JycqqqqlxdXc3MzGTaQ15LVq9ezXiN8LvX9ejRI5JhyYZkRsqrisVxoWpra8+cOWNubt69e3cFYmSxlJmZ2aJFixQrJE7MgQMH/vvf/44bN45TGIHo6dat24ABA9jtZGKZGSA+1qBBg0gMkkFJa7/55puWlpZ58+YJBAJGhlT46tSpE3Gwmpqa5s6dCwC5ubnsO0gS3IlbRtP0kSNHVFRUOPUWLl++DCwfuqam5syZM8bGxpxlagqKiDU2NtbX1xcXFxcVFXl7ezNuqzRECWe9HZmfzz77jJ1fnp2dzbZq48aNeXl5Xbp0YRtfVFTEjE4sFs+dO1ckEn3zzTds5fX19S0tLczDTyYBANavX8+eT5BaQnfr1q1ff/3Vzc2NxOwVQNN0dXV1S0tLRkYGAISFhZF28tC+ePFC8eUIwgZdXgRBuDBRXsYh09HRcXJyysjI8PX1fT822Nravlbmf//7X2Ji4oEDB06cOBEaGvr5558HBARwZLS0tNiHenp6FEWVl5e/RXfSkArB0g4ocVUZnj9/DgDXrl27du0aR5KJojHmsQ+Jt0r/vjyIrMyT9lwJzc3NRIC9mk1eR4SXL19Kd0ogVWl1dHSYqPPJkycbGxv79+/PDglXVlZevHixY8eOTECOOF4TJ07kOD3SCr29vadOnfrDDz9MmjRp2bJlixYtGjRoECNP9AwfPpxjGHF9SJoyIyZdskoZa0tLS48cOWJubs5xE4mfyjigR48effr0KQD88ssvnF60tbWJJVevXi0uLg4NDWXfHYlEcuLECU1NTca5TE1NlbZKembq6up+/vnnzZs3379/n7yWEBQU1i0vL798+bKtrS373a+pqen48eOampqcN7qjR48Cyzn+8ccfASA3N1d6QRtxMY8ePVpYWBgXF8fZWYa8OjK1PsgkhISEkAgxG447TjKYSd65TK5fv75mzZqTJ08WFhYyS+jYM0keWvIAI4iSYGIDgiBcjI2NS0tLO3bsyER5ASAiIoL8pWxbZLpiAMBxmGRiYGCwf//+y5cvDx48+PTp04GBgdK5EEx5BwLJFHy77uRZK50VwP6aD7/7rCkpKdK5ZZx0EeaTsUyIHnkV0Ej9B6FQKDOJrU+fPtKXkCVKtbW10qfOnz9fVVXVt29fptyVzKJd+/bta25uZjcSMU5RC5kKAWDFihUXL17s3bv3gwcPBg8evGDBAuYUcZI4BRMKCgquXbvWsWNHEryvq6tLT083NTX18/PjdKeMtcePH5dIJP379+dM++nTp4HlXx48eBAAtmzZIj2rVVVVZDikO06k+fz58y9fvgwNDSXLvEBONJczM6WlpQEBAR9++KGJicnSpUtTU1PT09PJd3wFLu/Ro0dbWlpkao6IiNDQ0GAa6+rqTp8+bWho6OPjAwBPnz69fv26ra2tzMdmzpw58iZTejjybj1I5ZOQKeXE7xnWr1/v6el5/PjxUaNG7d69Oz09nXwxCAsLY2aSPLQyS6MgiDzQ5UUQhIuhoeGLFy/U1dWvXr3KNOrq6u7cubM1aomvxq7MBb8HSltDz549d+/enZmZaW1tvXz58hMnTijQTwKunCjsW1tL9EgPoaysjH1IwsDslMe3g+RZki/O0qiqqhoaGjY2NnJ23lIA+crMjiMycLwZ8s1aIBBwXOeffvqJLfbixYuMjAw7Ozsm51KeQgZfX9+zZ88SZ3f58uWMnkuXLmloaHDihT/++CP9e6UOAEhNTW1oaOAETZW3lkRzOTkGZWVlhw4dYsdcyW8BJ0NG5ug4TiFnyC0tLUeOHBEKhZzy0hyxpKSkrKysDRs27Nu3b+TIkX369LG3t8/Ly7O2tpaOnspToqDx1KlTDQ0NERERxNFXZnQkEYJUI2FoaGjYunWrhobGsGHDFEwCsJ4K4riXlZXl5+ebmZnJ/F5x7dq1cePGOTk53bx586uvvoqKigoMDCS/O2zNinNyEEQm6PIiCCIDExOTDh06sFPlfH196+vrZUYElYTkGHDWUbG96tbg4eFBMhE5pVI5hyT/0sPD47UKlbG2a9euIpHo1q1bTOFVAGhubr548SJbjDhPJKao1GDk4OjoqKWldevWLXnvCaQj4nkoA1nGJNMXJ4uNmCDrvXv3SkpKHBwcSGCYcPLkyYyMDC0tLSafhCyWkhmM5CjkQEovMwueiB5S1o2RKSgoWLFihYGBAanzCvLdaCWtJe8/nA0akpOTGxsb2Zuuvfbr+bNnz65fv+7q6spepkbM4/F4pEgIAGRnZ5eWlvr5+XH2HmPPTE5OzsGDBx0dHdmVkhcvXiyRSBRsitHc3JyamioSiThLMDkrxhirgDVpyuQGkMrBnByhRYsWlZaWzp49m2Q7kElwc3PjrN2E3+8mYz95IZQXoCUB3eTkZCZr+fnz51u2bGHPJAA8efIEfn+AEURJ0OVFEEQGJiYmNTU1JiYmzDIsd3d3dXV14iW8HaSU6fbt21+9ekVarl27RvZ9eAvy8/Pv3bvHbiGZssySc8K2bduYDNqXL1+mpKQAABOXaqW1QqFw8ODBDQ0NZHkTYfny5ey1a0SVv7//kydPZs6cyXi9NE2fOXPmjUK/KioqY8aMkUgkEyZMYDbUaGxsJGVKAYD4gl988QVT5AEAysvLyXdkaezs7IyNjfPz8zmR43v37j148MDHx4eJohE7X7x40djYSFouXbpEyhe8NvlBWuGdO3fYA6dpmqyLYpI7iVtWWFjILMkvLCyMjo6ura1dvXo1cYZomj569KhQKJTO2VDSWuJ6Mu8nNE0vWbJk3bp18Gc3muR5b9iwgUmJIUm6zIuHdM0KZsheXl7MA5mVlQV/rt4gPTMkU9nCwoKcbW5uXrJkyQ8//CCtn01eXl5VVZWpqSk7P4fRzPbpmfh337592aM7c+ZMXl4eI/b8+XP21xIiw27ZtGnTt99+6+vrS2r5gcLN6jjzY2ZmRlFUfn4++3WUVFiD328HMwOPHj0KDw+vq6tjz2RpaWleXp6+vj4JGyOIsihfzwxBkH8P1tbWP/zwQ1BQUFpaGtM4d+5c9q4HipGuy9vU1ET+RJmbmw8ZMiQsLExVVZVEs6Tr8qampkrrZNflJV6Rg4NDbGzs8OHDiYdqaWn54sULtp6PPvpIKBT27ds3Li6O/MmU3ooCWNtbvKm1jx8/JukNbm5uw4YN8/Hx0dXVJdVz2XV5Hzx4QP6KGxsbBwcH+/v7E2POnz9PBEhdXk65VuLw9ezZk2l59eoV+bqtp6cXGBjYvXt3TU3N8ePHMwIk1E2qRvTp08fFxUVFRSUkJETebSKbC+zYsYPduHjxYgBYtGgR08IsbHJwcIiPj/fx8dHR0SGrzZgkV7FYrKOjo62tLRaLOb1wFCYlJfF4PE9PzxEjRgwdOtTa2hoAJkyYQAq+Ej1WVlZxcXHa2tqDBg0aMGCAhoYGj8dbsmQJozMzMxMA+vXrJz0oZaylaXrHjh1ELCgoaNCgQXZ2dqGhof7+/nw+v6KighEjFWoBwNbWtk+fPj179tTW1jY0NGTK05Iw6pUrV6SHPH/+fKaFrBJTU1MbNmxYTExMaWmp9MwwxX2joqKGDx9uZ2fn7+/v7u6uqanZ0NAg7yYy4+3fv398fDwp9ix9E5lJCw0NZVqam5tJnRChUOjn5xcUFNS5c2dS9I0zA3w+PywsLCEhgfxehIWFsStey5wEWs5TQfJ9VVRUvL29fX19zczMvLy8yClSZdna2nrMmDF9+/bV1NQkVTLYM0lK+0VHR8ubEASRCbq8CILIICYmZuXKlePHj1+7di3TmJOT4+DgoKQGEhw1MTFhNz579iwhIcHQ0FAgEDg5Oa1Zs0Yikaipqb2Fy1tcXDx9+vSOHTsKBAJ1dfXOnTuPHz/+2bNnHD1nz57dvXu3q6urUCi0srKaM2dObW2tPJ0clLGWpmmy9EpXV1dLSys8PPz27dskHsZ2eWmaLikpIQarqqpqaGi4ubklJyczuwMo6fKSif300087d+4sEAjU1NS8vb2PHTvGFti/f39wcLCOjo6KioqZmdnAgQNlTiYjDACRkZHsRpIgwd4IgKbp1atX29raqqqqmpubjx07Nj8/f8qUKTwer6ysjAiQVV9Dhw6V7oWj8KeffurevbuGhoZAIOjQocOAAQMOHz7MCBM9CQkJZWVlAwYM0NTU1NXVjYqKunjxIlvnF198AQDydkh5rbWEhQsXWlpaikQiZ2fnlStXNjc3W1tbc7Ylo2l6586dPXr0UFNTEwgEtra2I0aMSE9PJ6dqa2tFIpGJiQlnOw8y5Bs3bjAtZCmbhoYG8eNlzoxEIpk1a5apqamampqzs/OSJUuamppcXFwGDhwoc5gMU6ZMMTAwEIlE7u7uZA8ImTeRTBrbnaVpurS0dOzYsaampnw+X1dX19fXd8GCBcyTSdiyZUu3bt3IvnR9+vTZvn07e7zyJoGW81RUV1eT8tUqKio6OjphYWHMLi1ZWVmenp5qampGRkZDhgy5ffv23r17OTNJlgnu2bNH8ZwgCAeKlrV4GUGQfzmffPKJnp6eqqrq8+fPlyxZwrTr6Ojs3r2bs/5GJjdv3nR1dXV3dydrX94/8fHxO3bsSE1NlVmsACG0tLTY2dk9ffr08ePHf5HMyBkzZixfvnzLli0jR45sb1uQvxyFhYXW1tbm5uaPHj1i159GkNeCubwIgsjAzMysqKioc+fOTJ4oYdasWcx3XpkUFBQ0NTU9ePBg4sSJICe3D/nrwOPx5s2b19zcPG/evPa25f85fPgwj8fjLLpCEEJKSopEIvnmm2/Q30XeFHR5EQSRAXF5SXUkdvucOXM2b96s4EJHR0eBQGBvb3/x4kVnZ+fZs2e/W0ORVjN8+PDBgwevX7+erK9qX5hFV5xdDxAEAG7cuLFmzZqYmBhS4gNB3gjcfQ1BEBnIi/IKhcLhw4dv3LiRqY3K4YMPPnjw4IGWllbv3r2TkpLYNfCRvyybN29OTk7mFLtoFxRsvYsgxsbGWVlZdnZ27W0I8rcEXV4EQWRAXF6Kouzs7B48eNCpUyfmlLe398yZM+W5vGQZFvL3QlNTkyzbb3fQ5UUUYGZmJr2/N4IoCS5fQxBEBrW1taQ0b//+/SdNmsRJrAwNDZ09ezZT2hNBEARB/uJgLi+CIDIgZVCrq6t5PN7Jkyc5Z7/77jtS/xVBEARB/hagy4sgiGxIboOzs3N+fj7nlJubW1FR0V9njT+CIAiCKAZzeREEkQ1xefv27Us2QeXw9OlTdXV1Nzc3LEOGIAiC/PXBKC+CILIhLq+jo+Pdu3elz6qqqh4/fnzWrFljx459/7YhCIIgyBuBLi+CILIhLq+RkREAlJWVSQuEhISkp6dbW1u7ubmVlJS8dwMRBEEQRFkwsQFBENkQlxcASKA3ICBAWsbc3HzGjBmZmZldlEHo3QAAIABJREFUunQxNDTE+kEIgrQXsbGxU6ZMaW8rkL8u6PIiCCIbMzOzmzdvgkKXFwA0NTUPHTpUWFiYnZ2tq6v7fm1EEAT5fywsLNrbBOQvDbq8CILIhonyOjk53blzR7Gwubm5ubn5e7ELQRAEQd4YzOVFEEQ2RkZGeXl58HuUt73NQRAEQZC3B3dfQxBENrW1tTo6Oq9evaqpqXF2dsYFagiCIMjfF4zyIggiGw0NDTs7u2fPnhkbG7948aKgoKC9LUIQBEGQtwRdXgRB5GJpafns2TMA8PT0zMnJaW9zEARBEOQtQZcXQRC5WFlZPX36FAC8vb3R5UUQBEH+vqDLiyCIXJgor7u7+7Vr19rbHARBEAR5S9DlRRBELujyIgiCIP8M0OVFEEQujMvr7Ox89+7d5uZmJS/09vamFNLQ0HDv3j2Konr16vUuR/AH77k7xbS0tPj6+mpoaCi/KDAtLY2iqLi4uHdq2Lvurry83M3NrXv37m2r9q9A64c2Y8YMiqIOHz7chlYhCMKALi+CIHJhcnnhDQO9rq6ufr/TuXNnADAxMfFjweP9q//zWbp06aVLl5KTkzt06CB9tqmpaf78+cXFxezGQ4cOAUBUVNT7sfAddbdr164bN24YGBi0rdr3jMwb1Pqhff3112ZmZuPHj3/58mWrbUQQRAoaQRBEDuXl5QYGBuTnpKSkdevWvYWSbdu2AUBiYiKnnayH8/Pza62VyvGeu1NAZWWlurq6kZFRQ0ODTIG5c+dqa2uLxWJ2o52dHZ/Pr6ioeC82vqvunj17tnr16vc2ineEzBvUJkNbuXIlAHz88cetMxBBEBn8qwMtCIIoxsDAoLa2tqGhAQDs7Oz27t3b3hb9E9i0aVNdXd2oUaOEQqH02atXry5YsKBv376qqqpMY05OzsOHD319ffX19d+DhaQ7Hx+fNu/OwsJi4sSJ72cU7wiZNwjaaGgjRoxQU1P76aefyC8dgiBtCLq8CIIogknnjY2NPX78eJvr5/P5T548SUhIMDAwEIlErq6uW7Zs4cjQNP3jjz+6ubmpqakZGhrGxcVJV0yrqamZO3du165dRSKRgYFBdHT01atXX9u7Mlfdv38/Pj7e2NiYx+Ox05E9PT2///57iqI++eQTziVr1qyhKGrGjBkyO12/fj0AjB49mtOen58fERHRs2fPpqamXbt2kV6OHTsGACS/Mzo6+uDBg8HBwdra2hoaGiEhIbm5uRwlRUVFM2fOtLOzEwqFlpaWc+bMEYvFHJmbN2+OHj3a2tpaKBSam5tPmTKlurqaLUC642Q1vPYqANi5c2dISIiuri4ndfubb74BgMzMTIqi+vfvz8g/efJk3rx5Xl5eWlpaAoHAxsYmOTm5paVF5rwRFi9eTFHUmTNn5s+f7+rqqqGhoaurO2LEiMrKSrZYRESEubk5AOzatcvDw0MgEEyePBkAJBLJ4cOH4+PjraysBAKBtrZ2cHBwdnY2p5fi4uLPPvvMxcVFXV1dT0/Py8tr7969Cm6Q9NDkKVE8cF1d3ZiYmIqKin379imYBARB3ob2DjMjCPKXJigoKC0tjfzs5+d34cKFN9WgOLHBw8PDwsLC1tY2Li6ud+/e5P+lrVu3siXHjx8PAFZWVoMHD/b29gYALS2ta9euMQI1NTVk2VCnTp3i4+PDwsIEAoGqqurevXs53bETG5S56tmzZwYGBgKB4MMPP1yyZAnxAvX19T/88MNNmzYVFhby+XwbGxvO0EJCQgDgypUr0rNBEkBNTEykT2VlZaWkpIhEIh6PN3/+/JSUlJSUlPr6epqm/f39ASAmJkZHRycqKio6OlpTUxMA/P392RpOnTqlr6+vqakZHh4+aNAgdXV1APjoo4/YMgsXLuTz+Xp6etHR0UOHDjU0NASA6Ohotgzp7u7du2901ZQpUwAgLCzsu+++mzFjhkAgAIDY2Njk5OTHjx/TNJ2cnAwAa9asYS5xdXVVUVHx9fVNSEjw9fUld3/nzp3Sk8NAFiAaGRn5+vouWLDg+++/79atGwB88MEHjExNTY1QKIyMjJw+fbqqqmqPHj1cXV1zcnJomiaupLm5eUxMzJAhQ8hAHB0d2V2cOHFCT08PABwcHIKDg21sbAQCwe3btxXcIOmhyVPy2oGvW7cOAMaOHatgEhAEeQvQ5UUQRBEffPAB44B+9NFHixcvflMNil1eABg5cqREIiGNK1asIH4wI5aamgoA4eHhxLegaXr16tUA4Ovry8h89NFHAJCUlNTc3Exarl+/rqWlpaOj8+LFC3Z3bJdXmauIK7Nw4ULmquDgYD6f//DhQ3LYr18/AMjIyGAEysvLVVRUOnbsKHM2SJxv4MCBMs/evn0bpBKOKyoq+Hw+AERFRb18+ZI07tq1i7hujFhmZqaamlrv3r1LSkpIy549ewCA7ZEvW7aMuKHV1dWkpbi4WFtbGwCePXvG7s7Ozu6Nrtq0aRMATJs2jblq2LBhAHD+/HmmxdXVFQCePn3KtKSmpjLW0jRNoqQKnrHy8nIyFZ988gnTSFZY6ujoMC3Er9XX14+MjCwqKmJrKCoqOn36dEtLCzkkQX11dXVGICMjQyAQdOjQ4fLly0wjM0yZN0h6aIqVKB446cLBwUHeJCAI8nagy4sgiCI+/fTTBQsWkJ/379/PCewpg2KXl6IotlPS0NDA4/FUVVWZlsGDBwMAO6bb3Nyso6MDAM+fP6dpWiKR6OrqqqqqVlZWsvXPnj0bAFasWMHujnFWlLxq0KBBAHDx4kVG4IsvvgCAX375hRz++uuvADB16lRG4KeffgKAzz77TOZspKSkAMDnn3+u4Czbw6Z/n0B7e/u6ujqm8dKlSwDg7e1NDhsbG+3t7fX19dnDuX//PgCYmpqSwzt37ggEAldXV866q8DAQPYYSXeM86rkVV26dBGJROzeycvAzZs3ySEpx+bm5iZz4ISEhAQAOHjwoDyBrVu3kjci5i2FQCKvzGFiYiIA9OzZs7GxUUF3NE2TzJDu3buTw4aGBltbW6FQeOfOHZnyMm8QZ2ivVSINe+ASiYSiKB6PxxkjgiCtREVBzgOCIEhlZeXdu3fJz76+vklJSW2r39LS0tTUlDkUCoUGBgZlZWWNjY1kddeFCxcAYPXq1SS8R6AoCgAePXpkbm6ek5Pz8uVLDw8PXV1dtuagoKDvv//+7NmzU6dOle5XyauMjY0BoKSkhBEgP6upqZHD6OhoXV3dnTt3Llu2jFReI7FV4sRIU1FRAQDySlmR0mCRkZHsRpJZ+9lnnzGdAgBJPyV+JwBs3LgxLy+vS5cu7MTioqIiAHB0dCSHc+fOFYvF8+fP56y7qq2tBQAStQWpRF5lriopKcnNzXVxcWEm88mTJ6dOnfLx8XF2dpaplk1zc3NNTQ1N05mZmQKBgBmUNETJJ598wi5yV1FR0dDQYGFhQQ5pmj5y5AgArF+/niRXyKS2trapqencuXMAEBYWRho3bNiQn58/bdo0ZtI4KLhBzNBeq0TxwPl8vq6ubmVl5cuXL//W6/wQ5C9HO7vcCIL8tfn6668jIiKYw06dOuXl5b2Rhtfm8nLaTUxMAICkMUgkEgX/faWmptK/Zz7079+fo4c4hUwclBPlVfKqy5cv8/n8rl27nj17tqCgYPv27aS+2KtXr5hLSKrx6dOnaZp++fKlQCBwcnKSNxtjx44FgE2bNkmfKisr4/F4tra27EaxWKyjo6OpqUl8IwbyKZxJrXZzc5M3SyQkWVpaqqKiYmZmxokdNjQ0qKmpqampkSAu6Y6pwKXkVfX19SKRSCgUHjhwoKCg4Pjx446Ojrq6uuzYPAn6svObDx06FBUVZWZmxvZfQ0ND5U2dWCzW1tbW1tbmxG4PHjwIAIMHDyaHV65cAYCQkBBpDc+fP587d66joyPJhGZgYtUkP4Fk/Uoj8wZJD02xEmUG3rFjRwBgkmcQBGkTsGIDgiCK8PT0ZB86OjqSEFpbIRKJFJwli/eFQqHM/7/69OkDv0d8aZrmXEtayFlplLyqZ8+e//vf/woLCwMCAjp06JCQkGBlZXXkyBEtLS3mklGjRgHA9u3bAeDIkSNisVheiBcAyJIyEiLlcPTo0ZaWFk4c9Pz581VVVRERERoaGkxjXV3d6dOnDQ0NfXx8AODp06fXr1+XdsUIc+bMAYATJ05IJJKIiAjOJiCnTp2qr68PDw8nQVzSHXOo5FUikWjVqlVisTg6OrpDhw7h4eFWVlbnzp1jHPG6urr09HRTU9MePXoAgEQiGTNmTFRUVHFx8aeffnr06NH09HQyaQo2vzh37tyrV6+Cg4M5sdv9+/cDwIABA8ghibkOGTKEc3lmZqarq+vSpUsDAgI2bdqUlpZ27NgxHo9nZGRE1kQWFBTcuHHD3t7ewcFBpgEybxBnaIqVKDlw8niwg/oIgrQeTGxAEEQRNjY2jx8/Zg47d+78yy+/TJs27f30rqqqamhoWF5eXlxczM5/YEO+aJOP+GxIi5mZWSuvqq+vr6urW7t2befOnU1NTR0cHDhutI+PT+fOnffs2bNmzRoScYyPj5c3IvKpmqQ3cJC54ZnMxlOnTjU0NMTGxhJPlKzBIoUL5EGi18QtY0PqA4wbN47dHfPhXsmrXr16tWfPHk9Pz3nz5qmpqdnZ2ZECYQypqakNDQ39+/cnU7dy5cpNmzaNGjVq06ZNzLvHpEmTQCpngA3xZYl7ylBZWbljxw5dXV2SdS09BEJ9fX10dHR9ff2lS5eYXIudO3e2tLT069ePTGNmZiZIveOxkXkvOENTrETJgb948QLkZ78gCPJ2YJQXQRBFcFzejz766MmTJ+/TAFIwi3gbMunSpYuJicmtW7eIo8Bw9uxZACA1rd76qubm5mnTpoWGho4fPz4oKKhr164yw8YjR46srKy8cOHCyZMne/ToYWdnJ89aS0tLAGBPKdNRamqqSCRiKrURDh8+zOfzIyIi2I0c30uZ/WmrqqoAgB2cJoM9ePBgQEBAeHi4zO6UvGr8+PGXL18+duxYWFiYv78/x9+FP7uhLS0t3333HUVRixYtYiZz//79d+/edXJysrW1lTcEooSUFWOYO3dubW3trFmzSK7Cs2fPrl+/7ubmRuaZYcuWLcXFxaNGjWL8XYlEsnjxYmBNY2lpKciPrcq7QRwPW4ESJQdeWFjY1NRkZGSkIBEZQZC34d3kSyAI8s/B0NCwrKyMOXRzc2PnaL6WN91wmJ3LS9P06dOnAcDExOTGjRuMTFlZ2YEDB5jDb7/9FgBGjx7NFDu7deuWtra2pqZmaWmpvO6UuYpscBAWFqZ4jAUFBTweLyYmBgCWLl2qQPLOnTsA0LlzZ5mzwSnxSxp79erFbmxpaTEzMxMIBEw+cVpaGgBoaWndv3+fEXv27Nnx48c5gx01ahTTkpmZaWJiYmRklJ+fL687Za6qqKjg8XgKpogYLBQKSTrygwcPAEBdXb2pqYkIpKamEq+aXXqMA7OGMiEhgWkk5erc3NyY7N41a9YAQHJyMufyESNGAMD8+fPJYVVVFYnEs6eRlDaztLRknnaJRMIUJ5Z5gzhDU6xEyYHv2LED5JexQxDkrUGXF0GQ1+Dp6Xn16lXmcM6cOZwiTYpppctL0zSpQsDj8Tw8PPr06ePi4qKiosJen9TY2EhWu9vZ2cXHx4eHhwuFQj6fv337dgXdKXMVTdNeXl7ESfXz8/Pz8wsJCZkyZcq9e/c4ZoeEhPB4PB6PR0qnyaOlpYXEKYuLi9ntzD5q/fv3j4+PP3nyJE3TJAy5aNEitiT5dM5e7dTc3EyyZoVCoZ+fX1BQUOfOnSmKYkqt0TT9+PFjEgf18PAYNmxYr169eDyenZ3drVu3GBnp7pS5qqioiOxD5ubm5ufn16tXr/Dw8AULFjQ0NLAN7tevHzksKysjxTd69OgxevRoLy8vCwsLUoqOXQyOw6JFiwBg5syZfD7fw8MjISGBxGudnJzYE07i09KbgJBUHA0NjQ8++GDQoEH6+vqTJ0/m8/nsaayrq7OysiIvD4GBgR4eHjo6Oh9//LGCG8QZmmIlSg6c7BK3bNkyeVOBIMjbgS4vgiCvYciQIbt27WIOT58+HRwcrPzlrXd5aZrev39/cHCwjo4OKSAwcOBAUq6BoaGhYf78+Q4ODgKBQF9fv3///hz/SWZ3r70qPz+fBAg5aGhocKqubt68GQACAgJeOyHTp08HgO+//57TPmXKFLLrsru7O6lVTJI6OB2RwsBsd5am6dLS0rFjx5qampISV2RnMmbfCsLly5cDAwM1NDTU1dU9PDwWL17MqQIhszvFV9XV1a1atYqUcuMwZ84ctsGrV69mrtq6daudnZ1AILC2tp46dWp5efnUqVMNDQ0VVKIltuXm5m7bto1UvXVwcPj666/ZxtTW1opEIhMTE2anCfb8REdHk4IPAQEBBw4cIAktnGnMy8sbNGiQnp4en883MTEZMWIEu2yC9A2SHppiJa8duFgsNjY2VlVV5eyggSBI60GXF0GQ1zBr1iyOf8bj8TgbE/wjycvL09bW9vLyun37Nkl+kEgkxcXFM2bMAKnNJsgmBWvXrlVGLUVRXbp0eVd2vy8eP37csWNHNze3ixcvktSClpaWqqqqr776ipMO0UrIhnDySlL8kyBZDcOGDWtvQxDkHwguX0MQ5DVwVrABgLe395IlS9rJnPfH5s2bX716lZyc7OTkRD5Jk7gdKQ5QVlbGFv75559FItHQoUNfq7ZTp06JiYm5ublk57a/LxMmTHj69On+/ft9fX3JWiuKorS1tcmGFJzSCq3h6NGjzc3NCuqX/TNobm5esGCBSCQiwWMEQdoWdHkRBHkN0i7v3Llz09PT28mc94dYLAaAZ8+esRslEsmqVavgz6W7tm3bdufOndjYWCW3y1q6dKmNjc3s2bNramra1OT3R01NzfHjx/X19UnqKsO5c+fmzZvXqVOnkSNHtlVfCnZu+yexZs2aGzdupKSkyCsMjCBIa6BoqUrsCIIgbG7dujV8+PCbN2+yG42MjHJycjgVo/5h3Llzx8vLSywWDxgwoFu3bmKxuKio6MSJE8XFxYGBgSdPnjx48OChQ4cKCwtPnTqlo6Nz+/ZtZtvb11JcXFxcXNyxY0dmp9+/F01NTRYWFmVlZT179iRL98rLyy9dunTjxg0nJ6cDBw4oqNT2ph0ZGRnRNF1eXs7Z9PgfRl5eXl1dnYuLi7z9UxAEaQ3o8iII8hqqq6stLCxevXrFbpw+fbqtre1725Oivbh161ZKSsq5c+dKS0spijIyMnJzcxs6dOjw4cNVVFTWrVs3c+ZMiUTi6+u7cuVKJyen9rb3vXL9+vUvvvji8uXLlZWVAoHA3Ny8e/fuAwcOHDJkSBv6pmlpaSEhIUOHDt25c2db6UQQ5F8IurwIgrweNTW1R48esfckGzx48M2bN/Py8trRKgRBEARREszlRRDk9ZiamjJ7ARBIad6Wlpb2MglBEARBlAddXgRBXo+Xl1dFRQW7xd7evmfPnn/3mgMIgiDIvwR0eREEeT329vbSOQzBwcEzZ85sF3sQBEEQ5I1AlxdBkNcj0+VNTEz08/MjWzAgCIIgyF8ZdHkRBHk9nTp1evDggXT7nj179u/fn5SUVFJS8v6tQhAEQRAlUWlvAxAE+RsgM8pLyMjIGD16dJcuXUxNTY2MjN6zYQjyLyE2NnbKlCntbQWC/I3BImUIgiiFtrb28+fPtbS0ZJ4tLCw8e/YsZyMuBEHaCgsLC1tb2/a2AkH+xqDLiyCIUnh4eKxfv7579+7tbQiCIAiCvDGYy4sgiFLIS+dFEARBkL8+6PIiCKIUCtJ5EQRBEOQvDrq8CIIohZmZ2aVLl9rbCgRBEAR5G9DlRRBEKTw9PU+fPt3eViAIgiDI24AuL4IgStGzZ099ff3CwsL2NgRBEARB3hh0eREEUZZu3brdvn27va1AEARBkDcGXV4EQZTFycnpzp077W0FgiAIgrwx6PIiCKIsbxrltbS0pGTRJsXO4uPjKYo6depU61URvL297e3tq6ur20qhTD766CM9Pb0rV660lcK1a9dSFJWcnCxP4N69exRF9erVS7Geffv2aWlp/fDDD21l2Htjzpw5FEXt2bOnvQ1pFWlpaRRFxcXFKRZbtWqVlpbW3r17349VMhk2bJient6zZ8/kCZA9a3r27Nnc3Pw+DUMQxaDLiyCIsrxdYkPPnj39/oyamtq7MK81iMXi7OzsBw8elJaWtpVOiUTyzTffcBRevXr15cuXf8H8kBs3btTU1Fy9elVJ+YcPHy5evPidmqQMV65cWbJkSVhY2ODBg9vbllZx6NAhAIiKilIs9u2339bU1Ci/s/emTZsuX77cWuNY5OTkbN++HQB0dXXlyVhYWHz55ZeZmZnff/99G3aNIK2FRhAEUY6amhoNDQ3l5S0sLACgrKzsXRhD4mGpqaltpfDkyZN79uxpK200TRMn5tatW+zGu3fvrl27tqGhoa16WbNmDQB8/vnn8gRycnIAwM/PT7GeFy9erFq16tmzZ0r2O2vWLAMDgzcw9N3g6+sLANnZ2e1tSGuxs7Pj8/kVFRWKxXbv3n3y5EkldZLI8ZUrV1pt3R80NjauWbPm7t27isXEYrGpqamamtprR4Qg7w2V9nS3EQT5W6GhoWFkZPT48WMbG5v2tqXtCQ0NbUNtNE1v2rRJur1r165du3Ztw47aCj09vUmTJikpXFdXR0J97cu1a9cyMjI8PT3d3d3b25ZWkZOT8/DhQ39/f319fcWSygezX7x4kZSUZGxs3KNHj1Yb+AcCgWDChAmvFVNVVf3Pf/6zcOHCjRs3zp49uw0NQJC3BhMbEAR5A9p8BVt+fn5SUpK1tbVQKLSwsAgNDb1w4YKSZ6VJS0sLCQnR0tLS1NQMDAw8duwY+2xxcfG0adPs7e3V1NQsLCyCg4P/+9//NjU1kbOmpqYURUkkEkY+PT09Pj7ewsJCVVVVV1c3JCQkLS1NGYVZWVnOzs4k4dLZ2ZlkMBcXF4OcFGQFw8zNzZ02bVrnzp2FQqG6urq7uzsJ674pfD7/yZMnCQkJBgYGIpHI1dV1y5YtbAHpnOBr164NHTrUyspKTU3Nzs5u8ODBhw8fBoCtW7fa2NgUFhZWVFSQoTk4ODBXPXnyJDEx0dLSUiAQWFlZTZgwgVPYjnSUlZX14MGDfv36qaurW1tbZ2VlURTl7e3NMTsnJ4eiKHke7fr16wFg9OjRMs9WVlYuWLCge/fuurq6IpHI2dl53rx5tbW1jJ3z5s3z8vLS0tISCAQ2NjbJycktLS0cJQcPHhwwYICJiYlQKLS1tR02bFhdXR0AZGZmUhS1bt26kpKSsWPHGhsbC4VCMtJXr15t2LAhLCzM0NBQRUXF2Ng4ISGhoqJC3q0BADKx0dHRBw8eDA4O1tbW1tDQCAkJyc3NZYtFRERQFMUkn7S0tGzevDkoKMjU1JQMYeLEifn5+RKJJCkpycrK6uHDhyUlJTwej6KoOXPmMHpu3rw5evRo8ryZm5tPmTKFk8K+ePFiiqLu37+fnZ3dr18/LS0tZ2dnAGhqatLR0dHV1WV+ayQSyeHDh+Pj462srAQCgba2dnBwcHZ2NnNfyD1CkL8E7R1mRhDk70SPHj0mT56spPBrExtu3rypp6cHAJaWlsHBwU5OThRFnTlzRpmz0okNv/zyC0VR2trakZGRoaGhIpGIoqj169eTs7W1td26dQMAT0/P+Pj4Xr16qaurd+nSpaWlhQiYmJgAQFNTEyNvYGCgqqrq7++fkJDg5eUFAKqqqr/99ttrFV67di0lJcXa2hoApk+fnpKSkpKSUlNTI9NsxcMMDw8HABcXl/j4+L59+/L5fAD44YcfmMuVTGzw8PCwsLCwtbWNi4vr3bs3+f9/69at8vTcuXNHS0tLRUWlT58+Q4cO7d69O4/HGzduHE3TBw4cmD9/PgCoq6uToa1bt45cdfv2bQMDAwDw9vZOSEjo2bMnAJiamubl5XE6+umnnywtLfX09Hx8fEaMGEHTtKOjIwA8evSIbfy3334LAIsWLZI5NOJqy/zI/vTp0y5duvD5/MDAwLi4uB49evB4vKCgoObmZiLg6uqqoqLi6+ubkJBAsiMAYOfOnYyG+vp6ElLV0tLy9/f39vYWiUTDhg0jZ8m7wdatWy0sLCwtLX18fCZNmkROTZs2DQAcHR1jY2MjIyNVVFQAYOLEifJuEE3T/v7+ABATE6OjoxMVFRUdHa2pqQkA/v7+jExNTY1QKDQ1NSVPrFgsjo6OBgA3N7f4+PiAgABNTU1jY+Pi4uLS0tKUlBQyn+PGjSP3KDc3l+hZuHAhn8/X09OLjo4eOnSooaEhAERHR7Pt6dWrl7a29vbt24VCoaOjo5eX1+bNm2maJq9qcXFxjOS+ffsAwNzcPCYmZsiQIUSbo6MjOWtubg4AymfLIMg7BV1eBEHegOnTp8+YMUNJ4de6vB4eHgDwzTffMH5nYWEh45QoPsvxHcvLy7W1tS0sLJi/r9euXVNVVdXQ0Hjx4gVN0wcPHgSAkSNHMr1XV1ffuXOHOeS4vDRNp6WlFRYWssdOfAhy+FqFfn5+IJXLK+3yKh7m7du3r127xgjv378fADp37sy0KOnyElMlEglpXLFiBfGD5emZOXMmAGzcuJERePr0KTO3JMgnnctLvqGzPemNGzdyXDfSkZ6eXnx8fF1dHdO+aNEiAFiwYAFboZubG0VRjx8/lh4XiTHr6+sz88YmISGBx+OdPXuWaXn8+HFRURFzmJqaWlJSwhz2798fABYvXkwOW1paBgwYAAAzZsyora0ljdXV1S9fviQ/u7q6AkCHDh22b9/O6To7O5v9GHz33XcAEBERIW0kMxDyJhMVFcXo37VrF3ElGTHiXCYmJpLDH39+MD07AAAQ9ElEQVT8EQDmzZvHCFRVVTHef3NzMwk8V1dXs/tatmwZAMTGxjLtxcXF2trabMe0vLycz+draWk5OjpykqSJN79t2zampaio6PTp08wtIBFodXV1cjhkyBAA+PXXX+WNHUHeJ+jyIgjyBuzdu3fgwIFKChOXl0NISAg5S/46Ojo6ynRZFJ+lpXzHlStXAsCyZcvYMpGRkQDwv//9j6Zp8u2Y8RikkXZ5OWRlZQFAQEAAOXytQmVc3tcOUxpNTU0ej8f4xEq6vBRFsR2+hoYGHo+nqqrKtHD0kPxLtnPDRqbL+9tvvwFAjx49OMKenp4AcOPGDXZHOjo6lZWVbLHnz5/zeDwXFxem5eHDhwDg6+sr04ZLly6BnGV5dXV1fD7f2NhY5oUySUhIAICDBw+SQ+JQkvCzNAUFBWRK9+3b91rNRNXMmTPlCWzbtg0A7O3t2S8AZHTe3t5MS2JiIgDs37+fHPr4+MiLcDOXh4eHsxvv3LkjEAhcXV3FYjG7PTAwEAAuXrzItkdfX58TcaeVWGNHMjG6d+9ODr/88ksAmDt3rjx5BHmf4PI1BEHegB49ekydOvWNLundu7eqqipz2L17d/IDqZ0UGhpKUZT0VYrPSkOSX0+dOnXv3j2m8dGjRwDAeE4mJiYbN27U0tL67LPPlC/zBAB1dXVisZjUVmtoaCCNrVHIoPwwxWJxfX09TdNGRkb5+flisVgkEinfkaWlpampKXMoFAoNDAzKysoaGxuFQqG0fExMzNKlSydNmlRVVTV27FiZMhwyMjIAgMmaYAgKCsrKyjp79qyLiwvTGBoayqlyZW5uHhoaeuLEiZycHLLCj5TaJc6oNCQ7luRRcODxeJqamqWlpRMnTlywYIGCclrNzc0k4SQzM1MgEBDnr6mp6auvvhIKhSRAKw152xk0aFBMTIw8zTRNV1dXt7S0kGkJCwuTJ0m0ffbZZ+zifSQdlthDtB05ckQkEjGLLElodvz48Rs3buzUqRNHJ6kWQl75GObOnSsWi+fPn8/+fQQAkt9MFDLXfv3117a2tmwxxWvsamtrm5qazp07xx4suTsvXryQN3YEeZ/g8jUEQd4AS0tLiURCVmIpyZ49e06xYIq5lpSUAADJ9pNG8Vlpnj9/DgBHjhz5kcXdu3fhdydVT0/vxIkTjo6Oy5cvt7KySkxMJK6wAo4dOxYaGqqlpaWhoaGnp+fk5MQ++xYK32KY9+7dGzZsGFk+paurq6enl5+f/6a9AICxsTGnhcfjAQBN0zLl/fz8tm/frqKiMnnyZEtLy2+++aaqqkpxF+SpYDvWBDMzMwDgLGLjuFOE//znPwDA1ILYs2cPn88fOnSozO5evnwJACQNmoNQKFy7dq1QKFyzZo2tre3ChQuZ5VaEw4cPDxgwwNzcXCAQkFl9+PBhQECAlpYWABw5cqSoqCgmJkZ6LATiFJJEFw7Xr18fP368ra2tSCTS0dHR09PbsmULWUwpU1VTU9Px48c1NTU5wzx69CiwfNarV68WFxcHBQWpq6uTlu+//97CwuL8+fMODg5JSUmc8s/EjWZX+S0rK9u/f7+ZmVm/fv3Yko2Njbdv31ZTU+vSpQux58SJE9ra2tKLAqXd6MLCwq+++srJyYksGNXT00tKSmL3S+4OuVMI0u6gy4sgyJvh6elJPvG3EuJsyYtuKj4rT/7SpUvSH7PmzZtHZFxdXW/cuLFr1y4XF5eNGzc6Ozv/+uuv8hT+8ssvERERWVlZ06dPP3DgQHp6+s8//8yReSOFbzHMe/fueXl57dixIyoqaseOHadPn05PTycrhN6UNwoJE2JjYx8/frxkyRKRSDR37lxnZ2fFO2iQUUj70DLHKBAIpDWQ9VvE5X3+/HlmZmZQUBBJOJGGOH9MBQYO8fHxubm5//nPf169evXpp59GRUURMyQSyZgxY6KiooqLiz/99NOjR4+mp6eTQDLjqJEsbZLLK01dXV16erqpqSlJXGGzfv16T0/P48ePjxo1avfu3enp6SRBOSwsTF6Y/Pz581VVVRERERoaGuwuTp8+bWhoSLIXQJYL261bt3v37n399dfq6uobNmzo0aNHUVEROVVQUHDz5k0XF5cOHTow8idOnJBIJBEREeRVh+HUqVP19fXh4eEk9Evs6devH9semTZkZma6urouXbo0ICBg06ZNaWlpx44d4/F4RkZGTOUNcnf+glvPIP9O0OVFEOTN6NGjh/J7dCmAxB2Zv9NvdFYaEkp8/PixYjE+nz9kyJDMzMz//e9/zc3NiYmJ8r66kloBv/7667fffjtgwIDAwEBOlPdNFcpE8TCXL19eXV398ccfb9iwITY2Njg4ODAwkF1G7V2jpaU1c+bMBw8ezJo16+nTpySGJw+Sui09FtJCbpBiRCJRbGzsgwcPsrOzDx06RP+eYisT8nldQfEva2tr4oqpqqqSfAkAWLly5aZNm0aNGnXlypUpU6b07ds3ICDgxo0bwIpfZmZmAgBJQZYmNTW1oaGhf//+HCf+2rVr48aNc3Jyunnz5ldffRUVFRUYGEgeSE6CARuZm66dOnWqoaGB7Z7KTFTQ1NT88ssv79y5Y2dnV1BQQNa3ydNJMiWka/SuW7cOAMaNG6egIwB48eJFRkaGnZ0dyTmpr6+Pjo6ur6+/ePHif//73yFDhvxfe/ca0uT7xgH8npvOUx6GbqbzUEtDRyatNkRpnn6olVnDUx4mFhFE2oveGoFmJyOSinwVmSWFDDNMSqwQFJRlqYWNWqakVmohlnZSn/+Lmx6e/+bU/Jfzv9/380rv59mz69mBXbt3PdcdGxs7MTExOzubnJzMhj1P8QnA8kPKCwC/50+lvHQqyKTT7SK3mqNtnugH9mLs2bNn9+7dU1NTPT09c+5A64C5laldXV2/dUDancrkJ3UT85+meQz9/f3L/zOxUCg8e/asj4+PXq+nCTefz+fxeCanplarCSEtLS0mN6cj0dHRi7mv/Px8Qsjdu3cbGxsdHBw0Go2lPaVSKVnElxy1Wh0UFERnH2dnZ8vLy3k83unTp9mE9fbt2729vXK5nK21oEUCluYmLa0MTCd0i4uL3d3d6cjQ0FBVVZWdnR1tBzGnhoYGPp+/bdu2ee5icHCwq6tr48aN/v7+5kfw9/ePi4sjnJIS2o46MTGRuxutS6GVG6yWlpY7d+6o1WraC89SPISQxsbGmZkZNhWuqqp6//59fn4+7ddLCJmenqY1S9xHZmBggPx6pgCsDikvAPweqVTa1NT0vx9HqVRGRER0d3fTrqt08OPHj7Toc/6t5nJzc93d3W/evMldFez79+81NTX0b71ePzY2xt1EK33Ni1wpOv706VP67/DwcElJCXeHBQ9IUxBLKfViHgSTGL59+zZn/ejf8PDhQ/ZCPUJIf3//p0+fRCIRzeN5PJ5YLJ6YmKA5DRUaGhofH//kyRPusnPV1dV6vV6pVC5ygbSoqKjg4OCWlpZHjx4lJSXNc+WZTCYTi8Vv3rzhziuPj4/Ty8VYNTU1r1690mg09LK/d+/eOTk5sfOOzc3NNMnmJmq0tJp95RBChoaG6OQ9wzCNjY1CoTAhIcEknra2NvJrqpsQ0tfXl5SUNDU1pVQqLb3GDAaD0WiMjIzkXhBGr1RzcHBgc1aTioL79+/PzMyw+/f29tbW1kqlUjZPpXVH3KoG8qt4mvvO1ev1mZmZ3t7eV69enSeeOWMwOdmJiYm8vLzOzk5u2OTXRaWL/LYD8Nf95Y4QAGCDgoODDQbDgrvRT0SVShX137itc2lOExgYGBsbGxYWZm9vzzY6nX+reYPb+vp6WjEZHByckJCgVCpdXFz4fD7deuTIEYFAoFKpcnJy0tPTaWzbt29nb27SpOzEiROEEHd397y8PI1G4+bmlpubKxKJVCrVIg9Ic6ZVq1ZlZ2fv2rWLrgVgHvY8p9na2srj8fh8fmpqak5OTkBAgFwuj4+PJ4TQ7g3MopuUmTfzoudr6TgKhcLV1TUhIUGr1aakpNDKzvLycvbm9KfwoKAgrVar0WjoYF9fH/ukZ2dn01JULy8vbp/aBQMuLS2lv4ybt7w1QZeKuHXrFjty48YNQohMJktPT8/OzqbtQSIjI8fGxhiGGR0dpR1wt2zZUlBQoFQq/fz86EHYFl0Mw1y8eJF+Psrl8piYmHXr1gmFQtoxl9Y8JCcnmwdDO/UGBgbu3bs3MTHR1dWVLsxbVlZmKX46LWqy0Aa9i3/++YcdoblsR0cHwzCDg4P0IU1JSdFqtXFxcQKBwMfHh10hhWEYWkOyadMmrVZ77tw5Otjf30+Xt1AoFNnZ2dHR0XZ2djKZjNtEb854GIb58eOHu7u7m5sb292MNuh1cXGh7w6RSHTo0CE+n88Ne3R0lMfjeXp6sg31AKwLKS8A/LaDBw9eunRpwd3m7MtLCOGuxWU0GrVara+vL5/P9/Dw2Llz58uXLxez1Tx3ZBimu7s7MzNTIpHQ9aXUavXly5fpps7OzqysLB8fH4FA4OnpGRERUVpayiZ8jFnK+/Pnz5KSkqCgIKFQuHbt2tLS0unp6aSkJDblXfCADMMUFxdLpVJ7e/uQkBDa5XTOsOc5TZ1OFx4e7ujoKBaLCwoKxsbGTp06tQwpr06ni4uL8/T0pBnV1q1br1+/zr35+Ph4RkaGSCRydHSMiYlhx4eHhw8cOEBXafbz89u3b9/AwAD3hgsGTGsVnJ2dafuwedCFOXbs2MGOtLW1xcfH07AlEklUVFRlZSX3Sbl27ZpMJnNwcAgMDCwqKhobGysqKvLy8jJJyyoqKkJDQx0cHJycnBQKBfsqOnr0KCFkzhf/48ePN2/e7OTk5O3tnZaW9vz5c7riNNuQ2BytxuF+H2DvoqKigv47OTnp6OgokUho5+ahoaGsrKzVq1cLBAIPD4/w8PBjx45xOy4zDFNVVRUQEGBvby+TyZqamtjx9vb2mJgYFxcXZ2dnhUJx5swZk0d4zngYhnnw4AEhJD09nR0ZGRlJTU11c3Nzc3NTq9X19fV0FpwNm2GY8+fPE0IKCwstnT7AMuMxFjrUAABYUldXV11dTT/RAf6s9vb2yMjIrKwsbo3KnGZnZ2Uy2du3b/v7+1EwutLI5fIXL14YDIaQkBBrxwJACGp5AWAJEhISmpubrR0F2Ca6+ldeXt6Ce9rZ2R0/fnxmZobtQwcrhE6n6+3tzc/PR74LKwdmeQFgKVQq1YULF5RKpbUDAZtiMBgUCoVEIjEajSYdZC1JS0urq6vr6Oiw1FYMltnU1BTtZfbs2TN2UTcAq0PKCwBLERUV5evrW1tba+1AwBYYDIaysrKvX7/eu3dvcnKyoaFhnsZeJr58+WI0GsVi8eLX6oO/6vPnz69fv5ZIJItpxgywbJDyAsBStLa2Hj58uLOz09qBgC3o6emhaxkEBwefPHkyNTXV2hEBgK1BygsASxQWFqbT6egvmAAAACsZLl8DgCXKz8+vqqqydhQAAAALQ8oLAEukUqkqKyutHQUAAMDCkPICwBLRhamuXLli7UAAAAAWgFpeAFi60dFRuVw+MjJi7UAAAADmg1leAFg6b2/v/fv3b9iw4cOHD9aOBQAAwCKBtQMAgP9vZWVlgYGB69evl0qlnp6e1g4HAFa6jIyMwsJCa0cB/zoobACAP2B4eLihoSEsLMzagQDASufn57dmzRprRwH/Okh5AQAAAMDGoZYXAAAAAGwcUl4AAAAAsHFIeQEAAADAxiHlBQAAAAAbh5QXAAAAAGwcUl4AAAAAsHFIeQEAAADAxv0Hfpzw+2MUPAsAAAB/aVRYdENvcHlyaWdodAAAAAAASW50ZXJhY3RpdmUgaGlzdG9yaWNhbCBhdGxhcyBvZiB0aGUgZGlzY2lwbGluZXMsIGxhdW5jaGVkIGluIDIwMTggYXQgdGhlIFVuaXZlcnNpdHkgb2YgR2VuZXZhIGJ5IFJhcGhhw6tsIFNhbmRvei657wKLAAAANXRFWHRUaXRsZQBJbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lc+uYdMcAAACGelRYdFJhdyBwcm9maWxlIHR5cGUgaXB0YwAAeJw9TDEKxDAM2/2KPsGxFaWZb7rthn6gpCkUCi33/+GcDidjyQZJ8v4sr+n+XvtxdpkeUMVnGCo2RcwfrqmppapqgLMxFS/OSsZ6fAjd2U2DaVognJnjcA5bZg/Nxa2Z5jUCxjQqn/bBkB82sR+f66PsegAAAp5pVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADw/eHBhY2tldCBiZWdpbj0n77u/JyBpZD0nVzVNME1wQ2VoaUh6cmVTek5UY3prYzlkJz8+Cjx4OnhtcG1ldGEgeG1sbnM6eD0nYWRvYmU6bnM6bWV0YS8nIHg6eG1wdGs9J0ltYWdlOjpFeGlmVG9vbCA5LjQ2Jz4KPHJkZjpSREYgeG1sbnM6cmRmPSdodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjJz4KCiA8cmRmOkRlc2NyaXB0aW9uIHJkZjphYm91dD0nJwogIHhtbG5zOmRjPSdodHRwOi8vcHVybC5vcmcvZGMvZWxlbWVudHMvMS4xLyc+CiAgPGRjOmNyZWF0b3I+CiAgIDxyZGY6U2VxPgogICAgPHJkZjpsaT5SYXBoYcOrbCBTYW5kb3o8L3JkZjpsaT4KICAgPC9yZGY6U2VxPgogIDwvZGM6Y3JlYXRvcj4KICA8ZGM6dGl0bGU+CiAgIDxyZGY6QWx0PgogICAgPHJkZjpsaSB4bWw6bGFuZz0neC1kZWZhdWx0Jz5JbnRlcmFjdGl2ZSBoaXN0b3JpY2FsIGF0bGFzIG9mIHRoZSBkaXNjaXBsaW5lczwvcmRmOmxpPgogICA8L3JkZjpBbHQ+CiAgPC9kYzp0aXRsZT4KICA8ZGM6dHlwZT4KICAgPHJkZjpCYWc+CiAgICA8cmRmOmxpPkltYWdlPC9yZGY6bGk+CiAgIDwvcmRmOkJhZz4KICA8L2RjOnR5cGU+CiA8L3JkZjpEZXNjcmlwdGlvbj4KPC9yZGY6UkRGPgo8L3g6eG1wbWV0YT4KPD94cGFja2V0IGVuZD0ncic/PplCL5gAAAAASUVORK5CYII=
"Which demonstrateth and teacheth, how, the Intersection of all visuall Pyramids, made by any plaine assigned (the Center, distance, and lightes being determined) may be, by lines, and proper colours represented." John Dee (1570), //The Mathematicall Preface//.
"La //zontologie// est double et n'est que double; les êtres vivants se distribuent en deux classes qui les distinguent et les résument tous. Observons-nous la vie chez nous, dans l'Homme? La science que nous recueillerons de cette étude sera l'//anthropologie//; l'observerons-nous chez les animaux? nous aurons une //zoologie//." Charma (1859), p. 11.
"La description des bestes appartient généralement à la Zoographie", Christofle de Savigny (1587), plate B.
"Dans la botanique, on étudie les végétaux en général, ces êtres où la vie est en quelqeu sorte réduite à ses termes les plus simples, naître, croître, se reproduire, mourir; mais dans les animaux, objet de la zoologie, à ce premier degré de la vie viennent se joindre ces mouvements spontanés, ces forces locomotrices qui les distinguent des végétaux, et la sensibilité sans laquelle la faculté de se mouvoir serait inutile." Ampère (1834), Tome 1, p. 122.
"Les animaux qui sont doués de sentiment, c'est-à-dire, de la conscience de leur existence, et dont l'étude fait l'objet de la science qu'on nomme //Zoologie//." De Candolle (1813), p. 9.
"La science de l'homme, c'est, sous un certain rapport, la science de l'animal, l'animal n'enfermant rien en lui que l'homme ne possède; c'est cette science réduite, restreinte, tronquée, l'animal pouvant être considéré comme un homme imparfait, incomplet, ébauché ou mutilé. La zoologie sera donc l'anthropologie, moins ceci ou cela.", Charma (1859), p. 16.
"La zoologie se prête aux mêmes considérations que la botanique relativement à sa distinction en deux parties, l'une concernant le //concret// et l'autre l'//abstrait//." (Chevreul 1866, p. 554).
"Offrant la description et l'histoire des êtres vivants" (Lancelin 1803, p. 133).
"La zoologie est la science qui traite de tous les animaux de la nature." Panckoucke (1780), plate entitled "Essai d'une distribution généalogique des sciences et des arts"
"Animal-life-possessing-regarding; viz. Zoology", Bentham (1816), Appendix IV, Section VIII, p. 178.
"ZOOLOGY, or the history of Animals, their origin in Egg, Embryo, Foetus, Generation, Conception, Gestation, Hatching, Migration, &c. Their kinds; as Quadruped, Bird, Fish, Insect, Reptile, Ruminant, Carnivorous, &c. Extraordinary species; as Unicorn, Torpedo, Tarantula, Tortoise, Cameleon, Salamander, Barnacle, Anchovy, Death-watch, &c. Monsters; as Double Animals, Hermaphrodite, Mule, Pigmy, Giant, &c. Metamorphoses; as Aurelia, Metempsychosis, &c. Parts; as Head, Hand, Foot, Finger, Tail, Fin, Wing, Gills, &c. Covering; as Hair, Wool, Silk, Feathers, &c. Armature; as Nail, Sting, Horn, Tooth, Shell, Proboscis, Web, &c. Productions; as Pearl, Bezoard, Castoreum, Civit, Meconium, Mummy, Usnea, Kermes, Cochineal, &c. Motion; as Flying, Swimming, and the like." Ephraim Chambers, //Cyclopedia// (1728), Preface.
"The science of animals, considered in their distinct species." Hooper (1906), p. 154.
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
"Quant à la zootechnie, les caractères qui la distinguent de l'agriculture et de la zoologie étant déjà déterminés, sa circonscription ne peut souffrir de difficultés qu'à l'égard de la limite qui la sépare de la technologie. Cette limite doit encore être fixée à l'instant où, soit les produits des animaux, tels que la laine, la soie, le lait, le miel, la cire, soit les animaux eux-mêmes, ou leurs dépouilles, passent des mains de ceux qui se les sont procurés dans celles qui les transformeront pour les approprier à nos besoins." Ampère (1834), Tome 1, p. 126.
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